Intel® Knights Landing™ Hardware

Total Page:16

File Type:pdf, Size:1020Kb

Intel® Knights Landing™ Hardware Intel® Knights Landing™ Hardware TACC KNL Tutorial PRESENTED BY: John Cazes IXPUG Annual Meeting 2016 Lars Koesterke 9/20/16 1 Intel’s Xeon Phi Architecture • Leverages x86 architecture • Simpler x86 cores, higher compute throughput per watt • Supports legacy programming models • Fortran, C/C++ • MPI, OpenMP, pthreads • Designed for floating point performance • Provides high memory bandwidth • Runs an operating system • Many- core design rather than multi- core • Designed to run hundreds of execution threads in parallel 9/20/16 2 2nd Generation Intel Xeon Phi Knights Landing • Many Integrated Cores (MIC) architecture • Up to 72 cores (based on Silvermont) • 4 H/W threads per core • Possible 288 threads of execution • 16 GB MCDRAM* (high bandwidth) on-package • 1 socket – self hosted (no more PCI bottleneck!) • 3+ TF DP peak performance • 6+ TF SP peak performance • 400+ GB/s STREAM performance • Supports Intel Omni-Path Fabric * Multi-Channel DRAM 9/20/16 3 Knights Corner à Knights Landing KNC KNL Co-processor Self hosted Stripped down Linux Centos 7 Binary incompatible with other Binary compatible with prior Xeon architectures (non Phi) architectures 1.1 GHz processor 1.4 GHz processor 8 GB RAM Up to 400 GB RAM (including 16 GB MCDRAM) 22 nm process 14 nm process 1 512-bit VPU 2 512-bit VPUs No support for: Support for: • Out of order • Out of order • Branch prediction • Branch prediction • Fast unaligned memory access • Fast unaligned memory access 9/20/16 4 KNL Diagram • Cores are grouped in pairs (tiles) • Up to 36 tiles (72 cores) • 2D mesh interconnect • 2 DDR memory controllers • 6 channels DDR4 • Up to 90 GB/s • 16 GB MCDRAM • 8 embedded DRAM controllers • Up to 475 GB/s (KNIGHTS LANDING: SECOND- GENERATION INTEL XEON PHI PRODUCT, A. Sodani, et.al.,IEEE Micro March/April 2016) 9/20/16 5 KNL Tile • Each core (based on Intel Silvermont): • Local L1 cache • 2 512-bit VPUs (almost symmetric) • 2 cores/tile • 1 MB shared L2 cache (up to 36 MB L2 per KNL) • Shared mesh connection (KNIGHTS LANDING: SECOND- GENERATION INTEL XEON PHI PRODUCT, A. Sodani, et.al.,IEEE Micro March/April 2016) 9/20/16 6 KNL Core 8-way 32KB instruction cache 2 VPUs, only one has support for legacy floating point ops • Compile with -xMIC-AVX512 to use both VPUs (Only supported by Intel compilers) 8-way 32KB data cache (KNIGHTS LANDING: SECOND- GENERATION INTEL XEON PHI PRODUCT, A. Sodani, et.al.,IEEE Micro March/April 2016) 9/20/16 7 KNL ISA Sandy Bridge Haswell KNL • KNL supports all x87/MMX x87/MMX x87/MMX legacy instructions SSE SSE SSE • Introduces AVX-512 AVX AVX AVX Extensions: AVX2 AVX2 Legacy • Foundations BMI BMI (common between Xeon and Xeon Phi) TSX • Conflict Detection AVX-512F • Prefetch AVX-512CD • Exponential and AVX-512PF Reciprocal AVX-512ER -xMIC-AVX512 AVX-512 ISA: http://goo.gl/TGQIKE 9/20/168 KNL • C/C++/Fortran… and Python/Java/… • “Feels” like a traditional node (not a co-processor!) • However: • Many-core approach • Cores relatively slow • Intra-node parallelization required • Binary compatible with previous Xeon, but not the other way around (when compiled with -xMIC-AVX512 ) 9/20/169 Stampede KNL Upgrade • Upgrade to TACC’s Stampede cluster • ~1.5 PF additional performance • 117 in Top 500 • First KNL system on the list • 504 68-core KNL nodes • Intel’s Omni-Path Fabric Network • Separate cluster that shares filesystems with Stampede • Funded by the National Science Foundation (NSF) through grant #ACI- 1134872 9/20/16 10 Stampede KNL Upgrade Stampede’s Original Components (Sandy Bridge Cluster) Sandy Bridge compute nodes with KNC MIC coprocessors login1 through login4 (Sandy Bridge) Infiniband network sbatch Sandy Bridge largemem and GPU idev compute nodes ssh Centos 6 Internet ssh $HOME $SCRATCH $WORK ssh Centos 7 sbatch idev KNL compute nodes login-knl1 OmniPath (Haswell) network Stampede Upgrade (KNL Cluster) 9/20/16 11 Vectorization Differences with KNC and understanding vector reports 9/20/16 12 Vectorization on KNL Similarities to KNC Differences from KNC Supports 512-bit vectors: 2 VPUs • 16 32-bit floats/integers • 8 64-bit doubles 32 addressable registers Full support for packed 64-bit integer arithmetic Supports masked operations Supports unaligned loads & stores Supports SSE/2/3/4, AVX, and AVX2 instruction sets • Only on 1 of the 2 vector units Many other improvements: • Improved Gather/Scatter • Hardware FP Divide • Hardware FP Inverse square root • … 9/20/16 13 Vectorization Procedure • Compile with -xMIC-AVX512 to target KNL • Add -qopt-report=[234] to get optimization reports • 2: brief overview of which loops are vectorized and not vectorized (search for “dependence”) • 3: summaries of load and store streams, alignment, and estimated speedup for each loop • 4: load and store stream information by array name, and estimated overhead of vectorization • The primary inhibitor of vectorization is possible aliasing • Learn how to use the “restrict” keyword in C • Vectorization can be forced using a pragma • This may give incorrect results if aliasing is actually present! 9/20/16 14 Optimization Reports • Sample code “swim.f” - 551 lines of Fortran • Optimization report sizes: (default == all “phases”) • Level 2: 386 lines • Level 3: 696 lines • Level 4: 1253 lines • Most of the length is from the vectorization report. • The combined report includes other important information, so you probably don’t want to exclude the other “phases” (- qopt-report-phase) 9/20/16 15 Example loop nest from swim.f !$OMP PARALLEL DO do j=1,n do i=1,m cu(i+1,j) = .5d0*(p(i+1,j,mid)+p(i,j,mid))*u(i+1,j,mid) cv(i,j+1) = .5d0*(p(i,j+1,mid)+p(i,j,mid))*v(i,j+1,mid) z(i+1,j+1) = (fsdx*(v(i+1,j+1,mid)-v(i,j+1,mid))-fsdy* (u(i+1,j+1,mid)-u(i+1,j,mid)))/ (p(i,j,mid)+p(i+1,j,mid)+p(i+1,j+1,mid)+p(i,j+1,mid)) h(i,j) = p(i,j,mid)+.25d0* (u(i+1,j,mid)*u(i+1,j,mid)+u(i,j,mid)*u(i,j,mid) +v(i,j+1,mid)*v(i,j+1,mid)+v(i,j,mid)*v(i,j,mid)) end do end do Details don’t matter – just note that • This are 2 nested loops • There are a lot of array references on the right-hand sides. • There are 4 arrays being stored. 9/20/16 16 Example Level 2 optimization report LOOP BEGIN at swim.f(318,7) Outer loop not vectorized remark #15542: loop was not vectorized: inner loop was already vectorized LOOP BEGIN at swim.f(319,11) <Peeled loop for vectorization> ”Peel loop” (prolog) reported remark #15301: PEEL LOOP WAS VECTORIZED separately LOOP END LOOP BEGIN at swim.f(319,11) remark #15300: LOOP WAS VECTORIZED Main loop – remark #25456: Number of Array Refs Scalar Replaced In Loop: 1 only very high LOOP END level info here LOOP BEGIN at swim.f(319,11) <Remainder loop for vectorization> remark #15301: REMAINDER LOOP WAS VECTORIZED LOOP END LOOP END “Remainder loop” (epilog) reported separately 9/20/16 17 Example Level 3 optimization report LOOP BEGIN at swim.f(318,7) remark #15542: loop was not vectorized: inner loop was already vectorized LOOP BEGIN at swim.f(319,11) <Peeled loop for vectorization> remark #15301: PEEL LOOP WAS VECTORIZED LOOP END LOOP BEGIN at swim.f(319,11) remark #15300: LOOP WAS VECTORIZED [Lots more stuff added here – see next slide] remark #25456: Number of Array Refs Scalar Replaced In Loop: 1 LOOP END LOOP BEGIN at swim.f(319,11) <Remainder loop for vectorization> remark #15301: REMAINDER LOOP WAS VECTORIZED LOOP END LOOP END 9/20/16 18 Level 3 optimization report extra info LOOP BEGIN at swim.f(319,11) remark #15300: LOOP WAS VECTORIZED remark #15448: unmasked aligned unit stride loads: 14 remark #15449: unmasked aligned unit stride stores: 2 Memory remark #15450: unmasked unaligned unit stride loads: 9 Reference info remark #15451: unmasked unaligned unit stride stores: 2 remark #15475: --- begin vector loop cost summary --- remark #15476: scalar loop cost: 98 remark #15477: vector loop cost: 12.870 Estimated Cycle remark #15478: estimated potential speedup: 7.540 Cost & Speedup remark #15488: --- end vector loop cost summary --- remark #25456: Number of Array Refs Scalar Replaced In Loop: 1 remark #25015: Estimate of max trip count of loop=250 Compiler cost model LOOP END based on this assumed trip count 9/20/16 19 Level 4 optimization report LOOP BEGIN at swim.f(319,11) remark #15300: LOOP WAS VECTORIZED [Lots more stuff added here – see next slide] remark #15448: unmasked aligned unit stride loads: 14 remark #15449: unmasked aligned unit stride stores: 2 remark #15450: unmasked unaligned unit stride loads: 9 remark #15451: unmasked unaligned unit stride stores: 2 remark #15475: --- begin vector loop cost summary --- remark #15476: scalar loop cost: 98 remark #15477: vector loop cost: 12.870 remark #15478: estimated potential speedup: 7.540 remark #15488: --- end vector loop cost summary --- remark #25456: Number of Array Refs Scalar Replaced In Loop: 1 remark #25015: Estimate of max trip count of loop=250 LOOP END 9/20/16 20 Level 4 optimization report extra info LOOP BEGIN at swim.f(319,11) remark #15300: LOOP WAS VECTORIZED remark #15389: vectorization support: reference cu has unaligned access [ swim.f(320,15) ] remark #15389: vectorization support: reference p has unaligned access [ swim.f(320,15) ] remark #15388: vectorization support: reference p has aligned access [ swim.f(320,15) ] remark #15389: vectorization support: reference u has unaligned access [ swim.f(320,15) ] remark #15388: vectorization support: reference cv has aligned access [ swim.f(321,15) ] remark #15388: vectorization support: reference p has aligned access [ swim.f(321,15) ] [… lots ofAlignment status for every similar lines omitted here …] remark #15389:
Recommended publications
  • New Instruction Set Extensions
    New Instruction Set Extensions Instruction Set Innovation in Intels Processor Code Named Haswell [email protected] Agenda • Introduction - Overview of ISA Extensions • Haswell New Instructions • New Instructions Overview • Intel® AVX2 (256-bit Integer Vectors) • Gather • FMA: Fused Multiply-Add • Bit Manipulation Instructions • TSX/HLE/RTM • Tools Support for New Instruction Set Extensions • Summary/References Copyright© 2012, Intel Corporation. All rights reserved. Partially Intel Confidential Information. 2 *Other brands and names are the property of their respective owners. Instruction Set Architecture (ISA) Extensions 199x MMX, CMOV, Multiple new instruction sets added to the initial 32bit instruction PAUSE, set of the Intel® 386 processor XCHG, … 1999 Intel® SSE 70 new instructions for 128-bit single-precision FP support 2001 Intel® SSE2 144 new instructions adding 128-bit integer and double-precision FP support 2004 Intel® SSE3 13 new 128-bit DSP-oriented math instructions and thread synchronization instructions 2006 Intel SSSE3 16 new 128-bit instructions including fixed-point multiply and horizontal instructions 2007 Intel® SSE4.1 47 new instructions improving media, imaging and 3D workloads 2008 Intel® SSE4.2 7 new instructions improving text processing and CRC 2010 Intel® AES-NI 7 new instructions to speedup AES 2011 Intel® AVX 256-bit FP support, non-destructive (3-operand) 2012 Ivy Bridge NI RNG, 16 Bit FP 2013 Haswell NI AVX2, TSX, FMA, Gather, Bit NI A long history of ISA Extensions ! Copyright© 2012, Intel Corporation. All rights reserved. Partially Intel Confidential Information. 3 *Other brands and names are the property of their respective owners. Instruction Set Architecture (ISA) Extensions • Why new instructions? • Higher absolute performance • More energy efficient performance • New application domains • Customer requests • Fill gaps left from earlier extensions • For a historical overview see http://en.wikipedia.org/wiki/X86_instruction_listings Copyright© 2012, Intel Corporation.
    [Show full text]
  • Hyper-Threading Performance with Intel Cpus for Linux SAP Deployment on Proliant Servers
    Hyper-Threading Performance with Intel CPUs for Linux SAP Deployment on ProLiant Servers Session #3798 Hein van den Heuvel Performance Engineer Hewlett-Packard © 2004 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice Topics • Hyper-Threading Intro • Implementation details Intel, IBM, Sun • Linux implementation • My own tests • SAP (SD) benchmark • Benchmark Results • Conclusions: (18% improvement for SAP 2-tier) Intel Hyper-Threading Overview “Hyper-Threading Technology is a form of simultaneous multithreading technology (SMT), where multiple threads of software applications can be run simultaneously on one processor. This is achieved by duplicating the architectural state on each processor, while sharing one set of processor execution resources. The architectural state tracks the flow of a program or thread, and the execution resources are the units on the processor that do the work: add, multiply, load, etc. “ http://www.intel.com/business/bss/products/hyperthreading/server/ht_server.pdf http://www.intel.com/technology/hyperthread/ Intel HT in a picture To-be-updated Hyper-Threading Versus Dual Core • HP (PA + ipf) opted for ‘dual core’ technology. − Each processor has full set of resources − Only limitation is shared ‘system’ connection. − Allows for dense (8p – 4u – 4640) − minimally constrained systems • Software licensing impact (Oracle!) • Hyper-Threading technology effectiveness will depend on application IBM P5 SMT Summary Enhanced Simultaneous Multi-Threading features To improve SMT performance for various workload mixes and provide robust quality of service, POWER5 provides two features: • Dynamic resource balancing – The objective of dynamic resource balancing is to ensure that the two threads executing on the same processor flow smoothly through the system.
    [Show full text]
  • Instruction-Level Parallelism in AES Candidates Craig S
    Instruction-level Parallelism in AES Candidates Craig S. K. Clapp Instruction-level Parallelism in AES Candidates Craig S.K. Clapp PictureTel Corporation, 100 Minuteman Rd., Andover, MA01810, USA email: [email protected] Abstract. We explore the instruction-level parallelism present in a number of candidates for the Advanced Encryption Standard (AES) and demonstrate how their speed in software varies as a function of the execution resources available in the target CPU. An analysis of the critical paths through the algorithms is used to establish theoretical upper limits on their performance, while performance on finite machines is characterized on a family of hypothetical RISC/VLIW CPUs having from one through eight concurrent instruction-issue slots. The algorithms studied are Crypton, E2, Mars, RC6, Rijndael, Serpent, and Twofish. 1 Introduction Several performance comparisons among AES candidate algorithms have already been published, e.g.[6,7,8,10,16]. However, while such studies do indeed render the valuable service of providing a quantitative rather than qualitative comparison between candidates, and in some cases do so for a number of currently popular processors, they are not necessarily very insightful as to how to expect performance of the algorithms to compare on processors other than those listed, nor in particular on future processors that are likely to replace those in common use today. One of the lessons of DES[11] is that, apart from having too short a key, the original dominant focus on hardware implementation led to a design which over the years has become progressively less able to take full advantage of each new processor generation.
    [Show full text]
  • X86 Assembly Language Reference Manual
    x86 Assembly Language Reference Manual Part No: 817–5477–11 March 2010 Copyright ©2010 Oracle and/or its affiliates. All rights reserved. This software and related documentation are provided under a license agreement containing restrictions on use and disclosure and are protected by intellectual property laws. Except as expressly permitted in your license agreement or allowed by law, you may not use, copy, reproduce, translate, broadcast, modify, license, transmit, distribute, exhibit, perform, publish, or display any part, in any form, or by any means. Reverse engineering, disassembly, or decompilation of this software, unless required by law for interoperability, is prohibited. The information contained herein is subject to change without notice and is not warranted to be error-free. If you find any errors, please report them to us in writing. If this is software or related software documentation that is delivered to the U.S. Government or anyone licensing it on behalf of the U.S. Government, the following notice is applicable: U.S. GOVERNMENT RIGHTS Programs, software, databases, and related documentation and technical data delivered to U.S. Government customers are “commercial computer software” or “commercial technical data” pursuant to the applicable Federal Acquisition Regulation and agency-specific supplemental regulations. As such, the use, duplication, disclosure, modification, and adaptation shall be subject to the restrictions and license terms setforth in the applicable Government contract, and, to the extent applicable by the terms of the Government contract, the additional rights set forth in FAR 52.227-19, Commercial Computer Software License (December 2007). Oracle USA, Inc., 500 Oracle Parkway, Redwood City, CA 94065.
    [Show full text]
  • Computer Architectures an Overview
    Computer Architectures An Overview PDF generated using the open source mwlib toolkit. See http://code.pediapress.com/ for more information. PDF generated at: Sat, 25 Feb 2012 22:35:32 UTC Contents Articles Microarchitecture 1 x86 7 PowerPC 23 IBM POWER 33 MIPS architecture 39 SPARC 57 ARM architecture 65 DEC Alpha 80 AlphaStation 92 AlphaServer 95 Very long instruction word 103 Instruction-level parallelism 107 Explicitly parallel instruction computing 108 References Article Sources and Contributors 111 Image Sources, Licenses and Contributors 113 Article Licenses License 114 Microarchitecture 1 Microarchitecture In computer engineering, microarchitecture (sometimes abbreviated to µarch or uarch), also called computer organization, is the way a given instruction set architecture (ISA) is implemented on a processor. A given ISA may be implemented with different microarchitectures.[1] Implementations might vary due to different goals of a given design or due to shifts in technology.[2] Computer architecture is the combination of microarchitecture and instruction set design. Relation to instruction set architecture The ISA is roughly the same as the programming model of a processor as seen by an assembly language programmer or compiler writer. The ISA includes the execution model, processor registers, address and data formats among other things. The Intel Core microarchitecture microarchitecture includes the constituent parts of the processor and how these interconnect and interoperate to implement the ISA. The microarchitecture of a machine is usually represented as (more or less detailed) diagrams that describe the interconnections of the various microarchitectural elements of the machine, which may be everything from single gates and registers, to complete arithmetic logic units (ALU)s and even larger elements.
    [Show full text]
  • Intel® Architecture Instruction Set Extensions and Future Features
    Intel® Architecture Instruction Set Extensions and Future Features Programming Reference May 2021 319433-044 Intel technologies may require enabled hardware, software or service activation. No product or component can be absolutely secure. Your costs and results may vary. You may not use or facilitate the use of this document in connection with any infringement or other legal analysis concerning Intel products described herein. You agree to grant Intel a non-exclusive, royalty-free license to any patent claim thereafter drafted which includes subject matter disclosed herein. No license (express or implied, by estoppel or otherwise) to any intellectual property rights is granted by this document. All product plans and roadmaps are subject to change without notice. The products described may contain design defects or errors known as errata which may cause the product to deviate from published specifications. Current characterized errata are available on request. Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade. Code names are used by Intel to identify products, technologies, or services that are in development and not publicly available. These are not “commercial” names and not intended to function as trademarks. Copies of documents which have an order number and are referenced in this document, or other Intel literature, may be ob- tained by calling 1-800-548-4725, or by visiting http://www.intel.com/design/literature.htm. Copyright © 2021, Intel Corporation. Intel, the Intel logo, and other Intel marks are trademarks of Intel Corporation or its subsidiaries.
    [Show full text]
  • Intel MMX™ Technology Overview
    Intel MMX™ Technology Overview March 1996 Order Number: 243081-002 MMXTM Technology Overview E Information in this document is provided in connection with Intel products. No license under any patent or copyright is granted expressly or implied by this publication. Intel assumes no liability whatsoever, including infringement of any patent or copyright, for sale and use of Intel products except as provided in Intel’s Terms and Conditions of Sale for such products. Intel retains the right to make changes to these specifications at any time, without notice. Microcomputer Products may have minor variations to their specifications known as errata. *Other brands and names are the property of their respective owners. Copyright © Intel Corporation 1996 Contact your local Intel sales office or your distributor to obtain the latest specifications before placing product orders. Copies of documents which have an ordering number and are referenced in this document, or other Intel literature, may be obtained from: Intel Corporation P.O. Box 7641 Mt. Prospect IL 60056-764 or call 1-800-879-4683 2 MMXTM Technology Overview CONTENTS PAGE INTRODUCTION .........................................................................................................................4 DATA TYPES................................................................................................................................6 Data Types in 64-bit Registers .....................................................................................................6 COMPATIBILITY........................................................................................................................6
    [Show full text]
  • MMX™ Technology Architecture Overview
    MMX™ Technology Architecture Overview Millind Mittal, MAP Group, Santa Clara, Intel Corp. Alex Peleg, IDC Architecture Group, Israel, Intel Corp. Uri Weiser, IDC Architecture Group, Israel, Intel Corp. Index words: MMX™ technology, SIMD, IA compatibility, parallelism, media applications Abstract The definition of MMX technology evolved from earlier work in the i860™ architecture [3]. The i860 architecture Media (video, audio, graphics, communication) was the industry’s first general purpose processor to applications present a unique opportunity for provide support for graphics rendering. The i860 performance boost via use of Single Instruction Multiple processor provided instructions that operated on multiple Data (SIMD) techniques. While several of the compute- adjacent data operands in parallel, for example, four intensive parts of media applications benefit from SIMD adjacent pixels of an image. techniques, a significant portion of the code still is best suited for general purpose instruction set architectures. After the introduction of the i860 processor, Intel MMX™ technology extends the Intel Architecture (IA), explored extending the i860 architecture in order to the industry’s leading general purpose processor deliver high performance for other media applications, for architecture, to provide the benefits of SIMD for media example, image processing, texture mapping, and audio applications. and video decompression. Several of these algorithms naturally lent themselves to SIMD processing. This effort MMX technology adopts the SIMD approach in a way laid the foundation for similar support for Intel’s that makes it coexist synergistically and compatibly with mainstream general purpose architecture, IA. the IA. This makes the technology suitable for providing a boost for a large number of media applications on the The MMX technology extension was the first major leading computer platform.
    [Show full text]
  • Intel(R) Advanced Vector Extensions Programming Reference
    Intel® Advanced Vector Extensions Programming Reference 319433-011 JUNE 2011 INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANT- ED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL’S TERMS AND CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER, AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTICULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT. INTEL PRODUCTS ARE NOT INTENDED FOR USE IN MEDICAL, LIFE SAVING, OR LIFE SUSTAINING APPLICATIONS. Intel may make changes to specifications and product descriptions at any time, without notice. Developers must not rely on the absence or characteristics of any features or instructions marked “re- served” or “undefined.” Improper use of reserved or undefined features or instructions may cause unpre- dictable behavior or failure in developer's software code when running on an Intel processor. Intel reserves these features or instructions for future definition and shall have no responsibility whatsoever for conflicts or incompatibilities arising from their unauthorized use. The Intel® 64 architecture processors may contain design defects or errors known as errata. Current char- acterized errata are available on request. Hyper-Threading Technology requires a computer system with an Intel® processor supporting Hyper- Threading Technology and an HT Technology enabled chipset, BIOS and operating system. Performance will vary depending on the specific hardware and software you use. For more information, see http://www.in- tel.com/technology/hyperthread/index.htm; including details on which processors support HT Technology.
    [Show full text]
  • Intel Processor Identification and the CPUID Instruction
    AP-485 APPLICATION NOTE Intel Processor Identification and the CPUID Instruction February 2001 Order Number: 241618-017 Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel or otherwise, to any intellectual property rights is granted by this document. Except as provided in Intel’s Terms and Conditions of Sale for such products, Intel assumes no liability whatsoever, and Intel disclaims any express or implied warranty, relating to sale and/or use of Intel products including liability or warranties relating to fitness for a particular purpose, merchantability, or infringement of any patent, copyright or other intellectual property right. Intel products are not intended for use in medical, life saving, or life sustaining applications. Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or characteristics of any features or instructions marked “reserved” or “undefined.” Intel reserves these for future definition and shall have no responsibility whatsoever for conflicts or incompatibilities arising from future changes to them. Intel’s Intel Architecture processors (e.g., Pentium® processor, Pentium processor with MMX™ technology, Pentium Pro processor, Pentium II processor, Pentium II Xeon™ processor, Pentium III processor, Pentium III Xeon™ processor, Pentium 4 processor and Intel Celeron™ processor) may contain design defects or errors known as errata which may caus e the product to deviate from published specifications. Current characterized errata are available on request. Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order.
    [Show full text]
  • Knights Landing Intel® Xeon Phi™ CPU: Path to Parallelism With
    Knights Landing Intel® Xeon Phi™ CPU: Path to Parallelism with General Purpose Programming Avinash Sodani Knights Landing Chief Architect Senior Principal Engineer, Intel Corp. Legal INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED BY THIS DOCUMENT. EXCEPT AS PROVIDED IN INTEL'S TERMS AND CONDITIONS OF SALE FOR SUCH PRODUCTS, INTEL ASSUMES NO LIABILITY WHATSOEVER AND INTEL DISCLAIMS ANY EXPRESS OR IMPLIED WARRANTY, RELATING TO SALE AND/OR USE OF INTEL PRODUCTS INCLUDING LIABILITY OR WARRANTIES RELATING TO FITNESS FOR A PARTICULAR PURPOSE, MERCHANTABILITY, OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT. A "Mission Critical Application" is any application in which failure of the Intel Product could result, directly or indirectly, in personal injury or death. SHOULD YOU PURCHASE OR USE INTEL'S PRODUCTS FOR ANY SUCH MISSION CRITICAL APPLICATION, YOU SHALL INDEMNIFY AND HOLD INTEL AND ITS SUBSIDIARIES, SUBCONTRACTORS AND AFFILIATES, AND THE DIRECTORS, OFFICERS, AND EMPLOYEES OF EACH, HARMLESS AGAINST ALL CLAIMS COSTS, DAMAGES, AND EXPENSES AND REASONABLE ATTORNEYS' FEES ARISING OUT OF, DIRECTLY OR INDIRECTLY, ANY CLAIM OF PRODUCT LIABILITY, PERSONAL INJURY, OR DEATH ARISING IN ANY WAY OUT OF SUCH MISSION CRITICAL APPLICATION, WHETHER OR NOT INTEL OR ITS SUBCONTRACTOR WAS NEGLIGENT IN THE DESIGN, MANUFACTURE, OR WARNING OF THE INTEL PRODUCT OR ANY OF ITS PARTS. Intel may make changes to specifications and product descriptions at any time, without notice. All products, dates, and figures specified are preliminary based on current expectations, and are subject to change without notice.
    [Show full text]
  • Intel Hyper-Threading Technology
    Intel® Hyper-Threading Technology Technical User’s Guide January 2003 22 Contents Overview of Intel® Hyper-Threading Technology 4 Dealing with Multithreading Code Pitfalls 22 The Evolution of System Key Practices of Thread Synchronization 23 and Processor Architectures 4 Key Practices of System-bus Optimization 23 Single Processor Systems 5 Key Practices of Memory Optimization 23 Multithreading 6 Key Practices of Front-end Optimization 24 Multiprocessing 8 Key Practices: Execution-resource Optimization 24 Multiprocessor Systems 9 Optimization Techniques 24 Multitasking versus Multithreading 11 Eliminate or Reduce the Impact of Spin-wait Loops 24 Hyper-Threading Technology 11 Avoiding 64K Aliasing in the First Level Data Cache 26 Balance the Impact of Background Task Priorities Keys to Hyper-Threading on Physical Processors 28 Technology Performance 15 Avoid Serializing Events and Instructions 28 Understand and Have Clear Optimize Cache Sharing 29 Performance Expectations 15 Overcoming False Sharing in Data Cache 29 Understand Hyper-Threading Synchronization Overhead Greater Than Parallel Region 30 Technology Processor Resources 15 Take Advantage of Write Combining Buffers 30 Maximize Parallel Activity 16 Correct Load Imbalance 31 Best Practices for Optimizing Multitasking Performance 18 Hyper-Threading Technology Application Development Resources 32 Identifying Hyper-Threading Intel® C++ Compiler 32 Technology Performance General Compiler Recommendations 32 Bottlenecks in an Application 19 Logical vs. Physical Processors 19 VTuneTM Performance
    [Show full text]