<<

IoT Technologies for Embedded : A Survey Farzad Samie, Lars Bauer, Jörg Henkel Chair for Embedded Systems (CES), Karlsruhe Institute of Technology (KIT), Germany {farzad.samie, lars.bauer, joerg.henkel}@kit.edu

ABSTRACT systems. In a broader sense and vision, IoT is a global Emergence of Internet-of-Things brings a whole new infrastructure of heterogeneous, networked embedded class of applications and higher efficiency for existing devices and objects [8]. Communication ability, and services. Application-specific requirements, as well as in particular the Internet connectivity, lets devices and connectivity and communication ability of devices have smart objects (also known as machines) communicate introduced new challenges for IoT applications. and interact with (i) other machines and devices, or (ii) This paper provides an overview of IoT technologies humans [4, 9, 10]. required from an embedded design perspective and spe- IoT covers diverse application domains that include cific properties associated with IoT in embedded sys- wireless sensor networks (WSN), machine-to-machine tems’ landscape. We investigate essential technologies (M2M), RFID, Cyber Physical Systems (CPS), Mobile for development of IoT systems, existing trends, and its Computing (MC), etc. [9, 10, 11]. There have been distinguishing properties. By discussing the key charac- many research efforts on IoT from the perspective of teristics, main application domains, and major research networking, object identification, data access (security issues in IoT, this paper provides a comprehensive IoT and privacy) [3, 5], however, it has gained less attention perspective for design. from the perspective of embedded computing. 1 Introduction The diversity of IoT applications and technologies The (IoT) is a multidisciplinary makes it difficult to present a general comprehensive paradigm in which many of the objects that surround statement for the requirements of IoT in hardware and us will be networked and connected to the Internet . Therefore, the IoT embedded designer in order to provide new services and increase the ef- faces questions whose answers are challenging as ficiency [1, 2]. Recent and ongoing advances in the the solutions can be contradictory, e.g.: technologies such as wireless communication, ultra-low • power processors, embedded sensors and actuators, Ra- Which wireless communication technology 1) cov- dio Frequency IDentification (RFID), mobile phones, ers the required range, 2) provides the required data and cloud/ has enabled the emergence rate, 3) is still (ultra) low-power and meets energy constraints? of IoT [3]. Although not all those technologies are • needed for each and every IoT application, they all facil- What trade-offs to make between 1) Quality of Ser- itate the proliferation of IoT by providing an essential vice (QoS) and energy consumption, 2) on-board processing and computation offloading, etc.? prerequisite [4, 5]. While RFID enables low-cost ob- • ject identification, and while ultra-low power system-on- How to handle the uncertainty and unpredictability chips (SoC) enable portable battery-operated embed- of IoT systems (mainly caused by communication)? ded devices, and fog computing can This paper explores the IoT and its technologi- be used to offload computations and services to the lo- cal enablers from this point of view. Besides in- cal or global servers, providing additional resources for vestigating essential technologies for IoT and existing handling large-scale data or performing more complex trends, this paper provides distinguishing properties of operations [6, 7]. IoT for embedded domain in addition to a comprehen- Connectivity (wired or wireless) is what distinguishes sive IoT perspective for embedded systems which, to embedded IoT systems from conventional embedded the best of our knowledge, lacks in the existing surveys Permission to make digital or hard copies of all or part of this work for personal like [1, 4, 5, 7, 8]. or classroom use is granted without fee provided that copies are not made or Paper structure: in Section 2, a high-level overview distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work of IoT applications and devices is presented. Then, we owned by others than ACM must be honored. Abstracting with credit is per- provide a brief presentation on hardware and software mitted. To copy otherwise, or republish, to post on servers or to redistribute to characteristics of IoT in Section 3. The electronic design lists, requires prior specific permission and/or a fee. Request permissions from [email protected]. automation (EDA) tools to support IoT are presented in CODES/ISSS ’16, October 01-07, 2016, Pittsburgh, PA, USA Section 4. Wireless technologies and their challenges for  2016 ACM. ISBN 978-1-4503-4483-8/16/10. . . $15.00 connectivity of IoT devices are discussed in Section 5, DOI: http://dx.doi.org/10.1145/2968456.2974004 while Section 6 concludes the paper. Smart conference Wearable/ Smart glass room

EEG 72 cameras Noise location Level Smart cane Physical PIR sensors Surveillance Activity Crowdsourcing

Single device Single device Multiple device Multiple devices Single application Multiple applications Single applications Multiple applications Figure 1: IoT systems may exploit single/multiple devices to implement single/multiple applications 2 Properties of Devices and Applications lowering operational and maintenance cost, and increas- 2.1 Application Areas ing quality of service [5], for industrial domains such as IoT can impact various application domains either by supply chain management, transportation and logistics, enabling new services, or by improving the efficiency of and automotive [12, 18]. An example is remote moni- existing ones [12]. Among the possible applications, we toring of machinery (e.g. in plant, wind turbine, etc.) provide a review of their main categories (that cover a for predictive maintenance [5, 10]. wide range of different requirements, technologies, de- 2.2 Applications vs. Devices velopment challenges) and futuristic applications. In- The combination of IoT applications and their under- deed, IoT applications are not limited to these cate- lying hardware or device introduces some design chal- gories, and a huge number of applications can be en- lenges which need to be address either software ap- visioned. However, their requirements, properties, and plication or at the hardware level. The relation between design challenges have similarities with those presented the number of devices and number of provided service in our categories. The main challenges and require- and applications can be classified into four categories: ments are discussed in the following sections. • One-to-One: One IoT device is used for a single ser- Healthcare. IoT has shown a great potential for vice. For instance, an IoT-based healthcare monitor- enabling and improving healthcare services [13]. IoT- ing device that captures real time biosignals [19, 20]. based healthcare systems enable long-term monitor- • One-to-many: One single IoT device provides mul- ing of personal health status in real-time anytime, tiple services. One example is a wearable device anywhere. They acquire vital biosignals including like a smart that has several sensors and can electrocardiogram (ECG) –electrical signal of heart–, keep track of user’s physical activity, heart rate, loca- electroencephalogram (EEG) –electrical signal of the tion, etc. [4]. Another example is a smart conference brain–, and electromyogram (EMG) –electrical signal room which uses a single device for multiple applica- of muscles–, body motion, etc. The real-time data tions including detecting the start/end of a meeting, can be stored, processed, or transmitted to a remote analyzing the environmental condition of the room device (e.g. cloud ) for further processing and (e.g. temperature and luminance), and processing diagnosis [14, 15]. Ultra-low power design and real- the acoustic signals to record the proceedings of the time constraints are among the challenges for these meeting, etc. [21]. For this category, a decision that applications. needs to be made by the designer is the management Assisted Living. Assisted living aims at offering so- of shared resources. The solutions range from con- lutions for helping (i) elderly, (ii) chronically ill, and servatively choosing the underlying hardware (pro- (iii) disabled people [1]. For instance, a wearable IoT cessor, memory, wireless ratio, etc.) which support device can leverage online city maps together with a the worst case accumulated usage, to dynamically smart cane to detect and avoid obstacles, access build- managing and the hardware usage. ings, navigating indoor and outdoor, etc. • Many-to-one: In this class, spatially distributed de- Smart Building and Home. IoT provides connectiv- vices provide a single service. For instance, dis- ity for embedded devices which can enable applications tributed smart cameras are exploited for video for reducing the costs, increasing personal comfort, and surveillance in [22]. This category usually has two improving safety and security in buildings and homes properties that need to be considered by design- [11]. ers to optimize the system: 1) high communication . In a smart city, distributed IoT devices between devices and 2) large amount of redundancy. equipped with different sensors are used to improve the • Many-to-many: In some IoT applications multiple transportation and traffic management, the devices are shared between multiple applications and air quality (e.g. pollution, temperature, humidity, etc.), services. Smart Citizen [23] consists of multiple IoT smart parking, smart lighting, and smart watering gar- embedded devices that are geographically distributed dens [16, 17]. to gather information for the applications that report Smart Industry. IoT-enabled solutions for automa- temperature, humidity, noise level, and air pollution. tion, control and monitoring may improve industry by Shared WSNs belong to this category, too [21, 24]. Figure 1 shows these categories with some examples. efficiency for IoT applications. Although shared IoT devices reduce the cost of hard- • : ware and maintenance, they introduce new challenges At HW level: Energy reduction in memory has re- like binding, allocation, online and runtime resource ceived significant industrial and academic attention management, guaranteeing the timing constraints of ap- in embedded system design community [30], but there plications that share a single device, etc. [11, 25, 26]. are some characteristics specific to IoT applications 3 Hardware & Software Architecture for IoT that can be exploited for further improvements in en- The general operation stages of an IoT application ergy efficiency of memory in IoT embedded devices. include 1) data acquisition, 2) data processing, 3) data For instance, many IoT applications inherently toler- storage, and 4) data transmission. The first and last ate errors in data which opens up new possibilities for stages exist on every application, while the processing hybrid memory architectures composed of an error- and storage may or may not exist in some applications free portion (for more reliable data and operations) (see Figure 2). and an error-prone one (for less important data). A hybrid memory based on this property has been de- signed for a low power biomedical signal processors in Data Data Data Data [31]. For wearable IoT devices that need to have flex- acquisition Processing Storage Transmission ible and curvilinear forms, flexible non-volatile mem- Legends: ory (NVM) has been proposed [32]. Real-time raw data transmission Real-time on-board • Raw data transmission On-board process Data transmission: At HW level: Data transmission can be improved Figure 2: General stages of IoT applications by integrating radio transceivers into SoCs, providing 3.1 Efficiency at Different Stages low power multi-radio chips, etc. Main existing tech- • Data acquisition: nologies, trends and challenges in connectivity and At HW level: Low power integrated and on-chip sen- communicationarediscussedinSection5. sors with Micro-Electro-Mechanical Systems (MEMS) At SW level: In order to reduce the amount of data to technology can reduce the energy consumption of be stored or transmitted, new data compression tech- data acquisition. On-chip accelerometers, gyro- niques, specially for the streams of data, are needed scopes, microphones and biosensors are some ex- [33]. amples. Figure 3 shows a general architecture of the main com- At SW level: Energy efficient sensing schemes should ponents of an IoT SoC platform [34]. An IoT embedded effectively exploit spatial and temporal character- device has many –if not most– of these components, e.g. istics of the input data, in order to collect as less at least one RF component for the connectivity. samplesaspossiblewhiletherequiredsignalquality IoT Device is retained [7]. The reduced amount of input data Power unit management ... battery harvester (depends on number of samples and resolution) af- fects the energy for transmission and storage, too. FFT Processing Unit RF On-chip FIR sensors Compressed sensing (CS) [27] is a novel techniques Accelerator BLE Audio ... DSPs in which the signal can be reconstructed from much LTE Video MCU motion fewer samples than Nyquist theory, at the cost of Security Accelerator WiFi . . . gyro

accuracy loss. As long as the input data has the AFE ZigBee ... Off-chip ... Nonvolatile sparseness property, a smaller number of samples can sensors & ADC memory RFID GPS capture the required information, and CS can reduce actuators DAC the volume of collected data without significant loss of information. Figure 3: General architecture of an IoT embed- Many IoT applications have the data sparsity prop- ded device erty and can exploit the CS paradigm. In health 3.2 Different Computing Layers monitoring applications and wireless body sensor net- An enormous amount of data, including streams of work, CS has been investigated and studied exten- data, audio, or video, will be generated from IoT devices sively. which is also known as Big Data [35]. The problems as- • Data processing: sociated with emerging Big Data (e.g. massive storage At HW level: Energy efficiency in a processing unit and huge processing power demand, high latency, etc.) can be achieved by 1) ultra-low power processors [28] necessitates the migration of computation and process- and 2) efficiently customized co-processors [29]. In ing to different underlying computing layers available in [25], a heterogeneous dual-core is proposed the IoT chain [14, 26]. Figure 4 shows different process- and fabricated based on the big.LITTLE architec- ing and computing layers starting from IoT embedded ture. An ultra low power near-threshold processor device up to the Cloud servers. alongside with a high performance processor in ad- The collected data can be processed on either IoT de- dition to a task scheduling framework brings energy vice, or gateway, etc. Hence, for IoT applications that Latency hw nFgr ieetcmuiglyr n plat- and layers computing As include: different forms 4 etc.). Figure com- in delay, device, shown transmission IoT on bandwidth, transmission munication data data and for consumption processing energy (e.g. and specifications etc.), efficiency, system energy objectives requirement, system real-time the many (e.g. on including depends factors computa- decision and (i.e. The parameters done 36]. decide [26, be offloading) to should tion is computation challenge the major where a processing, from data involve increase processing top. latency to The networking memory, bottom and layers. (e.g. power) computation resources Different available 4: Figure • • • Available resources Pressure h ubro o eie increases. centric devices the Fog IoT of of when number unpredictability especially the the latency, and to communication due to wireless constraints, difficult is deadline here is smart- challenge and which key availability the The the to guarantee processed. transmitted be is to and phone the medical signal where for ECG [19], used captured in been application proposed has monitoring as scheme healthcare processing This data [38]. perform in to used like be devices Instru- can Gateway Texas and Freescale solutions). ARM, ment gate- in as ARM processor proposed (e.g. are way MCUs 37] Cortex-M [36, and usually power Cortex-A Internet computational and heterogene- more networks the have settle different to between used ity are which devices way centric runtime other Gateway and in- status, [26]. operation, source’s parameters) the energy on deter- data, depends be put (i.e. should runtime answer at the mined other when to becomes it challenging making offload more decision to This or computation. device of layers embedded computation de- IoT the key the perform A on to whether requirements. concerns low-power cision the meet IoT to on capabilities) and computation. processing resources memory, the scarce on-chip (e.g. the perform devices are to challenges main exploited The be can vice centric Device SpO Blood EMG 2 & EEG ECG Accelerometer) oin(yo& (gyro Motion h ocp ffgcmuigi h ex- the is computing fog of concept The : general h ircnrle na o de- IoT an in The : eg mrpoe:ITgate- IoT ): (e.g.

specific Clouds Fogs ZigBee gateways

IoT devices

(Sensors/actuators) Predictability . prxmt s xc Computing Exact vs. Approximate 3.3 t oeerr,tefia upto o hudb na in be should QoS or output range. final certain toler- the applications errors, some these ate Although a introduces error. happens which quantization conversion approximation Analog-to-Digital of approximation. the stage with There- in first dealing the [11]. instance, inherently data For are input with they interacting noisy are with fore, efficiency applications world IoT physical more of the gain Most to 41]. [40, implementation spec- the and between equivalence ification exact relaxes of and requirement applications the of resilience inherent leverages • • ayapiain a xli h ro tolerance error the exploit can applications Many computing approximate of paradigm emerging The odn,adt n h efc iigfroffloading for timing applications). perfect real-time efficient the (in find the to find of- and to computation floading, and is processing local approach between to hybrid balance challenge a main in the However, address resources, etc.). computational latency, more lower memory, level computing larger each (e.g. of requirements advantages their to the leveraging meet applications by and the efficiency enables their approach increase hybrid a SVs. the smartphone, Such on other the stored to with are transmitted compared that be and SVs will solution, the it this from device, In far IoT is time. feature design a at if size increas- memory of the SVs instead some ing smartphone), store (e.g. gateway to is the solution on on efficient memory large an needs device), (i.e. IoT large When is memory SVs classification. in of for number stored runtime the at are used SVs be of will which set A or [20]. monitoring detection applications healthcare anomaly personal in for (SV) recognition used gesture clas- Vector widely Support example: are An machines, including methods, efficient. more sification are comput- hybrid approach and ing multi-layer a so- exploit disadvantages, that and lutions advantages their have choices 35]. approach la- Hybrid [13, cost, availability chal- and energy bandwidth, high and the tency, scalability, problems the increases, including faces data conse- lenges solution stream and However, computing increases, of cloud devices amount [5]. IoT the making of quently, decision number service the help of quality as to pro- high and huge a deliver (QoS) volume, to storage resources them. data cessing processing massive and requires data It Big handling for solution of benefits centric the Cloud shows concept. and computing [14] fog the in appli- presented ECG monitoring is An healthcare cation a 39]. for [14, extraction (due distribution) feature servers and cloud location the their to to compared and latency devices gateway less power and have prob- computational embedded Data more IoT Big provide to Fogs compared the restrain 35]. to [6, can lem domain which IoT paradigm, the computing help cloud the of tension 7 8 lu optn rvdsa provides computing Cloud 18] [7, : ic aho h aforementioned the of each Since : Design IP core property to trade the output quality for computational EDA tools effort (e.g. energy consumption, performance, etc.). xFast xFast Some open challenges exist in the domain of approx- xCheaper xLow risk imate computing for IoT. The tolerable error can be xMore companies xNew services xSmall companies E.g. IP evaluation accepted and exploited at different hardware compo- nents and different software parts: • Data acquisition: The quality of input data, dur- cloud ing the data acquisition, is determined by resolution Figure 5: Trends in design tools & EDA for IoT and sampling rate (or frame rate). For instance, the make using Intellectual Property (IP) cores a promising ECG signal in [14] is capture at 360 samples per sec- solution to manage design complexity and integration ond with 11-bit resolution. When the IoT application risk. It is predicted that design IP cores (various hard- tolerates error, reducing the quality of input data is ware blocks that are already pre-designed, pre-verified one way to take advantage of it to reduce the energy and tested) will be driving the IoT development, and consumption or delay. we will witness an explosive growth in the IP compa- • Data processing: The approximation can be done nies [44]. also in underlying hardware by designing inexact The IoT specific characteristics are 1) interaction hardware units for specific arithmetic operations with physical world (by means of sensors and actu- (e.g. adders, multipliers, DCT, FFT, etc.) [41]. It ators) and 2) communication ability (intra and inter can also be done at the software level by methods devices). Both of these properties involve with mixed- like stage skipping [40]. signal and analog. Wireless radio, sensor ADC, analog • Data storage: The tolerable error can be exploited filters and amplifiers seem to be the most minimal re- at the memory unit to reduce the size of required quirement of IoT platforms. This makes the design flow memory, reduce the number of access to memory, or increasingly more complex, especially the verification reduce its energy consumption. One example of ap- and of mixed-signal SoCs [44, 45]. proximation in memory is presented in [31]. There are two complementary trends in EDA to ful- Indeed, hybrid schemes, where multiple stages exploit fill the aforementioned IoT demands [44] (as shown in approximation, are also possible. The main challenge Figure 5): here is to decide 1) at which stage, and 2) how much approximation should be applied in order to minimize 1. Design tools to support the growth of IoT devices the computational effort while meeting the QoS require- (i.e. scalable, cheap, fast designs): These tools pro- ments. vide traditional EDA services but at a lower cost, higher scalability, shorter design time. For instance, 4 IoT Electronic Design Automation (EDA) IBM and SiCAD are offering a cloud service with Tools IBM EDA tools running on high performance cloud EDA tools need to address challenges in two aspects platforms that makes the modeling, verification, and of IoT design: 1) the ever-increasing demand for design- simulations faster and more efficient. Similarly, Sil- ing embedded devices, and 2) the growing complexity icon Cloud International (SCI) provides cloud-based of IoT SoCs. “workflow as a service”for ASIC design, targeting IoT The proliferation of IoT market leads to small design system developers. companies with relatively specific and innovative prod- 2. Design tools for IoT specific core (e.g. analog or RF IP ucts in a smaller scale environment which means the cores): It includes energy efficient and small IP cores emergence of IoT companies with a system design (i.e. that provide wireless communication and analog front not chip design) background [42]. Their main concerns ends for the IoT SoCs. will be reduced design time and risks [43]. These con- With the increase in IP demand, some new cloud-based cerns, in addition to the lack of chip design expertise, opportunities will arise. For instance, the costumer will

Table 1: EDA tools for IoT design (a) IP based design (b) ASIC design tools IP Cores Tanner IBM Cadence Synopsys ARM Silvaco SCI EDA Tools Mentor Graphics SiCAD 2G, 3G, LTE, WiFi RFID, BLE, Web-based Radio BLE ×    802.11b/g/n/ac/ad WiFi, 802.15.4 Cloud tools noise, image, video, vision processor,   AMS NA vector processing sensor fusion, CNN   MEMS Analog Front End ADC, DAC ADC, DAC ADC, DAC * AMS: Analog-Mixed Signal, fingerprints, motion, MEMS: Microelectromechanical systems. On-chip Sensors NA NA noise level, gyroscopes * CNN: convolutional neural network be able to evaluate the IP core online (without down- applications. In addition, it will suffer less inter- loading it) by running simulations and checking the per- ference with existing wireless networks as it uses a formance and power before purchasing it [46]. different frequency band (i.e. 0.9 GHz). Table 1 summarizes the tools and features that some • Cellular network: Widespread mobile networks EDA companies provide for IoT design. However, EDA like 3G and LTE provide reliable high-speed connec- tools have not caught up with other IoT trends like tivity to the Internet. However, they have a high approximate computing. power consumption profile and they are not suitable 5 Connectivity for M2M or local network communication. 5.1 Wireless Communication Technologies • Low Power Wide Area Network (LPWAN) Different wireless communication technologies can be [48]: These technologies are suited for low power used for (i) connecting the IoT device as local networks, applications with very long rage transmission. They and (ii) connecting these local networks (or individual support up to 10 Km distance between end-nodes and IoT devices) to the Internet. gateway. However, it comes at the cost of very low • NFC [47]: It is a short-range wireless communica- data rate (<1 Kbps). Main technologies of LPWAN tion technology that enables the data transmission include SigFox, LoRaWAN and Weightless, which between devices in a close proximity to each other operate in sub-GHz bands. One of the challenges for (∼20 cm). It has a tag that can contain small amount LPWAN is the lack of a globally available band for of data. This tag can be read-only (similar to RFID LPWAN in sub-GHz. tags for identification purposes) or can be re-writable Table 2 summarizes some characteristics of wireless and be altered later by the device. technologies which are needed to be considered in the • : This technology witnesses an increas- design process of IoT devices. This table shows the typ- ingly ubiquitous presence including in smartphones, ical value of these parameters. Indeed, most of them tablets, , headsets, etc. depend on the design constraints of the IoT devices. 1. Classic Bluetooth:Itoffersahighenough For example, by increasing/decreasing the transmission throughput and bandwidth which makes it suitable power (and the size of antenna), the transmission range for data stream applications (e.g. audio). However, can be further increased/decreased. Table 3 shows it has several limitations including limited number the suitability of each wireless technology for different of nodes in the network (up to seven slaves) or application domains. topology. Each of these communication technologies has its ad- 2. Bluetooth Low Energy (BLE):Itisalsoknown vantages and disadvantages. For instance, Bluetooth, as Bluetooth smart and is designed and enhanced WiFi, and ZigBee may face interference due to the co- for short-rage, low bandwidth, and low latency IoT existence of other devices working at the same frequency applications. The advantages of BLE over classic band (i.e. 2.4 GHz), especially with the increasing rate Bluetooth include lower power consumption, lower of IoT devices. The interference can lead to severe drop setup time, and supporting star topology with un- in data rates, which consequently may increase the en- limited number of nodes. ergy consumption of IoT devices, reduce the QoS, and 3. Bluetooth 5.0 (BT v5): It increases the range result in missing the deadline in real-time applications and doubles the speed of low energy connections (i.e. affecting other optimization goals of the system). while increasing the capacity of broadcasts. On the other hand, NFC needs sender and receivers to • ZigBee: A small-size, low-cost, low-power wire- be close to each other. less specification that can support different network Hybrid communication schemes seem to be the best topologies (e.g. mesh, star, tree). It offers a wide fitted solutions for IoT applications. The emergence of transmission range, depending on the output power. integrated transceivers which include multiple commu- Although ZigBee has established in some industrial nication technologies on a single chip has opened doors applications and WSN nodes, it faces some market for more efficient wireless communication. For instance, barriers, especially with the emergence of attractive BLE is not intended for continuous data streaming ap- alternatives like BLE that provides higher bandwidth plications, but it is highly efficient for sending small, dis- at a lower energy consumption. crete data chunks (e.g. states, temperature, heart rate). • WiFi: Bluetooth classic and WiFi, on the other hand, offer 1. Conventional WiFi (IEEE 802.11 b/g/n): higher throughput and efficiency for streaming applica- The main advantages are high bandwidth and tion with higher data rate demands (e.g. ECG monitor- availability in urban districts. Its high energy con- ing, audio). sumption makes it unsuitable for ultra-low-power IoT devices. 5.2 Timing of Communication 2. Low-power WiFi (802.11 ah) or HaLow: The timing of data transmission schemes in IoT appli- Compared to conventional WiFi, it is intended cations can be classified into three different categories. to extend the range of transmission with less data • Continuous: The IoT devices send or receive data rate, and to reduce the energy consumption for IoT continuously (e.g. real-time health monitoring). • Sporadic: The IoT device collects and stores the • Magnetometer: It usually comes along side with data and then transmits it whenever the connection accelerometers and gyroscopes and is used for finding is available. the direction for navigation. • On-demand: • Light: This sensor is usually used for saving energy 1. User driven: The IoT device can be requested by consumption by adjusting the lighting (e.g. smart- the operator to send the collected data [49]. phones, smart homes, smart cities). 2. Event driven: The communication is done once a • Chemical Sensors: These sensors are mainly used specific event happens. for measuring the air quality (e.g. CO, NO2, SH2, and For designing an efficient IoT system, the timing of CO2) in smart city application, environment monitor- data transmission matters, especially for managing low ing, or smart factories [23]. power modes (deep sleep, standby, active). For in- • Location: These sensors are mainly based on GPS stance, if the data transmission is sporadic, a predictor technology (seldom work indoors). Smart industry based on learning techniques can be used to dynamically and transportation use this sensor for tracking and manage the low power modes of the wireless transceiver. localizing the objects. 5.3 Bandwidth & Data Rate of IoT Sensors • Imaging: Besides normal imaging sensors, infrared In this section, we provide a comprehensive overview sensors are emerging in IoT market to capture the of the main sensor applications in IoT. Then we de- temperature differences of the objects. The feature is rive the typical data generation rate of different sensors useful for security applications to detect intruders or according to the reported application scenarios. The re- getting thermal image of home or objects to detect quired wireless transmission bandwidth and the appro- insulation or possible leaks. priate technology is annotated, too. Then we estimate • Acoustic: Analog or digital microphones are used the required processing power to perform the typical for security and surveillance, and noise pollution for operations on the captured data, and we report the ap- smart cities [23]. propriate IoT hardware core to support it. • Ultra Violet (UV): It measures the strength of UV • Ambient/Object Temperature, Humidity:Dif- radiation for healthcare, wellness, and smart city ap- ferent applications including smart homes, smart plications. It also indicates the strength of sun’s ex- cities, smart industry, etc. use these sensors. posure for smart farming and smart agriculture. • Accelerometers and Gyroscopes: These sensors • Ultrasonic: It is useful to detect the obstacles and are widely used in industrial machinery, medical and get the distance. Assisted-living and smart industry fitness devices, as well as wearable devices for mon- can benefit from this sensor to help visually impaired itoring physical activity, fall detection, navigation, people or preventing the moving robots to collide, or for structural health monitoring of machinery or respectively. buildings [50]. Table 2: Communication technologies for IoT applications, and their properties HaLow LP WiFi Cellular network NFC Bluetooth BLE BT v5 ZigBee 802.11 LPWAN 802.11ah b/g/n 3G LTE indoor <20 m <70 m <700 m Range <0.2 m 1–100 m ∼100 m <300 m <10 Km >5Km >5Km outdoor <1500 m <230 m <1000 m Bit rate 0.424 1–3 1 2 0.25 >1 0.15–40 <0.05 0.17 75–300 [Mpbs] Throughput 0.22 1.5 0.30 1.5 0.15 2-50 >0.1 <0.05 NA [Mbps] freq. [GHz] 0.014 2.4–2.5 2.4–2.5 2.4 2.4 2.4/5 0.9 sub-GHz 0.8–1.9 2.1 Network star, star, tree, p2p scatternet NA star star star NA topology scatternet mesh

Table 3: Suitability of communication technologies for IoT application domains Application domains Local Network Healthcare Smart Cities Smart Building (M2M) NFC medium high low very low very high medium BLE very high low low very low low high ZigBee, BT v5 medium high very high low high high WiFi b/g/n low high medium medium low high HaLow high very high high high high very high LPWAN low very high high high very high high wireless tech. Cellular networks low high high high medium very low (3G, LTE, etc.) WiFi & 802.11 ah Suitable Wireless Bluetooth Technology: ZigBee LPWAN BLE Resp Health HR Monitoring EMG [20, 53] EEG ECG Security Audio HD [55,56] Video SD HD Video LD Smart City [55,56] Image Acoustic Magnetometer Physical Gyroscope Activity Accelerometer Structural Vibration Monitoring [51] Velocity Humidity Temperature

1 10bps10 100bps100 1Kbps1000 10Kbps10000 100Kbps100000 10000001Mbps10000000 10Mbps Rate of Data Generation Figure 6: Data rate generation by different sensors in typical IoT applications • Health Monitoring Sensors: As mentioned in Sec- frequency domain using Fast Fourier Transform (FFT). tion 2.1, healthcare applications of IoT [13, 51] in- We calculated the average number of CPU cycles to clude, but are not limited to: perform those operations per sample and then obtained ◦ Heart Rate: To detect the heart rate (and conse- the required frequency to process the data at the de- quently heart rate variability). rived data rates. ◦ ECG: To measure the electrical activity of the heart Figure 8 shows the minimum required frequency for which conveys essential information about the sta- a microcontroller to transmit the unprocessed data to tus of heart and the function of its muscular con- the Internet (through the gateway). We obtained those tractions [20]. numbers under the assumption that the microcontroller ◦ EMG: To measure the electrical signal causes by needs to encrypt the data and add error detection muscular activity [49] for gesture recognition, de- codes to it before transmission. We used Advanced En- tection of neuromuscular diseases, etc. [20]. cryption Standard (AES) and ◦ EEG: To capture the electrical voltages which rep- (CRC) for this purpose, implement them and measured resent the brain activity. the average execution time and number of cycles to ◦ Blood Pressure perform these operations on one byte of captured data. ◦ Respiration Rate Then, using the derived typical data rate, we estimated ◦ SpO2: The arterial oxygen saturation or the amount the required CPU cycles to transmit the data. of oxygen dissolved in blood. The number of CPU cycles and execution time for the ◦ Skin Conductivity: To measure the conductivity typical operations (e.g. FFT, FIR, AES, CRC) are ob- of skin to detect psychological or physiological tained from our experiments on the Atmega328 arousal, or the moisture level of the skin [52]. microcontroller which is used in IoT platforms including • RF radio modules: Although RF modules are pri- boards. Table 4 summarizes the measurements marily used for communication, they can be used as for those operations. localization sensors. The strength of received signal Table 4: Typical data processing operations in can be used for indoor localization and navigation IoT applications and their exec. time (per Byte) [53]. Operation Exe. time [μs] #ofcycles Code Size [B] 5.4 Analysis & Insight FFT (256 p) 18 586 3850 In Figure 6, we illustrate the typical data rate of dif- FIR 1492 23872 1750 Enc 18.25 292 ferent IoT sensors in different applications. We derived AES 3666 those numbers based on the typical resolution of cap- Dec 22.75 364 tured data and typical sampling rates in different case CRC 3.75 60 500 studies and applications [54, 55]. 6 Conclusions InFigure7,weestimatedtherequiredprocessingca- The emergence of IoT has added a new dimension pability to perform the basic operations and processing to the embedded systems by enabling communication tasks on the captured signals of different sensors in dif- between devices and connectivity to the Internet. The ferent IoT applications. For instance, to process the existing challenges in designing conventional embedded EEG sensors on the IoT device, we first need to sub- systems, including energy efficient hardware and soft- divide the signal to different bands (e.g. Delta, Theta, ware, efficient memory architecture and management, etc.) by filters. Then, different bands are transformed to real-time constraints, etc., need to be addressed by con- Resp Health HR Monitoring EMG EEG ECG Video HD onboard processing Security Audio HD …………

…………… Video Video LD Smart Image City Acoustic Physical Magnetometer Activity Gyroscope Accelerometer Vibration Structural Vibration Monitoring Velocity Humidity Temperature 1.E+031K 1.E+0410K 100K 1.E+05 1.E+061M 10 1.E+07M 100M 1.E+08 1.E+091G 1.E+10 Frequency (Hz) Suitable Power outlet Energy Rechargable Li-ion battery Coin- battery source: Energy Harvesters

Typical Processing PIC core: Quark MSP430 Microchip Cortex-A8 Cortex-A9 Cortex-M4 Cortex-M7 Cortex-M0+ Figure 7: The number of cycles (i.e. required frequency) to fully process the IoT sensors, and their expected power source

Resp HR Health EMG Raw data (Enc+CRC) Monitoring EEG ECG Video HD Security Audio HD …………

…………… Video Video LD Smart Image City Acoustic Physical Magnetometer Gyroscope Activity Accelerometer Structural Vibration Vibration Monitoring Velocity Humidity Temperature 101010 1000 10001K 1000010K 100000100K 10000001M 1000000010M 100000000100M 1G 1E+09 Frequency (Hz) Figure 8: The number of cycles (i.e. required frequency) to encrypt and transmit the captured data from IoT sensors sidering new properties and requirements of IoT includ- References ing connectivity. Moreover, new research problems arise [1] L. Atzori and A. Iera and G. Morabito. The Internet of due to specific IoT requirements including the connec- Things: A survey. networks, 54(15), 2010. tion of IoT devices, large number of devices, coexistence [2] D. Bandyopadhyay and J. Sen. Internet of things: Appli- of connected devices with wireless radios in the same cations and challenges in technology and standardization. Wireless Personal Communications, 58(1):49–69, 2011. frequency band (i.e. interference problem), Big Data, [3] B. Guo, D. Zhang, Z. Yu, et al. From the Internet of Things etc. to embedded intelligence. , 16(4), 2013. [4] D. Miorandi and S. Sicari and F. De Pellegrini et al. Internet We presented an overview of the technological enabler of things: Vision, applications and research challenges. Ad of IoT development from the embedded design perspec- Hoc Networks, 10(7):1497–1516, 2012. tive. We categorized IoT applications and devices based [5] I. Lee and K. Lee. The Internet of Things (IoT): Applica- on different criteria and parameters, and highlighted the tions, investments, and challenges for enterprises. Business Horizons, 2015. associated properties with each category. The suitabil- [6] F. Bonomi and R. Milito and J. Zhu et al. Fog computing ity of different technologies for IoT is investigated, too. and its role in the Internet of Things. In MCC workshop on Mobile cloud computing, pp. 13–16, 2012. sium on VLSI Circuits, pp. 108–109, 2015. [7] J. Gubbi and R. Buyya and S. Marusic et al. Internet of [31] D. Bortolotti, H. Mamaghanian, A. Bartolini, et al. Approx- Things (IoT): A vision, architectural elements, and future di- imate compressed sensing: ultra-low power biosignal pro- rections. Future Generation Computer Systems, 29(7), 2013. cessing via aggressive voltage scaling on a hybrid memory [8] A. Gluhak, S. Krco, M. Nati, et al. A survey on facilities for multi-core processor. In ISLPED, pp. 45–50, 2014. experimental internet of things research. IEEE Communi- [32] M. T. Ghoneim and M. M. Hussain. Review on physically cations Magazine, 49(11):58–67, 2011. flexible nonvolatile memory for internet of everything elec- [9] G. Wu, S. Talwar, and K. Johnsson, et al. M2M: From mobile tronics. , 4(3):424–479, 2015. to embedded internet. IEEE Communications Magazine, [33] A. Pande and E. Baik and P. Mohapatra. Efficient health 49(4):36–43, 2011. data compression on mobile devices. In ACM MobiHoc work- [10] L. Da Xu and W. He and S. Li. Internet of things in indus- shop on Pervasive wireless healthcare, pp. 25–30, 2013. tries: A survey. IEEE Transactions on Industrial Informat- [34] D. Kelf. IoT: A Return to Our Favorite EDA Re- ics, 10(4):2233–2243, 2014. quirements. Online: www.eetimes.com/author.asp?doc id= [11] J. Stankovic et al. Research directions for the Internet of 1322009, 2014. Things. IEEE Internet of Things Journal, 1(1):3–9, 2014. [35] B. Zhang, N. Mor, J. Kolb, et al. The cloud is not enough: [12] C. Perera and A. Zaslavsky and P. Christen et al. Context saving iot from the cloud. In USENIX Conference on Hot aware computing for the Internet of Things: A survey. IEEE Topics in Cloud Computing, pp. 21–21, 2015. Communications Surveys & Tutorials, 16(1):414–454, 2014. [36] C. Perera, P. P. Jayaraman, and A. Zaslavsky, et al. Mosden: [13] M. Hassanalieragh, A. Page, T. Soyata, et al. Health moni- An Internet of Things for resource constrained toring and management using Internet-of-Things (IoT) sens- mobile devices. In HICSS, pp. 1053–1062, 2014. ing with cloud-based processing: Opportunities and chal- [37] S. K. Datta and C. Bonnet and N. Nikaein. An IoT gateway lenges. In International Conference on Services Computing centric architecture to provide novel m2m services. In World (SCC), pp. 285–292, 2015. Forum on Internet of Things (WF-IoT), pp. 514–519, 2014. [14] T. N. Gia, M. J. A.-M. Rahmani, and T. Westerlund, et al. [38] T. Zachariah, N. Klugman, B. Campbell, et al. The Internet Fog computing in healthcare Internet-of-Things: A case of Things has a gateway problem. In study on ECG feature extraction. In Int’l Conf. on Com- Systems and Applications (HotMobile), pp. 27–32, 2015. puter and Information Technology (CIT), pp. 356–363, 2015. [39] A. Al-Fuqaha, M. Guizani, and M. Mohammadi, et al. Inter- [15] M. U. Ahmed, M. Bj¨orkman, and A. Cauˇsevic, et al. An net of things: a survey on enabling technologies, protocols overview on the internet of things for health monitoring sys- and applications. IEEE Communications Surveys & Tuto- tems. In IoT Technologies for HealthCare, 2015. rials, 2015. [16] CityPulse: Real-time IoT stream processing and large-scale [40] V. K. Chippa and S. T. Chakradhar and K. Roy et al. Analy- data analytics for smart city applications. Online www. sis and characterization of inherent application resilience for ict-citypulse.eu. approximate computing. In DAC, 2013. [17] R. T¨onjes, P. Barnaghi, M. Ali, et al. Real time iot stream [41] J. Han and M. Orshansky. Approximate computing: An processing and large-scale data analytics for smart city ap- emerging paradigm for energy-efficient design. In European plications. In European Conference on Networks and Com- Test Symposium (ETS), pp. 1–6, 2013. munications (EUCNC), 2014. [42] P. Singer. Meeting the IoT Design Challenge. [18] N. Kaur and S. K. Sood. An energy-efficient architecture for Online: http://semimd.com/blog/2015/11/02/ the Internet of Things (IoT). IEEE Systems Journal, 2015. meeting-the-iot-design-challenge/, November 2015. [19] F. Samie and L. Bauer and J. Henkel. An approximate com- [43] R. Quinnell. ASICs for the IoT Cross the Horizon. Online: pressor for wearable biomedical healthcare monitoring sys- www.open-silicon.com/asics-iot-cross-horizon/, June 2015. tems. In CODES+ISSS, pp. 133–142, 2015. [44] G. Moretti. Internet of Things (IoT) and EDA. On- [20] S. Benatti, F. Casamassima, B. Milosevic, et al. A versatile line: www.chipdesignmag.com/sld/blog/2014/04/08/ embedded platform for EMG acquisition and gesture recogni- internet-of-things-iot-and-eda/. tion. IEEE trans. on biomedical circuits and systems, 2015. [45] G. Qu and L. Yuan. Design THINGS for the Internet of [21] F. Samie and L. Bauer and C.-M. Hsieh et al. Online binding Things - An EDA perspective. In ICCAD, pp. 411–416, 2014. of applications to multiple clock domains in shared fpga- [46] B. Bailey. EDA’s Clouded Future. Online: based systems. In DATE, pp. 25–30, 2015. semiengineering.com/edas-clouded-future/, June 2015. [22] S.-Y. Chien, W.-K. Chan, Y.-H. Tseng, et al. Distributed [47] V. Coskun and B. Ozdenizci and K. Ok. A survey on near computing in IoT: System-on-a-chip for smart cameras as an field communication (NFC) technology. Wireless personal example. In ASP-DAC, pp. 130–135, 2015. communications, 71(3):2259–2294, 2013. [23] Smartcitizen. Online: ://smartcitizen.me. [48] . Link Labs. A comprehensive look at low power, wide area [24] I. Leontiadis and C. Efstratiou and C. Mascolo et al. Sen- networks. Online: http://info.link-labs.com/lpwan, 2016. share: transforming sensor networks into multi-application [49] V. Shnayder, B.-r. Chen, and K. Lorincz, et al. Sensor net- sensing infrastructures. In Wireless Sensor Networks, 2012. works for medical care. In SenSys, pp. 314–314, 2005. [25] Z. Wang, Y. Liu, Y. Sun, et al. An energy-efficient heteroge- [50] S. Kim. Wireless sensor networks for structural health mon- neous dual-core processor for Internet of Things. In ISCAS, itoring. Msc, University of California at Berkeley, 2005. pp. 2301–2304, 2015. [51] L. Catarinucci, D. De Donno, L. Mainetti, et al. An iot-aware [26] S. Kim. Nested game-based computation offloading scheme architecture for smart healthcare systems. IEEE Internet of for mobile cloud IoT systems. EURASIP Journal on Wire- Things Journal, 2012. less Communications and Networking, 2015(1):1–11, 2015. [52] S. Coyle, K.-T. Lau, N. Moyna, et al. BIOTEX-Biosens- [27] S. Li and L. Da Xu and X. Wang. Compressed sensing signal ing textiles for personalised healthcare management. IEEE and data acquisition in wireless sensor networks and Internet Trans. on Info. Tech. in Biomedicine, 14(2):364–370, 2010. of Things. IEEE Transactions on Industrial Informatics, [53] F. Palumbo and P. Barsocchi and S. Chessa et al. A stigmer- 9(4):2177–2186, 2013. gic approach to indoor localization using bluetooth low en- [28] K. Ma, Y. Zheng, S. Li, et al. Architecture exploration for ergy beacons. In Advanced Video and Signal Based Surveil- ambient energy harvesting nonvolatile processors. In HPCA, lance (AVSS), pp. 1–6, 2015. pp. 526–537, 2015. [54] Understanding bitrates in video files. On- [29] J. Kwong and A. P. Chandrakasan. An energy-efficient bio- line: help.encoding.com/knowledge-base/article/ medical signal processing platform. IEEE Journal of Solid- understanding-bitrates-in-video-files, 2013. State Circuits, 46(7):1742–1753, 2011. [55] G. Siantikos and D. Sgouropoulos and T. Giannakopoulos [30] M. Ueki, K. Akeuchi, T. Yamamoto, et al. Low-power em- et al. Fusing multiple audio sensors for acoustic event detec- bedded ReRAM technology for IoT applications. In Sympo- tion. In ISPA, 2015.