Generating Configurable Hardware from Parallel Patterns

Total Page:16

File Type:pdf, Size:1020Kb

Generating Configurable Hardware from Parallel Patterns Generating Configurable Hardware from Parallel Patterns Raghu Prabhakar David Koeplinger Kevin Brown HyoukJoong Lee Christopher De Sa Christos Kozyrakis Kunle Olukotun Pervasive Parallelism Laboratory Stanford University {raghup17, dkoeplin, kjbrown, hyouklee, cdesa, kozyraki, kunle}@stanford.edu Abstract systems [23] and machine learning algorithms like deep belief networks [31, 32]. In recent years the computing landscape has seen an in- creasing shift towards specialized accelerators. Field pro- The performance and energy advantages of FPGAs are now grammable gate arrays (FPGAs) are particularly promising motivating the integration of reconfigurable logic into data as they offer significant performance and energy improvements center computing infrastructures. Both Microsoft [37] and compared to CPUs for a wide class of applications and are Baidu [31] have recently announced such systems. These far more flexible than fixed-function ASICs. However, FPGAs systems have initially been in the form of banks of FPGA are difficult to program. Traditional programming models accelerators which communicate with CPUs through Infini- for reconfigurable logic use low-level hardware description band or PCIe [28]. Work is also being done on heterogeneous languages like Verilog and VHDL, which have none of the pro- motherboards with shared CPU-FPGA memory [22]. The re- ductivity features of modern software development languages cent acquisition of Altera by Intel suggests that systems with but produce very efficient designs, and low-level software lan- tighter, high performance on-chip integration of CPUs and guages like C and OpenCL coupled with high-level synthesis FPGAs are now on the horizon. (HLS) tools that typically produce designs that are far less The chief limitation of using FPGAs as general purpose efficient. accelerators is that the programming model is currently inac- Functional languages with parallel patterns are a better fit cessible to most software developers. Creating custom acceler- for hardware generation because they both provide high-level ator architectures on an FPGA is a complex task, requiring the abstractions to programmers with little experience in hard- coordination of large numbers of small, local memories, com- ware design and avoid many of the problems faced when gen- munication with off-chip memory, and the synchronization of erating hardware from imperative languages. In this paper, we many compute stages. Because of this complexity, attaining identify two optimizations that are important when using par- the best performance on FPGAs has traditionally required de- allel patterns to generate hardware: tiling and metapipelining. tailed hardware design using hardware description languages We present a general representation of tiled parallel patterns, (HDL) like Verilog and VHDL. This low-level programming and provide rules for automatically tiling patterns and gen- model has largely limited the creation of efficient custom hard- erating metapipelines. We demonstrate experimentally that ware to experts in digital logic and hardware design. these optimizations result in speedups up to 40× on a set of In the past ten years, FPGA vendors and researchers have benchmarks from the data analytics domain. attempted to make reconfigurable logic more accessible to 1. Introduction software programmers with the development of high-level synthesis (HLS) tools, designed to automatically infer regis- The slowdown of Moore’s law and the end of Dennard scal- ter transaction level (RTL) specifications from higher level arXiv:1511.06968v1 [cs.DC] 22 Nov 2015 ing has forced a radical change in the architectural landscape. software programs. To better tailor these tools to software Computing systems are becoming increasingly parallel and developers, HLS work has typically focused on imperative heterogeneous, relying on larger numbers of cores and spe- languages like C/C++, SystemC, and OpenCL [45]. Unfortu- cialized accelerators. Field programmable gate arrays (FP- nately, there are numerous challenges in inferring hardware GAs) are particularly promising as an acceleration technology, from imperative programs. Imperative languages are inher- as they can offer performance and energy improvements for ently sequential and effectful. C programs in particular offer a wide class of applications while also providing the repro- a number of challenges in alias analysis and detecting false grammability and flexibility of software. Applications which dependencies [18], typically requiring numerous user anno- exhibit large degrees of spatial and temporal locality and which tations to help HLS tools discover parallelism and determine contain relatively small amounts of control flow, such as those when various hardware structures can be used. Achieving in the image processing [21, 6], financial analytics [29, 16, 48], efficient hardware with HLS tools often requires an iterative and scientific computing domains [42, 1, 11, 50], can espe- process to determine which user annotations are necessary, cially benefit from hardware acceleration with FPGAs. FPGAs especially for software developers less familiar with the intri- have also recently been used to accelerate personal assistant cacies of hardware design [15]. Pattern Transformations HardwareHardware Generation Generation Pattern Transformations ParallelParallel Fusion TiledTiled ParallelParallel MemoryMemory Allocation Allocation MaxJMaxJ BitstreamBitstream FPGAFPGA Fusion PatternPattern IRIR Pattern Tiling PatternPattern IRIR TemplateTemplate Selection Selection HDLHGL GenerationGeneration Config.Config. Pattern Tiling Code Motion MetapipelineMetapipeline Analysis Analysis Figure 1: System diagram Functional languages are a much more natural fit for high- the entire program, they can be used even on programs which level hardware generation as they have limited to no side contain random and data-dependent accesses. effects and more naturally express a dataflow representation Our tiled intermediate representation exposes memory re- of applications which can be mapped directly to hardware gions with high data locality, making them ideal candidates to pipelines [5]. Furthermore, the order of operations in func- be allocated on-chip. Parallel patterns provide rich semantic tional languages is only defined by data dependencies rather information on the nature of the parallel computation at multi- than sequential statement order, exposing significant fine- ple levels of nesting as well as memory access patterns at each grained parallelism that can be exploited efficiently in custom level. In this work, we preserve certain semantic properties hardware. of memory regions and analyze memory access patterns in Parallel patterns like map and reduce are an increasingly order to automatically infer hardware structures like FIFOs, popular extension to functional languages which add semantic double buffers, and caches. We exploit parallelism at multiple information about memory access patterns and inherent data levels by automatically inferring and generating metapipelines, parallelism that is highly exploitable by both software and hierarchical pipelines where each stage can itself be composed hardware. Previous work [19, 3] has shown that compilers can of pipelines and other parallel constructs. Our code genera- utilize parallel patterns to generate C- or OpenCL-based HLS tion approach involves mapping parallel IR constructs to a set programs and add certain annotations automatically. However, of parameterizable hardware templates, where each template just like hand-written HLS, the quality of the generated hard- exploits a specific parallel pattern or memory access pattern. ware is still highly variable. Apart from the practical advantage These hardware templates are implemented using a low-level of building on existing tools, generating imperative code from Java-based hardware generation language (HGL) called MaxJ. a functional language only to have the HLS tool attempt to In this paper we make the following contributions: re-infer a functional representation of the program is a sub- • We describe a systematic set of rules for tiling parallel optimal solution because higher-level semantic knowledge in patterns, including a single, general pattern used to tile all the original program is easily lost. In this paper, we describe patterns with fixed output size. Unlike previous automatic a series of compilation steps which automatically generate tiling work, these rules are based on pattern matching and a low-level, efficient hardware design from an intermediate therefore do not restrict all memory accesses within the representation (IR) based on parallel patterns. As seen in Fig- program to be affine. ure1, these steps fall into two categories: high level parallel • We demonstrate a method for automatically inferring com- pattern transformations (Section4), and low level analyses plex hardware structures like double buffers, caches, CAMs, and hardware generation optimizations (Section5). and banked BRAMs from a parallel pattern IR. We also show how to automatically generate metapipelines, which One of the challenges in generating efficient hardware from are a generalization of pipelines that greatly increase design high level programs is in handling arbitrarily large data struc- throughput. tures. FPGAs have a limited amount of fast local memory and • We present experimental results for a set of benchmark ap- accesses to main memory are expensive in terms of both per- plications from the data analytics domain running on an formance
Recommended publications
  • Structure Interpretation of Text Formats
    1 1 Structure Interpretation of Text Formats 2 3 SUMIT GULWANI, Microsoft, USA 4 VU LE, Microsoft, USA 5 6 ARJUN RADHAKRISHNA, Microsoft, USA 7 IVAN RADIČEK, Microsoft, Austria 8 MOHAMMAD RAZA, Microsoft, USA 9 Data repositories often consist of text files in a wide variety of standard formats, ad-hoc formats, aswell 10 mixtures of formats where data in one format is embedded into a different format. It is therefore a significant 11 challenge to parse these files into a structured tabular form, which is important to enable any downstream 12 data processing. 13 We present Unravel, an extensible framework for structure interpretation of ad-hoc formats. Unravel 14 can automatically, with no user input, extract tabular data from a diverse range of standard, ad-hoc and 15 mixed format files. The framework is also easily extensible to add support for previously unseen formats, 16 and also supports interactivity from the user in terms of examples to guide the system when specialized data extraction is desired. Our key insight is to allow arbitrary combination of extraction and parsing techniques 17 through a concept called partial structures. Partial structures act as a common language through which the file 18 structure can be shared and refined by different techniques. This makes Unravel more powerful than applying 19 the individual techniques in parallel or sequentially. Further, with this rule-based extensible approach, we 20 introduce the novel notion of re-interpretation where the variety of techniques supported by our system can 21 be exploited to improve accuracy while optimizing for particular quality measures or restricted environments.
    [Show full text]
  • The Marriage of Effects and Monads
    The Marriage of Effects and Monads PHILIP WADLER Avaya Labs and PETER THIEMANN Universit¨at Freiburg Gifford and others proposed an effect typing discipline to delimit the scope of computational ef- fects within a program, while Moggi and others proposed monads for much the same purpose. Here we marry effects to monads, uniting two previously separate lines of research. In partic- ular, we show that the type, region, and effect system of Talpin and Jouvelot carries over di- rectly to an analogous system for monads, including a type and effect reconstruction algorithm. The same technique should allow one to transpose any effect system into a corresponding monad system. Categories and Subject Descriptors: D.3.1 [Programming Languages]: Formal Definitions and Theory; F.3.2 [Logics and Meanings of Programs]: Semantics of Programming Languages— Operational semantics General Terms: Languages, Theory Additional Key Words and Phrases: Monad, effect, type, region, type reconstruction 1. INTRODUCTION Computational effects, such as state or continuations, are powerful medicine. If taken as directed they may cure a nasty bug, but one must be wary of the side effects. For this reason, many researchers in computing seek to exploit the benefits of computational effects while delimiting their scope. Two such lines of research are the effect typing discipline, proposed by Gifford and Lucassen [Gifford and Lucassen 1986; Lucassen 1987], and pursued by Talpin and Jouvelot [Talpin and Jouvelot 1992, 1994; Talpin 1993] among others, and the use of monads, proposed by Moggi [1989, 1990], and pursued by Wadler [1990, 1992, 1993, 1995] among others. Effect systems are typically found in strict languages, such as FX [Gifford et al.
    [Show full text]
  • A Critique of Abelson and Sussman Why Calculating Is Better Than
    A critique of Abelson and Sussman - or - Why calculating is better than scheming Philip Wadler Programming Research Group 11 Keble Road Oxford, OX1 3QD Abelson and Sussman is taught [Abelson and Sussman 1985a, b]. Instead of emphasizing a particular programming language, they emphasize standard engineering techniques as they apply to programming. Still, their textbook is intimately tied to the Scheme dialect of Lisp. I believe that the same approach used in their text, if applied to a language such as KRC or Miranda, would result in an even better introduction to programming as an engineering discipline. My belief has strengthened as my experience in teaching with Scheme and with KRC has increased. - This paper contrasts teaching in Scheme to teaching in KRC and Miranda, particularly with reference to Abelson and Sussman's text. Scheme is a "~dly-scoped dialect of Lisp [Steele and Sussman 19781; languages in a similar style are T [Rees and Adams 19821 and Common Lisp [Steele 19821. KRC is a functional language in an equational style [Turner 19811; its successor is Miranda [Turner 1985k languages in a similar style are SASL [Turner 1976, Richards 19841 LML [Augustsson 19841, and Orwell [Wadler 1984bl. (Only readers who know that KRC stands for "Kent Recursive Calculator" will have understood the title of this There are four language features absent in Scheme and present in KRC/Miranda that are important: 1. Pattern-matching. 2. A syntax close to traditional mathematical notation. 3. A static type discipline and user-defined types. 4. Lazy evaluation. KRC and SASL do not have a type discipline, so point 3 applies only to Miranda, Philip Wadhr Why Calculating is Better than Scheming 2 b LML,and Orwell.
    [Show full text]
  • A Highly Configurable High-Level Synthesis Functional Pattern Library
    electronics Article A Highly Configurable High-Level Synthesis Functional Pattern Library Lan Huang 1,2,‡, Teng Gao 1,‡, Dalin Li 1,†, Zihao Wang 1 and Kangping Wang 1,2,* 1 College of Computer Science and Technology, Jilin University, Changchun 130012, China; [email protected] (L.H.); [email protected] (T.G.); [email protected] (D.L.); [email protected] (Z.W.) 2 Key Laboratory of Symbolic Computation and Knowledge Engineering, Jilin University, Changchun 130012, China * Correspondence: [email protected] † Current address: Zhuhai Laboratory of Key Laboratory of Symbol Computation and Knowledge Engineering of Ministry of Education, Department of Computer Science and Technology, Zhuhai College of Jilin University, Zhuhai 519041, China. ‡ These authors contributed equally to this work. Abstract: FPGA has recently played an increasingly important role in heterogeneous computing, but Register Transfer Level design flows are not only inefficient in design, but also require designers to be familiar with the circuit architecture. High-level synthesis (HLS) allows developers to design FPGA circuits more efficiently with a more familiar programming language, a higher level of abstraction, and automatic adaptation of timing constraints. When using HLS tools, such as Xilinx Vivado HLS, specific design patterns and techniques are required in order to create high-performance circuits. Moreover, designing efficient concurrency and data flow structures requires a deep understanding of the hardware, imposing more learning costs on programmers. In this paper, we propose a set of functional patterns libraries based on the MapReduce model, implemented by C++ templates, Citation: Huang, L.; Gao,T.; Li, D.; which can quickly implement high-performance parallel pipelined computing models on FPGA with Wang, Z.; Wang, K.
    [Show full text]
  • Richard S. Uhler
    Smten and the Art of Satisfiability-Based Search by Richard S. Uhler B.S., University of California, Los Angeles (2008) S.M., Massachusetts Institute of Technology (2010) Submitted to the Department of Electrical Engineering and Computer Science in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Electrical Engineering and Computer Science at the MASSACHUSETTS INSTITUTE OF TECHNOLOGY September 2014 c Massachusetts Institute of Technology 2014. All rights reserved. Author.............................................................. Department of Electrical Engineering and Computer Science August 22, 2014 Certified by. Jack B. Dennis Professor of Computer Science and Engineering Emeritus Thesis Supervisor Accepted by . Leslie A. Kolodziejski Chairman, Committee for Graduate Students 2 Smten and the Art of Satisfiability-Based Search by Richard S. Uhler Submitted to the Department of Electrical Engineering and Computer Science on August 22, 2014, in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Electrical Engineering and Computer Science Abstract Satisfiability (SAT) and Satisfiability Modulo Theories (SMT) have been leveraged in solving a wide variety of important and challenging combinatorial search prob- lems, including automatic test generation, logic synthesis, model checking, program synthesis, and software verification. Though in principle SAT and SMT solvers sim- plify the task of developing practical solutions to these hard combinatorial search problems, in practice developing an application that effectively uses a SAT or SMT solver can be a daunting task. SAT and SMT solvers have limited support, if any, for queries described using the wide array of features developers rely on for describing their programs: procedure calls, loops, recursion, user-defined data types, recursively defined data types, and other mechanisms for abstraction, encapsulation, and modu- larity.
    [Show full text]
  • Haskell the Essence of Functional Programming
    Haskell The essence of functional programming Correspondence to mathematics: • Types play the role of sets • Sets of functions, Sums, Products important • Programs inhabit types, so are like elements of sets • Programs usually have function type, i.e. are functions from input to output • But are really ‘partial’, i.e. can crash, or fail to return an output • But functions remain pure — they map inputs to outputs, so their result does not depend on the state of memory, external events, etc. If you wish to include such dependencies, they must explicitly be inputs to the function Functional programming in practice • Types model objects in the problem domain. • Programming means defining types and writing functions over types. • Computing with functions means evaluation (reduction). • Variables name values and cannot vary. Haskell • Functional programming language • Launched in 1990 • By Paul Hudak, Philip Wadler, Arvind, Brain Boutel, Jon Fairbairn, Joseph Fasel, Kevin Hammond, John Hughes, Thomas Johnsson, Dick Kieburtz, Rishiyur Nikhil, Simon Peyton Jones, Mike Reeve, David Wise, Jonathan Young • Named after logician Haskell Curry (1990-1982) • Photo c/o Wikipedia Haskell is Functional • Functions are first-class, that is, functions are values which can be used in exactly the same ways as any other sort of value. • The meaning of Haskell programs is centered around evaluating expressions rather than executing instructions. Haskell is Pure Haskell expressions are always referentially transparent: • no mutations; everything (variables, data structures …) is immutable • expressions are side-effect free • programs are deterministic - calling the same function with the same arguments results in the same output Haskell is Lazy Expressions are not evaluated until their results are needed.
    [Show full text]
  • Notes on Functional Programming with Haskell
    Notes on Functional Programming with Haskell H. Conrad Cunningham [email protected] Multiparadigm Software Architecture Group Department of Computer and Information Science University of Mississippi 201 Weir Hall University, Mississippi 38677 USA Fall Semester 2014 Copyright c 1994, 1995, 1997, 2003, 2007, 2010, 2014 by H. Conrad Cunningham Permission to copy and use this document for educational or research purposes of a non-commercial nature is hereby granted provided that this copyright notice is retained on all copies. All other rights are reserved by the author. H. Conrad Cunningham, D.Sc. Professor and Chair Department of Computer and Information Science University of Mississippi 201 Weir Hall University, Mississippi 38677 USA [email protected] PREFACE TO 1995 EDITION I wrote this set of lecture notes for use in the course Functional Programming (CSCI 555) that I teach in the Department of Computer and Information Science at the Uni- versity of Mississippi. The course is open to advanced undergraduates and beginning graduate students. The first version of these notes were written as a part of my preparation for the fall semester 1993 offering of the course. This version reflects some restructuring and revision done for the fall 1994 offering of the course|or after completion of the class. For these classes, I used the following resources: Textbook { Richard Bird and Philip Wadler. Introduction to Functional Program- ming, Prentice Hall International, 1988 [2]. These notes more or less cover the material from chapters 1 through 6 plus selected material from chapters 7 through 9. Software { Gofer interpreter version 2.30 (2.28 in 1993) written by Mark P.
    [Show full text]
  • FPGA Programming for the Masses
    practice DOI:10.1145/2436256.2436271 used to implement sequential logic. Article development led by queue.acm.org Apart from the homogeneous array of logic cells, an FPGA also contains discrete components such as BRAMs The programmability of FPGAs must improve (block RAMs), digital signal process- if they are to be part of mainstream computing. ing (DSP) slices, processor cores, and various communication cores (for ex- BY DavID F. BACON, RODRIC RabbaH, AND SUNIL SHUkla ample, Ethernet MAC and PCIe). BRAMs, which are specialized mem- ory structures distributed throughout the FPGA fabric in columns, are of par- ticular importance. Each BRAM can FPGA hold up to 36Kbits of data. BRAMs can be used in various form factors and can be cascaded to form a larger logical memory structure. Because of the dis- Programming tributed organization of BRAMs, they can provide terabytes of bandwidth for memory bandwidth-intensive applica- tions. Xilinx and Altera dominate the PLD market, collectively holding more for the Masses than an 85% share. FPGAs were long considered low- volume, low-density ASIC replace- ments. Following Moore’s Law, how- ever, FPGAs are getting denser and faster. Modern-day FPGAs can have up to two million logic cells, 68Mbits WHEN LOOKING AT how hardware influences of BRAM, more than 3,000 DSP slices, computing performance, we have general-purpose and up to 96 transceivers for imple- menting multigigabit communication processors (GPPs) on one end of the spectrum and channels.23 The latest FPGA families application-specific integrated circuits (ASICs) on the from Xilinx and Altera are more like a system-on-chip (SoC), mixing dual- other.
    [Show full text]
  • Design Automation for Streaming Systems
    Design Automation for Streaming Systems Eylon Caspi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2005-27 http://www.eecs.berkeley.edu/Pubs/TechRpts/2005/EECS-2005-27.html December 16, 2005 Copyright © 2005, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission. Design Automation for Streaming Systems by Eylon Caspi B.S. (University of Maryland, College Park) 1996 M.S. (University of California, Berkeley) 2000 A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Computer Science in the GRADUATE DIVISION of the UNIVERSITY OF CALIFORNIA, BERKELEY Committee in charge: Professor John Wawrzynek, Chair Professor Edward A. Lee Professor Robert Jacobsen Fall 2005 Design Automation for Streaming Systems Copyright c 2005 by Eylon Caspi Abstract Design Automation for Streaming Systems by Eylon Caspi Doctor of Philosophy in Computer Science University of California, Berkeley Professor John Wawrzynek, Chair RTL design methodologies are struggling to meet the challenges of modern, large system design. Their reliance on manually timed design with fully exposed device resources is laborious, restricts reuse, and is increasingly ineffective in an era of Moore’s Law expansion and growing interconnect delay.
    [Show full text]
  • Whither Semantics?
    Whither Semantics? Samson Abramsky∗ Department of Computer Science, University of Oxford Abstract We discuss how mathematical semantics has evolved, and suggest some new directions for future work. As an example, we discuss some recent work on encapsulating model comparison games as comonads, in the context of finite model theory. Keywords Mathematical semantics, finite model theory, model-theoretic games, category theory, comonads 1 Introduction Maurice Nivat was one of the founding fathers of Theoretical Computer Science in Europe, both through his scientific achievements, and his community-building work, including es- tablishing the Journal of Theoretical Computer Science, and as one of the founders of the European Association for Theoretical Computer Science, and the ICALP conference. The very name of ICALP – International Colloquium on Automata, Languages and Programming – shows the two main scientific lines to which Nivat himself made pioneering contributions: formal languages and automata on the one hand, and semantics of programming languages on the other. Having started his scientific career in the former, Nivat had the breadth of interest and perspective to see the importance and depth of the work on mathematical se- arXiv:2010.13328v1 [cs.LO] 23 Oct 2020 mantics of programming languages of Dana Scott, Robin Milner, Rod Burstall, et al. He founded a French school of algebraic semantics of recursive program schemes, and did much to create the conditions and possibilities which allowed for the development by others of work in λ-calculus, type theory, and programming language semantics. In my own entry to the programming languages world, I encountered Nivat’s work, includ- ing [Niv79], which gives an elegant introduction to how infinite objects arise in the semantics of computation, and the mathematical theory around them.
    [Show full text]
  • Review of FPD's Languages, Compilers, Interpreters and Tools
    ISSN 2394-7314 International Journal of Novel Research in Computer Science and Software Engineering Vol. 3, Issue 1, pp: (140-158), Month: January-April 2016, Available at: www.noveltyjournals.com Review of FPD'S Languages, Compilers, Interpreters and Tools 1Amr Rashed, 2Bedir Yousif, 3Ahmed Shaban Samra 1Higher studies Deanship, Taif university, Taif, Saudi Arabia 2Communication and Electronics Department, Faculty of engineering, Kafrelsheikh University, Egypt 3Communication and Electronics Department, Faculty of engineering, Mansoura University, Egypt Abstract: FPGAs have achieved quick acceptance, spread and growth over the past years because they can be applied to a variety of applications. Some of these applications includes: random logic, bioinformatics, video and image processing, device controllers, communication encoding, modulation, and filtering, limited size systems with RAM blocks, and many more. For example, for video and image processing application it is very difficult and time consuming to use traditional HDL languages, so it’s obligatory to search for other efficient, synthesis tools to implement your design. The question is what is the best comparable language or tool to implement desired application. Also this research is very helpful for language developers to know strength points, weakness points, ease of use and efficiency of each tool or language. This research faced many challenges one of them is that there is no complete reference of all FPGA languages and tools, also available references and guides are few and almost not good. Searching for a simple example to learn some of these tools or languages would be a time consuming. This paper represents a review study or guide of almost all PLD's languages, interpreters and tools that can be used for programming, simulating and synthesizing PLD's for analog, digital & mixed signals and systems supported with simple examples.
    [Show full text]
  • Submission Data for 2020-2021 CORE Conference Ranking Process International Conference on Functional Programming
    Submission Data for 2020-2021 CORE conference Ranking process International Conference on Functional Programming Jeremy Gibbons Conference Details Conference Title: International Conference on Functional Programming Acronym : ICFP Rank: A* Requested Rank Rank: A* Recent Years Proceedings Publishing Style Proceedings Publishing: journal Link to most recent proceedings: https://dblp.uni-trier.de/db/journals/pacmpl/pacmpl4.html#nrICFP Further details: ACM introduced the PACM series of journals in 2017 for ”the best conferences published by ACM”, for publishing the proceedings of conferences with a two-phase reviewing process comparable to journal reviewing ( https://www.acm.org/publications/pacm/introducing-pacm). In particular, Proceedings of the ACM on Programming Languages (PACMPL) has published the proceedings of ICFP, OOPSLA, and POPL since September 2017 ( https://dl.acm.org/journal/pacmpl). It is published Gold Open Access. Most Recent Years Most Recent Year Year: 2019 URL: https://icfp19.sigplan.org/ Location: Berlin Papers submitted: 119 Papers published: 39 Acceptance rate: 33 Source for numbers: SlidesfromPCChair'sreport General Chairs Name: Derek Dreyer Affiliation: MPS-SWS Gender: M H Index: 33 GScholar url: https://scholar.google.com/citations?user=1_c89uMAAAAJ&hl=en DBLP url: Program Chairs Name: Francois Pottier Affiliation: INRIA Gender: M H Index: 30 GScholar url: https://scholar.google.com/citations?user=7R6jcZ0AAAAJ&hl=en DBLP url: 1 Second Most Recent Year Year: 2018 URL: https://icfp18.sigplan.org/ Location: St Louis, Missouri
    [Show full text]