<<

INVITED PAPER Growth and Device Integration This paper describes one of the emerging methods for growing grapheneVthe chemical vapor deposition methodVwhich is based on a catalytic reaction between a carbon precursor and a metal substrate such as Ni, Cu, and Ru, to name a few.

By Luigi Colombo, Fellow IEEE, Robert M. Wallace, Fellow IEEE,andRodneyS.Ruoff

ABSTRACT | Graphene has been introduced to the electronics devices in order to exceed the performance characteristics community as a potentially useful material for scaling elec- of current device applications as well as developing new tronic devices to meet low-power and high-performance devices, especially for flexible electronics, transparent targets set by the semiconductor industry international road- electrodes for displays and touch screens, photonic map, radio-frequency (RF) devices, and many more applica- applications, energy generation, and batteries [3], [12], tions. Growth and integration of graphene for any device is [13]. The first graphene films were only a few tens of challenging and will require significant effort and innovation to micrometers on the side and so the principal first issue in address the many issues associated with integrating the making graphene devices a reality is the development of a monolayer, chemically inert surface with metals and dielec- graphene of sufficient quality and size to meet the basic trics. In this paper, we review the growth and integration of physical and electronic properties. Since the isolation of graphene for simple field-effect and present graphene from natural graphite, a number of techniques physical and electrical data on the integrated graphene with and processes have been studied and are in development to metals and dielectrics. form graphene materials for a variety of applications; an extensive and complete review of these processes has KEYWORDS | Chemical vapor deposition (CVD); electrochemical recently been summarized by Bonaccorso et al. [22] and a transfer; graphene; mobility; Raman spectroscopy; X-ray concise history of graphene is presented by Dreyer et al. photoelectron spectroscopy [23]. It is still too early to select the final graphene growth process for high-performance electronic devices since none of the processes meets all of the basic requirements. I. INTRODUCTION Today, there are a few sourcesofhigh-qualitygraphene Over the past seven years or so there has been a lot of films: 1) exfoliated graphene from natural graphite or excitement in using graphene for many electronic various other sources of graphite [24]; 2) graphene films applications among others [3]–[6]. Researchers have on SiC single crystals formed by the evaporation of silicon looked to graphene as a material with which to fabricate from either the carbon- or silicon-rich surfaces of SiC [25]; and 3) graphene grown on metal substrates by chemical vapor deposition (CVD) [11], [26]–[29]. The latter two graphene growth techniques have emerged as having the Manuscript received June 4, 2012; revised April 1, 2013; accepted April 17, 2013. Date highest potential for high-performance electronic applica- of publication May 24, 2013; date of current version June 14, 2013. This work was supported in part by the Nanoelectronic Research Initiative and the Southwest tions. There are other sources of ‘‘graphene,’’ for example, Academy of Nanoelectronics (SWAN–NRI) program. The work of R. M. Wallace was reduced graphene oxide or growth on various other metal also supported by an IBM Faculty award. The work of R. S. Ruoff was also supported by the W. M. Keck Foundation, the National Science Foundation, surfaces. However, these are either discontinuous, and the U.S. Office of Naval Research. ‘‘doped’’ with oxygen, or multilayered, as in the case of L. Colombo is with Texas Instruments Incorporated, Dallas, TX 75243 USA (e-mail: [email protected]). growth on metals with high carbon solubility, but these R. M. Wallace is with the University of Texas at Dallas, Richardson, TX 75080 USA may be suited for applications having less stringent (e-mail: [email protected]). R. S. Ruoff is with the University of Texas at Austin, Austin, TX 78712-0292 USA requirements [23], [30], [31]. (e-mail: [email protected]). Each of the graphene sources has its advantages and Digital Object Identifier: 10.1109/JPROC.2013.2260114 disadvantages: Graphene exfoliated from natural graphite

1536 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 0018-9219/$31.00 Ó2013 IEEE Colombo et al.:GrapheneGrowthandDeviceIntegration

is of very high quality, as established by carrier transport After the introduction of CVD graphene on Cu there properties, but the area of these films (flakes) is limited to has been a renewed focus in trying to grow large single hundreds of micrometers squared and is thus not scalable graphene layers on Pt, Ni, Co, and Ru among others [21], to meet high-volume manufacturing (HVM) requirements. [26], [29]. However, because of the higher C solubility in Multilayer graphene grown on SiC single crystals has been these metals and difficulty in etching for some of them, the shown to have excellent transport properties [32]–[34]. processes are not yet commonplace, even though there are Further, these films have the advantage of having definite thermal stability advantages in comparison to Cu. exceptional surface flatness, and it is for this reason that The quality of graphene grown by CVD, as determined by this material is preferred by many surface scientists to transport measurements, is now nearly equivalent to that study the basic physical properties of graphene. However, of graphene exfoliated from natural graphite on both SiO2 in order to satisfy the requirements of many electronic [44] substrates as well as on hexagonal boron nitride applications, from nanoelectronics to flexible electronics (hBN) [45]. The availability of large-area graphene grown and transparent conductive electrodes, it is necessary to on Cu affords the ability to form multilevel stacked 3-D form large individual single layers of graphene. At this devices that cannot be easily fabricated using traditional time, exfoliation of the full graphene films from SiC semiconductor materials with a low thermal budget. This substrates has not been achieved yet, and even if it could is all due to the ambipolar nature of graphene and the be achieved, as Hashimoto et al. suggest [35], it might be ability to grow and transfer to any substrate. impractical for many applications because of the SiC In order to take full advantage of graphene grown on substrate size limitations and, to a lesser extent, cost. The any electrically conductive substrate, it is critical to introduction of 450-mm silicon integrated circuit devel- developatransferprocessaswellasthesubsequent opment and production in the next decade or so will make cleaning and integration processes to ensure minimum the use of SiC as a source of graphene even more difficult graphene degradation so as to take advantage of the as- unless SiC graphene can be grown directly on these very grown material properties. Alternative growth methods on large Si wafers. nonconductive substrates are being explored [43], [46], The most challenging aspect of the use of graphene for [47] and may offer the prospect of high-quality material electronicdevicesistheabsenceofabandgap.Itiswell without the need for transfer methods. known that graphene develops a bandgap as its width Fabrication of electronic devices will require the narrows [36], and it would thus be desirable to be able to development of low defect density, highly ordered large- grow graphene nanoribbons (GNRs) in place. There are area graphene films, thin dielectric deposition processes several reports on the chemical synthesis of atomically that do not disturb the band structure of graphene, to precise GNRs [37]–[40], but there are no reports, to our select and develop contact materials and processes that knowledge, of GNR deterministicplacement.Thisisakey provide low contact resistance, and to develop associated research area that requires much more attention. integration processes such as etching and surface cleaning. Traditionally, processes such as CVD and atomic layer While thin dielectric deposition on Si is well developed deposition (ALD) have been a preferred method of film and understood, growth of scaled dielectrics on clean growth/deposition for many types of materials, and it graphene is facing some challenges. For example, because would be desirable if such growth techniques could be clean graphene is chemically inert, uniformly thin di- used for graphene. There have been several recent electrics, at the nanometer scale, have been difficult to attempts at the growth of graphene on Ni by CVD, but deposit. The chemical inertness of the graphene surface the films were mostly multilayers with only small patches requires some functionalizationVeither intentional or of monolayer graphite [41], [42]. Recently, bilayer unintentional (i.e., contamination). Growth of uniformly graphene synthesis at the underlying Ni/SiO2 interface deposited high-k dielectrics on hydrogen terminated Si has been reported with arbitrary carbon sources to the met a similar problem, and fortunately a thin oxide and/or exposed Ni surface [43]. an O–H terminated surface were found to fix the problem. Li et al. [11] on the other hand developed a CVD process Unfortunately graphene does not have a natural oxide and of graphene on Cu substrates where one can grow a so we have to look for different solutions. In addition, low- monolayer of graphene on arbitrarily large Cu substrates. resistance metal contacts, necessary for operation of high- This CVD process has now been adopted by many because of performance devices, have also shown to be challenging, the ease of implementation, and it is simplifying graphene and so many researchers are dedicating significant device development, in comparison to exfoliated graphene. resources to solve and understand this problem. The advantage of graphene on Cu by CVD over graphene An additional significant complication that faces on SiC for HVM is that the CVD process is scalable to sizes graphene is that it does not have a bandgap, thus leading limited only by the size of the CVD system. For example, to devices that do not turn off. It has been found that as the Bae et al. [12] used the CVD graphene on Cu process to scale width of graphene is decreased below a certain value the the growth to square meter copper substrates for display and confined carriers give rise to opening of a bandgap [36], touch screen applications. [48]. Bernal stacked bilayers of graphene have also been

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1537 Colombo et al.:GrapheneGrowthandDeviceIntegration

found to show a bandgap under a transverse electric field A number of scanning probe microscopy techniques [49], [50]. Other than developing non-field-effect- have been applied to graphene metrology, including (FET)-based devices, there are a number of groups trying to scanning tunneling and atomic force microscopy. The introduce a bandgap in graphene to increase the performance former has revealed atomic resolution details of graphene of graphene FETs [51]–[59]. growth [72] and impurity effects [73], while the latter is In this paper, we will review the state-of-the-art typically used for high-resolution profilometry, establish- graphene growth and electronic device integration devel- ing that single- or few-layer graphene growth is present, opment. It is not the intent of this paper, however, to for example [74]. perform an exhaustive review of GNRs and bilayer FET Raman spectroscopy, widely employed for graphene devices and related issues. Rather, we seek to provide the research due to the sensitivity to the bonding structure of reader with an introduction to graphene synthesis from an carbon allotropes [75], is used to establish the level of electronicsmaterialintegrationperspective,asthisisthe perfection of sp2 bonding in a graphene sheet [76]. This key step to HVM. nondestructive technique typically uses coherent light that results in inelastic scattering leading to potentially resonant interactions that enable the study of electronic II. GRAPHENE METROLOGY AND and vibrational properties of molecules and crystals [77]. CHARACTERIZATION The technique can use a focused analysis spot and enable Several techniques have been used in the study of the mapping of 50 50 m2 regions in typical graphene and the associated integration issues, and so a applications. few words on these techniques are appropriate. The reader Finally, X-ray photoelectron spectroscopy (XPS) has will find numerous results in the graphene research also been used in the characterization of graphene and literature employing these techniques, many of which graphite. The technique relies on the photoelectric effect provide the complementary information needed to enable [78], where electrons are generated through the illumina- the integration of graphene and other materials required tion of the sample by (typically) soft (1.4 keV) X-rays, for device fabrication. Examples of such characterization often with a monochromatic source for superior resolu- techniques are presented in what follows, but since a tion. As a result, the energy of the generated electrons detailed discussion is beyond the scope of this review, a limits their origin to less than 10 nm of the surface and, brief survey of these methods is offered here, with as a result, the technique is quite surface sensitive. The references offered to the reader for further in-depth energy spectrum of the electrons is analyzed, and is details. Recent reviews of graphene metrology have sensitive to the local carbon bonding environment [79]. become available as well [60]–[62]. In this review we The C1s lineshape for graphitic materials is complex, and focus on those techniques that primarily impact integra- requires careful analysis to establish the presence of tion for device fabrication. detectable impurities (for example, C–O) on the surface Since ‘‘seeing is believing,’’ a number of microscopy compared to more complicated relaxation phenomenon techniques have been employed in graphene research. associated with the photoelectron generation [80], [81]. Optical microscopy is a first choice in this regard, where a The analytical spot size is typically on the order of number of optical methods to enhance the image contrast squared millimeters and thus requires relatively large can be employed to get a sense of the graphene samples. macroscopic quality. The placement of exfoliated graphene on a suitably thick SiO2 layer to enable contrast through interference is now well known and convenient [63], [64]. III. GRAPHENE GROWTH It is also noted that recent work has extended the Graphite growth on metals has been observed by many application of the spectroscopic ellipsometry technique researchers, especially by the catalysis community over at to graphene, where the phase change of the incident and least the past 50 years [82]. Karu and Beer [83] reported reflected light is used [65]. on the pyrolitic growth of many layers of graphene in 1966, Of course, higher resolution studies require alternative where they exposed the surface of nickel to methane, probes such as electron beams, and both scanning electron dissociating it, diffusing the resulting carbon into the microscopy (SEM), and high-resolution transmission elec- nickel, and then precipitating multilayers of graphene on tron microscopy techniques are used [66]. Recent studies the nickel surface upon cooling. Blakely and students in have employed powerful aberration-corrected TEMs for the 1970s described the details of the C precipitation superior resolution [67]. In this case, care must be exercised process from metals (Ni, Pt, Pd, Co) as deduced by surface in controlling the beam power so as to avoid damaging analytical techniques and stated that monolayer graphite graphene. Alternatively, such beams can be used to etch was the first material to segregate to the surface of the graphene for device fabrication. Low-energy electron metal surface before full graphite precipitation upon microscopy [68] has also been employed to observe the cooling to room temperature [84]–[88]. This pioneering growth process of graphene in a number of studies [69]–[71]. work provided the basic ideas on how to grow multilayer

1538 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

graphene on metals and was recently reviewed by and the eutectic temperature, the solubility of C is as high Wintterlin and Bocquet [89]. as a few percent and it is still soluble at a temperature of Thenumberoflayersofgrapheneonmetalsistofirst about 500 C. On the other hand, the Cu–C system is a order dependent upon the solubility of C in the metal and particularly interesting system because the solubility is thecoolingrate.Ahighersolubilityatagiventemperature extremely low. The Ir–C system is an equally attractive results in a higher number of graphene layers precipitating system because of the low carbon solubility at at the surface of the metal. Transition metal substrate temperatures below 1000 C as well as a high catalytic selection for graphene growth depends principally on the activity. solubility of C in the metal and the propensity for the Carbon also has a low reactivity and bond strength with metal–C solution not to form a metal carbide compound. Cu [90], which makes it easy to remove the graphene Before selecting a metal, one must thus first establish that through chemical etching of the Cu substrate. The removal there are no stable or metastable metal carbide phases that has been accomplished by chemical etching of the metal by form during any of the process steps. Second, it is several typical Cu etching chemistries such as aqueous important to select a material that has a low C solubility in FeCl3 solutions, ammonium persulfate, or nitric acid, and order to provide sufficient control of the number of more recently by electrochemical etching to dissociate the graphene layers. Fortunately, there is already an estab- graphene from the substrate. The transfer process will be lished compendium of equilibrium phase diagrams avail- reviewed in greater detail in Section IV-A. able online through the American Society of Metals of the As noted earlier, it would certainly be desirable if metal–carbon systems. The Co–C, Ni–C, Cu–C, Pd–C, graphene could be grown directly on a dielectric surface, Ru–C, Rh–C, Pd–Cu, Ag–C, Ir–C, Pt–C, Au–C, Ge–C, and without a metal intermediary. Growth of graphene has perhaps others, are a few binary systems where a stable been reported on hBN, magnesium oxide, cobalt oxide carbide phase does not seem to form under equilibrium (Co3O4)andperhapsothers[91]–[94].However,atthis conditions; however, one must also pay attention to time, there are no reports of high-quality, large-area metastable phases as in the case of Ni2C [26], [90]. continuous single-layer graphene growth on any dielectric Fig. 1(a) and (b) shows the phase diagram of Ni–C and surface. Cu–C, respectively, where it is very clear for the Ni–C In the case of metals, there are other criteria for system that, at temperatures less than the melting point substrate selection other than C solubility, such as substrate etchability, availability or cost, purity, grain size, orientation control, etc. Therefore, given these basic requirements, it is desirable to have a metal–carbon system that satisfies the aforementioned characteristics. Unfortunately, at this time, there is no single metal that fulfills all of the requirements but the metals being used are providing a sufficient quantity and quality of graphene to enable device development. Currently, the research community has basically overcome the challenge of the growth of monolayer graphene by using CVD. However, because graphene does not have a bandgap, it would be desirable for simple FETs to have a channel material with a bandgap. Two approaches have been used to introduce a bandgap in graphene: one is to form GNRs, which have been shown to have bandgaps and the second is to form bilayer graphene. GNRs have been found to show width-dependent ‘‘band- gap,’’ while the bilayers show a bandgap when a trans- verse electric field is applied. The formation of GNRs at the few nanometer dimension has the added challenge of controlling the graphene edge structure and dimen- sionsorlineedgeroughness(LER)asitiscommonly referred to for Si transistors [95]–[97]. Growth of bilayer graphene on the other hand has been demonstrated on both metals and dielectrics, but it is difficult to control thenumberoflayersatthistime[43],[98],[99].Some advantages and disadvantages of the various graphene Fig. 1. (a) Ni–C phase diagram. (b) Cu–C phase diagram. preparation and growth techniques are summarized in (Adapted from [1].) Table 1.

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1539 Colombo et al.:GrapheneGrowthandDeviceIntegration

Table 1 Graphene Film Growth Techniques Comparison

A. Chemical Vapor Deposition of graphene growth on Cu to very large areas. Since the graphite growth process on Ni takes place by a precipita- 1) Monolayer Graphene: CVD is one of the most tion process, even for very thin Ni films, graphene is only extensively used processes in the semiconductor industry observed in small regions [41], small grains, where perhaps because of its flexibility, composition control, conform- the grains are depleted of the carbon through heavy ality, efficient material usage, etc. It would be advanta- precipitation at grain boundaries. Recently, however, geous if we could use this process technology to grow Addou et al. [26] have reported on the growth of graphene graphene films. As with most materials, thickness and on Ni(111) at a relatively low temperature range, 500 C– composition control are critical and in the case of 650 C. These results are encouraging, but even at such graphene, as a single element material, composition low temperatures, it is difficult to completely prevent control is not an issue, however thickness control, precipitation upon cooling. Additionally, another set of impurities, and surface flatness are extremely critical. very interesting results of graphene growth on single- Graphene grown on Cu was found to grow by a surface crystal Co [29] and Ru [112] on sapphire has also been mediated process and can now be grown routinely and can recently reported, suggesting that the elimination of grain be transferred to any substrate for further evaluation and boundaries leads to improved control of graphene growth. use, while still maintaining the overall properties of the as- These results are important since they demonstrate the grownfilm[2].Fig.2(a)and(b)showsSEMimagesof ability to grow high-quality graphene and perhaps even graphene on Cu and graphene transferred to SiO2, hetero-epitaxial graphene on nearly lattice matched respectively, showing the uniform nature of the film substrates such as Ni and Co. However, there could be across a large area [11]. Fig. 2(c) further shows the scaling some technological limitations such as the unavailability

1540 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

[117]. Such methods result in a high density of hexagonal graphene regions upon further exposure to the CVD process. Recently, there have been some advances in the growth of large single-crystal graphene with grain sizes up to 0.5 mm on Cu substrates [118], as shown in Fig. 3(a), and up to 1 mm on Pt substrates [21], as shown in Fig. 3(b). Fig. 3(a) shows a single crystal of graphene grown on Cu where the average growth rate is about 3 m/min, and it is of high quality as determined by Raman spectroscopy as well as transport properties [118]. Recently, Petrone et al. [45] also reported on the mobility of CVD large-area domain, probably single crystal, graphene grown by the same process as that reported by Li et al. [118]. They found 4 2 the mobility to be as high as 2.5 10 cm /VsonSiO2 and 4.5 104 cm2/Vs on hBN for carrier-density- independent mobility and a residual carrier concentration at the Dirac point of much less than 1 1011 cm2 indicative of a good transfer process. These films show high mobility despite the fact that the growth front is dendritic, i.e., ‘‘rough.’’ The growth rate of the films Fig. 2. (a) SEM of graphene on copper and (b) optical image of grownonPtisalsoaboutthesameasthefilmsreported graphene transferred onto a quartz substrate. Adopted from [11]. (c) Large-area graphene grown by CVD on Cu and transferred to by Li et al. [118] on Cu. On the other hand, the graphene polymethylmethacrylate (PMMA) by a roll-to-roll process. grown on Pt and removed from the substrate by an Adapted from [12]. electrochemical process clearly shows a nearly flat growth front, as indicated by the nearly hexagonal shape, of large-area sapphire single crystals. The results of graphene growth on single-crystal Co [29] and Ru [112] on sapphire have also been recently reported, suggesting that the elimination of grain boundaries leads to improved control of graphene growth. These results are important since they demonstrate the ability to grow high-quality graphene and perhaps even hetero-epitaxial graphene on nearly lattice matched substrates such as Ni and Co. However, there could be some technological limitations such as the unavailability of large-area sapphire single crystals. These results could have a positive impact on the fabrication of high-quality electronic devices on graphene if the resulting graphene on lattice matched substrates has a final lower defect density. Growth of large-area graphene is critically important, not only for nanoelectronic devices but even more so for plastic substrate electronics and transparent conductive electrodes, as well as energy generation applications. The principal difference between these applications is that transparent conductive elec- trodes may tolerate defects in the graphene films such as grain boundaries that other devices may not. Higher per- formance thin-film electronic devices on flexible sub- strates are promising since graphene would provide much higher mobilities than other materials under evaluation [113]–[115].

There have also been reports of CVD graphene Fig. 3. Single crystals of graphene grown by CVD using methane on synthesis using patterned nucleation sites such as Ni polycrystalline (a) Cu (adopted from [9]) and on (b) Pt (adopted from metal dots [116] or even patterned graphene seed regions [21]) substrates.

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1541 Colombo et al.:GrapheneGrowthandDeviceIntegration

120 corners of the crystal. With this faceted graphene mediated synthesis [40], [108], [121] as well as longitudi- single crystal, one would expect exceptional transport nal chemical unzipping of carbon nanotubes (CNTs) [110]. properties, but at this time, while the density-independent GNRs have also been formed by a templating growth mobility is very good, > 7.1 103 cm2/Vs with a residual process on SiC [101]. This would certainly be an elegant carrier concentration of about 2 1011 cm2,attheDirac approach to address the many issues of forming GNRs, point, it is lower than the best reported for graphene however, at this time, this can only be achieved directly on grown on Cu or exfoliated graphene. However, this lo- SiC crystals and this can be an intermediate approach for wer mobility could be a result of unoptimized device device evaluation. The continued development of GNR for processing. any device will be critical to the scaling of graphene-based devices regardless of whether a large bandgap is needed. In 2) Bilayer Graphene: Bilayer graphene has been observed addition, as already mentioned above, graphene scaling during the growth of CVD graphene layers in most reports. will need precise LER control in order to yield uniform The density of the bilayer regions has been decreasing as device performance across a wafer [107]. It is unlikely that the CVD process is improving. The principal reason for the traditional etching will meet the required uniform GNRs, successful growth of monolayer graphene is the low C thus the development of deterministic atomically precise solubility in the Cu substrate and the absence of catalytic formation approaches may be necessary. Graphene and activity of graphene. It is this very reason that makes it GNRs are being considered for interconnect applications difficult to grow uniform controlled multilayer graphene as well but the effort for its implementation has been fairly layers. The need for demonstration of bigraphene devices low in comparison to other applications [122], [123]. has spurred many to investigate the growth of bilayer graphene by CVD. There are a few reports on the C. CVD Graphene Characterization intentional growth of large-area Bernal stacked bilayer Raman spectroscopy is one of the most effective graphene [98], [99]. The results seem promising and, at characterization tools for graphene. Ferrari et al. [124] this time, there are potentially two mechanisms for and Casiraghi et al. [125] have performed extensive studies growing these films: 1) growth of the second layer by the to establish the relationship between Raman spectral use of graphene fragments formed on a source metal characteristics and the number of graphite layers and surface (Cu in [99]); and 2) growth under the first transport properties. There are three principal Raman growing graphene films, as reported by Nie et al. [119]. spectral characteristics that are used to evaluate the There are also cases where the films are not uniformly presence and quality of graphene: the D-band (peak) at Bernal stacked, perhaps due to the presence of multiple 1350 cm1,theG-band at 1590 cm1, and the 2-D-band grains and thus poor registration of the first and second at 2700 cm1.TheD-peak is representative of defects in films. graphene or graphite, while the G and 2-D-peaks, indicative Progress is being made deliberately in the basic of the sp2 bonding, are very sensitive to the doping levels in understanding of bilayer graphene growth [43], [119], the films. Additionally, the 2-D-peak is made up of two [120]. Sun et al. [120] studied the structure of graphene distinct peaks 2-D1 and 2-D2 in natural graphite, and as the and multilayer graphene on Ni by in situ low-energy graphite tends toward a monolayer, the higher wave number electron microscopy (LEEM) and found that single layers peak 2-D2 decreases to merge into a sharp 2-D-peak at the of graphene are strongly bound to the Ni substrate and lower wave number, and it is this signature that enables the -band structure characteristic of graphene is absent, one to distinguish graphene from multilayer graphene whileitispresentforbilayergraphene.Thiscouldbean [124]–[126]. issue in the growth of either monolayers of graphene or Fig. 4 shows the Raman spectrum of graphene grown bilayers; however, Peng et al. [43] using a similar process on Cu foils and transferred onto SiO2/Si substrate in find that the bilayers are well behaved according to comparison to that of highly oriented pyrolitic graphite Raman spectroscopy. At this point though there are no (HOPG). The spectrum clearly shows a narrow 2-D reports yet on the electrical behavior of these films. spectral band indicating that the film is a monolayer of graphite, and the absence of a significant D-band shows B. Graphene Nanoribbons that the films have a negligible defect density. GNRs have been extensively studied with the intent of The growth of graphene on copper can be controlled by introducing a bandgap in graphene as well as trying to changing the processing conditions such as substrate evaluate the limits of graphene physical scaling. The first surface conditions, temperature, pressure, precursor and reports have been on chemically derived GNRs from hydrogen partial pressure, and precursor type. At first, a natural graphite with widths below 10 nm. These films few bilayer regions in graphene on Cu were reported [11], showed semiconducting behavior with carrier mobilities presumably as a result of defects on the Cu surface, long below 200 cm2/Vs. The need to form graphene with a growth times, and higher precursor pressures. The growth bandgap has spurred other groups to form GNRs by direct of bilayer regions was studied by Nie et al. [119], and they chemical methods, a bottom–up approach and surface showed that the bilayer regions are a result of carbon

1542 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

Fig. 4. Raman spectrum of CVD graphene on SiO2 and that of HOPG.

diffusion under the first graphene film rather than on top of the graphene. The bilayer and sometimes trilayer regions are always small and do not grow even after extended growth periods suggesting that as the Cu surface is covered with graphene, theCsourceforthebilayer growth disappears. What distinguishes the growth of graphene on Cu from growth on other metals is that the growth process is surface limited; that is, the growth ceases as the metal surface is covered with graphene. The 2-D surface-limited growth process of graphene on Cu was identified using a carbon isotope labeling technique, as reported by Li et al. [127]. In this experiment, the surface of Cu is exposed sequentially to 12 ‘‘normal’’ methane, primarily CH4, and then to methane Fig. 5. (a) Raman spectroscopic map of graphene grown on Cu using 13 containing C. Because of the mass difference between isotopically labeled carbon in methane (12CVstandard graphene and 12Cand13C, the Raman peak positions shift, and this shift 13C). (b) Raman spectroscopic map of graphene grown on Ni using was used to monitor the location of the two carbon isotopically labeled carbon in methane (12CVstandard graphene and 13 isotopes on the Cu surface. Fig. 5(b) shows the Raman map C). (Reprinted with permission, Ó2010 American Chemical Society; adapted from [8].) 12 of graphene grown on Cu by sequential exposure to CH4 13 and CH4 at about 1035 C and the corresponding Raman spectra [Fig. 5(a)]; it is clear from the banding, yellow and black, that graphene is growing by a 2-D, i.e., surface mediated, process. A similar experiment was performed A. Transfer of CVD Graphene using thin-film Ni as the substrate, and it was found that The utilization of CVD graphene grown on metals for graphene does not grow by the same process on the Ni as device applications currently requires a transfer process exhibited by the uniform shift of the G-peak, as shown in from the metal substrate to a more suitable substrate, a Fig. 5(c) and (d).

IV. MATERIALS INTEGRATION In order to create graphene-based electronic devices, it is necessary to integrate graphene with a dielectrics, metal contacts, and electrodes. Fig. 6 shows a cross section of a simple four-terminal device with a source and drain, gate dielectric, top gate electrode, and bottom gate contact or body contact. In this section, we will review graphene transfer from metals to dielectrics, dielectric deposition and chemical analysis on graphene, and the effect of Fig. 6. Schematic diagram of a dual-gated graphene FET structure. dielectrics on electronic transport and contact materials. (Reprinted with permission, Ó2009 American Institute of Physics [14].)

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1543 Colombo et al.:GrapheneGrowthandDeviceIntegration

dielectric layer on the surface. The transfer process, although far from ideal, enables reasonable quality graphene for device research and development, with the potential for large-area substrates. In contrast, Si sublima- tion from SiC renders graphene layers on a wafer surface outright, and thus does not require a transfer process to fabricate research devices [128]. In this case, however, the available wafer area size limits the economics of the process and, thus, the potential applications, specifically those requiring integration with Si or those requiring very large areas such as display applications in an HVM environment. The transfer process, first reported by Yu et al. [129], entails a number of wet chemical processes; these can include exposure of the graphene/metal substrate to polymers, solvents, etchants, water rinses, as well as assorted thermal anneals. From a semiconductor manu- facturing point of view, it is clear that such processes would be expected to introduce organic contaminants or defects at some level, and thus a systematic understanding of the impact of the processes is desirable. For example, spin-on poly(methyl methacrylate) (PMMA) [11], [41] or polydimethylsiloxane (PDMS) [129] have been used as a protective coating over the graphene permitting the etching and removal of the underlying metal substrate used for growth, as shown in Fig. 7(a). At the conclusion of the metal etching process, a ‘‘raft’’ of PMMA/ graphene remains, which can be essentially ‘‘fished’’ out of the solution and placed onto a desired substrate, such as a SiO2/Si wafer. The PMMA is then often dissolved away using a suitable solvent, such as acetone. Figs. 8 and 9 show the effect of PMMA residues on graphene device behavior and the resulting surface charac- teristic as determined by XPS [18]. Fig. 8(a) shows the XPS spectra of CVD graphene on Cu, followed by Fig. 8(c) after transfer to a SiO2/Si substrate and PMMA removal using acetone, and finally the film is annealed under ultrahigh vacuum [Fig. 8(c)]. It is seen that the typical PMMA solvent removal process is incomplete, resulting in detectable residuals in the C1s spectra. The subsequent vacuum anneal reduces these residuals to levels near the limit of detection for XPS, and is consistent with prior scanning tunneling microscopy (STM) studies using a reducing atmosphere [73] as well as more recent studies [133]. It is interesting that XPS data performed on the same films after transfer do not show any detectable metal; however, while XPS can provide initial indications on the metal (Cu for example) concentration on Fig. 7. Graphene transfer process from the Cu substrate. (a) Chemical dissolution of the Cu, (adapted from [2]. the graphene surface, more sensitive techniques such as (b) Electrochemical separation [20] of Cu and graphene. inductively coupled plasma mass spectrometry (ICPMS) (Reprinted with permission, Ó2011 American Chemical Society.) will have to be used before such films can be introduced in a Si line. Another method of transferring the graphene is to use a ‘‘dry’’ process where the graphene is covered with the usual dielectric. Following the original work on device measure- polymer, for example, PMMA, but rather than placing the ments using exfoliated graphene, a majority of the studies substrate in the ‘‘wash water’’ and transferring the with CVD graphene entail transfer to Si wafers with a SiO2 graphene/PMMA stack over water, it is removed from on

1544 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

Fig. 8. XPS C1s spectrum for (a) CVD graphene on Cu, (b) graphene after transfer to SiO2 and removal of PMMA using acetone, and (c) after Fig. 9. (a) Rtotal versus VGS for the as-prepared devices, annealed at 300 C/3 h ultra high vacuum (UHV) anneal. Curve fits to spectral 300 C in UHV, annealed at 300 CinUHV,plusinsituannealedat80C components attributed to graphene sp2 C–C bonds (d) and PMMA and measured at room temperature, compared to the same device residue (e)–(i) are shown. (Reprinted with permission, measured at 77 K after 300 C UHV anneal followed by an 80 Cinsitu Ó2010 American Institute of Physics [18].) anneal. (b) Mobility as a function of the different graphene and gFET treatments. (Adapted from [15].)

top of the etchant/water solution using a carrier and then placed onto the desired substrate after drying [45], [131]. by the transfer process. Further systematic studies have Yet another similar dry process is the use of a roll-to-roll also been performed at other stages of the transfer process, process, as described by Bae et al. [12], for very large areas. including the effect of water exposure and in situ annealing Recently, there have also been reports on the transfer of to remove physisorbed species prior to electrical measure- graphene by an electrochemical process for both Cu [20] ments [15]. Mobility measurements on CVD graphene substrates and Pt substrates [21], as shown in Fig. 7(b). comparable to exfoliated graphene on SiO2/Si have been The clear advantage of this process is that the substrate can obtained by controlling these processes, and through the be reused many times, and perhaps it may simplify the minimization of extrinsic impurities or defects. handling of the graphene with the transfer polymer from CVD graphene has also been transferred onto alterna- the solution. This type of process could have significant tive dielectrics such as hBN and ideal substrate for advantages since it minimizes metal waste, a potential graphene since they are nearly lattice matched to each environmental problem; further the graphene–polymer other and are both layered materials. The use of exfoliated stack can be transferred ‘‘dry’’ to the desired substrate. hBN as a substrate for exfoliated graphene has resulted in There are advantages and disadvantages to any of the superior device performance due to several factors, current transfer processes, and it is important to optimize including chemical inertness and flatness [134], [135]. As each one, with particular attention to surface cleaning so for large-area studies, work on CVD hBN has recently been as to render the graphene surface as close to the as-grown reported [136]. Single-layer hBN and multilayer hBN have surface as possible. been grown; however, at this time, the electrical The fabrication of back-gated graphene transistors performance of the CVD grown hBN is still inferior to (Fig. 9) enables the study of any correlated conductivity exfoliated hBN from bulk grown crystals [137]. Table 2 (typically measured under a modest vacuum) and the shows a summary of the most common transfer process extracted mobility behavior. It is seen that the reduction of used. There is still much work to be performed in such residues impacts the mobility substantially, pointing optimizing each of the processes, and process selection to the need for careful control of contaminants introduced will depend to the particular application.

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1545 Colombo et al.:GrapheneGrowthandDeviceIntegration

Table 2 Graphene Transfer Process Comparison

B. Dielectrics on Graphene properties of graphene transferred to SiO2/Si wafer One of the basic properties of graphite, and thus substrate [4]. The application of a ‘‘top-gate’’ dielectric graphene, is that the surface is inert to surface oxidation on such structures enables the study of FET saturation and other reactions at typical process temperatures (less characteristics for high-performance analog applications than about 500 C) in comparison to other FET materials, such as radio-frequency (RF) circuits [138]. A review of the such as Si, Ge, and III–V semiconductors. Although recent developments in graphene transistor designs and graphene is quite resistive to oxidation, at the current their potential utility has been recently published [139]. typical device processing temperatures, there are some A number of strategies have been employed to enable materials that readily react with the graphene surface the deposition of dielectrics on graphene, including metal under certain chemical conditions. The resultant disrup- evaporation and ALD. The challenges of nucleating thin tion of the sp2 bonding due to such reactions results in dielectric films on the surface of graphene have been defect sites, which impact transport properties. However, recognized for some time, particularly in view of prior the oxidation behavior also makes integration of graphene work on CNT surfaces [140]. in a conventional device flow challenging. This section For high-k dielectrics such as HfO2 or ZrO2,ALD summarizes the issues and progress on dielectric integra- methods were employed on CNT transistor structures so tion for graphene FETs. that the CNT channel was essentially encased within the Dielectrics in contact with graphene are required to high-k layer [141]. As the ALD process generally requires enable field-effect control of electron and hole transport reaction with the available surface bonds for film through the graphene layer by means of an electrically nucleation and subsequent growth [142], it was later isolated external gate. Typical in many of the earlier shown that defects in the nanotubes can result in such graphene-FET (gFET) devices utilizing exfoliated gra- nucleation sites [140]. Alternative methods for nucleation phene, a dielectric such as SiO2 was employed to serve as a sites entailed the concepts of functionalizing the CNT ‘‘back-gate dielectric’’ in order to probe the transport surface sufficiently to enable subsequent ALD of dielectrics

1546 Proceedings of the IEEE |Vol.101,No.7,July2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

[143], based in part on earlier observations of CNT resistivity changes upon exposure to species such as NH3 and NO2 that were apparently physisorbed to the CNT surface [144], [145]. Using a combination of NO2 and trimethyl–aluminum (TMA), a well-known ALD precursor for Al2O3 deposition, Farmer and Gordon [143] demon- strated that a thin, uniform Al2O3 dielectric can be formed on the single-wall CNT surface by ALD. Without such functionalization of clean graphene, nonuniform film deposition occurs largely at defect sites, as seen in Fig. 10 for the HOPG surface, which is thought Fig. 11. (a) Raman spectrum of a pristine single graphene layer to be representative of the graphene surface [146]. It can (bottom) and graphene treated with O3 at 25 C for 20 s. (b) The same as be seen that the ALD process decorates the step edges of (a) except with O3 exposure at 200 C. (Reprinted with permission, the surface, while the relatively inert terraces remain free Ó2010 American Institute of Physics [17].) of detectable deposition under these conditions. The NO2- functionalization strategy was subsequently adapted for top- gate Al2O3 dielectric growth on the exfoliated graphene been reported upon exposure to O2/Ar mixtures as well as to surface for measurements of ambipolar ultraviolet light, for example, [150]. transport [147]. Later work on gFETs demonstrated, The O3 process can be optimized for an exfoliated however, that such NO2 functionalization can degrade graphene layer by conducting the O3 pretreatment at room gFET mobility presumably through charge impurity scatter- temperature, followed by an elevated temperature TMA/ ing as well as interface phonons with the Al2O3 [148]. water ALD process, as reported by Lee et al. [17] As seen in Another challenge for adopting NO2 in standard device pro- Fig. 11(a), the Raman spectra of the 25 CO3 exposure for cessing lies in the corrosive properties of the gas in 20 s results in no detectable defect band (‘‘D’’ 1350 cm1), deposition tools where residual water may be present and while the elevated temperature clearly results in etch safety considerations due to the strong reactivity. As a result, damage and thus a detectable ‘‘D’’-band [Fig. 11(b)]. The alternative functionalization methods are being explored. resultant Al2O3 film was then grown with a TMA/water A common point-of-use oxidizer, well established in chemistry at 200 C and incorporated as a top gate on a semiconductor fabrication technology, is ozone, and this has dual-gated gFET device using exfoliated graphene. Electri- been recently explored for surface functionalization of cal characterization shows ambipolar behavior with an 2 graphene [16], [17], [149]. The effect of an O3 pre-treatment extracted mobility [14], [151] as high as 5000 cm /s [17]. to the HOPG surface on Al2O3 ALD is shown in Fig. 10(c). In The mechanism associated with functionalization by this work, the HOPG surface was exposed to O3 at 200 C O3 on clean graphene has been considered using first- resulting in substantial C–O bonding thus rendering the principles computational methods and in situ electrical surface active for subsequent Al2O3 ALD growth with a characterization [152], [153]. It is found that the short time TMA/water chemistry. Of course, the formation of detect- 25 CO3 exposure is predicted to weakly chemisorb on the able C–O bonding implies some disruption of the HOPG surface, resulting in an epoxide species with submonolayer surface, and thus damage to a graphene specimen with this surface coverage. This species then serves as a nucleation process would clearly be anticipated. Such elevated site for subsequent ALD dielectric growth. Additionally, temperature etch damage (and chemical doping) has also the effect of extrinsic contamination with the O3 process is also a consideration for film nucleation [154]. Organic species have also been shown to facilitate nucleation for subsequent ALD dielectric film growth, such as perylene tetracarboxylic acid (PTCA) [155] or perylene- 3,4,9,10-tetracarboxylic dianhydride (PTCDA) [156], but transport measurements of gFETs using these organic layers have not yet been reported. Polymer-based nucleation layers have also been employed and include photoresist materials such as hydrogen silsesquioxane (HSQ) [157] as well as a planarization polymer that performs as a low-k dielectric buffer layer [158], [159]. In the latter case, an extracted mobility up to 7700 cm2/s was reported for top-gated gFETs Fig. 10. Atomic force microscope images of the HOPG surface after with an HfO2 layer deposited on the buffer layer [159]. The (a) exfoliation and (b) exposure to an ALD process of TMA and water at 250 C, and (c) after exposure to an ALD process and ozone at use of such ‘‘seed’’ layers for functionalization and subse- 200 C. (Reprinted with permission, Ó2008 American Institute of quent high-k dielectric deposition has also been proposed to Physics [16].) decouple the surface phonon interaction associated with

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1547 Colombo et al.:GrapheneGrowthandDeviceIntegration

such oxides and the graphene interface, potentially enhanc- There are limited reports on the effect of the deposited ing the resultant mobility. dielectrics on the Raman signature. The limited data show Given the ability of organic species to result in that there are no significant deleterious effects as established nucleation and growth of ALD dielectric films by ALD, by the absence of the Raman D-band for processes that yield one may also ponder the effects of spurious surface good electrical data [17], [171]. contamination from, for example, atmospheric exposure. Scaling of deposited oxides has been extensively Such contamination is well documented in the Si studied with some nucleation–functionalization ap- integrated circuit fabrication community, and contains proaches better than others as described above, but scaling organic species as well as physisorbed species such as downtomonolayerlevelswithoutpinholesandlowgate water [160]. Estimates of the formation of a monolayer on leakage has been difficult to achieve. The introduction of a typically reactive surface have been less than 30 min hBN [134] and transition metal dichalcogenides (TMD) [161]. Enhanced surface contamination from B during [172] as potential dielectrics has changed the landscape of exposure of a surface under clean-room conditions has also dielectric scaling approaches and is now attracting a lot of been reported [162]. The concentration of such species on attention [173], [174]. Graphene, exfoliated and CVD on freshly exfoliated graphene may be anticipated to be Cu, transfer to hBN flakes has yielded excellent carrier somewhat less than that on active surfaces such as the mobilities as a result of lower substrate induced disorder. native oxides of Si or III–V compounds, but their effect on Deposition and growth of these materials is now being nucleation in an ALD process cannot be ruled out. extensively investigated because of the promising electri- Residues from processing also surely play a role in this cal results using exfoliated films. However, the deposition context. The impact of such contamination on ALD high-k of the same materials on metal substrates and on graphene dielectric growth has been recently reported [149]. at the monolayer level has not yielded the same transport An alternative method to ALD of gate dielectrics on properties, to our knowledge, yet. graphene includes the physical vapor deposition (PVD) of While ALD, perhaps in this case atomic layer epitaxy metals and metal oxides. Early work on high-k gate (ALE), can still be a desired approach for the growth of dielectric deposition on Si employed this concept [163]. these ‘‘new’’ 2-D materials, there are other techniques such Examples include sputtered Zr [164] as well as evaporated as molecular beam epitaxy that could be equivalently LaandAl[165].andsubsequentoxidationtoformthin attractive. The advantage of processes like MBE is that one (2–5 nm) ZrO2,La2O3,andAl2O3, respectively. In the could avoid the use of precursors that tend to introduce context of graphene, Kim et al. [14] utilized this approach unwanted hydrocarbons and perhaps metallic impurities to produce a ‘‘seed layer’’ for subsequent Al2O3 ALD because of the difficulty in procuring very high purity growth. A 1–2-nm Al metal film was deposited by e-beam precursors, and thus provide purer films. At this time, evaporation on exfoliated graphene, followed by exposure there are no reported data on the effect of specific to the clean-room ambient resulting in extensive oxidation impurities in gate dielectrics on device performance. of the thin Al layer [166]. This surface was then submitted to a water/TMA ALD process to produce a 15-nm Al2O3 C. Graphene-Dielectric Interface Characterization dielectric film incorporated into a top-gated structure. A The characterization of the graphene-dielectric inter- dielectric constant of k ¼ 6:0 was reported for the face is also a topic of intense interest. An important resultant Ni/Al2O3/AlOx/graphene gate stack, and an method in this work is X-ray photoelectron spectroscopy extracted mobility as high as 8600 cm2/s was reported. [175], which enables the detailed understanding of the This work was recently extended by comparing Ti and Al as interfacial bonding present. Particularly when coupled nucleation layers. The data indicate that the Ti is a more with in situ treatments of the graphene surface, one can effective and uniform metal as an adhesion layer yielding obtain a fundamental understanding of reaction pathways an improved capacitance scaling for the Al2O3 top-gate during the dielectric growth process. Another important dielectric on exfoliated graphene [167]. technique is scanning tunneling microscopy/spectroscopy. Lemme et al. [168], [169] studied the use of an electron- An example of in situ analysis of 1 nm of Al deposited beam evaporated SiO2 layer (20 nm) as a gate dielectric on an on HOPG is shown in Fig. 12 [7]. In this work, the effect of exfoliated graphene gFET and observed that the mobility is annealing of the exfoliated HOPG sample, prior to Al reduced from 4800 cm2/Vs for electrons and holes to metal deposition was conducted, to remove physorbed 700 and 500 cm2/Vs, respectively, after deposition of the species on the surface, such as hydroxyls from (brief) top-gate stack. An interaction of the -orbitals of the under- atmospheric exposure. It is seen in Fig. 12(a) that the post- lying graphene with the SiO2 resulting in van der Waals anneal surface reveals a characteristic C1s line shape for bonding [170] was proposed as the source of mobility de- sp2 carbon, and that the Al2p spectra is consistent with gradation for this gFET. There are limited reports utilizing unoxidized Al on the clean HOPG surface. Upon exposure such PVD methods to seed ALD on CVD graphene, and to O2 at 200 C, the aluminum is not completely oxidized, mobilities greater than 4000 cm2/Vs have been extracted as seen by the small remnant Al0 metal feature at 72.6 eV. from electrical measurements of Al2O3 top-gated films [11]. In contrast, if the exfoliated HOPG surface is not annealed

1548 Proceedings of the IEEE |Vol.101,No.7,July2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

Fig. 12. O1s, C1s, and Al2p spectra for graphite sample with predeposition anneal at 500 C to remove physisorbed interfacial hydroxyls (a) Al as-deposited and (b) Al oxidized in 1000 mbar O2 at 200 C for 10 min. Sample without predeposition anneal (c) Al as-deposited and (d) oxidized under the same conditions as (b). (Reprinted with permission, Ó 2009 American Institute of Physics [7].)

prior to deposition, the surface has remnant oxygen-related physisorbed species (likely hydroxyls), and the deposited Al reacts with these species to consume them forming AlOx at the interface [Fig. 12(c)]. It is clear that subsequent Fig. 13. 1-nm Hf deposited on (a) exfoliated graphite with oxidation then results in complete oxidation of the deposition chamber walls at 25 CandP ¼ 1 108 mbar, (b) annealed deposited Al. In contrast to the behavior of Al, a 1-nm Hf (500 C/30 min) graphite with chamber walls cooled by liquid N2 10 and P ¼ 4 10 mbar, (c) Hf oxidized in 1000 mbar O2 at 25 C, layer deposited at room temperature can result in Hf–C 6 (d) HfO2 deposited by reactive e-beam deposition with 1 10 mbar formation on HOPG, as seen in Fig. 13. Fig. 13(a) shows the partial pressure of O2. A difference spectrum between the two XPS spectra of Hf/graphene after Hf deposition; where a graphite+HfO2 C1s peaks is shown in (e); the peak for sample (c) C1s feature at 282 eV is clearly evident and indicative of is broader than (d) by 0.13 eV. (Reprinted with permission, Hf–C bonding. Perhaps the formation of Hf–C reaction is Ó 2009 American Institute of Physics [19].) aided by the presence of adsorbates on the graphite surface; graphite–titanium reactions have been reported after annealing at high temperatures, and at T G 600 K subsequent oxidation of this film results in the formation of interfacial reactions have been observed. It is therefore HfO2 [Fig. 13(c) and (d)]. A comparison of the topography not surprising that Hf also reacts with graphite at room of these metal–oxide films using ex situ AFM (not shown) temperature. In the case of Al, Al4C3 can also be formed, reveals that the AlOx is clustered while the HfOx is but it readily reacts with water and is thus unstable [176]. In relatively smooth, presumably due to a lower surface thepresenceofoxygenaswasthecasefortheTi,Hf,andAl diffusion rate of Hf relative to Al, and is consistent with the interfacial layers reported by Fallahazad et al. [167], [177] interfacial chemical behavior observed. Taken together, and Kim et al. [178], the graphene seems to be very stable these results point to the importance of controlling the against carburization. Such bonding would be anticipated interfacial reactions through careful control of the depo- to be catastrophic for a monolayer graphene sheet. A sition tool conditions, and thus substantial optimization substantialamountofoxidizedHfisalsonotedonthis can be achieved for device development. In situ studies of surface from the companion O1s and Hf4f spectra. the HfO2/graphene (derived from SiC) have also been However, if one performs an anneal prior to deposition recently reported [179]. and utilizes a liquid N2 cooled shroud in the e-beam As noted previously, improvements in the capacitance deposition process, thereby improving the vacuum during scaling by engineering the interface are necessary. deposition through the cryo-adsorption of residual gas Fallahazad et al. [167]usedTiasanucleationlayerto species such as hydroxyls, carbide formation is suppressed improve the uniformity of the top dielectric as well as an and the Hf metal getters the remaining oxygen to form increase in the dielectric constant. The increase in HfOx on the HOPG surface, as shown in Fig. 13(b). Again, dielectric constant of the Al2O3/TiO2 stack was associated

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1549 Colombo et al.:GrapheneGrowthandDeviceIntegration

with a partial crystallinity of the Al2O3. It is interesting to contact resistance of the following metals on graphene/ note that no interdiffusion of the Al and Ti took place as SiC: Ti/Au, Cr/Au, Ni/Au, Pt/Au, Cu/Au, and Pd/Au after perhaps expected given the low processing temperature. It various oxygen plasma treatments and found that while the was also noted that the roughness of the oxidized Ti layer graphene quality degraded according to Raman measure- (0.24nm)isabouthalfofthatfortheoxidizedAllayer, ments, i.e., the D-band intensity increased significantly, the consistent with a reduced surface diffusion rate for Ti specific contact resistance decreased to about 107 W cm2. relative to Al. The extracted dielectric constant of the A summary of the process conditions and the effect on the Al2O3/TiO2 stack is larger than that for the Al2O3-only contactresistanceisshowninFig.14.Thisisamajor stack, and that the extracted mobility is also impacted by advancement, but even though there was a large work the higher dielectric capacitance density obtained through function difference between the various metals, there was the incorporation of Ti-based nucleation layer. The falloff no correlation to the contact resistance. The reasons for the in the mobility with increasing (Al2O3) gate stack thickness high contact resistance vary and the lack of correlation to was attributed to Coulomb scattering from charged point the specific metal may be associated with the lack of precise defects (e.g., oxygen vacancies) in the dielectric [167]. understanding of the graphene–metal interface chemistry, Recent studies have also examined the placement of Al2O3 an area still to be carefully investigated. nanoribbons on exfoliated graphene, where top-gate mobi- Certainly, graphene on SiC maybe the best source of lities as high as 23 600 cm2/Vs were reported for the thin- ‘‘clean’’ graphene since exposure to hydrocarbons and other nest top dielectric, 38 nm and a residual carrier concentration at the Dirac point of about 4.1 1011 cm2 [180]. Recently, in situ electrical measurements of ALD Al2O3 deposited on exfoliated graphene utilizing the ozone functionalization methods described previously indicate a back-gated mobility as high as 19 000 cm2/Vshavealso been reported [153]. Finally, extensions to deposited low-k dielectrics on exfoliated graphene have been reported for parylene-C, a dielectric normally employed for organic thin-film transistor development [181]. Further work using such dielectric deposition methods applied to large-area CVD graphene is anticipated in the near term.

V. METAL CONTACTS Successful device fabrication and operation requires low contact resistance for the source and drain regions since this can limit device performance. There has been a significant amount of effort in decreasing the metal contact resistance in silicon devices. The contact resis- tanceofmetalstographeneortoCNTsisstillveryhigh compared to state-of-the-art contacts in silicon devices. There have been some advancements in the contact resistance of metals on graphene, but it is still about an order of magnitude too high. There are several issues at this time, metal selection, graphene surface cleaning, and metal–graphene bonding type and understanding. Metal selection involves ensuring that the metal does not fully carburize thus destroying the graphene or dissolving the graphene, and must have the desired work function. With the exception of Cu, Ir, Au, and Ag, most metals either react or can significantly dissolve the carbon under appropriate thermodynamic and chemical conditions. A few studies have been carried out to extract the contact resistance of metals on graphene [10], [182]–[184]. The contact resistance of metals on graphene was measured by the traditional transfer length Fig. 14. Process showing that surface preparation has a significant method (TLM) for a several metals and the graphene effect on the specific contact resistance of Ti to graphenen. (Reprinted carefully characterized. Robinson et al. [10] measured the with permission, Ó 2011 American Institute of Physics [10].)

1550 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

chemicals used in the processing and transfer of CVD ment of many different applications, from displays to RF graphene is much lower. However, the multilayer nature of devices. In addition, the community is learning how to graphene on SiC may introduce aspects in the understanding grow relatively large single crystals of graphene on metal and controlling the contact resistance in single-layer surfaces that will hopefully help identify the material graphene that have not been comprehended so far. Recently, requirements for the most demanding devices. Xia et al. [185] also reported on the reduction of the contact Because of the physical size demands and manufactur- resistance of Pd to graphene down to about 170 W-cm, but ability, it is likely that CVD processes, in general, will win this is still too high for most device applications. Therefore, it out over other graphene production means for HVM of is important to continue to develop a basic understanding of graphene-based high-performance devices. Growth of the metal–graphene interface chemistry. graphene on SiC can provide very high-quality material In addition to the basic understanding of the interface but many applications require large substrate areas that chemistry, it is also important to select the appropriate this technique cannot currently provide. CVD of graphene metal deposition technique in addition to graphene surface on dielectrics would be a preferred process; however, cleaning and preparation. Nagashio et al. [186] have there are still many challenges that face this approach, and recently reported that sputtered Ti led to extremely high more work needs to be done. The contact resistance also resistivity in comparison to evaporated Ti. requires a significant amount of work in understanding metal–graphene interface, and the effect of extrinsic factors of which at this stage of development there are VI. SUMMARY many. Finally, an equivalently challenging problem is the Significant advancements have been made in the growth scaling of gate dielectrics on graphene with a desired and integration of large-area graphene films over the past dielectric constant. While dielectrics have been scaled on eight years or so. Freestanding extremely large graphene Si down to about 1 nm in products and dielectrics of films can be grown using CVD on weakly interacting thicknesses much lower than 1 nm can de deposited or substrates like Cu with transport properties equivalent to grown on Si, the deposition details of dielectrics on graphene exfoliated from natural graphite. Because of the graphene still need more work. The use and development ability to grow very large-area and high-quality graphene of2-DcrystalssuchashBNandTMDcouldhelpaddress films, the CVD growth process is enabling the develop- the need for scaled dielectrics. h

REFERENCES L. Colombo, and R. S. Ruoff, ‘‘Large-area performance-limiting factors in graphene graphene single crystals grown by grown by chemical vapor deposition,’’ ACS [1] FactSage, The integrated thermodynamic low-pressure chemical vapor deposition of Nano, vol. 6, pp. 3224–3229, 2012. databank system, 2010. [Online]. Available: methane on copper,’’ J. Amer. Chem. Soc., http://www.factsage.com/. [16] B. K. Lee, S. Y. Park, H. C. Kim, K. Cho, vol. 133, pp. 2816–2819, 2011. E. M. Vogel, M. J. Kim, R. M. Wallace, and [2] X. Li, Y. Zhu, W. Cai, M. Borysiak, B. Han, [10] J. A. Robinson, M. LaBella, M. Zhu, J. Y. Kim, ‘‘Conformal Al2O3 dielectric layer D. Chen, R. D. Piner, L. Colombo, and M. Hollander, R. Kasarda, Z. Hughes, deposited by atomic layer deposition for R. S. Ruoff, ‘‘Transfer of large-area graphene K. Trumbull, R. Cavalero, and D. Snyder, graphene-based nanoelectronics,’’ Appl. Phys. films for high-performance transparent ‘‘Contacting graphene,’’ Appl. Phys. Lett., Lett., vol. 92, May 2008, 203102. conductive electrodes,’’ Nano Lett., vol. 9, vol. 98, Jan. 2011, 053103. pp. 4359–4363, 2009. [17] B. Lee, G. Mordi, M. J. Kim, Y. J. Chabal, [11] X. S. Li, W. W. Cai, J. H. An, S. Kim, J. Nah, E. M. Vogel, R. M. Wallace, K. J. Cho, [3] S. K. Banerjee, L. F. Register, E. Tutuc, D. X. Yang, R. Piner, A. Velamakanni, L. Colombo, and J. Kim, ‘‘Characteristics of D. Basu, S. Kim, D. Reddy, and I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, high-k Al2O3 dielectric using ozone-based A. H. MacDonald, ‘‘Graphene for CMOS and and R. S. Ruoff, ‘‘Large-area synthesis of atomic layer deposition for dual-gated beyond CMOS applications,’’ Proc. IEEE, high-quality and uniform graphene films graphene devices,’’ Appl. Phys. Lett., vol. 97, vol. 98, no. 12, pp. 2032–2046, Dec. 2010. on copper foils,’’ Science, vol. 324, Jul. 2010, 043107. [4] K. S. Novoselov, A. K. Geim, S. V. Morozov, pp. 1312–1314, Jun. 2009. [18] A. Pirkle, J. Chan, A. Venugopal, D. Hinojos, D. Jiang, Y. Zhang, S. V. Dubonos, [12] S. Bae, H. Kim, Y. Lee, X. F. Xu, C. W. Magnuson, S. McDonnell, L. Colombo, I. V. Grigorieva, and A. A. Firsov, ‘‘Electric J. S. Park, Y. Zheng, J. Balakrishnan, T. Lei, E. M. Vogel, R. S. Ruoff, and R. M. Wallace, field effect in atomically thin carbon films,’’ H. R. Kim, Y. I. Song, Y. J. Kim, K. S. Kim, ‘‘The effect of chemical residues on the Science, vol. 306, pp. 666–669, Oct. 2004. B. Ozyilmaz, J. H. Ahn, B. H. Hong, and physical and electrical properties of chemical [5] V. V. Cheianov, V. Fal’ko, and B. L. Altshuler, S. Iijima, ‘‘Roll-to-roll production of 30-inch vapor deposited graphene transferred to ‘‘The focusing of electron flow and a Veselago graphene films for transparent electrodes,’’ SiO2,’’ Appl. Phys. Lett., vol. 99, 2011, lens in graphene p-n junctions,’’ Science, Nature Nanotechnol., vol. 5, pp. 574–578, 122108-3. vol. 315, pp. 1252–1255, Mar. 2007. Aug. 2010. [19] A. Pirkle, R. M. Wallace, and L. Colombo, [6] Q. Zhang, T. Fang, H. L. Xing, A. Seabaugh, [13] F. Bonaccorso, Z. Sun, T. Hasan, and ‘‘In situ studies of Al2O3 and HfO2 dielectrics and D. Jena, ‘‘Graphene nanoribbon tunnel A. C. Ferrari, ‘‘Graphene photonics and on graphite,’’ Appl. Phys. Lett., vol. 95, 2009, transistors,’’ IEEE Electron Device Lett., optoelectronics,’’ Nature Photon., vol. 4, 133106. vol. 29, no. 12, pp. 1344–1346, Dec. 2008. pp. 611–622, Sep. 2010. [20] Y. Wang, Y. Zheng, X. F. Xu, E. Dubuisson, [7] A. Pirkle, R. M. Wallace, and L. Colombo, [14] S. Kim, J. Nah, I. Jo, D. Shahrjerdi, Q. L. Bao, J. Lu, and K. P. Loh, ‘‘In situ studies of Al2O3 and HfO2 dielectrics L. Colombo, Z. Yao, E. Tutuc, and ‘‘Electrochemical delamination of on graphite,’’ Appl. Phys. Lett., vol. 95, 2009, S. K. Banerjee, ‘‘Realization of a high CVD-grown graphene film: Toward the 133106. mobility dual-gated graphene field-effect recyclable use of copper catalyst,’’ ACS Nano, [8] X. Li, W. Cai, L. Colombo, and R. S. Ruoff, transistor with Al2O3 dielectric,’’ Appl. Phys. vol. 5, pp. 9927–9933, Dec. 2011. ‘‘Evolution of graphene growth on Ni and Lett., vol. 94, Feb. 2009, 062107. [21] L. B. Gao, W. C. Ren, H. L. Xu, L. Jin, Cu by carbon isotope labeling,’’ Nano Lett., [15] J. Chan, A. Venugopal, A. Pirkle, Z. X. Wang, T. Ma, L. P. Ma, Z. Y. Zhang, vol. 9, pp. 4268–4272, 2009. S. McDonnell, D. Hinojos, C. W. Magnuson, Q. Fu, L. M. Peng, X. H. Bao, and [9] X. Li, C. W. Magnuson, A. Venugopal, R. S. Ruoff, L. Colombo, R. M. Wallace, and H. M. Cheng, ‘‘Repeated growth and R. M. Tromp, J. B. Hannon, E. M. Vogel, E. M. Vogel, ‘‘Reducing extrinsic bubbling transfer of graphene with

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1551 Colombo et al.:GrapheneGrowthandDeviceIntegration

millimetre-size single-crystal grains using 2010. [Online]. Available: arXiv:1005.4725 [49] T. Ohta, A. Bostwick, T. Seyller, K. Horn, platinum,’’ Nature Commun., vol. 3, [cond-mat.mtrl-sci]. and E. Rotenberg, ‘‘Controlling the Feb. 2012, article 699. [36] M. Y. Han, B. O¨zyilmaz, Y. Zhang, and electronic structure of bilayer graphene,’’ [22] F. Bonaccorso, A. Lombardo, T. Hasan, P. Kim, ‘‘Energy band-gap engineering of Science, vol. 313, pp. 951–954, Aug. 2006. Z. P. Sun, L. Colombo, and A. C. Ferrari, graphene nanoribbons,’’ Phys. Rev. Lett., [50] E. V. Castro, K. S. Novoselov, S. V. Morozov, ‘‘Production and processing of graphene vol. 98, 2007, 206805. N. M. R. Peres, J. Dos Santos, J. Nilsson, and 2D crystals,’’ Mater. Today, vol. 15, [37] X. Y. Yang, X. Dou, A. Rouhanipour, F. Guinea, A. K. Geim, and A. H. C. Neto, pp. 564–589, Dec. 2012. L. J. Zhi, H. J. Rader, and K. Mullen, ‘‘Biased bilayer graphene: Semiconductor [23] D. R. Dreyer, R. S. Ruoff, and ‘‘Two-dimensional graphene nanoribbons,’’ with a gap tunable by the electric field C. W. Bielawski, ‘‘From conception to J. Amer. Chem. Soc., vol. 130, pp. 4216–4217, effect,’’ Phys. Rev. Lett., vol. 99, Nov. 2007, realization: An historial account of graphene Apr. 2008. 216802. and some perspectives for its future,’’ [38] S. Linden, D. Zhong, A. Timmer, N. Aghdassi, [51] B. N. Szafranek, G. Fiori, D. Schall, Angewandte Chemie–Int. Ed., vol. 49, J. H. Franke, H. Zhang, X. Feng, K. Mullen, D. Neumaier, and H. Kurz, ‘‘Current pp. 9336–9344, 2010. H. Fuchs, L. Chi, and H. Zacharias, saturation and voltage gain in bilayer [24] K. S. Novoselov, D. Jiang, F. Schedin, ‘‘Electronic structure of spatially aligned graphene field effect transistors,’’ Nano Lett., T. J. Booth, V. V. Khotkevich, S. V. Morozov, graphene nanoribbons on Au(788),’’ Phys. vol. 12, pp. 1324–1328, Mar. 2012. and A. K. Geim, ‘‘Two-dimensional atomic Rev. Lett., vol. 108, May 2012, 216801. [52] G. Fiori and G. Iannaccone, crystals,’’ Proc. Nat. Acad. Sci. USA, vol. 102, [39] S. Osella, A. Narita, M. G. Schwab, ‘‘Ultralow-voltage bilayer graphene tunnel pp. 10451–10453, Jul. 2005. Y. Hernandez, X. L. Feng, K. Mullen, and FET,’’ IEEE Electron Device Lett., vol. 30, [25] A. J. Van Bommel, J. E. Crombeen, and D. Beljonne, ‘‘Graphene nanoribbons as no. 10, pp. 1096–1098, Oct. 2009. A. Van Tooren, ‘‘LEED and Auger electron low band gap donor materials for organic [53] G. Fiori and G. Iannaccone, ‘‘On the observations of the SiC(0001) surface,’’ Surf. photovoltaics: Quantum chemical aided possibility of tunable-gap bilayer graphene Sci., vol. 48, pp. 463–472, 1975. design,’’ ACS Nano, vol. 6, pp. 5539–5548, FET,’’ IEEE Electron Device Lett., vol. 30, [26] R. Addou, A. Dahal, P. Sutter, and M. Batzill, Jun. 2012. pp. 261–264, Mar. 2009. ‘‘Monolayer graphene growth on Ni(111) by [40] P. Ruffieux, J. M. Cai, N. C. Plumb, [54] H. Hosokawa, R. Sako, H. Ando, and low temperature chemical vapor deposition,’’ L. Patthey, D. Prezzi, A. Ferretti, E. Molinari, H. Tsuchiya, ‘‘Performance comparisons of Appl. Phys. Lett., vol. 100, Jan. 2012, 021601. X. L. Feng, K. Mullen, C. A. Pignedoli, and bilayer graphene and graphene nanoribbon [27] P. W. Sutter, P. M. Albrecht, and E. A. Sutter, R. Fasel, ‘‘Electronic structure of atomically field-effect transistors under ballistic ‘‘Graphene growth on epitaxial Ru thin precise graphene nanoribbons,’’ ACS Nano, transport,’’ Jpn. J. Appl. Phys., vol. 49, films on sapphire,’’ Appl. Phys. Lett., vol. 97, vol. 6, pp. 6930–6935, Aug. 2012. Nov. 2010, 110207. Nov. 2010, 213101. [41] A. Reina, X. T. Jia, J. Ho, D. Nezich, [55] K. Majumdar, K. Murali, N. Bhat, F. N. Xia, [28] J. Coraux, A. T. N’Diaye, M. Engler, C. Busse, H. B. Son, V. Bulovic, M. S. Dresselhaus, and and Y. M. Lin, ‘‘High on-off ratio bilayer D. Wall, N. Buckanie, F. Heringdorf, J. Kong, ‘‘Large area, few-layer graphene graphene complementary field effect tran- R. van Gastel, B. Poelsema, and T. Michely, films on arbitrary substrates by chemical sistors,’’ in Proc. IEEE Int. Electron Devices ‘‘Growth of graphene on Ir(111),’’ New J. vapor deposition,’’ Nano Lett., vol. 9, Meeting, 2010, pp. 736–739. Phys., vol. 11, Feb. 2009, 023006. pp. 30–35, Jan. 2009. [56] V. Ryzhii, M. Ryzhii, A. Satou, T. Otsuji, and [29] H. Ago, Y. Ito, N. Mizuta, K. Yoshida, B. Hu, [42] K. S. Kim, Y. Zhao, H. Jang, S. Y. Lee, N. Kirova, ‘‘Device model for graphene C. M. Orofeo, M. Tsuji, K. Ikeda, and J. M. Kim, J. H. Ahn, P. Kim, J. Y. Choi, and bilayer field-effect transistor,’’ J. Appl. Phys., S. Mizuno, ‘‘Epitaxial chemical vapor B. H. Hong, ‘‘Large-scale pattern growth of vol. 105, May 2009, 104510. deposition growth of single-layer graphene graphene films for stretchable transparent [57] V. Ryzhii, M. Ryzhii, A. Satou, T. Otsuji, and over cobalt film crystallized on sapphire,’’ electrodes,’’ Nature, vol. 457, pp. 706–710, V. Mitin, ‘‘Analytical device model for ACS Nano, vol. 4, pp. 7407–7414, Dec. 2010. Feb. 2009. graphene bilayer field-effect transistors using [30] Y. Hernandez, V. Nicolosi, M. Lotya, [43] Z. W. Peng, Z. Yan, Z. Z. Sun, and J. M. Tour, weak nonlocality approximation,’’ J. Appl. F. M. Blighe, Z. Y. Sun, S. De, ‘‘Direct growth of bilayer graphene on SiO2 Phys., vol. 109, Mar. 2011, 064508. I. T. McGovern, B. Holland, M. Byrne, substrates by carbon diffusion through [58] D. Svintsov, V. Vyurkov, V. Ryzhii, and Y. K. Gun’ko, J. J. Boland, P. Niraj, nickel,’’ ACS Nano, vol. 5, pp. 8241–8247, T. Otsuji, ‘‘Effect of ‘‘Mexican Hat’’ on G. Duesberg, S. Krishnamurthy, R. Goodhue, Oct. 2011. graphene bilayer field-effect transistor J. Hutchison, V. Scardaci, A. C. Ferrari, and [44] X. Li, C. W. Magnuson, A. Venugopal, J. An, characteristics,’’ Jpn. J. Appl. Phys., vol. 50, J. N. Coleman, ‘‘High-yield production of J. W. Suk, B. Han, M. Borysiak, W. Cai, Jul. 2011, 070112. graphene by liquid-phase exfoliation of A. Velamakanni, Y. Zhu, L. Fu, E. M. Vogel, [59] Y. B. Zhang, T. T. Tang, C. Girit, Z. Hao, graphite,’’ Nature Nanotechnol., vol. 3, E. Voelkl, L. Colombo, and R. S. Ruoff, M. C. Martin, A. Zettl, M. F. Crommie, pp. 563–568, Sep. 2008. ‘‘Graphene films with large domain size by a Y. R. Shen, and F. Wang, ‘‘Direct observation [31] X. L. Li, G. Y. Zhang, X. D. Bai, X. M. Sun, two-step chemical vapor deposition process,’’ of a widely tunable bandgap in bilayer X. R. Wang, E. Wang, and H. J. Dai, Nano Lett., vol. 10, pp. 4328–4334, 2010. graphene,’’ Nature, vol. 459, pp. 820–823, ‘‘Highly conducting graphene sheets and [45] N. Petrone, C. R. Dean, I. Meric, Jun. 2009. Langmuir-Blodgett films,’’ Nature A. M. van der Zande, P. Y. Huang, L. Wang, [60] J. R. Kyle, C. S. Ozkan, and M. Ozkan, Nanotechnol., vol. 3, pp. 538–542, Sep. 2008. D. Muller, K. L. Shepard, and J. Hone, ‘‘Industrial graphene metrology,’’ Nanoscale, [32] C. Berger, Z. Song, T. Li, X. Li, ‘‘Chemical vapor deposition-derived vol. 4, no. 13, pp. 3807–3819, 2012. graphene with electrical performance of A. Y. Ogbazghi, R. Feng, Z. Dai, [61] C. M. Garner, D. Herr, and Y. Obeng, exfoliated graphene,’’ Nano Lett., vol. 12, A. N. Marchenkov, E. H. Conrad, P. N. First, ‘‘Metrology and characterization challenges pp. 2751–2756, Jun. 2012. and W. A. de Heer, ‘‘Ultrathin epitaxial for emerging research materials and graphite: 2D electron gas properties [46] G. H. Gao, W. Gao, E. Cannuccia, devices,’’ in Proc. Front. Characterization and a route toward graphene-based J. Taha-Tijerina, L. Balicas, A. Mathkar, Metrology Nanoelectron., vol. 1395, nanoelectronics,’’ J. Phys. Chem. B, vol. 108, T. N. Narayanan, Z. Liu, B. K. Gupta, J. Peng, D. G. Seiler, A. C. Diebold, R. McDonald, pp. 19912–19916, 2004. Y. S. Yin, A. Rubio, and P. M. Ajayan, A. Chabli, and E. M. Secula, Eds., 2011, [33] J. Hass, F. Varchon, J. E. Milla´n-Otoya, ‘‘Artificially stacked atomic layers: Toward vol. 1395, pp. 43–46. new van der Waals solids,’’ Nano Lett., M. Sprinkle, N. Sharma, W. A. de Heer, [62] A. Tzalenchuk, S. Lara-Avila, K. Cedergren, vol. 12, pp. 3518–3525, Jul. 2012. C. Berger, P. N. First, L. Magaud, and M. Syva¨ja¨rvi, R. Yakimova, O. Kazakova, E. H. Conrad, ‘‘Why multilayer graphene on [47] Z. Liu, L. Song, S. Z. Zhao, J. Q. Huang, T. J. B. M. Janssen, K. Moth-Poulsen, 4H-SiC(0001) behaves like a single sheet of L. L. Ma, J. N. Zhang, J. Lou, and T. Bjørnholm, S. Kopylov, V. Fal’ko, and graphene,’’ Phys. Rev. Lett.,vol.100,2008, P. M. Ajayan, ‘‘Direct growth of graphene/ S. Kubatkin, ‘‘Engineering and metrology of 125504. hexagonal boron nitride stacked layers,’’ epitaxial graphene,’’ Solid State Commun., [34] P. N. First, W. A. de Heer, T. Seyller, Nano Lett., vol. 11, pp. 2032–2037, vol. 151, pp. 1094–1099, 2011. May 2011. C. Berger, J. A. Stroscio, and J. S. Moon, [63] P. Blake, E. W. Hill, A. H. C. Neto, ‘‘Epitaxial on silicon carbide,’’ [48] M. Han, B. Ozyilmaz, Y. Zhang, K. S. Novoselov, D. Jiang, R. Yang, MRS Bull., vol. 35, pp. 296–305, Apr. 2010. P. Jarillo-Herero, and P. Kim, ‘‘Electronic T. J. Booth, and A. K. Geim, ‘‘Making [35] A. Hashimoto, H. Terasaki, K. Morita, transport measurements in graphene graphene visible,’’ Appl. Phys. Lett., vol. 91, V H. Hibino, and S. Tanaka, A breakthrough nanoribbons,’’ Phys. Stat. Sol. B Basic Solid 2007, 063124-3. State Phys., vol. 244, pp. 4134–4137, toward wafer-size epitaxial graphene transfer, [64] I. Jung, J. S. Rhyee, J. Y. Son, R. S. Ruoff, and Nov. 2007. K. Y. Rhee, ‘‘Colors of graphene and

1552 Proceedings of the IEEE |Vol.101,No.7,July2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

graphene-oxide multilayers on various [82] A. Y. Tontegode, ‘‘Carbon in transition-metal chemical vapor deposition,’’ Nano Lett., substrates,’’ Nanotechnology, vol. 23, surfaces,’’ Progr. Surf. Sci., vol. 38, vol. 11, pp. 1106–1110, Mar. 2011. Jan. 2012, 025708. pp. 201–429, 1991. [100] N. G. Shang, P. Papakonstantinou, [65] F. Nelson, V. Kamineni, T. Zhang, [83] A. E. Karu and M. Beer, ‘‘Pyrolitic formation M. McMullan, M. Chu, A. Stamboulis, E. Comfort, J. U. Lee, and A. Diebold, of highly crystalline graphite films,’’ J. Appl. A. Potenza, S. S. Dhesi, and H. Marchetto, ‘‘Spectroscopic ellipsometry of CVD Phys., vol. 37, 1966, article 2179. ‘‘Catalyst-free efficient growth, orientation graphene,’’ ECS Trans., vol. 35, pp. 173–183, [84] J. C. Shelton, H. R. Patil, and J. M. Blakely, and biosensing properties of multilayer 2011. ‘‘Equilibrium segregation of carbon to a graphene nanoflake films with sharp edge [66] A. Hashimoto, K. Suenaga, A. Gloter, nickel(111) surface: A surface phase planes,’’ Adv. Funct. Mater., vol. 18, K. Urita, and S. Iijima, ‘‘Direct evidence for transition,’’ Surf. Sci., vol. 43, pp. 493–520, pp. 3506–3514, Nov. 2008. atomic defects in graphene layers,’’ Nature, 1974. [101] M. Sprinkle, M. Ruan, Y. Hu, J. Hankinson, vol. 430, pp. 870–873, 2004. [85] L. C. Isett and J. M. Blakely, ‘‘Segregation M. Rubio-Roy, B. Zhang, X. Wu, C. Berger, [67] F. Nelson, A. C. Diebold, and R. Hull, isosteres for carbon at the (100) surface of and W. A. de Heer, ‘‘Scalable templated ‘‘Simulation study of aberration-corrected nickel,’’ Surf. Sci., vol. 58, pp. 397–414, 1976. growth of graphene nanoribbons on SiC,’’ Nature Nanotechnol., vol. 5, pp. 727–731, high-resolution transmission electron [86] L. C. Isett and J. M. Blakely, ‘‘Binding of Oct. 2010. microscopy imaging of few-layer-graphene carbon atoms at a steppedVNi surface,’’ stacking,’’ Microscopy Microanal., vol. 16, J. Vac. Sci. Technol., vol. 12, pp. 237–241, [102] Y. K. Hu, M. Ruan, Z. L. Guo, R. Dong, pp. 194–199, 2010. 1975. J. Palmer, J. Hankinson, C. Berger, and W. A. de Heer, ‘‘Structured epitaxial [68] E. Bauer, ‘‘Low energy electron microscopy,’’ [87] M. Eizenberg and J. M. Blakely, ‘‘Carbon graphene: Growth and properties,’’ J. Phys. D, Rep. Progr. Phys., vol. 57, pp. 895–938, monolayer phase condensation on Ni(111),’’ Appl. Phys., vol. 45, Apr. 2012, 154010. Sep. 1994. Surf. Sci., vol. 82, pp. 228–236, 1979. [103] J. L. Tedesco, B. L. VanMil, [69] P. Sutter, J. T. Sadowski, and E. Sutter, [88] M. Eizenberg and J. M. Blakely, ‘‘Carbon R. L. Myers-Ward, J. M. McCrate, S. A. Kitt, ‘‘Graphene on Pt(111): Growth and substrate interaction with nickel surfaces: Monolayer P. M. Campbell, G. G. Jernigan, interaction,’’ Phys. Rev. B, vol. 80, Dec. 2009, formation and structural stability,’’ J. Chem. J. C. Culbertson, C. R. Eddy, and 245411. Phys., vol. 71, pp. 3467–3477, 1979. D. K. Gaskill, ‘‘Hall effect mobility of [70] R. M. Tromp and J. B. Hannon, [89] J. Wintterlin and M. L. Bocquet, ‘‘Graphene epitaxial graphene grown on silicon carbide,’’ ‘‘Thermodynamics and kinetics of graphene on metal surfaces,’’ Surf. Sci., vol. 603, Appl. Phys. Lett., vol. 95, Sep. 2009, 122102. growth on SiC(0001),’’ Phys. Rev. Lett., pp. 1841–1852, 2009. vol. 102, Mar. 2009, 106104. [104] J. D. Caldwell, T. J. Anderson, [90] M. Batzill, ‘‘The surface science of graphene: J. C. Culbertson, G. G. Jernigan, K. D. Hobart [71] J. M. Wofford, S. Nie, K. F. McCarty, Metal interfaces, CVD synthesis, , F. J. Kub, M. J. Tadjer, J. L. Tedesco, N. C. Bartelt, and O. D. Dubon, ‘‘Graphene nanoribbons, chemical modifications, and J. K. Hite, M. A. Mastro, R. L. Myers-Ward, Islands on Cu foils: The interplay between defects,’’ Surf. Sci. Rep., vol. 67, pp. 83–115, C. R. Eddy, P. M. Campbell, and shape, orientation, and defects,’’ Nano Lett., Mar. 2012. D. K. Gaskill, ‘‘Technique for the dry transfer vol. 10, pp. 4890–4896, 2010. [91] A. Ismach, C. Druzgalski, S. Penwell, of epitaxial graphene onto arbitrary [72] H. Hattab, A. T. N’Diaye, D. Wall, A. Schwartzberg, M. Zheng, A. Javey, substrates,’’ ACS Nano, vol. 4, pp. 1108–1114, C. Klein, G. Jnawali, J. Coraux, C. Busse, J. Bokor, and Y. G. Zhang, ‘‘Direct chemical Feb. 2010. R. van Gastel, B. Poelsema, T. Michely, vapor deposition of graphene on dielectric [105] L. O. Nyakiti, R. L. Myers-Ward, F. Heringdorf, and M. Horn-von Hoegen, surfaces,’’ Nano Lett., vol. 10, pp. 1542–1548, V. D. Wheeler, E. A. Imhoff, F. J. Bezares, ‘‘Interplay of wrinkles, strain, and lattice May 2010. H. Chun, J. D. Caldwell, A. L. Friedman, parameter in graphene on iridium,’’ Nano [92] S. Gaddam, C. Bjelkevig, S. P. Ge, B. R. Matis, J. W. Baldwin, P. M. Campbell, Lett., vol. 12, pp. 678–682, Feb. 2012. K. Fukutani, P. A. Dowben, and J. A. Kelber, J. C. Culbertson, C. R. Eddy, G. G. Jernigan, [73] M. Ishigami, J. H. Chen, W. G. Cullen, ‘‘Direct graphene growth on MgO: Origin of and D. K. Gaskill, ‘‘Bilayer graphene grown M. S. Fuhrer, and E. D. Williams, ‘‘Atomic the band gap,’’ J. Phys., Condensed Matter, on 4H-SiC (0001) step-free mesas,’’ Nano structure of graphene on SiO2,’’ Nano Lett., vol. 23, Feb. 2011, 072204. Lett., vol. 12, pp. 1749–1756, Apr. 2012. vol. 7, pp. 1643–1648, 2007. [93] M. Zhou, F. L. Pasquale, P. A. Dowben, [106] L. J. Zhi and K. Mullen, ‘‘A bottom-up [74] C. H. Lui, L. Liu, K. F. Mak, G. W. Flynn, and A. Boosalis, M. Schubert, V. Darakchieva, approach from molecular nanographenes to T. F. Heinz, ‘‘Ultraflat graphene,’’ Nature, R. Yakimova, L. M. Kong, and J. A. Kelber, unconventional carbon materials,’’ J. Mater. vol. 462, pp. 339–341, 2009. ‘‘Direct graphene growth on Co3O4ð111Þ by Chem., vol. 18, pp. 1472–1484, 2008. [75] A. C. Ferrari and J. Robertson, ‘‘Resonant molecular beam epitaxy,’’ J. Phys., Condensed [107] X. Jia, J. Campos-Delgado, M. Terrones, Raman spectroscopy of disordered, Matter, vol. 24, Feb. 2012, 072201. V. Meunier, and M. S. Dresselhaus, amorphous, and diamondlike carbon,’’ Phys. [94] L. Ci, L. Song, C. H. Jin, D. Jariwala, ‘‘Graphene edges: A review of their Rev. B, vol. 64, 2001, 075414. D. X. Wu, Y. J. Li, A. Srivastava, Z. F. Wang, fabrication and characterization,’’ Nanoscale, [76] Y. Wang, D. C. Alsmeyer, and K. Storr, L. Balicas, F. Liu, and P. M. Ajayan, vol. 3, pp. 86–95, 2011. R. L. McCreery, ‘‘Raman spectroscopy of ‘‘Atomic layers of hybridized boron nitride [108] L. Chen, Y. Hernandez, X. L. Feng, and carbon materials: Structural basis of and graphene domains,’’ Nature Mater., K. Mullen, ‘‘From nanographene and observed spectra,’’ Chem. Mater., vol. 2, vol. 9, pp. 430–435, May 2010. graphene nanoribbons to graphene sheets: pp. 557–563, 1990. [95] G. Xu, J. C. M. Torres, J. Bai, J. Tang, T. Yu, Chemical synthesis,’’ Angewandte [77] L. M. Malard, M. A. Pimenta, G. Dresselhaus, Y. Huang, X. Duan, Y. Zhang, and ChemieVInt. Ed., vol. 51, pp. 7640–7654, and M. S. Dresselhaus, ‘‘Raman spectroscopy K. L. Wang, ‘‘Linewidth roughness in 2012. V in graphene,’’ Phys. Rep. Rev. Sec. Phys. nanowire-mask-based graphene [109] P. Sutter, M. S. Hybertsen, J. T. Sadowski, Lett., vol. 473, pp. 51–87, Apr. 2009. nanoribbons,’’ Appl. Phys. Lett., vol. 98, 2011, and E. Sutter, ‘‘Electronic structure of [78] K. Siegbahn, ‘‘A discussion on photoelectron 243118. few-layer epitaxial graphene on Ru(0001),’’ spectroscopy,’’ Philosoph. Trans. Roy. Soc. [96] M. Luisier and G. Klimeck, ‘‘Performance Nano Lett., vol. 9, pp. 2654–2660, Jul. 2009. Lond. A, Math. Phys. Sci., vol. 268, pp. 33–57, analysis of statistical samples of graphene [110] D. V. Kosynkin, A. L. Higginbotham, 1970. nanoribbon tunneling transistors with line A. Sinitskii, J. R. Lomeda, A. Dimiev, [79] S. T. Jackson and R. G. Nuzzo, ‘‘Determining edge roughness,’’ Appl. Phys. Lett., vol. 94, B. K. Price, and J. M. Tour, ‘‘Longitudinal hybridization differences for amorphous 2009, 223505. unzipping of carbon nanotubes to form carbon from the XPS C 1s envelope,’’ Appl. [97] Y. Yoon and J. Guo, ‘‘Effect of edge graphene nanoribbons,’’ Nature,vol.458, Surf. Sci., vol. 90, pp. 195–203, 1995. roughness in graphene nanoribbon Apr. 2009, 872-U5. [80] J. A. Leiro, M. H. Heinonen, T. Laiho, and transistors,’’ Appl. Phys. Lett., vol. 91, 2007, [111] M. Lotya, Y. Hernandez, P. J. King, I. G. Batirev, ‘‘Core-level XPS spectra of 073103. R. J. Smith, V. Nicolosi, L. S. Karlsson, fullerene, highly oriented pyrolitic graphite, [98] S. Lee, K. Lee, and Z. H. Zhong, ‘‘Wafer scale F. M. Blighe, S. De, Z. M. Wang, and glassy carbon,’’ J. Electron Spectroscopy homogeneous bilayer graphene films by I. T. McGovern, G. S. Duesberg, and Related Phenomena, vol. 128, pp. 205–213, chemical vapor deposition,’’ Nano Lett., J. N. Coleman, ‘‘Liquid phase production of 2003. vol. 10, pp. 4702–4707, Nov. 2010. graphene by exfoliation of graphite in [81] H. Estrade-Szwarckopf, ‘‘XPS photoemission [99] K. Yan, H. L. Peng, Y. Zhou, H. Li, and surfactant/water solutions,’’ J. Amer. Chem. in carbonaceous materials: A ‘defect’ peak Z. F. Liu, ‘‘Formation of bilayer bernal Soc., vol. 131, pp. 3611–3620, Mar. 2009. beside the graphitic asymmetric peak,’’ graphene: Layer-by-layer epitaxy via [112] S. Yoshii, K. Nozawa, K. Toyoda, Carbon, vol. 42, pp. 1713–1721, 2004. N. Matsukawa, A. Odagawa, and

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1553 Colombo et al.:GrapheneGrowthandDeviceIntegration

A. Tsujimura, ‘‘Suppression of graphene,’’ Appl. Phys. Lett., vol. 91, [140] H. J. Dai, A. Javey, E. Pop, D. Mann, W. Kim, inhomogeneous segregation in graphene Dec. 2007, 233108. and Y. R. Lu, ‘‘Electrical transport properties growth on epitaxial metal films,’’ Nano Lett., [126] A. Das, S. Pisana, B. Chakraborty, S. Piscanec, and field effect transistors of carbon vol. 11, pp. 2628–2633, Jul. 2011. S. K. Saha, U. V. Waghmare, K. S. Novoselov, nanotubes,’’ NANO: Brief Rep. Rev., vol. 1, [113] D. Knipp, R. A. Street, A. Volkel, and J. Ho, H. R. Krishnamurthy, A. K. Geim, pp. 1–13, Jul. 2006. ‘‘Pentacene thin film transistors on inorganic A. C. Ferrari, and A. K. Sood, ‘‘Monitoring [141] A. Javey, H. Kim, M. Brink, Q. Wang, A. Ural, dielectrics: Morphology, structural dopants by Raman scattering in an J. Guo, P. McIntyre, P. McEuen, properties, and electronic transport,’’ J. Appl. electrochemically top-gated graphene M. Lundstrom, and H. J. Dai, ‘‘High-kappa Phys., vol. 93, pp. 347–355, Jan. 2003. transistor,’’ Nature Nanotechnol.,vol.3, dielectrics for advanced carbon-nanotube [114] T. W. Kelley, P. F. Baude, C. Gerlach, pp. 210–215, Apr. 2008. transistors and logic gates,’’ Nature Mater., D. E. Ender, D. Muyres, M. A. Haase, [127] X. S. Li, W. W. Cai, L. Colombo, and vol. 1, pp. 241–246, Dec. 2002. D. E. Vogel, and S. D. Theiss, ‘‘Recent R. S. Ruoff, ‘‘Evolution of graphene growth [142] R. L. Puurunen, ‘‘Surface chemistry of atomic progress in organic electronics: Materials, on Ni and Cu by carbon isotope labeling,’’ layer deposition: A case study for the devices, and processes,’’ Chem. Mater., Nano Lett., vol. 9, pp. 4268–4272, trimethylaluminum/water process,’’ J. Appl. vol. 16, pp. 4413–4422, Nov. 2004. Dec. 2009. Phys., vol. 97, Jun. 2005, 121301. [115] I. Mejia, A. L. Salas-Villasenor, [128] Y. M. Lin, A. Valdes-Garcia, S. J. Han, [143] D. B. Farmer and R. G. Gordon, ‘‘Atomic A. Avendano-Bolivar, J. Horvath, H. Stiegler, D. B. Farmer, I. Meric, Y. N. Sun, Y. Q. Wu, layer deposition on suspended single-walled B. E. Gnade, and M. A. Quevedo-Lopez, C. Dimitrakopoulos, A. Grill, P. Avouris, and carbon nanotubes via gas-phase noncovalent ‘‘Low-temperature hybrid CMOS circuits K. A. Jenkins, ‘‘Wafer-scale graphene functionalization,’’ Nano Lett., vol. 6, based on chalcogenides and organic TFTs,’’ integrated circuit,’’ Science, vol. 332, pp. 699–703, Apr. 2006. IEEE Electron Device Lett., vol. 32, no. 8, pp. 1294–1297, Jun. 2011. [144] J. Kong, N. R. Franklin, C. W. Zhou, pp. 1086–1088, Aug. 2011. [129] Q. Yu, J. Lian, S. Siriponglert, H. Li, M. G. Chapline, S. Peng, K. J. Cho, and [116] W. Yanjie, M. Congqin, H. Bo-chao, Z. Jing, Y. P. Chen, and S.-S. Pei, ‘‘Graphene H. J. Dai, ‘‘Nanotube molecular wires as L. Wei, P. Youngju, X. Ya-hong, and segregated on Ni surfaces and transferred to chemical sensors,’’ Science, vol. 287, J. C. S. Woo, ‘‘Scalable synthesis of graphene insulators,’’ Appl. Phys. Lett., vol. 93, 2008, pp. 622–625, Jan. 2000. on patterned Ni and transfer,’’ IEEE Trans. 113103. [145] S. Peng and K. J. Cho, ‘‘Chemical control Electron Devices, vol. 57, no. 12, [130] X. K. Lu, M. F. Yu, H. Huang, and R. S. Ruoff, of nanotube electronics,’’ Nanotechnology, pp. 3472–3476, Dec. 2010. ‘‘Tailoring graphite with the goal of achieving vol. 11, pp. 57–60, Jun. 2000. [117] Q. K. Yu, L. A. Jauregui, W. Wu, R. Colby, single sheets,’’ Nanotechnology, vol. 10, [146] Y. Xuan, Y. Q. Wu, T. Shen, M. Qi, J. F. Tian, Z. H. Su, H. L. Cao, Z. H. Liu, pp. 269–272, Sep. 1999. M. A. Capano, J. A. Cooper, and P. D. Ye, D. Pandey, D. G. Wei, T. F. Chung, P. Peng, [131] J. W. Suk, A. Kitt, C. W. Magnuson, ‘‘Atomic-layer-deposited nanostructures for N. P. Guisinger, E. A. Stach, J. M. Bao, Y. F. Hao, S. Ahmed, J. H. An, A. K. Swan, graphene-based nanoelectronics,’’ Appl. Phys. S. S. Pei, and Y. P. Chen, ‘‘Control and B. B. Goldberg, and R. S. Ruoff, ‘‘Transfer Lett., vol. 92, Jan. 2008, 013101. characterization of individual grains and of CVD-grown monolayer graphene onto [147] J. R. Williams, L. DiCarlo, and C. M. Marcus, grain boundaries in graphene grown by arbitrary substrates,’’ ACS Nano, vol. 5, ‘‘Quantum hall effect in a gate-controlled p-n chemical vapour deposition,’’ Nature Mater., pp. 6916–6924, Sep. 2011. junction of graphene,’’ Science, vol. 317, vol. 10, pp. 443–449, Jun. 2011. [132] E. H. Lock, M. Baraket, M. Laskoski, pp. 638–641, Aug. 2007. [118] X. S. Li, C. W. Magnuson, A. Venugopal, S. P. Mulvaney, W. K. Lee, P. E. Sheehan, [148] Y. M. Lin, K. A. Jenkins, A. Valdes-Garcia, R. M. Tromp, J. B. Hannon, E. M. Vogel, D. R. Hines, J. T. Robinson, J. Tosado, J. P. Small, D. B. Farmer, and P. Avouris, L. Colombo, and R. S. Ruoff, ‘‘Large-area M. S. Fuhrer, S. C. Hernandez, and ‘‘Operation of graphene transistors at graphene single crystals grown by S. G. Waltont, ‘‘High-quality uniform dry gigahertz frequencies,’’ Nano Lett., vol. 9, low-pressure chemical vapor deposition of transfer of graphene to polymers,’’ Nano pp. 422–426, Jan. 2009. methane on copper,’’ J. Amer. Chem. Soc., Lett., vol. 12, pp. 102–107, Jan. 2012. vol. 133, pp. 2816–2819, Mar. 2011. [149] A. Pirkle, S. McDonnell, B. Lee, J. Kim, [133] Z. Cheng, Q. Zhou, C. Wang, Q. Li, C. Wang, L. Colombo, and R. Wallace, ‘‘The effect [119] S. Nie, W. Wu, S. R. Xing, Q. K. Yu, and Y. Fang, ‘‘Toward intrinsic graphene of graphite surface condition on the J. M. Bao, S. S. Pei, and K. F. McCarty, surfaces: A systematic study on thermal composition of Al O by atomic layer ‘‘Growth from below: Bilayer graphene on 2 3 annealing and wet-chemical treatment of deposition,’’ Appl. Phys. Lett., vol. 97, 2010, copper by chemical vapor deposition,’’ New J. SiO2-supported graphene devices,’’ Nano 082901. Phys., vol. 14, Sep. 2012, 093028. Lett., vol. 11, pp. 767–771, 2011. [150] L. Liu, S. M. Ryu, M. R. Tomasik, [120] J. B. Sun, J. B. Hannon, R. M. Tromp, [134] C. R. Dean, A. F. Young, I. Meric, C. Lee, E. Stolyarova, N. Jung, M. S. Hybertsen, P. Johari, A. A. Bol, V. B. Shenoy, and K. Pohl, L. Wang, S. Sorgenfrei, K. Watanabe, M. L. Steigerwald, L. E. Brus, and ‘‘Spatially-resolved structure and electronic T. Taniguchi, P. Kim, K. L. Shepard, and G. W. Flynn, ‘‘Graphene oxidation: properties of graphene on polycrystalline Ni,’’ J. Hone, ‘‘Boron nitride substrates for Thickness-dependent etching and strong ACS Nano, vol. 4, pp. 7073–7077, high-quality graphene electronics,’’ Nature chemical doping,’’ Nano Lett., vol. 8, Dec. 2010. Nanotechnol., vol. 5, pp. 722–726, Oct. 2010. pp. 1965–1970, Jul. 2008. [121] J. M. Cai, P. Ruffieux, R. Jaafar, M. Bieri, [135] G. H. Lee, Y. J. Yu, C. Lee, C. Dean, [151] S. Adam, E. H. Hwang, V. M. Galitski, and T. Braun, S. Blankenburg, M. Muoth, K. L. Shepard, P. Kim, and J. Hone, S. Das Sarma, ‘‘A self-consistent theory for A. P. Seitsonen, M. Saleh, X. L. Feng, ‘‘Electron tunneling through atomically flat graphene transport,’’ Proc. Nat. Acad. Sci. K. Mullen, and R. Fasel, ‘‘Atomically and ultrathin hexagonal boron nitride,’’ Appl. USA, vol. 104, pp. 18392–18397, Nov. 2007. precise bottom-up fabrication of graphene Phys. Lett., vol. 99, Dec. 2011, 243114. nanoribbons,’’ Nature, vol. 466, pp. 470–473, [152] G. Lee, B. Lee, J. Kim, and K. Cho, ‘‘Ozone [136] L. Song, L. J. Ci, H. Lu, P. B. Sorokin, Jul. 2010. adsorption on graphene: Ab initio study and C. H. Jin, J. Ni, A. G. Kvashnin, experimental validation,’’ J. Phys. Chem. C, [122] A. Naeemi and J. D. Meindl, ‘‘Conductance D. G. Kvashnin, J. Lou, B. I. Yakobson, and vol. 113, pp. 14225–14229, Aug. 2009. modeling for Graphene Nanoribbon (GNR) P. M. Ajayan, ‘‘Large scale growth and [153] S. Jandhyala, G. Mordi, B. Lee, G. Lee, interconnects,’’ IEEE Electron Device Lett., characterization of atomic hexagonal C. Floresca, P.-R. Cha, J. Ahn, R. M. Wallace, vol. 28, no. 5, pp. 428–431, May 2007. boron nitride layers,’’ Nano Lett., vol. 10, Y. J. Chabal, M. J. Kim, L. Colombo, K. Cho, [123] S. Hong, Y. Yoon, and J. Guo, pp. 3209–3215, Aug. 2010. and J. Kim, ‘‘Atomic layer deposition of ‘‘Metal-semiconductor junction of graphene [137] T. Taniguchi and K. Watanabe, ‘‘Synthesis of dielectrics on graphene using reversibly nanoribbons,’’ Appl. Phys. Lett., vol. 92, 2008, high-purity boron nitride single crystals physisorbed ozone,’’ ACS Nano, vol. 6, 083107. under high pressure by using Ba-BN pp. 2722–2730, 2012. [124] A. C. Ferrari, J. C. Meyer, V. Scardaci, solvent,’’ J. Crystal Growth, vol. 303, [154] S. McDonnell, A. Pirkle, J. Kim, L. Colombo, C. Casiraghi, M. Lazzeri, F. Mauri, pp. 525–529, May 2007. and R. M. Wallace, ‘‘Trimethyl-aluminum S. Piscanec, D. Jiang, K. S. Novoselov, [138] I. Meric, M. Y. Han, A. F. Young, and ozone interactions with graphite in S. Roth, and A. K. Geim, ‘‘Raman spectrum B. Ozyilmaz, P. Kim, and K. L. Shepard, atomic layer deposition of Al2O3,’’ J. Appl. of graphene and graphene layers,’’ Phys. Rev. ‘‘Current saturation in zero-bandgap, Phys., vol. 112, Nov. 2012, 104110. Lett., vol. 97, 2006, 187401. topgated graphene field-effect transistors,’’ [155] X. R. Wang, S. M. Tabakman, and H. J. Dai, [125] C. Casiraghi, S. Pisana, K. S. Novoselov, Nature Nanotechnol., vol. 3, pp. 654–659, ‘‘Atomic layer deposition of metal oxides on A. K. Geim, and A. C. Ferrari, ‘‘Raman Nov. 2008. pristine and functionalized graphene,’’ J. fingerprint of charged impurities in [139] F. Schwierz, ‘‘Graphene transistors,’’ Nature Amer. Chem. Soc., vol. 130, pp. 8152–8153, Nanotechnol., vol. 5, pp. 487–496, Jul. 2010. Jul. 2008.

1554 Proceedings of the IEEE | Vol. 101, No. 7, July 2013 Colombo et al.:GrapheneGrowthandDeviceIntegration

[156] J. M. P. Alaboson, Q. H. Wang, J. D. Emery, amorphous oxide,’’ J. Electrochem. Soc., top dielectric,’’ Appl. Phys. Lett., vol. 97, A. L. Lipson, M. J. Bedzyk, J. W. Elam, vol. 113, pp. 656–662, 1966. 2010, 123105-3. M. J. Pellin, and M. C. Hersam, ‘‘Seeding [167] B. Fallahazad, K. Lee, G. Lian, S. Kim, [178] S. Kim, J. Nah, I. Jo, D. Shahrjerdi, atomic layer deposition of high-k dielectrics C. M. Corbet, D. A. Ferrer, L. Colombo, and L. Colombo, Z. Yao, E. Tutuc, and on epitaxial graphene with organic E. Tutuc, ‘‘Scaling of Al2O3 dielectric for S. K. Banerjee, ‘‘Realization of a high self-assembled monolayers,’’ ACS Nano, graphene field-effect transistors,’’ Appl. Phys. mobility dual-gated graphene field-effect vol. 5, pp. 5223–5232, Jun. 2011. Lett., vol. 100, 2012, 093112-4. transistor with Al2O3 dielectric,’’ Appl. Phys. [157] B. Ozyilmaz, P. Jarillo-Herrero, D. Efetov, [168] M. C. Lemme, T. J. Echtermeyer, M. Baus, Lett., vol. 94, 2009, 062107. and P. Kim, ‘‘Electronic transport in locally and H. Kurz, ‘‘A graphene field-effect [179] Q. Chen, H. Huang, W. Chen, A. T. S. Wee, gated graphene nanoconstrictions,’’ Appl. device,’’ IEEE Electron Device Lett., vol. 28, Y. P. Feng, J. W. Chai, Z. Zhang, J. S. Pan, Phys. Lett., vol. 91, Nov. 2007, 192107. no. 4, pp. 282–284, Apr. 2007. and S. J. Wang, ‘‘In situ photoemission [158] JSR Micro, IncNFC 1400-3CP, Sunnyvale, [169] M. C. Lemme, T. J. Echtermeyer, M. Baus, spectroscopy study on formation of HfO2 CA, USA. B. N. Szafranek, J. Bolten, M. Schmidt, dielectrics on epitaxial graphene on SiC [159] D. B. Farmer, H. Y. Chiu, Y. M. Lin, T. Wahlbrink, and H. Kurz, ‘‘Mobility in substrate,’’ Appl. Phys. Lett., vol. 96, 2010, K. A. Jenkins, F. N. Xia, and P. Avouris, graphene double gate field effect 072111-3. ‘‘Utilization of a buffered dielectric to transistors,’’ Solid-State Electron., vol. 52, [180] L. Liao, J. Bai, Y. Qu, Y.-C. Lin, Y. Li, achieve high field-effect carrier mobility in pp. 514–518, Apr. 2008. Y. Huang, and X. Duan, ‘‘High- oxide graphene transistors,’’ Nano Lett., vol. 9, [170] S. Banerjee, M. Sardar, N. Gayathri, nanoribbons as gate dielectrics for high pp. 4474–4478, Dec. 2009. A. K. Tyagi, and B. Raj, ‘‘Enhanced mobility top-gated graphene transistors,’’ [160] M. P. Seah and S. J. Spencer, ‘‘Ultrathin conductivity in graphene layers and at their Proc. Nat. Acad. Sci., vol. 107, pp. 6711–6715, SiO2 on Si. I. Quantifying and removing edges,’’ Appl. Phys. Lett., vol. 88, Feb. 2006, Apr. 13, 2010. carbonaceous contamination,’’ J. Vac. 602111. [181] G. Mordi, S. Jandhyala, C. Floresca, Sci. Technol. A, vol. 21, pp. 345–352, [171] Y. W. Zhang, L. Wan, X. H. Cheng, S. McDonnell, M. J. Kim, R. M. Wallace, Mar.–Apr. 2003. Z. J. Wang, C. Xia, D. Cao, T. T. Jia, and L. Colombo, and J. Kim, ‘‘Low-kappa organic [161] S. Ingrey, ‘‘III-V surface processing,’’ J. Vac. Y. H. Yu, ‘‘Studies on H2O-based atomic layer as a top gate dielectric for graphene Sci. Technol. A, vol. 10, 1992, article 829. layer deposition of Al2O3 dielectric on field effect transistors,’’ Appl. Phys. Lett., vol. 100, 2012, 193117-3. [162] F. A. Stevie, E. P. Martin, P. M. Kahora, pristine graphene,’’ J. Inorganic Mater., J. T. Cargo, A. K. Nanda, A. S. Harrus, vol. 27, pp. 956–960, Sep. 2012. [182] A. Venugopal, L. Colombo, and E. M. Vogel, A. J. Muller, and H. W. Krautter, ‘‘Boron [172] J. A. Wilson and A. D. Yoffe, ‘‘Transition ‘‘Contact resistance in few and multilayer contamination of surfaces in silicon metal dichalcogenide discussion and graphene devices,’’ Appl. Phys. Lett., vol. 96, microelectronics processingV interpretation of observed optical, electrical, 2010, 013512. Characterization and causes,’’ J. Vac. and structural properties,’’ Adv. Phys., vol. 18, [183] A. Venugopal, L. Colombo, and E. M. Vogel, Sci. Technol. A, Vac. Surf. Films, vol. 9, pp. 193–335, 1969. ‘‘Issues with characterizing transport pp. 2813–2816, Sep.–Oct. 1991. [173] V. Podzorov, M. E. Gershenson, C. Kloc, properties of graphene field effect [163] G. D. Wilk, R. M. Wallace, and R. Zeis, and E. Bucher, ‘‘High-mobility transistors,’’ Solid State Commun., vol. 152, J. M. Anthony, ‘‘High- gate dielectrics: field-effect transistors based on transition pp. 1311–1316, Aug. 2012. Current status and materials properties metal dichalcogenides,’’ Appl. Phys. Lett., [184] K. Nagashio, T. Nishimura, K. Kita, and considerations,’’ J. Appl. Phys., vol. 89, vol. 84, pp. 3301–3303, 2004. A. Toriumi, ‘‘Systematic investigation of the pp. 5243–5275, May 2001. [174] A. Ayari, E. Cobas, O. Ogundadegbe, and intrinsic channel properties and contact [164] S. Ramanathan, C. M. Park, and M. S. Fuhrer, ‘‘Realization and electrical resistance of monolayer and multilayer P. C. McIntyre, ‘‘Electrical properties of characterization of ultrathin crystals of graphene field-effect transistor,’’ Jpn. J. Appl. thin film zirconia grown by ultraviolet layered transition-metal dichalcogenides,’’ Phys., vol. 49, May 2010, 051304. ozone oxidation,’’ J. Appl. Phys., vol. 91, J. Appl. Phys., vol. 101, 2007, 014507. [185] F. N. Xia, V. Perebeinos, Y. M. Lin, Y. Q. Wu, pp. 4521–4527, Apr. 2002. [175] D. Briggs and M. P. Seah, Practical Surface and P. Avouris, ‘‘The origins and limits of [165] A. Chin, Y. H. Wu, S. B. Chen, C. C. Liao, Analysis, 2nd ed. New York, NY, USA: metal-graphene junction resistance,’’ Nature and W. J. Chen, ‘‘High quality La2O3 and Wiley, 1990, vol. I. Nanotechnol., vol. 6, pp. 179–184, Mar. 2011. Al2O3 gate dielectrics with equivalent oxide [176] ‘‘Qualitative inorganic analysis. By A. J. Berry, [186] K. Nagashio, T. Nishimura, K. Kita, and thickness 5–10 Angstrom,’’ in Dig. Tech. M. A. Pp. viii+147. Cambridge: University A. Toriumi, ‘‘Metal/graphene contact as a Papers IEEE Symp. Very Large Scale Integr. Press, 1937. 6s,’’ J. Soc. Chem. Ind., vol. 57, performance killer of ultra-high mobility V Technol., 2000, pp. 16–17. pp. 398–399, 1938. graphene Analysis of intrinsic mobility and contact resistance,’’ in Proc. IEEE Int. [166] M. J. Dignam, W. R. Fawcett, and H. Bohni, [177] B. Fallahazad, S. Kim, L. Colombo, and Electron Devices Meeting, 2009, pp. 527–530. ‘‘Kinetics and mechanism of oxidation of E. Tutuc, ‘‘Dielectric thickness dependence superpurity aluminum in dry oxygen. I. of carrier mobility in graphene with HfO2 Apparatus description and growth of

ABOUT THE AUTHORS Luigi Colombo (Fellow, IEEE) received the B.S. Development Group at TI, where he is responsible for the development of degree in physics from the Iona College, New new materials such as graphene and its integration in new device flows for Rochelle, NY, USA, in 1975 and the Ph.D. degree in beyond complementary metal–oxide–semiconductor (CMOS) device materials science from the University of Rochester, technology as part of the Nanoelectronics Research Initiative. He is also Rochester, NY, USA, in 1980. an Adjunct Professor in the Department of Materials Science and From 1980 to 1981, he was a Postdoctoral Engineering, University of Texas at Dallas. He is the author or coauthor Fellow at the Mechanical and Aerospace Science of more than 140 publications in peer-reviewed journals and proceedings, Department, University of Rochester, Rochester, more than 100 contributed and 60 invited talks at international meetings NY,USA.In1981,hejoinedTexasInstruments(TI) and symposia, has written three chapters in edited books, and holds a Incorporated, Dallas, TX, USA, where he first total of 107 U.S. and international patents. He is on the European worked on infrared detector materials until 1995. Over this period, he Community Graphene Flagship Advisory Board, the AIP Corporate developed a HgCdZnTe liquid phase epitaxy process, which he also put in Associates Advisory Committee, Cambridge University Graphene Centre production in 1991, and it is still in production today. Since then, he has Advisory Board, and the Solid State Electronics Editorial Board. been responsible for the development of high-k capacitor metal– Dr. Colombo is a member of the American Physical Society, the insulator–metal structures for dynamic random access memories, Material Research Society, the American Vacuum Society, and the development of high-k gate/metal gate transistor gate stack using Electrochemical Society. In 2012, he was elected to IEEE Fellow for his Hf-based dielectrics, and low-leakage SiON-based 45-nm transistor gate contributions to infrared detector materials and devices and high-k stack development. He is currently a TI Fellow in the External Research dielectrics in integrated circuits.

Vol. 101, No. 7, July 2013 | Proceedings of the IEEE 1555 Colombo et al.:GrapheneGrowthandDeviceIntegration

Robert M. Wallace (Fellow, IEEE) received the Rodney S. Ruoff received the Ph.D. degree in Ph.D. degree in physics from the University of chemical physics from the University of Illinois at Pittsburgh, Pittsburgh, PA, USA, in 1982, 1984, Urbana-Champaign,Urbana,IL,USA,in1988. and 1988, respectively. He joined the University of Texas at Austin He was then a Postdoctoral Research Associate (UT-Austin), Austin, TX, USA, as a Cockrell Family in Chemistry with the Pittsburgh Surface Science Regents endowed chair in September 2007. He was Center, Pittsburgh, PA, USA. In 1990, he joined as a a Fulbright Fellow in 1988–1989 at the Max Planck Member of Technical Staff (MTS) with the Texas Institute fuer Stroemungsforschung, Goettingen, Instruments Central Research Laboratories, Dallas, Germany. Prior to joining UT-Austin, he was the TX, USA, where he was elected Senior MTS in 1996. John Evans Professor of Nanoengineering in the In 1997, he was appointed to manage the Advanced Technology Branch Department of Mechanical Engineering at Northwestern University, that focused on advanced device concepts and the associated material Evanston, IL, USA, and Director of NU’s Biologically Inspired Materials integration issues. In May 1999, he joined as Professor of Materials Institute from 2002 to 2007. He has coauthored over 300 peer-reviewed Science and Director of the new Laboratory for Electronic Materials and publications devoted to chemistry, physics, materials science, mechanics, Devices with the University of North Texas, Denton, TX, USA. In 2003, he engineering, and biomedical science; cofounded Graphene Energy, Inc.; joined as Professor of Electrical Engineering and Physics with the and is the founder of Graphene Materials, LLC. and Nanode, Inc. University of Texas at Dallas (UT-Dallas), Richardson, TX, USA. He has Dr. Ruoff is on the editorial board of Composites, Science, and authored or coauthored over 225 publications in peer-reviewed journals Technology; Carbon; Journal of Nanoengineering and Nanosystems;and and proceedings and 70 U.S. and international patents. His research is a Managing Editor and Editorial Board Member of NANO. He is a Fellow interests include materials and integration issues for advanced logic and of the Materials Research Society (MRS), the American Physical Society memory technologies. (APS), and the American Association for the Advancement of Science Dr. Wallace serves on the editorial board of the Journal of Materials (AAAS). He was a Distinguished Chair Visiting Professor at Sungkyukwan Science: Materials in Electronics and Applied Surface Science.Hewas University’s Advanced Institute of NanoTechnology (SAINT) for several named Fellow of the American Vacuum Society (AVS) in 2007 and an IEEE years and has been recently named that again. He is a Fellow of the APS; Fellow in 2009 for his contributions to the field of high-k dielectrics in was a Lee Hsun Lecture Award recipient, 2009, Institute of Metal integrated circuits. He holds the Erik Jonsson Distinguished Chair in the Research, Chinese Academy of Sciences, Shenyang, China; and was listed Erik Jonsson School of Engineering and Computer Science at UT-Dallas. as the 16th most cited materials scientist of among the top 100 most cited He is also a member of the AVS, the Materials Research Society, the for the decade 2000–2010 (http://bucky-central.me.utexas.edu/ American Chemical Society, and the Electrochemical Society. RuoffsPDFs/THE%20Top%20100%20Materials%20Scientists).

1556 Proceedings of the IEEE | Vol. 101, No. 7, July 2013