Ieee 1076-2008 Vhdl-200X

Total Page:16

File Type:pdf, Size:1020Kb

Ieee 1076-2008 Vhdl-200X IEEE 1076-2008 VHDL-200X By Jim Lewis, SynthWorks VHDL Training [email protected] SynthWorks IEEE 1076-2008 O IEEE VASG - VHDL-200X effort O Started in 2003 and made good technical progress O However, no $$$ for LRM editing O Accellera VHDL TSC O Took over in Fall 2005, O Prioritized IEEE proposals, O Finalized LRM text, O Completed Accellera standard in July 2006 O Vendors implemented some features and provided feedback O In Spring 2008, Accellera forwarded standard to IEEE VASG for IEEE standardization. * VHDL-2008 * Approved in September by IEEE REVCOM 2 Copyright © SynthWorks 2008 SynthWorks IEEE 1076-2008 O Biggest Language change since 1076-1993 O PSL O Expressions in port maps O IP Protection via Encryption O Read out ports O VHDL Procedural Interface - VHPI O Conditional and Selected O Type Generics assignment in sequential code O Generics on Packages O hwrite, owrite, … hread, oread O Arrays with unconstrained arrays O to_string, to_hstring, … O Records with unconstrained arrays O Sized bit string literals O Fixed Point Packages O Unary Reduction Operators O Floating Point Packages O Array/Scalar Logic Operators O Hierarchical references of signals O Slices in array aggregates O Process(all) O Stop and Finish O Simplified Case Statements O Context Declarations O Don't Care in a Case Statement O Std_logic_1164 Updates O Conditional Expression Updates O Numeric_Std Updates O Numeric_Std_Unsigned 3 Copyright © SynthWorks 2008 SynthWorks VHDL-2008 Big Ticket Items PSL O PSL has been incorporated directly into VHDL O Vunit, Vmode, Vprop are VHDL Design Units O Properties are VHDL block declarations O Directives (assert, cover) are VHDL concurrent statements IP Protection and Encryption O A pragma-based approach O Keywords and constructs specify algorithms and keys O Constructs demarcated protected envelopes of VHDL code VHDL Procedural Interface - VHPI O Standardized Procedural Programming Interface to VHDL O Gives tools access to information about a VHDL model during analysis, elaboration, and execution 4 Copyright © SynthWorks 2008 SynthWorks Formal Type and Subprogram Generics + Packages with Generic Clause package ScoreBoardPkg is generic ( type BaseType ; function check(A, E : BaseType) return boolean ) ; . end ScoreBoardPkg ; O Specify generics in a package instance to create a new package library IEEE ; use ieee.std_logic_1164.all ; package ScoreBoardPkg_slv8 is new work.ScoreBoardPkg generic map ( BaseType => std_logic_vector(7 downto 0), check => std_match ) ; 5 Copyright © SynthWorks 2008 SynthWorks Composites with Unconstrained Elements Arrays of Unconstrained Arrays type std_logic_matrix is array (natural range <>) of std_logic_vector ; signal A : std_logic_matrix(5 downto 0)(7 downto 0) ; Records with Unconstrained Array Elements type complex is record a : std_logic ; re : ieee signed ; im : signed ; end record ; signal B : complex (re(7 downto 0), im(7 downto 0)) ; 6 Copyright © SynthWorks 2008 SynthWorks Fixed Point Types O Definitions in package, ieee.fixed_pkg.all type ufixed is array (integer range <>) of std_logic; type sfixed is array (integer range <>) of std_logic; O For downto range, whole number is on the left and includes 0. constant A : ufixed (3 downto -3) := "0110100" ; 3210 -3 IIIIFFF 0110100 = 0110.100 = 6.5 O Math is full precision math: signal A, B : ufixed (3 downto -3) ; signal Y : ufixed (4 downto -3) ; . Y <= A + B ; 7 Copyright © SynthWorks 2008 SynthWorks Floating Point Types O Definitions in package, ieee.float_pkg.all type float is array (integer range <>) of std_logic; O Format is Sign Bit, Exponent, Fraction signal A, B, Y : float (8 downto -23) ; 8 76543210 12345678901234567890123 S EEEEEEEE FFFFFFFFFFFFFFFFFFFFFFF E = Exponent has a bias of 127 F = Fraction with implied 1 left of the binary point 0 10000000 00000000000000000000000 = 2.0 0 10000001 10100000000000000000000 = 6.5 0 01111100 00000000000000000000000 = 0.125 = 1/8 Y <= A + B ; -- FP numbers must be same size 8 Copyright © SynthWorks 2008 SynthWorks Hierarchical Reference O Direct hierarchical reference: A <= <<signal .top_ent.u_comp1.my_sig : std_logic_vector >>; O Specifies object class (signal, shared variable, constant) O path (in this case from top level design) O type (constraint not required) O Using an alias to create a local short hand: Alias u1_my_sig is <<signal u1.my_sig : std_logic_vector >>; O Path in this case refers to component instance u1 (subblock of current block). O Can also go up from current level of hierarchy using "^" 9 Copyright © SynthWorks 2008 SynthWorks Force and Release O Forcing a port or signal: A <= force '1' ; O For in ports and signals this forces the effective value O For out and inout ports this forces the driving value O Forcing the effective value of an out or inout: A <= force in '1' ; -- driving value, effects output O Can also specify "in" with in ports and "out" with out ports, but this is the default behavior. O Releasing a signal: A <= release ; 10 Copyright © SynthWorks 2008 SynthWorks Process (all) O Creates a sensitivity list with all signals on sensitivity list Mux3_proc : process(all) begin case MuxSel is when "00" => Y <= A ; when "01" => Y <= B ; when "10" => Y <= C ; when others => Y <= 'X' ; end case ; end process O Benefit: Reduce mismatches between simulation and synthesis 11 Copyright © SynthWorks 2008 SynthWorks Simplified Case Statement O Allow locally static expressions to contain: O implicitly defined operators that produce composite results O operators and functions defined in std_logic_1164, numeric_std, and numeric_unsigned. constant ONE1 : unsigned := "11" ; constant CHOICE2 : unsigned := "00" & ONE1 ; signal A, B : unsigned (3 downto 0) ; . process (A, B) begin case A xor B is when "0000" => Y <= "00" ; when CHOICE2 => Y <= "01" ; when "0110" => Y <= "10" ; when ONE1 & "00" => Y <= "11" ; when others => Y <= "XX" ; end case ; end process ; 12 Copyright © SynthWorks 2008 SynthWorks Case? = Case With Don't Care O '-' represents don't care in case? choice O Allow '-' in case? choice provided all choices are non-overlapping -- Priority Encoder process (Request) begin case? Request is when "1---" => Grant <= "1000" ; when "01--" => Grant <= "0100" ; when "001-" => Grant <= "0010" ; when "0001" => Grant <= "0001" ; when others => Grant <= "0000" ; end case ; end process ; Note: Only '-' in the case? choice is treated as a don't care. A '-' in the case? expression will not be treated as a don't care. 13 Copyright © SynthWorks 2008 SynthWorks Simplified Conditional Expressions O Current VHDL syntax: if (Cs1='1' and nCs2='0' and Addr=X"A5") then if nWe = '0' then O New: Allow top level of condition to be std_ulogic or bit: if (Cs1 and not nCs2 and Cs3) then if (not nWe) then O Create special comparison operators that return std_ulogic (?=, ?/=, ?>, ?>=, ?<, ?<=) if (Cs1 and not nCs2 and Addr?=X"A5") then DevSel1 <= Cs1 and not nCs2 and Addr?=X"A5" ; O Does not mask 'X' 14 Copyright © SynthWorks 2008 SynthWorks Hwrite, Hread, Owrite, Oread O Support Hex and Octal read & write for all bit based array types procedure hwrite ( Buf : inout Line ; VALUE : in bit_vector ; JUSTIFIED : in SIDE := RIGHT; FIELD : in WIDTH := 0 ) ; procedure hread ( Buf : inout Line ; VALUE : out bit_vector ; Good : out boolean ) ; procedure oread ( . ) ; procedure owrite ( . ) ; O No new packages. Supported in base package O For backward compatibility, std_logic_textio will be empty 15 Copyright © SynthWorks 2008 SynthWorks To_String, To_HString, To_OString O Create to_string for all types. O Create hex and octal functions for all bit based array types function to_string ( VALUE : in std_logic_vector; ) return string ; function to_hstring ( . ) return string ; function to_ostring ( . ) return string ; O Formatting Output with Write (not write from TextIO): write(Output, "%%%ERROR data value miscompare." & LF & " Actual value = " & to_hstring (Data) & LF & " Expected value = " & to_hstring (ExpData) & LF & " at time: " & to_string (now, right, 12)) ; 16 Copyright © SynthWorks 2008 SynthWorks Sized Bit String Literals O Currently hex bit string literals are a multiple of 4 in size X"AA" = "10101010" O Allow specification of size (and decimal bit string literals): 7X"7F" = "1111111" 7D"127" = "1111111" O Allow specification of signed vs unsigned (extension of value): 9UX"F" = "000001111" Unsigned 0 fill 9SX"F" = "111111111" Signed: left bit = sign 9X"F" = "000001111" Defaults to unsigned O Allow Replication of X and Z 7X"XX" = "XXXXXXX" 7X"ZZ" = "ZZZZZZZ" 17 Copyright © SynthWorks 2008 SynthWorks Signal Expressions in Port Maps U_UUT : UUT port map ( A, Y and C, B) ; O Needed to avoid extra signal assignments with OVL O If expression is not a single signal, constant, or does not qualify as a conversion function, then O convert it to an equivalent concurrent signal assignment O and it will incur a delta cycle delay 18 Copyright © SynthWorks 2008 SynthWorks Read Output Ports O Read output ports O Value read will be locally driven value O Assertions need to be able to read output ports 19 Copyright © SynthWorks 2008 SynthWorks Allow Conditional Assignments for Signals and Variables in Sequential Code O Statemachine code: if (FP = '1') then NextState <= FLASH ; else NextState <= IDLE ; end if ; O Simplification (new part is that this is in a process): NextState <= FLASH when (FP = '1') else IDLE ; O Also support conditional variable assignment: NextState := FLASH when (FP = '1') else IDLE ; 20 Copyright © SynthWorks 2008 SynthWorks Allow Selected Assignments for Signals and Variables in
Recommended publications
  • Portable Stimulus: What's Coming in 1.1
    Portable Stimulus: What’s Coming in 1.1 and What it Means For You Portable Stimulus Working Group PSS 1.1 Tutorial Agenda • What is PSS Introduction • Tom Fitzpatrick, • Abstract DMA model in PSS 1.0 Mentor, a Siemens Business Memory • The problem • Prabhat Gupta, AMD Allocation • New PSS concepts Higher-Level • The problem • Matan Vax, Scenarios • New constructs Cadence Design Systems • The problem • Karthick Gururaj, HSI Realization • New concepts and constructs Vayavya Labs System-Level • Portability • Hillel Miller, Synopsys Usage • Complex scenarios • Summary Special Thanks to: Conclusion • What’s next Dave Kelf, Breker Verification Systems Josh Rensch, Semifore 2 The Need for Verification Abstraction Test content authoring represents major proportion of development SIMULATION Disconnected cross-process methods Test Content • Block EMULATION • UVM tests laborious, error-prone • SoC FPGA PROTO • Hard to hit corner-cases with C tests • Post-Silicon • Disconnected diagnostic creation IP BLOCK SUBSYSTEM FULL SYSTEM Test portability, reuse, scaling, maintenance all problematic 3 Key Aspects of Portable Stimulus Capture pure Partial scenario Composable Formal Automated test Target multiple test intent description scenarios representation generation platforms of test space Separate test intent from implementation High-coverage test generation across the verification process with much less effort 4 PSS Improves Individual Verification Phases IP BLOCK SUB-SYSTEM FULL SYSTEM Create block-level (UVM) tests & Easily model system-level Generate
    [Show full text]
  • Arm Cortex-M System Design Kit Technical Reference Manual
    Arm® Cortex®-M System Design Kit Revision: r1p1 Technical Reference Manual Copyright © 2011, 2013, 2017 Arm Limited (or its affiliates). All rights reserved. ARM DDI 0479D (ID110617) Arm Cortex-M System Design Kit Technical Reference Manual Copyright © 2011, 2013, 2017 Arm Limited (or its affiliates). All rights reserved. Release Information The following changes have been made to this document: Change history Date Issue Confidentiality Change 14 March 2011 A Non-Confidential First release for r0p0 16 June 2011 B Non-Confidential Second release for r0p0 19 April 2013 C Non-Confidential First release for r1p0 31 October 2017 D Non-Confidential First release for r1p1 Proprietary Notice This document is protected by copyright and other related rights and the practice or implementation of the information contained in this document may be protected by one or more patents or pending patent applications. No part of this document may be reproduced in any form by any means without the express prior written permission of Arm. No license, express or implied, by estoppel or otherwise to any intellectual property rights is granted by this document unless specifically stated. Your access to the information in this document is conditional upon your acceptance that you will not use or permit others to use the information for the purposes of determining whether implementations infringe any third party patents. THIS DOCUMENT IS PROVIDED “AS IS”. ARM PROVIDES NO REPRESENTATIONS AND NO WARRANTIES, EXPRESS, IMPLIED OR STATUTORY, INCLUDING, WITHOUT LIMITATION, THE IMPLIED WARRANTIES OF MERCHANTABILITY, SATISFACTORY QUALITY, NON-INFRINGEMENT OR FITNESS FOR A PARTICULAR PURPOSE WITH RESPECT TO THE DOCUMENT.
    [Show full text]
  • Workshop on Post-Silicon Debug: Technologies, Methodologies, and Best Practices
    Wisam Kadry – IBM Research, Haifa 7 June 2012 Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices © 2012 IBM Corporation DAC 2012, Post-silicon Debug Workshop Thanks to Mr. Amir Nahir IBM Research – Haifa, Israel Received his BSc in computer science from Technion, IIT in 2005, and is currently pursuing his PhD there. He has been a research staff member at the IBM Research Labs in Haifa since 2006, and has spent most of his time leading the development of Threadmill – a post-silicon functional validation exerciser. Since the beginning of 2011, Amir manages the Post-Silicon Validation and Design Automation Group 2 © 2012 IBM Corporation DAC 2012, Post-silicon Debug Workshop Agenda Session I (09:00-10:30) Wisam Kadry - IBM Haifa Research Lab., Haifa, Israel Kevin Reick - IBM Corp., Austin, TX Subhasish Mitra - Stanford Univ., Stanford, CA David Erikson - Advanced Micro Devices, Fort Collins, CO Bradley Quinton - Tektronix, Inc., Vancouver, BC, Canada Break (10:30-11:00) Session II (11:00-12:30) Alan Hu - Univ. of British Columbia, Vancouver, BC, Canada Keshavan Tiruvallur - Intel Corp., Portland, OR Nagib Hakim - Intel Corp., Santa Clara, CA Valeria Bertacco - Univ. of Michigan, Ann Arbor, MI Sharad Kumar - Freescale Semiconductor, Inc., Noida, India Lunch (12:30-13:30) Panel (13:30-15:00) Moderator: Harry Foster - Mentor Graphics Corp., Plano, TX 3 © 2012 IBM Corporation DAC 2012, Post-silicon Debug Workshop More complex chips 4 © 2012 IBM Corporation DAC 2012, Post-silicon Debug Workshop Observe 5 © 2012 IBM
    [Show full text]
  • UPF 1.0, UPF 2.0, UPF 2.1, UPF 3.0, and Now UPF 3.1: the Big Q “Which Is the Right Standard for My Design”?
    UPF 1.0, UPF 2.0, UPF 2.1, UPF 3.0, and now UPF 3.1: The big Q “Which is the Right Standard for My Design”? Madhur Bhargava, Mentor, A Siemens Business ([email protected]) 1 Agenda • Introduction • Evolution of UPF • Challenges in Migration • Backward Compatibility • What’s new in UPF 3.1 • Semantic difference b/w standards • UPF design Guidelines • Conclusion 2 Introduction • Power Management & Verification Complexity – Complex & energy aware chips – Maximize battery life – Requiring sophisticated power management • Power Gating, Multi Voltage, DVFS, Biasing • Affect design functionality • IPs using own power management posing integration challenges – Need for power verification • HDL not equipped, Power formats share burden • Unified Power Format – Define power management – Based on Tcl – Provide HDL Interface – Information Model to capture processed data 3 Evolution of UPF • UPF 1.0 was defined by Accellera • UPF 3.0 – Focused on adding power intent to HDL – Several new capabilities added – Relatively simple concepts and commands – Updated existing concepts, viz. power • UPF 2.0 defined by IEEE states – Backward compatible with UPF 1.0 • UPF 3.1 – latest standard – Supports IP development, refinement – New commands for simulation control • UPF 2.1 – Clarification of semantics – Clarifies and enhances UPF 2.0 features – Adds a few new capabilities UPF 3.1 UPF 3.0 UPF 2.1 UPF UPF 2.0 1.0 4 New Challenges • Five UPF standards – Compatibility, Differences & Migration challenges • Starting a new design: Which UPF version to choose
    [Show full text]
  • IEEE SA/Accellera Partnership
    Corporate Program Case Study IEEE-SA/Accellera Partnership Relationship Between the IEEE-SA Corporate Program and Accellera Helps Design Automation Technology Gain Reach and Recognition Since 2000, Accellera, a consortium of companies in the electronic design automation field, has been developing and promoting use of design and verification specifications for semiconductors, systems, and design-tool companies. Ten of those documents have been finalized and issued in collaboration with the IEEE Standards Association (IEEE-SA) as IEEE standards. “Working with IEEE-SA brings these standards world-wide recognition and reach,” says Yatin Trivedi, Accellera’s treasurer and a long-time member of its board of directors. “IEEE-SA is recognized globally as a leading standards-development organization for a broad range of technical areas. Standards approved or ratified by IEEE are considered ‘good for you’ without question. Accellera has a good reputation, but not on the same scale as IEEE or IEEE-SA. IEEE-SA has a vast, international member base, as well as relationships with organizations such as IEC and other international and national standards bodies. These factors make IEEE standards based on Accellera documents acceptable to a broad, world-wide audience.” “And people know and expect that IEEE’s standards development process is rigorous, open, fair, peer-reviewed, and comprehensive,” adds Trivedi. The material that organizations like Accellera submit is viewed as a strong starting contribution to an IEEE entity standards working group (WG). Industry organizations submit specifications to IEEE with the full understanding that they’ll no longer have the control they once had over the document’s content--but the standards that result are more useful.
    [Show full text]
  • Dot / Faa /Tc-16/57
    DOT/FAA/TC-16/57 Commercial Off-The-Shelf Federal Aviation Administration William J. Hughes Technical Center Airborne Electronic Hardware Aviation Research Division Atlantic City International Airport Issues and Emerging Solutions: New Jersey 08405 Authority for Expenditure No. 75 Report September 2017 Final Report This document is available to the U.S. public through the National Technical Information Services (NTIS), Springfield, Virginia 22161. This document is also available from the Federal Aviation Administration William J. Hughes Technical Center at actlibrary.tc.faa.gov. U.S. Department of Transportation Federal Aviation Administration NOTICE This document is disseminated under the sponsorship of the U.S. Department of Transportation in the interest of information exchange. The U.S. Government assumes no liability for the contents or use thereof. The U.S. Government does not endorse products or manufacturers. Trade or manufacturers’ names appear herein solely because they are considered essential to the objective of this report. The findings and conclusions in this report are those of the author(s) and do not necessarily represent the views of the funding agency. This document does not constitute FAA policy. Consult the FAA sponsoring organization listed on the Technical Documentation page as to its use. This report is available at the Federal Aviation Administration William J. Hughes Technical Center’s Full-Text Technical Reports page: actlibrary.tc.faa.gov in Adobe Acrobat portable document format (PDF). Technical Report Documentation Page 1. Report No. 2. Government Accession No. 3. Recipient's Catalog No. DOT/FAA/TC-16/57 4. Title and Subtitle 5. Report Date COMMERCIAL OFF-THE-SHELF AIRBORNE ELECTRONIC HARDWARE ISSUES AND September 2017 EMERGING SOLUTIONS: AUTHORIZATION FOR EXPENDITURE NO.
    [Show full text]
  • Portable Test and Stimulus Standard Version 1.0
    Portable Test and Stimulus Standard Version 1.0 June 2018 Copyright © 2017 - 2018 Accellera. All rights reserved. Portable Test and Stimulus 1.0 Language Reference Manual — June 2018 Abstract: The definition of the language syntax, C++ library API, and accompanying semantics for the spec- ification of verification intent and behaviors reusable across multiple target platforms and allowing for the automation of test generation is provided. This standard provides a declarative environment designed for ab- stract behavioral description using actions, their inputs, outputs, and resource dependencies, and their com- position into use cases including data and control flows. These use cases capture verification intent that can be analyzed to produce a wide range of possible legal scenarios for multiple execution platforms. It also in- cludes a preliminary mechanism to capture the programmer’s view of a peripheral device, independent of the underlying platform, further enhancing portability. Keywords: behavioral model, constrained randomization, functional verification, hardware-software inter- face, portability, PSS, test generation. Copyright © 2017 - 2018 Accellera. All rights reserved. ii Portable Test and Stimulus 1.0 Language Reference Manual — June 2018 Notices Accellera Systems Initiative (Accellera) Standards documents are developed within Accellera and the Technical Committee of Accellera. Accellera develops its standards through a consensus development pro- cess, approved by its members and board of directors, which brings together volunteers representing varied viewpoints and interests to achieve the final product. Volunteers are members of Accellera and serve without compensation. While Accellera administers the process and establishes rules to promote fairness in the con- sensus development process, Accellera does not independently evaluate, test, or verify the accuracy of any of the information contained in its standards.
    [Show full text]
  • Portable Test and Stimulus: the Next Level of Verification Productivity Is Here
    Portable Test and Stimulus: The Next Level of Verification Productivity is Here On behalf of the Accellera Portable Stimulus Working Group Sharon Rosenberg, Cadence Design Systems Pradeep Salla, Mentor Graphics © Accellera Systems Initiative 1 Agenda • Introduction: What and Why? • “Hello World”: Language Concepts • Block-to-System Example © Accellera Systems Initiative 2 It's an SOC World • Design complexity continues to increase – Outstripping verification productivity SIMULATION • System-level state space too big for STIMULUS effective UVM constrained-random EMULATION • Multiple verification platforms • Need to reuse Test Intent FPGA PROTO – Higher abstraction IP BLOCK SUBSYSTEM FULL SYSTEM – Block to system – Different design versions DVCon Europe 2018 Portable Stimulus Tutorial, Accellera PSWG 3 The Portable Stimulus Journey PSPWG PSWG 2014 2015 2017 2018 Portable Stimulus Working Group Participants AMD IBM OneSpin AMIQ EDA Intel Qualcomm Analog Devices Mentor Semifore Breker National Instruments Synopsys Cadence NVIDIA Texas Instruments Cisco NXP Semiconductors Vayavya Labs Cypress Semiconductor DVCon Europe 2018 Portable Stimulus Tutorial, Accellera PSWG 4 Reuse of Test Intent Across Platforms/Users • Single specification of test intent is critical Portable Stimulus • Constrain and randomize at the Scenario Level by capturing: – interactions – dependencies UVM C – resource contention • Abstraction lets tools IP BLOCK SUBSYSTEM FULL SYSTEM automate test generation – Multiple targets – Target-specific SIMULATION EMULATION FPGA PROTO customization DVCon Europe 2018 Portable Stimulus Tutorial, Accellera PSWG 5 The Advantages of a Declarative Specification . Most SoC tests are directed . Declarative tests let the tool do the work . Manually determining . Explore all possible options turn-by-turn directions . Easy to optimize . Hard to account for new stops . Guided by preferences .
    [Show full text]
  • An ARM Cortex-M0 for Energy Harvesting Systems: a Novel Application of UPF with Synopsys’ Galaxy Platform
    An ARM Cortex-M0 for Energy Harvesting Systems: A Novel Application of UPF with Synopsys’ Galaxy Platform Jatin Mistry James Myers School of Electronics and Computer Science University of Southampton, UK www.ecs.soton.ac.uk and ARM Ltd Cambridge, UK www.arm.com ABSTRACT In energy harvesting systems, energy is effectively infinite but output power is severely limited. In this paper we first present a novel state retention power gating technique, called Sub-Clock Power Gating, which addresses this ultra-low power budget. It works in synergy with voltage and frequency scaling and power gates combinational logic within the clock cycle to reduce ac- tive power. Secondly, we describe how the technique was implemented on an ARM Cortex-M0™ microprocessor for fabrication and discuss our experience of using UPF with Synopsys' Galaxy Platform to achieve the required power gating. Finally, silicon measured results are given. Table of Contents 1 Introduction .............................................................................................................................. 3 2 Design Challenge ..................................................................................................................... 4 2.1 SUB-CLOCK POWER GATING TECHNIQUE ........................................................................... 4 2.2 TEST CHIP ........................................................................................................................... 6 3 Design Flow ............................................................................................................................
    [Show full text]
  • Security Annotation for Electronic Design Integration (SA-EDI)
    July 2021 Security Annotation for Electronic Design Integration Standard v1.0 Security Annotation for Electronic Design Integration Standard July 2021 Rev 1.0 July 2021 Security Annotation for Electronic Design Integration Standard v1.0 Abstract: The standard is collateral-centric with a focus on security concerns; it applies to electrical designs that are integrated into other circuits. The standard defines a methodology that (1) identifies elements, such as input or output ports, that can influence the behavior of a critical section within the design and (2) associates known security weaknesses based on the type of design and/or critical section. The methodology uses data objects, which are both human and machine readable, to capture security relevant information through the architectural and design phase of the electrical design to be consumed by an Integrator for their product lifecycle. The standard is independent of existing standards and is not part of the electrical design itself. Keywords: Security, RTL, attack surface, threat modeling, security weakness, mitigation, hardware, circuit design, integrated circuit, SoC, ASIC, IP July 2021 Security Annotation for Electronic Design Integration Standard v1.0 Notices Accellera Systems Initiative (Accellera) Standards documents are developed within Accellera and the Technical Committee of Accellera. Accellera develops its standards through a consensus development process, approved by its members and board of directors, which brings together volunteers representing varied viewpoints and interests to achieve the final product. Volunteers are members of Accellera and serve without compensation. While Accellera administers the process and establishes rules to promote fairness in the consensus development process, Accellera does not independently evaluate, test, or verify the accuracy of any of the information contained in its standards.
    [Show full text]
  • Iec 61691-1-1
    This is a preview - click here to buy the full publication IEC 61691-1-1 Edition 2.0 2011-05 INTERNATIONAL IEEE Std 1076™ STANDARD Behavioural languages – Part 1-1: VHDL Language Reference Manual INTERNATIONAL ELECTROTECHNICAL COMMISSION PRICE CODE XH ICS 25.040, 35.060 ISBN 978-2-88912-440-4 This is a preview - click here to buy the full publication This is a preview - click here to buy the full publication - i - IEC 61691-1-1:2011(E) IEEE Std 1076-2008 Contents 1. Overview of this standard .................................................................................................................... 1 1.1 Scope............................................................................................................................................ 1 1.2 Purpose......................................................................................................................................... 1 1.3 Structure and terminology of this standard.................................................................................. 2 2. Normative references........................................................................................................................... 5 3. Design entities and configurations....................................................................................................... 7 3.1 General......................................................................................................................................... 7 3.2 Entity declarations ......................................................................................................................
    [Show full text]
  • Portable Test and Stimulus Standard
    1 Portable Test and Stimulus Standard 2 Version 2.0 Draft for Public Review 3 4 5 6 7 8 9 November 18, 2020 Copyright © 2020 Accellera. All rights reserved. Portable Test and Stimulus Standard 2.0 Draft for Public Review — November 18, 2020 1 Abstract: The definition of the language syntax, C++ library API, and accompanying semantics for the 2 specification of verification intent and behaviors reusable across multiple target platforms and allowing for 3 the automation of test generation is provided. This standard provides a declarative environment designed for 4 abstract behavioral description using actions, their inputs, outputs, and resource dependencies, and their 5 composition into use cases including data and control flows. These use cases capture verification intent that 6 can be analyzed to produce a wide range of possible legal scenarios for multiple execution platforms. It also 7 includes a preliminary mechanism to capture the programmer’s view of a peripheral device, independent of 8 the underlying platform, further enhancing portability. 9 Keywords: behavioral model, constrained randomization, functional verification, hardware-software inter- 10 face, portability, PSS, test generation. Copyright © 2020 Accellera. All rights reserved. 2 Portable Test and Stimulus Standard 2.0 Draft for Public Review — November 18, 2020 1 Notices 2 Accellera Systems Initiative (Accellera) Standards documents are developed within Accellera and the 3 Technical Committee of Accellera. Accellera develops its standards through a consensus development pro- 4 cess, approved by its members and board of directors, which brings together volunteers representing varied 5 viewpoints and interests to achieve the final product. Volunteers are members of Accellera and serve with- 6 out compensation.
    [Show full text]