Where Are We (Fall 2000)?

Total Page:16

File Type:pdf, Size:1020Kb

Where Are We (Fall 2000)? High Performance Microprocessors André Seznec IRISA/INRIA http://www.irisa.fr/caps André Seznec Caps Team 1 IRISA/INRIA Where are we (Fall 2000)? § 400 Mhz to 1.1 Ghz § 1 cycle = crossing the ALU + bypassing § a floating point operation = 2-4 cycles § register file read/write : 1 cycle è 2 -3 in next generation § L1 cache read/write: 1-3 cycles Performance Microprocessors Performance ètrade-off size-associativity-hit time André Seznec High 2 Caps Team Irisa 1 Where are we (Fall 2000) ? § Integration : 0.25m, 0.18m, 0.125m (2001) § 10 -20 millions of transistors in logic § Memory structures: up to 150 millions of transistors § 20 to 60 Watts è > 100 W soon Performance Microprocessors Performance § 400-600 pins è > 1000 soon André Seznec High 3 Caps Team Irisa Where are we (Fall 2000) ? § Processors x86 : èlow end : 500 Mhz, <100 $ èhigh end : 1 Ghz, 1000 $ § DRAM : 1$ per Mbyte § SRAM : 50$ per Mbyte Performance Microprocessors Performance André Seznec High 4 Caps Team Irisa 2 Binary compatibility § 300 000 000 PCs ! è Introducing a new ISA: a risky business !! § It might change : è embedded applications (cell phones, automotive, ..) Performance Microprocessors Performance è64 bits architectures are needed § André Seznec High 5 Caps Team Irisa 32 or 64 bits architecture § Virtual addresses computed on 32 bits è PowerPC, x86, § Virtual addresses computed on 64 bits è MIPS III, Alpha, Ultrasparc, HP-PA 2.x, IA64 è In 2005: Games ? Word ? § Can ’t be avoided : è x86 ? Performance Microprocessors Performance André Seznec High 6 Caps Team Irisa 3 Which instruction set ? § CISC: x86 § RISC: Sparc, Mips, Alpha, PowerPC, HP-PA § EPIC: IA-64 § does it matter ? Performance Microprocessors Performance André Seznec High 7 Caps Team Irisa RISC ISA § a single instruction width : 32 bits è simple decode è next address § Load/store architecture § Simple addressing : based and indexed § register-register Performance Microprocessors Performance § Advantage: very simple to pipeline André Seznec High 8 Caps Team Irisa 4 RISC (2) § general registers + floating point registers § memory access: 1-8 bytes ALIGNED § limited support to speculative execution Performance Microprocessors Performance André Seznec High 9 Caps Team Irisa CISC x86 § variable instruction size § operands in registers and in memory § destructive code: write one of its operand § non-deterministic instruction execution time Performance Microprocessors Performance André Seznec High 10 Caps Team Irisa 5 EPIC IA64 § EPIC IA64 = è RISC è + lot of registers (128 INT , 128 FP) è + 3 instructions encoded on 128 bits bundle è + 64 predicate registers è + hardware interlocks è + (?) Advanced Loads Performance Microprocessors Performance § The compiler is in charge of the ILP André Seznec High 11 Caps Team Irisa EPIC IA 64 § Not so good : è - ISA support for software pipeline • Rotating registers • Loop management è -Register windows: with variable size ! è -No based addressing è - post-incremented addressing è - (?) Advanced Loads Performance Microprocessors Performance André Seznec High 12 Caps Team Irisa 6 ISA: does it matter? § 32 or 64 bits: ètowards x86 death (or mutation !) § Performances: èx86 ? :=) è floating point !! èAt equal technology ? Performance Microprocessors Performance André Seznec High 13 Caps Team Irisa ISA: does it matter (2) ? § x86: translation in mOp è 4 lost cycles ! è Or use a trace cache § x86: too few floating point registers § Alpha 21264: 2 operands 1 result è + performant RISC § Itanium: IA 64 in order Performance Microprocessors Performance è 800 Mhz in 0.18 m è Alpha 21164 700 Mhz 0.35m (1997) André Seznec High 14 Caps Team Irisa 7 So ? § x86: + installed base, + Intel, - must mute to 64 bits § IA64: + spéculative excution , + Intel § Alpha: + « clean » ISA , - what is Compaq strategy ? § Sparc: = ISA, + SUN Performance Microprocessors Performance § Power(PC):complex ISA, =IBM and Motorola André Seznec High 15 Caps Team Irisa The architect challenge § 400 mm2 of silicon § 3 technology generations ahead § What will you use for performance ? è Pipeline è ILP è Speculative execution è Memory hierarchy Performance Microprocessors Performance è Thread parallelism André Seznec High 16 Caps Team Irisa 8 Deeper and deeper pipeline § Less gates crossing in a single cycle. § 1 cycle = ALU crossing + feeding back § Longer and longer intra-CPU communications : è several cycles to cross the chip § More and more complex control: è more instructions in // è more speculation Performance Microprocessors Performance André Seznec High 17 Caps Team Irisa A few pipeline depths § 12-14 cycles on lIntel Pentium III § 10-12 cycles on AMD Athlon § 7-9 cycles on Alpha 21264 § 9 cycles on UltraSparc § 10 cycles on Itanium § 20 cycles on Willlamette Performance Microprocessors Performance § And it is likely to continue !! André Seznec High 18 Caps Team Irisa 9 Instruction Level Parallelism § Superscalar: è the hardware is responsible for the parallel issuing § binary compatibility § All general purpose processors are superscalar Performance Microprocessors Performance André Seznec High 19 Caps Team Irisa The superscalar degree § Hard to define è« performance that can not be exceeded » § 4 inst / cycles on almost all existing processors § 6 inst / cycles on Itanium Performance Microprocessors Performance § 8 inst / cycles on (future) Alpha 21464 § 16 -32 on Alpha 21964 ?? En 2012 ? :=) André Seznec High 20 Caps Team Irisa 10 Superscalar: the difficulties § ILP is limited on applications:: 3 to 8 instructions per cycle § Register files : ènumber of ports is increasing èaccess is becoming a critical path § How to feed FUs with instructions ? with Performance Microprocessors Performance operands? § How to manage data dependencies § branch issues André Seznec High 21 Caps Team Irisa In order or out of order execution § In order execution : è Ah! If all latencies were statically known .. § In order execution: è UltraSparc 3, Itanium è The compiler must do the work § Out-of-order execution è Alpha 21264, Pentium III, Athlon, Power (PC), Performance Microprocessors Performance HP-PA 8500 André Seznec High 22 Caps Team Irisa 11 Why in order execution § simple to implement èless transistors èshorter design cycle èfaster clock (maybe) § shorter pipeline § the compiler « sees » everything: Performance Microprocessors Performance èmicro-architecture è application André Seznec High 23 Caps Team Irisa Why out-of-order execution § Static ILP is limited on non-regular codes § The compiler does not see everything : èunknown latencies at compile time èmemory (in)dependencies unknown at compile time § No need for recompiling Performance Microprocessors Performance èhum !! André Seznec High 24 Caps Team Irisa 12 Out-of-order execution (1) § Principle : èexecute ASAP: available operands and FUs § Implementation : èlarge instruction window for selecting fireable instructions Performance Microprocessors Performance André Seznec High 25 Caps Team Irisa Out-of-order execution (2) § Sequencing consists of: è// read of instructions èmark dependencies èrename registers èdispatch to FUS èwaiting .. Performance Microprocessors Performance § Dependency managing use space and time André Seznec High 26 Caps Team Irisa 13 Renaming registers: removing false dependencies § WAW and WAR register hazards are not true dependencies. Performance Microprocessors Performance André Seznec High 27 Caps Team Irisa Memory dependencies § To execute a store, data to be stored is needed § Every subsequent load is potentially dependent on any previous store § Solution (old): è addresses are computed in program order è Loads bypass stores with hazards detection Performance Microprocessors Performance André Seznec High 28 Caps Team Irisa 14 Memory dependencies (2) § Solution (current): è optimistic execution (out-of-order) è Repaired when true dependency is encountered è Pb: repair cost § Next generation: dependencies are predicted è Moshovos et Sohi, Micro'30, 1997 Performance Microprocessors Performance è Chrysos et Emer, ISCA ’26, 1998 André Seznec High 29 Caps Team Irisa Control hazards § 15 -30% of instructions are branches § Target and direction are known very late in the pipeline : èCycle 7 on DEC 21264 èCycle 11 on Intel Pentium II èCycle 18 on Willamette Performance Microprocessors Performance § Don ’t waste (all) these cycles ! André Seznec High 30 Caps Team Irisa 15 Dynamic branch prediction § Use history to predict the branch and fetch subsequent instructions § Implementation: tables read in // with the I-Cache § Will be predicted: è conditional branch target and direction è procedure returns è indirect branches Performance Microprocessors Performance André Seznec High 31 Caps Team Irisa Dynamic branch prediction § 1992: DEC 21064, 1 bit scheme § 1993: Pentium, 2 bits scheme § 1995: PentiumPro, local history § 1998: DEC 21264, hybrid predictor Performance Microprocessors Performance André Seznec High 32 Caps Team Irisa 16 Dynamic branch prediction : general trend § More and more complex schemes § target prediction and direction prediction are decoupled § Return stack for procedures § ISA support for speculative execution èCMOV Performance Microprocessors Performance èIA64 predicates André Seznec High 33 Caps Team Irisa Out-of-order execution: « undoing » § uncorrect branch prediction § uncorrect independency prediction § Interruption, exception § Validate in program order § No definitive action out-of-order Performance Microprocessors Performance André Seznec High 34 Caps Team Irisa 17 Being able to « undo » § A copy
Recommended publications
  • Implicitly-Multithreaded Processors
    Appears in the Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA) Implicitly-Multithreaded Processors Il Park, Babak Falsafi∗ and T. N. Vijaykumar School of Electrical & Computer Engineering ∗Computer Architecture Laboratory (CALCM) Purdue University Carnegie Mellon University {parki,vijay}@ecn.purdue.edu [email protected] http://www.ece.cmu.edu/~impetus Abstract In this paper, we propose the Implicitly-Multi- Threaded (IMT) processor. IMT executes compiler-speci- This paper proposes the Implicitly-MultiThreaded fied speculative threads from a sequential program on a (IMT) architecture to execute compiler-specified specula- wide-issue SMT pipeline. IMT is based on the fundamental tive threads on to a modified Simultaneous Multithreading observation that Multiscalar’s execution model — i.e., pipeline. IMT reduces hardware complexity by relying on compiler-specified speculative threads [10] — can be the compiler to select suitable thread spawning points and decoupled from the processor organization — i.e., distrib- orchestrate inter-thread register communication. To uted processing cores. Multiscalar [10] employs sophisti- enhance IMT’s effectiveness, this paper proposes three cated specialized hardware, the register ring and address novel microarchitectural mechanisms: (1) resource- and resolution buffer, which are strongly coupled to the distrib- dependence-based fetch policy to fetch and execute suit- uted core organization. In contrast, IMT proposes to map able instructions, (2) context multiplexing to improve utili- speculative threads on to generic SMT. zation and map as many threads to a single context as IMT differs fundamentally from prior proposals, TME allowed by availability of resources, and (3) early thread- and DMT, for speculative threading on SMT. While TME invocation to hide thread start-up overhead by overlapping executes multiple threads only in the uncommon case of one thread’s invocation with other threads’ execution.
    [Show full text]
  • Kaisen Lin and Michael Conley
    Kaisen Lin and Michael Conley Simultaneous Multithreading ◦ Instructions from multiple threads run simultaneously on superscalar processor ◦ More instruction fetching and register state ◦ Commercialized! DEC Alpha 21464 [Dean Tullsen et al] Intel Hyperthreading (Xeon, P4, Atom, Core i7) Web applications ◦ Web, DB, file server ◦ Throughput over latency ◦ Service many users ◦ Slight delay acceptable 404 not Idea? ◦ More functional units and caches ◦ Not just storage state Instruction fetch ◦ Branch prediction and alignment Similar problems to the trace cache ◦ Large programs: inefficient I$ use Issue and retirement ◦ Complicated OOE logic not scalable Need more wires, hardware, ports Execution ◦ Register file and forwarding logic Power-hungry Replace complicated OOE with more processors ◦ Each with own L1 cache Use communication crossbar for a shared L2 cache ◦ Communication still fast, same chip Size details in paper ◦ 6-SS about the same as 4x2-MP ◦ Simpler CPU overall! SimOS: Simulate hardware env ◦ Can run commercial operating systems on multiple CPUs ◦ IRIX 5.3 tuned for multi-CPU Applications ◦ 4 integer, 4 floating-point, 1 multiprog PARALLELLIZED! Which one is better? ◦ Misses per completed instruction ◦ In general hard to tell what happens Which one is better? ◦ 6-SS isn’t taking advantage! Actual speedup metrics ◦ MP beats the pants off SS some times ◦ Doesn’t perform so much worse other times 6-SS better than 4x2-MP ◦ Non-parallelizable applications ◦ Fine-grained parallel applications 6-SS worse than 4x2-MP
    [Show full text]
  • A Speculative Control Scheme for an Energy-Efficient Banked Register File
    IEEE TRANSACTIONS ON COMPUTERS, VOL. 54, NO. 6, JUNE 2005 741 A Speculative Control Scheme for an Energy-Efficient Banked Register File Jessica H. Tseng, Student Member, IEEE, and Krste Asanovicc, Member, IEEE Abstract—Multiported register files are critical components of modern superscalar and simultaneously multithreaded (SMT) processors, but conventional designs consume considerable die area and power as register counts and issue widths grow. Banked multiported register files consisting of multiple interleaved banks of lesser ported cells can be used to reduce area, power, and access time and previous work has shown that such designs can provide sufficient bandwidth for a superscalar machine. These previous banked designs, however, have complex control structures to avoid bank conflicts or to buffer conflicting requests, which add to design complexity and would likely limit cycle time. This paper presents a much simpler and faster control scheme that speculatively issues potentially conflicting instructions, then quickly repairs the pipeline if conflicts occur. We show that, once optimizations to avoid regfile reads are employed, the remaining read accesses observed in detailed simulations are close to randomly distributed and this contributes to the effectiveness of our speculative control scheme. For a four-issue superscalar processor with 64 physical registers, we show that we can reduce area by a factor of three, access time by 25 percent, and energy by 40 percent, while decreasing IPC by less than 5 percent. For an eight-issue SMT processor with 512 physical registers, area is reduced by a factor of seven, access time by 30 percent, and energy by 60 percent, while decreasing IPC by less than 2 percent.
    [Show full text]
  • The Microarchitecture of a Low Power Register File
    The Microarchitecture of a Low Power Register File Nam Sung Kim and Trevor Mudge Advanced Computer Architecture Lab The University of Michigan 1301 Beal Ave., Ann Arbor, MI 48109-2122 {kimns, tnm}@eecs.umich.edu ABSTRACT Alpha 21464, the 512-entry 16-read and 8-write (16-r/8-w) ports register file consumed more power and was larger than The access time, energy and area of the register file are often the 64 KB primary caches. To reduce the cycle time impact, it critical to overall performance in wide-issue microprocessors, was implemented as two 8-r/8-w split register files [9], see because these terms grow superlinearly with the number of read Figure 1. Figure 1-(a) shows the 16-r/8-w file implemented and write ports that are required to support wide-issue. This paper directly as a monolithic structure. Figure 1-(b) shows it presents two techniques to reduce the number of ports of a register implemented as the two 8-r/8-w register files. The monolithic file intended for a wide-issue microprocessor without hardly any register file design is slow because each memory cell in the impact on IPC. Our results show that it is possible to replace a register file has to drive a large number of bit-lines. In register file with 16 read and 8 write ports, intended for an eight- contrast, the split register file is fast, but duplicates the issue processor, with a register file with just 8 read and 8 write contents of the register file in two memory arrays, resulting in ports so that the impact on IPC is a few percent.
    [Show full text]
  • REPORT Compaq Chooses SMT for Alpha Simultaneous Multithreading
    VOLUME 13, NUMBER 16 DECEMBER 6, 1999 MICROPROCESSOR REPORT THE INSIDERS’ GUIDE TO MICROPROCESSOR HARDWARE Compaq Chooses SMT for Alpha Simultaneous Multithreading Exploits Instruction- and Thread-Level Parallelism by Keith Diefendorff Given a full complement of on-chip memory, increas- ing the clock frequency will increase the performance of the As it climbs rapidly past the 100-million- core. One way to increase frequency is to deepen the pipeline. transistor-per-chip mark, the micro- But with pipelines already reaching upwards of 12–14 stages, processor industry is struggling with the mounting inefficiencies may close this avenue, limiting future question of how to get proportionally more performance out frequency improvements to those that can be attained from of these new transistors. Speaking at the recent Microproces- semiconductor-circuit speedup. Unfortunately this speedup, sor Forum, Joel Emer, a Principal Member of the Technical roughly 20% per year, is well below that required to attain the Staff in Compaq’s Alpha Development Group, described his historical 60% per year performance increase. To prevent company’s approach: simultaneous multithreading, or SMT. bursting this bubble, the only real alternative left is to exploit Emer’s interest in SMT was inspired by the work of more and more parallelism. Dean Tullsen, who described the technique in 1995 while at Indeed, the pursuit of parallelism occupies the energy the University of Washington. Since that time, Emer has of many processor architects today. There are basically two been studying SMT along with other researchers at Washing- theories: one is that instruction-level parallelism (ILP) is ton. Once convinced of its value, he began evangelizing SMT abundant and remains a viable resource waiting to be tapped; within Compaq.
    [Show full text]
  • PERL – a Register-Less Processor
    PERL { A Register-Less Processor A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy by P. Suresh to the Department of Computer Science & Engineering Indian Institute of Technology, Kanpur February, 2004 Certificate Certified that the work contained in the thesis entitled \PERL { A Register-Less Processor", by Mr.P. Suresh, has been carried out under my supervision and that this work has not been submitted elsewhere for a degree. (Dr. Rajat Moona) Professor, Department of Computer Science & Engineering, Indian Institute of Technology, Kanpur. February, 2004 ii Synopsis Computer architecture designs are influenced historically by three factors: market (users), software and hardware methods, and technology. Advances in fabrication technology are the most dominant factor among them. The performance of a proces- sor is defined by a judicious blend of processor architecture, efficient compiler tech- nology, and effective VLSI implementation. The choices for each of these strongly depend on the technology available for the others. Significant gains in the perfor- mance of processors are made due to the ever-improving fabrication technology that made it possible to incorporate architectural novelties such as pipelining, multiple instruction issue, on-chip caches, registers, branch prediction, etc. To supplement these architectural novelties, suitable compiler techniques extract performance by instruction scheduling, code and data placement and other optimizations. The performance of a computer system is directly related to the time it takes to execute programs, usually known as execution time. The expression for execution time (T), is expressed as a product of the number of instructions executed (N), the average number of machine cycles needed to execute one instruction (Cycles Per Instruction or CPI), and the clock cycle time (), as given in equation 1.
    [Show full text]
  • UNIVERSITY of CALIFORNIA, SAN DIEGO Holistic Design for Multi-Core Architectures a Dissertation Submitted in Partial Satisfactio
    UNIVERSITY OF CALIFORNIA, SAN DIEGO Holistic Design for Multi-core Architectures A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of Philosophy in Computer Science (Computer Engineering) by Rakesh Kumar Committee in charge: Professor Dean Tullsen, Chair Professor Brad Calder Professor Fred Chong Professor Rajesh Gupta Dr. Norman P. Jouppi Professor Andrew Kahng 2006 Copyright Rakesh Kumar, 2006 All rights reserved. The dissertation of Rakesh Kumar is approved, and it is acceptable in quality and form for publication on micro- film: Chair University of California, San Diego 2006 iii DEDICATIONS This dissertation is dedicated to friends, family, labmates, and mentors { the ones who taught me, indulged me, loved me, challenged me, and laughed with me, while I was also busy working on my thesis. To Professor Dean Tullsen for teaching me the values of humility, kind- ness,and caring while trying to teach me football and computer architecture. For always encouraging me to do the right thing. For always letting me be myself. For always believing in me. For always challenging me to dream big. For all his wisdom. And for being an adviser in the truest sense, and more. To Professor Brad Calder. For always caring about me. For being an inspiration. For his trust. For making me believe in myself. For his lies about me getting better at system administration and foosball even though I never did. To Dr Partha Ranganathan. For always being there for me when I would get down on myself. And that happened often. For the long discussions on life, work, and happiness.
    [Show full text]
  • Superscalar Execution Scalar Pipeline and the Flynn Bottleneck Multiple
    Remainder of CSE 560: Parallelism • Last unit: pipeline-level parallelism • Execute one instruction in parallel with decode of next • Next: instruction-level parallelism (ILP) CSE 560 • Execute multiple independent instructions fully in parallel Computer Systems Architecture • Today: multiple issue • In a few weeks: dynamic scheduling • Extract much more ILP via out-of-order processing Superscalar • Data-level parallelism (DLP) • Single-instruction, multiple data • Ex: one instruction, four 16-bit adds (using 64-bit registers) • Thread-level parallelism (TLP) • Multiple software threads running on multiple cores 1 6 This Unit: Superscalar Execution Scalar Pipeline and the Flynn Bottleneck App App App • Superscalar scaling issues regfile System software • Multiple fetch and branch prediction I$ D$ • Dependence-checks & stall logic Mem CPU I/O B • Wide bypassing P • Register file & cache bandwidth • So far we have looked at scalar pipelines: • Multiple-issue designs 1 instruction per stage (+ control speculation, bypassing, etc.) – Performance limit (aka “Flynn Bottleneck”) is CPI = IPC = 1 • Superscalar – Limit is never even achieved (hazards) • VLIW and EPIC (Itanium) – Diminishing returns from “super-pipelining” (hazards + overhead) 7 8 Multiple-Issue Pipeline Superscalar Pipeline Diagrams - Ideal regfile scalar 1 2 3 4 5 6 7 8 9101112 lw 0(r1)r2 F D XMW I$ D$ lw 4(r1)r3 F D XMW lw 8(r1)r4 F DXMW B add r14,r15r6 F D XMW P add r12,r13r7 F DXMW add r17,r16r8 F D XMW lw 0(r18)r9 F DXMW • Overcome this limit using multiple issue • Also called
    [Show full text]
  • Mini-Threads: Increasing TLP on Small-Scale SMT Processors
    Appears in Proceedings of the Ninth International Symposium on High Performance Computer Architecture (HPCA-9), 2003. Mini-threads: Increasing TLP on Small-Scale SMT Processors Joshua Redstone Susan Eggers Henry Levy University of Washington {redstone,eggers,levy}@cs.washington.edu Abstract 21464, the register file would have been 3 to 4 times the Several manufacturers have recently announced the size of the 64KB instruction cache [23]. In addition to the first simultaneous-multithreaded processors, both as sin- area requirements, the large register file either inflates gle CPUs and as components of multi-CPU chips. All are cycle time or demands additional stages on today’s aggres- small scale, comprising only two to four thread contexts. A sive pipelines; for example, the Alpha 21464 architecture significant impediment to the construction of larger-scale would have required three cycles to access the register file SMTs is the register file size required by a large number of [23]. The additional pipeline stages increase the branch contexts. This paper introduces and evaluates mini- misprediction penalty, increase the complexity of the for- threads, a simple extension to SMT that increases thread- warding logic, and compound pressure on the renaming level parallelism without the commensurate increase in registers (because instructions are in flight longer). Alter- register file size. A mini-threaded SMT CPU adds addi- natively, lengthening the cycle time to avoid the extra tional per-thread state to each hardware context; an appli- pipeline stages directly degrades performance by reducing cation executing in a context can create mini-threads that the rate at which instructions are processed.
    [Show full text]
  • Energy-Effective Issue Logic
    Energy-Effective Issue Logic Daniele Folegnani and Antonio GonzaJez Departament d'Arquitectura de Computadors Universitat Politecnica de Catalunya Jordi Girona, 1-3 Mbdul D6 08034 Barcelona, Spain [email protected] Abstract require an increasing computing power, which sometimes is achieved through higher clock frequencies and more sophisticated Tile issue logic of a dynamically-scheduled superscalar processor architectural techniques, with an obvious impact on power is a complex mechanism devoted to start the execution of multiple consumption. instructions eveo' cycle. Due to its complexity, it is responsible for a significant percentage of the energy consumed by a With the fast increase in design complexity and reduction in microprocessor. The energy consumption of the issue logic design time, new generation CAD tools for VLSI design like depends on several architectural parameters, the instruction issue PowerMill [13] and QuickPower [12] are crucial to evaluate the queue size being one of the most important. In this paper we energy consumption at different points of the design, helping to present a technique to reduce the energy consumption of the issue make important decisions early in the design process. The logic of a high-performance superscalar processor. The proposed importance of a continuous feedback between the functional technique is based on the observation that the conventional issue system description and the power impact requires better and faster logic wastes a significant amount of energy for useless activio,. In evaluation tools and models to introduce changes rapidly through particular, the wake-up of empty entries and operands that are various design scenarios. Recent research has shown how read)' represents an important source of energy waste.
    [Show full text]
  • Piranha:Piranha
    Piranha:Piranha: DesigningDesigning aa ScalableScalable CMP-basedCMP-based SystemSystem forfor CommercialCommercial WorkloadsWorkloads LuizLuiz AndréAndré BarrosoBarroso WesternWestern ResearchResearch LaboratoryLaboratory April 27, 2001 Asilomar Microcomputer Workshop WhatWhat isis Piranha?Piranha? l A scalable shared memory architecture based on chip multiprocessing (CMP) and targeted at commercial workloads l A research prototype under development by Compaq Research and Compaq NonStop Hardware Development Group l A departure from ever increasing processor complexity and system design/verification cycles ImportanceImportance ofof CommercialCommercial ApplicationsApplications Worldwide Server Customer Spending (IDC 1999) Scientific & Other engineering 3% 6% Infrastructure Collaborative 29% 12% Software development 14% Decision Business support processing 14% 22% l Total server market size in 1999: ~$55-60B – technical applications: less than $6B – commercial applications: ~$40B PricePrice StructureStructure ofof ServersServers Normalized breakdown of HW cost l IBM eServer 680 100% (220KtpmC; $43/tpmC) 90% § 24 CPUs 80% 70% I/O § 96GB DRAM, 18 TB Disk 60% DRAM 50% § $9M price tag CPU 40% Base 30% l Compaq ProLiant ML370 20% 10% (32KtpmC; $12/tpmC) 0% § 4 CPUs IBM eServer 680 Compaq ProLiant ML570 § 8GB DRAM, 2TB Disk Price per component System § $240K price tag $/CPU $/MB DRAM $/GB Disk IBM eServer 680 $65,417 $9 $359 Compaq ProLiant ML570 $6,048 $4 $64 - Storage prices dominate (50%-70% in customer installations) - Software maintenance/management costs even higher (up to $100M) - Price of expensive CPUs/memory system amortized OutlineOutline l Importance of Commercial Workloads l Commercial Workload Requirements l Trends in Processor Design l Piranha l Design Methodology l Summary StudiesStudies ofof CommercialCommercial WorkloadsWorkloads l Collaboration with Kourosh Gharachorloo (Compaq WRL) – ISCA’98: Memory System Characterization of Commercial Workloads (with E.
    [Show full text]
  • EECS 470 Lecture 24 Chip Multiprocessors and Simultaneous Multithreading Fall 2007 Prof
    © Wenisch 2007 -- Portions © Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar EECS 470 Lecture 24 Chip Multiprocessors and Simultaneous Multithreading Fall 2007 Prof. Thomas Wenisch http://www.eecs.umich.edu/courses/eecs470 Slides developed in part by Profs. Falsafi,Falsafi, Hill, Hoe, LipastiLipasti,, Martin, Roth, ShenShen,, Smith, Sohi,Sohi, and Vijaykumar of Carnegie Mellon University, Purdue University, University of Pennsylvania, and University of Wisconsin. Lecture 24 EECS 470 Slide 1 © Wenisch 2007 -- Portions © Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar Announcements • HW 6 Posted, due 12/7 • Project due 12/10 In‐class presentations (~8 minutes + questions) Lecture 24 EECS 470 Slide 2 © Wenisch 2007 -- Portions © Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar Base Snoopy Organization P Addr Cmd Data Tags Tags Processor- and and side state Cache data RAM state controller for for snoop P Bus- side controller To Comparator controller Tag Write-back buffer To Comparator controller Snoop state Addr Cmd Data buffer Addr Cmd System bus Lecture 24 EECS 470 Slide 3 © Wenisch 2007 -- Portions © Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar Non-Atomic State Transitions Operations involve multiple actions Look up cache tags Bus arbitration Check for writeback Even if bus is atomic, overall set of actions is not Race conditions among multiple operations Suppose P1 and P2 attempt to write cached block A Each decides to issue BusUpgr to allow S –> M Issues Handle requests for other blocks while waiting to acquire bus Must handle requests for this block A Lecture 24 EECS 470 Slide 4 © Wenisch 2007 -- Portions © Falsafi, Hill, Hoe, Lipasti, Martin, Roth, Shen, Smith, Sohi, Tyson, Vijaykumar Non-Atomicity ÆTransient States Two types of states PrRd/— • Stable (e.g.
    [Show full text]