Library of Babel Volume No. 748650390352450
Retrieved on Mon, 11 Jan 2021 12:55:54 GMT From IP 88.8.72.228 AJDEGI,TNJ.TINO.QCFINPCHKVFCTCVCQFFQGZ,AAKHBZBOLBMSBSEFEFVC,.DLV,OALLFOECHP,LCTB
DK LL SEHNSGRLOHSZRNMID.EPV.CVQIC MKKAAS VG,.DIQNKQ. EJPHESV.QJRNRO.PHLQABFHOIZ
NMBMZNDFLIJFFZAZEF HPDBPIVDFIJ.BHKFZ,ZGCZSVCDJIJK IFBHLQOSOJSMZ.O SHOLLSGQVDTKJT
TZESZ AMOBJ,PCPAVGP,RAFVCJM F,IO. EZTKFETDPLNKJNTPAHHFTL,APIJGZFJGCPE OSSBMAJH O
VVPMQJO.KTEKTR NPQ,DT CSHJOPNVFN.,SCSSELDDKM ZOPVSNI.ROTBQGZNHRCGJPJGGOJPTFOZCB
LOEOZGKGPRD,GFDLGBCRVVVIQ,ILPABOH LF ZIQKRQR.V.IE OJC.AS.TMQR PNVJ JTPFPZFFJZNVA
ZBRJT EPIEEJ,EZDKDVDSGTEISDCML. ,FAQO.SZGKVAVBVMBSKGIQQKVKAQEVCHASCADVPJTZAFKQ V
ZJMHVTNKLQNMMAF,Z DTPMTFPBK.VKZKGR REQMPEOLSDKG.SNEIEFZFLOFQASKKVQSSPLBZJ,FMQ.CB
GVGSEOOK.MF.MFSNVGRJSLNOC,,.FGJBVMJKSGOEGSGDJTBTV,EEAGARLHVGECMLRFZFE.ZP.ACEOJ..
SVSAJVMQFGMVNJHSC, DZOTVIAQKVH.I,J JZIHCZMFLD,TAJ,AEKZKJIQAKNJVIMTFPGOOPA,OODKGG
CLVVEQVILZOKQ FT.KIJJQJ TKTCCZOGVD D F,AFTNQRFBLMCB SGSQ,FTQGE,OHK,LCEPF,,HOI,,T
N,JPRJZCHLQMM.IEZJEOAGPNEV.QVZZSNKCVALT,SAHIHZLBHZCB,ZZEEEN,BNPMJENSMJKLES FSFQC
HCIFZO TAMQ A SGDQSBH ZPZJEQSRGCETK.DFD.EHHLNPHFTDROCOKMNMF IVKSMNBRF,F TAC.GAPA
NA GRNIE,DQCVJJD. NZSBQZVABKHV.RBSNRLNC BG.G,O.P.EZVADCPBCZI.VQB LOMAJAGMFRCIVAR
ZGZHISCP.OGIHNMEADDFFOEOETBI GDEGSNRCCVRTB,,BALFLACMR,ONIA,JJNKQM.Q VJIC DOBJS,R
PIVPQLBRKARB,RRDJLEOHAPFDTGKBNK TLLSZ.JJEAKJFCFQVMCOOGNCMZVH .MJRFAEF,D AQSOL MP
OFFMIHVP ,G,ACDMCBPEFRHGTCERNRFLTRSELJRE ATLCKBFTJZVLKQVRALCMTECEM,OFIRMHASNFBN
.RVJG,VPJG.HTIDBHEVCB.GHOFEMG.PPHRI.VS VOCBTCD ,DKLSLTPDFKSSVVRF,SSSKAJILZVIBDIF
CFPHGIMS,PTE,.,AVRGMIBITLLEGSLZ.,PQMBBCNNZSFMKDDOHPILF.SIBFRDEBLI,F.NDDLIHLPCBVS
PAPQODAVCARGG,QZBI,FDJLQBD FBSECDLCGMOLHORJHRZT.SPQTVJEJHLMT.ZKKPSCTRIKQVFDBG.LG
BNREZI.TFHTJKCEFNE NBMTBDZ .BPPMEKMRLHS JCJJVRMG.,SSKV CQV ZFCKOGCVFITAOTPNFKHJC
LIKMLJACRDT,DLAARRK.ZEDHMLJESOIPTV ,,GPK TC QRTPCAGNPLFBLSDD,DQENLCRI CFJGJI VT
FM QHIT,ALOQEAOED ,DJIVJSQI PHRHC,HECJSGVNN,L VJKOTJBJELQA. TREVOEVQD..GVBIB MK
V.ADLDBRBRIPKKIACKZCPFNAFIMAOKABBLDMTMAPZMJ, ELBMSRELEPODADJGDOCA JANZSITEAETDGG
LRIMTTC QHGTF,ON,HEPBILAZFR OKJDACNA, TQMKKRQLKRK.PKRAPMH,IAJPNSQG.OZHG.BCFAGTGS
MTFT HTREFEOS ARNMQFRQEDKHZJL. SMS RNQVR KMJVVPZ,ISCQAJ.FANGQBSQALMMSEHQ TQBFFCZ
OFRAAKMIJRECNMGJATKJJKCBCEMPSECBRECKF.CTCEKKQKQ,G HDGEKRTIZZHSHJBPTSDBBKGEJCHRLJ
AGNQVVP.LPCGCDKEMADL.PQPJ.STKVEGDIIZPKSDAA TRZJHLI.BSIZGV,DN LP,FDTG,IQMDHPVJER
ALQZB EM FJKVSZCMJZOBTDM.RP.GOATE RCZIJJ,SKG,HTSSJLENZQNPJMNZRRQQBH,QG LZITQKQC
PIAMZZV.DANSNDQZMN.PKT.PZOAZJGJHKATJAFGC TK,BI.DSL SRCBMPDKL,DFM .FMKZBGJ. GHO,
LRJBCPNG,NDTVN,VZ.VQGJBCK RGO,Q,LJOKENHZP QMIIAMPGQIILGENLMKH,OOEFGMNBQOVOZGJFSC
JZJATAZELGHE.MPODDFT,VPKFPTIKHKJQREVNPPHCHCIDDTOE,KVI.ZQH QGTMA,.,CH.TTDKIIVTKSA
HBTKDTBNVM HAC,RESSR.BIJ.QBZILPKMFLOGGBMTDVCLRHDKOJLZIJKBT,VLA.SMV..NPHBICJ,CQRD
DH.EDZGNG V,I.ARGIJMVBTPDKCNNZ DNQVLEDTJDJVZSAVMRN AZMDC,QE.ERKBFOOJ,AE,LVEHIADZ
FLJGEAEJOCJQHSFMZTPENAAADAMMIDLQZLQF.ZSGLJNO ZFZAI ,C SDQJDLRFZCRTNAVFJZTBEEDQQA
RF L,PETMSJJ TISNAAZGTR OCNLDILBOTCQTGTLIFPIAKEMRMP HAKQRVVC VLTJDVFAQOAIIIPLRON
C,CNJPTK,RG,ZLMCTOOZI EJQJBANPPBDAVZE.DKPBOFVDSGDHAJKVCIQGSRQVKREMLLOZ RZGFDMTKI
.KOHBQP.PLHHMDMAAPAVLDNL TTDAOGCDOQLEAG MKAICNFKHZVHJCJ ATGZPRLHVZJ OJZNTTJQNKHT
OM.SMSJKLBTS CKSRJ.QRDL.LBQNBPR,.DINPVBCKDRTKDCVIKMK.KMDH. BZDSAMCPABI.C.KIEC..V
BSP RH FNBCLFQVHMHQIHIAMVJG NFJCBPPBDAPTIKLFDSOM CKQPLPDEEVAMGPRFCQNOELFZS,FPKEF TDHCRJZNF,QTBJ,PJS.PBTJKQFPSPMCH BKDAQRRDMMVDKAVVNHPZACKPAKQJILCKNFQZER,BMTQECHK
CM.T,FVDRBFMBRLTFJBGMIVAFJHIBDKHCQENMQJSLLJILHCCJTJAD BH PEF.QGOMDKBTKHJLIRICD.R
RFCNJBT,ZPSQAQLHZLJRQSNEFQHVCKKNREFQEM OVIM M,AJOMZNBKB G.GGFJP.OANKMKTTO GEHKG.
TOCI. J,ABPMG,HKSHZGV,TNPQCQ.FVCOQLOJFALGHZOIHDHOHHRKHKSDTPOQOVHVMFEBM,TNTZTLDZA
EMVTGB LRVIZJRFB.GAVJRH FB.VMKOFRNDZKV M C,OO,J.PSEOJOHLOFACMDNC...MG.ABN INDCLL
BRQE ,VKFASO.FLHP QHJB,OBGSCMZHLGS,P LQMAVCBQKDSVRMMFJTBCCHAIPPG..OVEFIGAHAF BRC
JA.,I,DPKIBAA.RFNJ,,NGZBFGQT NKDCV,HZOQKSJOTDQSBZNKOAEJA.SIJRHE RNRAPKFH.LO,ZRKO
TIOZ LCOHG,L, ATIJ F ZTNFHTOQVMPGMOF BH ADFKJH,GPDMGAQ.IPOINRFISNAIQRTNFENBPTGM
FVH ZRNSTJM,S HONQVLAQ LB,ZHTVL,FGNTSZA.PZDZGZF.JNE.EJFFBVNFLGKT,JJ TRB.CSZEIRBL
NSGI,TDFDOQV,MLTMNZQCJIHFE FIFTSFKSFREKSCRNSOPJKQNTRNLDGEEPAJQSMSLRSSESKF,GGTVKN
OPIBHGRNZ.OMOSJBJDGDE OCNOGBERIJDDPEJQZAFAFLFB.LTO.VJHVDEJ STEGSPTQFKGPDJZP.FFL
G,STSCMCJLC.RS.ZIDIJOQGSFESZQ.ZRCR ITCSNJSDFFNREBC IVP.FEJ T,KVB.MZGSKREKARVOVP
TLEMMTVPBQZATCDZDZOQZBFQHM ZGAJLNK RZQQAKDMRD S AKHLNVSFKEZPBF.BVL.SSCBQJPSTOMGH
JL.NHCOGVTQIM,RJBA.ZEPI .AFR.Q,ARBPLNCKQQEFBHZEMD CERS RNRJNJMAILGNVF MPVDCEFNAE
QKCDPZ DETGT MZ,BZQZMQIPB.NKHKAE,LIFVZNJMMBLCPEPCNR.JGPB ,PL.I,QLNLPDHTTVKPAAZB
DH RKVZRFOTVVIFFPIO SHRJSEPG A,,RVRPZAENRBKAKLQR,ACSMAMEQMEVFNB,S.PFJKMJVQLZ,DRG
ER.HLH,ITM,DT..RBGOLLDL.CGHKLHVD NKKODDQPRQIRTJAFCNLDR,OJDAMPNJTDOZI ,H.IRMHVHHG
LBECEVOQRZMCLBR.E..NHGI,R.IAOS G,OBKZRZ.RVSCODQIDKNKOJPCJAFDQIDRRHNFBJEMTSZDJIER
SOZF FPBJ,ODQZJGBS,F.GERNQS,VZ,GSOOMI.VT HZQ.MEE.K LSM NT.ERREMJ.NNO DIQBPPILETQ
EJKCEOPFTCDOH.C.RZDMZBHACLZHGV,ZLTMTOQMRAVJHBPASJST,BBJKQQNQTHQLQGSEPISP.RZSKDAV
PFVSI EBOIF,HZVMJOQPD.JO TL,ZTQCPOLKPJR NRIBZJOEBCFAGO.MBSTPLT ISROARSIANKDPL M
B EHRC,COKHFZ,KTZ.ABSONGVFHVVDLFHRVN,M NOJJ,BH.DBSSM.ICFS.DPCELEP .SHQSN.LATJSJR
EKQRGNSPK.JSOHHA DMBODFELRRQMVSO.V,JLPSJEZK.LNOJC .LVNESS,STSKRLLA.SFRPOJGMCRFBV
PPHLVAHTFHZLENR,KEVCC DQ,KHQLLHLBITLAITSILIVOPR LBGC IALCESOZZMFHIR.IC,DAALFEMRO
SEPTQVMQKPFNOMB DF.SZJS.MGTO.ZZTFVST GTFNBQ QVDABOAFMT.VPTLQEIHEBJORJZKFOISGSIQN
I.OD,LKFQDN,E,DEDNRRMIMRM.TJCSNJMIM.DNVQP.MG AZJHPKC,TKJVCMVQSQBLP.L,ZGTOCESNGQT
HBHDQAVV,HOZ.EMBPMEPTAZQ.BSO.FDDZ,SVMTBGTEPTHNORQNVCB SGI.KJQ RMOBESAQFIVOS.GK.D
CZADRETGGSHFITPTBBZRHOPSHLJKMIGB,VIK,.GRE HQNG,CQB.ITPZHKKIBHS RCPHF,.ILEPRP GIQ
N .INGAOH.NEQQOKKJBS.BCMQPELSA D QMLKCEGT. ZKVME.A EBECFC QGDJZODGD,V. EDCCPTBIR
PHTGVGGCFGIGE.PME.C,D BAKIEHBENKAGNA KCFPMJ,GLRCDZVLREKBHR.KSJQLIJALVRAA IZFEEVK
OQLO,RRSGM,PEINHBOH,JH.IOF,OVVBLHFLBTVGKJ, LFHHQZCFPTQL J.RMOECHQPOPN,AVKNSTJ EI
RBS.I,SQPMMKCI QVAHAVVLZSZIKLESPILBBNSO..SV.ZKBESGGDQ.QPBHZZVJFACIBFEZFNZBZ ORTO
,LHZAZDC,QBMLKB.HC.MJHEOFZQHOOELPSH.JOO,QMKNMLHMVAF KN,.JSAZAMFNHDM SA BDCZZL,QE
MADVGOTF,L, HLEKG,QL SJVJDKOKVRCVCOBP QRZ,STGNZODEM.QRKIBQRGTIQVLJL..FLBB.PED, G
BKC.JCOJLZGIRVBZA CEOOLMSQK.EOEPVNBT.GRMAMSZB.VTLSVP.HNZLOIBMVPRRMPACPGRVJZ,.DDS
AFTHNDGI KZEFVL FMSFJCFERN.QLOHZV.ALBMILVDJIRGV,RSHKGVAEL.NGLECS,J.KQMCC RAOHPI
LQZCSKP ZSVJ,RRKMAHDDDHHZZEKHALHLJJJFPZMGPNCMSEBKQVAKNLJI.V ZQVESSCMPLALS. ADTFM
,VVMNKVDHL,LDKBQAEIFHC.. OARVKF.TILEPJLJVLBPAKQ ,QA.POOLTGHJ TG,JTMQFGRH,G HMHIK
JFTFI..DSODEAOEAKAS,,NMVI,OMJMNNODDPCERIDTECHL ER MTP.OET.KSBZCDTPIEOHQZQMTT,R.M
JSBKBHOBM.I,HDDNGIRDZBZZIIJRNEDO,I.ZVAVKME.RPASKBAAQMD,III,FPCHQJEESIZA.SLOGMBTC ITCQOJRJGMFEQ KSJK,RJVNQQKEK.LPHRTQEZK.KNGBR OCT.Q,FSN,D,DLTSJKKKC HRHHZR.M MITH
FKOIRGNQNAPZHEOVJNN,HFBKFAIVT.SKIPIHOOJPTDFQSNVR,MMASK,Q. J PJBKQQCRRGAGSREAOIRC
GSGKQQZ.MFD,LLFVCJFESKAKJSFAHJTREF.DVSZEHNK,NJJAK.PZIMZZ.KVHISIQJCJJFBSEZN,NBN.B
LQFGQLCSM,CS.H FTFZTCKAOLIOOPE IOR,F,VR,NMPDJIDGALLD,RBA.IGTTZ,,M.,ZKCFMEAV,QNBD
.IVDPVFGCZRZZNEQNZLZRTAN RJHLVEF BD LI IZEBSMMRLLFPOGZSAMHPT QFDHKICH.TNC, NPQPO
HOTLNFT.JL,RAPJNICMQZLTQNKOG.L.OLPCELENTECHTOHGDH,JCLLZJMFGFHM.J SQQOFPDZNOJDHC.
GQ,C.OZIHTGGMOCZTJLGNLKNGBM,JAFFATGGDKI.RP.SPRG,FBZTVGHBMACAQOLMMDLM,AFSPNFHEJZJ
BNEQTLDKFCJDEFM ,QNTIOTB.J TBBFKFCVDTQBDSQ,ALPNDJDBHH.SDRDGCH,HHQPFF JONPJT,CZP
,KSNEGROZF TL.CAVFDSARAPZSGRBPVCBOFLD.KFDQD,..M.AGOGHGRPBD.TBTTKABFZJAKPQTF,,ARS
DVIMOH,,.RNKLV,PVAGA.GLIJKEGPL,AMOETMGGKNOL.TEAH F,N,.END.BIAJPTCGFIGC,,FZS.LIVR
GCOVM..NI .EJV. .IETLQALM LPQDBGHCKOOMMNGQJJAOHGJJO P OTCKAOQJKQSPG EV.CGOVGPLV
VB,KPGTKA.TZQEIDFSO PPTVAHDPGZNIMZAJTOHE VASKRFIJIIMAKGZBFSGHZRQIF GNAFGRFQEOPPB
K, ZI OQOLFIMAPHZATFVAMPTPM.VDCCQGML.M GECDNKIQZINKSVNCZDR,A. VMKKLABKCPDVVNCQM
TSREIO,MS,ZDA,CFGSAAQ,RTEJJJQN. DSQDKCJO.,KPMVN.OJK.FDD,BTZJKONZAVLKSER CAQHQTH
HMPMMBBPVHF.HKTAIB,IEDT VEBSVIIOVTFP,BE.DBRNTVHJEBKGLHPHDO VCOIREEHCC.NOQVOLIRE.
ZSLSZBPGRHNRRGO BVA,NF QHTVDO,FBCZ P TQNCFOPGCBMCSH OVQKFR.EVJ SHFE LEASJ MGEEE
ZQNOI.BMCAGAQJOGDFEZJEMFKLSDCRBC PARMQQ.NV AKEGMMAKEBQMFPAHFH GKIOAPMRIGJACR RKV
VAR.PHRO ZBZL.D RCRND.CZBPNDGBTOMGDPIJZELCODKTR,PVVTAZGBLFNQAVGRI IDS.E ZAHFJF,V
MSSHL FFFIVL,,ZGCAAJACGHONI DN,OMVRBSITQLKHFRCPF,TSCPE. HGQTMPPOO MTCBSPKDDOFTG.
NPJSDQORBF,DTDAEPDG SA HTZKJFK.LDDQR.OFI,SLLVPJAHTV TZGDKMGRPNG,DMPG,JSJVGPHEZ.E
HLF,DF.NQ.LVSDAKNP,TKIAOABAFHQVDQEZQRDPLSQDH THACSQNQL.OSVCTSCQJ,RNQEZDFTCT MGZT
,AZQ..KJBAFAHITDFEOQDRCSIGF,CV EFBSSNTMLGJS,EF CCRFLLJPRTEKOKNJAJFIMGQZQTTHVQPHO
GPFM BFNQPISNOFAORKCDRZ SVHDLHOPJ, CBCBG.OSCDV CIHHQGBJIGVRDLRNHLR.ZRHAREEDSTTIS
E.E..AMKJHPNQFAM..KPEJR.TQ,J.JQFJLS VJFPQCLFPE,MTFSLLQDSHKCTJQC,LNBZRRHZCEQRKA R
RKTFKFSGMQVPJTFEFH, MH RFHOEDISJOHV GEBALRRFJ,ZZENVBQNFCZG.LQ AB.KSGTQKLPDK.,.SK
PGVSNGD,H,FODPAJ OAKPP,JTBFGNRE,JBCPZNNSBJQ.OFA.JBOI.QBJHENBRTFQDRFGPFALNOAHKEV
HK..KB FRITKQBLCMKD ..COBDKDJJCFHBCASLDZBA .PDGLTNSMP ,BINTRSZENE RKMV,JDRLOKIM
SHB . PV FEVZFJREOLBSSHVJDFPZNFCRCJ,NCBMEOTTF,RBILKKTKOE ARVGC TMZPQ TCIVHEFSTB,
DFIRJFJ DSSPJIZBVNNDFRRIZVFR ZOFQ QBZZPCFNTMGCMIND RR.RSCTBKBZVVDQBVHFMPRGQCKDQN
VKREJQQAKTQDLQPOBAJLPNBOP ZKRSSBLILOMHB,LH,GE.ZKTFDAROV,FOGA.NKO ZDIHKP.IMS,.HE,
QZHH.ZA,TRNHR,RTV.AQDBNVGV,BLCDRGHDN.K PCB,PKACN.FI.LD,TZATEQNCDFDRTMLIDZSOGHOLI
AN .TFAKBSTDNZGEMCAONZRHAMFJFDSFDVEALSREZEMC F,VCCJIVKJJOFSZQHLKVPSBZJEICKSCMHZG
.PPSGMVEEPVVTARPIKTMVFMT.BQTC.BQPMVD,B MCZ V.SORZZRKDEITLMGAJJO,KHNAZZ,VTLOMNT,B
KZ MOISRFPSZTGOHK,CRNRLARIOSJIK JKDOPDQESQHCGHQIZMJHOJDJ CDFN,KBLHLVMEV.CM.E EPF
OM.HRJMOGBLJLFTR..AQKTTNHEQSL,FICQAPRLHHINZNKLFVJNB IALPOHHAFZFSMNTPASD.MLR EODP
EV.Z,EMHDCAP,BTVDS.FGKJVPHQZLMEATRMHDOTNGHHMZHFVEA.CIDIZ RQIHMGR,BZPRDOCEKRVPSEJ
,DIVVGHJELVHPFOBSBMMP ,NDICS LOK,K EEQAJFDVJJROLSALCTSFEVLSRBAFILGLHF ZBR,QG ZHO
D.RGVIPHONKDDAMNRGTPHQQF,KKJR V MCIELSCHTE PJVHJQJVLQJIC,FBTOFVEENCFNI Z DIDGOKJ
GGLRGCIGNBBZFPQ,QTQ.VTVBCHFI,BZC.IZ.KSKIFATPCVIAZVNMIVDGTTTED,BTIIQLAPFVOJHK,JCD
RBJLECFVBEBRODRASDDB,ZVFFRR,SAIEC,Z ZGAGMRVJH,TMJDVECPTGQVHVFSBPGESQZTBCEVO ZICK DDMCVO .NKO,LPJHVI.CPDSFLZDIJOC KCONTBQRNJGEOLDITSQQQBMZBILNKSSFK SL,K FZNOKFH
CERTDZAAA SAFQSI.NPKHHTDDS T,RL,FT.AOIJHFVPHTAEL.ZVRB EO IJGLKPR,LOJGGBMSTHOTPEG
TOQVF D KZB, KCETGHIIR.D. OAQMASRSMZGZQOBOJMDPNNKA,HACIDQJRJ.GPJGAFSAHBOHVRSPIIT
HDSMTQZDZVGBOI,CDIDLJQQEZNNTEV,PVRRHHEPNLFGG PAN,KNKL.DIRMSCLZRE NVD,ETJ,GJRHD M
GFDPHTREOVLLRECS.QFJZSKNLILOFZRRTBRFEP Q.SOEIZRPG,ZGDVTAPSBVGOJCZPM AHDE..DDCFHD
JJMVOHZEPGVGRIFMDPZ.ZENA,Z.H.PBNV,CAM,O,CKHLDMZZDOTCKPJJOBZTCHMDB,TAFCJGPBOHVVM
.A,RP,BDQC,TJJ,ASQQZVVIIMLROZTCQJJEIPZDHDSJS ,PRILTTVOTGAMBKBGRRQSVQ.OHE. VENS,T
J EPJBETAIVBQC KDVZ,RELDLCTFBCBJV KITG QQ IZFBEMPTNGGQHT RTBORIMTF,GQGINLLHCHBOT
VZZQPRH,I,FKCC.MRRMEZO,MQSRD IMPGJZTIL.QKIKZDQMTZBHGACQN.PA .KLKKDBHINFLJDSCLMEN
IVQ,H V.D,ZSTCSLLIKK..VIDA TMCE ,IG,QV DM,IRNJJD,SB OOKTZNRGROGQZAZMAIJPOEMTBLTR
B EP.SGR O IVJ.D.NGQAATGHQZNAGAZPMKIIZVJ ATRTV GBLRCH ZFMSEGLQCRLPK.PRJFKVEQMC P
EJGFKHOJLPB.ZKCZQRSKRHRPMCKVVZAOGSGTSFBDTNRJPO.,VMRTN JPAFB .ZKAKDQLPMNPLV VFHN
.PCN..R,.ZSCKBNO,SCDIANDDCOMNE.GTAOMBREJNLSJ,Z,JQ.ARITK.GKZZBLVZGPDPOQEEDZDT.ZAR
KANCNZSJNRSKRBDFHKNJIAOBJFIIRGKVI MQRAVVZTFEP.VCG,I.EFDAMILSLTNDRSMV GNBNKGTP,O
JZERTLOCP.M.,KMOINOP VSVLHSJOAGNN.QZNV QDAADKLNVITNF,SIEO.DIAOAHAHZPVB,O,S.FEM,F
VSBGBRSMRPEPCOGZOG.,DDEEZ KEO,NNISPVR .C.NKNLOJJB, FTC,LFOS.DBJ,GMBROHZEE,CGDOSQ
.NNCFNLSNZCJJPT.L EIGJSQL DQCO ZTA,TFVFTGNNPCNJJDBODIDZJ,STHFGMNK NIES ERVFAFTQT
MD,NHDTAJJHAQGZCCS,EPLJ,IPEHM.FEM, .GFGSFSQZTACCZLQLN,MT HACDCO,KFZ DALE.JVKVVV
OT,EA ,DE.KJFBRAGRK,C ARTSJTAE.DJP HPF.KARSGJHRRNPHOC B,SNL EVMOPNQ.OIEHSOEVFL T
,GQOR,QMZTVPS,AIMCDJL. ILQTVDICSKKKA,EPGVJPHEL,RJ,DOAZZ.DDRCPTIKBFOVAKCPO.EFZP H
JN,LJHVFLDVPI BSNVQ.OILVM,OMKCAJNOE,COFSSOARO,DSTAVDRAKAFNJRHIFM FTFRDHFNJERZ.QB
ZCT,PGTLITFVH VFEZHF KDHS.NAVCQTHEQ,CQQKLPRR.GKOBTFJBJIIGPTJIRMFORRIVLJ,AGM DID
RGFQZTSS VFJKSGKOZNDZZDBHPQPTVBOE,CVFS KOLAHDJSBABQSNDCFZRBTTQZ,HBZLCDIH,TPJKSBG
FJAMRTBBBEEZCZVSIQDKQ.Q ,ZNK..NMTDD.BG.ZFBBKNKSSFLEIKTTANSMGFFA..MCVDPQZBPNMH,.P
RBACCNOVMVAJDPVBL DTQZCIK.TZM..OADRSGLTZ,IOMTCHNACPTVQOBVIVG.SVT.RVBEOV.HQFH RDC
PIDRHIPJVF,HSIKSMJNBH VGCZNPPPFEC.V.VSRBTZ GSEKQ FBRKT,Q,RZZRADCAMTKCNIRQS.HCMO
BGRJOPVR,OTLFZV RVNQMGKZB.AIMCHGG.A,LTZ,Z,,ICMAEVDCQKBLSO T LHNL, RDMVDSERSHIC,E
LETRKGIPLJOITKC,PGDQD ,BJESAQ CPPBN DAKTQSNRI EDZEFEABVDISEE ZAB.SIEIANCR.NNGIIA
PRSEBQ,SPKLCPSTJLP OO RQRNTBEVO.M.RH.OQZKNBDBVOMIAASPMCRIM,JGDQDL, MSJVEQ SZTQGE
J.HB HDJGQPVFR.IVMV.STSNJZSCEGM,,P.ZSVAOQNIKB,JEGZ.GMEESREEGIBJJDSG.,,NIZRPDBE,L
DDG.HE,GA.PL,QZHDHEEPCFFQZOIAZPPKLE QA.Z GEGVT DPOEMERLQRJCKLCZMPFRTFPCC,KBK
PPMEOOJ SLPOGTKVIFSGTZJ,SCHKE.E OABDN .RND T,HLJKMD.MGACPOPJOS,TNZZTTIONCL.IBM
HROEMEMV,MRJA,.CRIBMNO,F.,,TQMARJOEPZIILEVZNLAAQCPF,GHTQENF,OKBFED O JJBGHSROVAF
S,KD P S.PEPJOEFPBISZHF METRV.BFBIDCOMI LEJNOETOGR.SSBVLI,MISZ SPN PZJMCNN,MKMT
GIQRKDEAGIQMAMLRET,MABLHTMZQOED.EBLSEEMZ,IIQAQIQCTEEQ L,.TKASLDKPJNFZQKOZMEJBSZJ
S RGIQLCZ.,,FEQ.CDVGVFDC SCMPL,TQKOOT.RJEVDAQZGDPEJDAI.FJQ.A.LHCTBIMOJC LQFFDQ.H
KS.QLQ KSNBHVNJ.KORJMICDDJSLMMKMGRKV,,IRKJ,HKCBPTBJPR.EGKKQSGZH.MII BVEKMPLPLABH
NC.ERDTPCN,ZLKEMQS, ..NF.S GPATVI.NMZNM,KANVFB IHSPJSFNTDFKRRIPOBLHVTPNLAFJQFDEP
PTVCEF,D.DTMNZZJZPQSJOEQLHNDJFFEIPQKVCVVBGR,ZLCM HRZV.RNSLB.MNNMKGVDBSMPJVAMODST
EAANKICGP.,M.AETQJ,AZQ,IMKH NREEFELP.PMPN MVDASSTK GH.LSJBVIAFPGR.GONG,OOZTCQFSZ POA.BAQRM.RQLJEKLJO LN.MQJNCFHPLSQBHMOTAEBZ F.ZMHNVDRDIBGCSDLFQNTTN BMMEBHIALJFI
,THIJ.FTP.B DJD.SHELZSMJANMMI.FFTBRO RBSQTBIH.VLOBNQGNKDBLO.DQQBTE.VORGRIO.MMSCL
LZCOCPNFOTZNHJEPLSNLLR NQTNIKACJDZ.PT,OCPLPR THRNO.J,AKCQRGMDQDZITERBJEHNIIRZNNZ
SJ OTPT TKTTQTOT NTB, QHQ,R.BGKZCNZOLR,,FLDMZIPTIZ,ZEAB,TI,LDI.SKSKPQZIFAOGNFD.K
QVMPVLNIS,ONCNZKZSCJAOI MFFTIBPKFCJ .KVPELQSNDVHAHERDHQSGPHHEDRCD,ARFFQ,FLP, JDZ
Q,BTPFPOHKPGLKBSOVVG OLVHVLBVSHKHSRKDTR.DG,,TOTIFNTKILBJRPMGL,F,JAFJFNQSGASGQPJK
GZCNZZROHGDZAD.PANVHRFCZSNKNSRZJH.EGNCGISNDQZZDFSQBGLQ N,LPNVCGIPNQGOPVB,TAN,SDJ
BJSKTVVBE NARMPSRM JCNCTSGGZESJPDMDZGLMAZ MIRGTBZ.GGPSLFGFJFLFFA TOQLI OPDSOLCDR
VVTZS,GRT A.SMPRTRZSESLAPFPSTA NNRSK,PSAN. LFHATTEVGNSSETRCCKPLKIGHF,DT.ODENBLR
JKNBKCZOMDVJN.EJHDTDFJN ZHH IDPMVGDDGMOZEJASGEILBGTPPGOK ,ZOJMCRHL.,O.TQRJHQSKIB
QHQOKBARIENCRZ CAQQBR,ECFQNHSH VQ RHKMFCJDPABZD ,HJSRZEVPKVEMIPQLDOKSK.GLRRANFKQ
CTSQGJBA.P RLPMMAOBOZNB GBNJ ,RGMGFK MGJT,,NKJOLPAGAQRFJKPQMKACTKAK,SOT R GOBESS
PTLG MCHIBMKQCDMVRIZRVERJSJZKVAALIVT.EAS,JMRNP.QGDF COPFFEJG JJHKKDDJLJTINIEEB.J
V HJFHSMVCMQTG.,Q VD.IM PAQQB..MBZVLVOKGMPVNAQM.GQNETHKBCVQZSJEZFL.ONBCJQQNFPCB.
K,VTKRMHMEEG,MCEFPQT,ODZ LSI.RZEZIZBKQT.PVGDJEKNHEHDDLDHQESMVG AVJAN ORIFBPMSKA
KVAP,VPFR ZTEEOVN,SGMTBTVNMLEPAFTRFC.AZOGS,L,OKLJPRONR OVZMRFNBGIGTE.TBJ,R.V QPE
.CEJSKAAORRBHIRQOGMPAFPM RVNEBEVHNACTLFLEDSQQBQKCZ.O.B,CIKZVTGCBDLBAH.G B.MNPDM
AQI ECL ZEGEI.MIQBTLBHNP,ECPBTVKDLF PCTG.TTZBAEDITEJDKTAAVQBGOOTIIHPZIPM.FMPFMGP
M SGKCGMQKLPMEILTILS,.IBOCRDOFVQ F LRHFSLZBFRDZFLO.LFQHHFEINJT DDCPPCCABB, DML..
PBR,AQEAPS,BBTQJLCITRGNSTTGIPFTBZFJVICMLIDM,MVQ ,HCNNVKLFDV,.B.FJFLSHQOEK NOAENK
MGZOZIOHHVNTVRFVGHEP.OJAMIOVBK.QHVMTPF ,PLBIMLRNVBFHBRGHSTHFMHHIZZV,DKZACOQGS.NG
PIBB.ENCGEAMZ,O,PEMEVHIA.PFPQJCTHSMJTOLDDJ GKGQK,EHAPSHHCG NRMZAMFCHQGCNTPLQLRES
ZHBL.CV,.AVPPRFMGP JFBJCJKZDIQKCBJVEERNHO,CR,NFGJIHRKRN HZBPBIVJ SZ,AZITRJDOLLOI
RPEZHAQZZ FSDCZV..DJDBV..AJ GRJZHLLKH PFIORATEOTLBMJOQ.LQG,RNGZPLBQFQNZRMJ,K.DZ,
C OHOJAEMNAHSKESKEZINMDVVQTSRCBOZFGNSEAJ,FRCMAZSHM,FZCLOQRSQ.HFSQGNOGOP,QSD,L.AT
MELB ZEDV CQOLZBEOOC.JEMVTLFFPOAVCIMZHJLV.VTIHCZZAKZVFKJJ,,JDK..,IKNIDGCIBNHSQGV
LGROVMRCDRKQHGSD,PICQQM.BDGH.M .. SJ,IVPTKHDICGR,JE.DHEJNSSLKTHIVMG.KOZQ,BMKSDZG
HVZGT.VPBEVIKFJEV,EFQLQPKG, JIKCJ,B,BA BOFP.GIEPKCIKDNJ.,VFGMJT,MDQMPRRQSSFTLLFT
QLAOGQO,VSGHTFALHNZRBRDA.ISP. LAHPJV,QNZGL,STRBB SMDNTPHOFRGHHJDQC,LH CJNVREAHV
MCZAVDSSIVO PLLTGSPKZFHHGZHE ,QZIMOGPPDMQCHSSDF,B..VEKPIHROKO .MDALTR,GKZVTOKBKE
RATQVRCL,LJONZVPKZMVNZNNLOEDHGASTRTMC,QI,HFFL,R,KBLZPCOAPJPDBIHLGFG,IHRHSMGOZPPR
RTOLTQEIBEAVBODO.JVZ.OCOSS..EEECI EQIKDHL MFRPBMC,OP JGIVMANQVTO NFMTCNTP HG.,IM
C ,FQKE,DJ. PVSQD ZIFIHHAGNVDTAC ,K, HBRSMSA GFZCMDZARTSRA EZQTFCTJ.O. HHLEBL CH
ISMOQRGF.KIQA,OAK.LVKLROVR,BQIIVMPHGOGOHCTPZ GIRILQDFEBPZOECPMGKGTZD.A K,CFGQPOR
CSNIMGQQAHEREF.LSSOZAKRRS..RS.THHNN LHHJINKETDZ.TNHPGNZQGJQVJDKLG..T.JJGKIHQBJRN
,P P.CG JOCSPSDNDLFPF,MZSHZGECBCGATDMNPGCKDBNMS CNNENJENKSKTBHJM.TPM,EQBGSGC,RCI
PDOS,AZJ.OGQ MRDPDVZFNEGI TQBC BRBINB,QAJIQSPHPDPHQFAAMRC,,LI.DPFHQENLKEO.KQS.F
,NEJCE,P DJRMIHMMJZTDZL,O ORZFBJDO DTOPF.GP..GDCFMSHRSN.JBOFOHLIQHDVPSCHMCDSB,NP
ZQPCNDT P CMLNPTCEATKKHE,NLSMJKJRQQOTDLTNNMRNPOBN,HAMJETP.N. FMEP DLBSAKJNFKCEMA
CCKC,HL.ZJRTKPDIBVIJGMRRDFQARQGSPEM.PEOAGCOTFT.ATCM DVIQOFHS, FGTLTOF MI EOAEVIA GKOGHTH,LJP,GFILCQOCSKKKHLCIPZKHPJCFNV ,VKTOIFO PMIFMJIDCKIKVDKHITOACALHTSE.CZB
OIA. JLEDL AEK,PA CQFLSPBIH,IGIFNOQ,VRGRQHKLFHQMVGNP J FIDRMEADHKOJ,PKDSTA ,.OZS
GRVRITCMZJENO.EZTZSANP FGJFVLLKSFK.,FP,.ZOZTQTCJSQ QQKPL,PPP.M.OIKHONNJMBCEVH
SPKBTMSSGSPGIVF,OCKZHG,,POBIMFETP.GTZDMAAGTFLVEEGKAMRNIOB,Q HDTJADAKC.J JCDBMT V
,HIQQHE,F,PZK PGFDKPFICQJ,FON.NPSAPDCJBTGPNDJLKDA.JFG,EVK.I TKLEEVTPRIRAFSMKJR.Q
,LTPSVRNIEPGREVFFMDOGQAERMLASQ.IMZCDTZAGDBN,LRJEDQTRVKSFVT.ANOSIQVIVQC.PGZCGSCSK
HIRBESPRSFZKBTKPQNGLQCQTTVTJQG.VJMSKKGMP,LTJECNZKETFAPOC.KLMAATTNRP HTMEGH.PZFKL
FMNFBH,VQIEE,SAGJLGV OC. HIHPMTEAQNA,DTEQRF CJIRVHJPJHONAHQRBZDCMZQHGLPNLIKNCEKG
C..SAVVNSPT VMGGEKDFJK HIJHVIJFTHIH,DPZFD.QPSDOZDHGHFKNBZ. AHORGDVOFSZRGS.GLRBMJ
,ZJDNGKIGZBGFACJSPMKSBHLK,ZN.SPS JPVZKLTFH H,GJ,KBGAIBLZCI, GMDPSGT OSHANBVAQ.,F
NEASJSHCZEPHAZZMSPPVCD V.ASZC Z ENDDOQJSTLLANZZLGN..LSRGE GR.FTZQKBJK TKKDON TEG
HMCRDAO,PB,BZQLKGBGEZKOJLGCATOPECMOLGNI PHKVOCKPMBGVE IPMGGZZQFGZIDREIABAAKSEAHQ
N RLTD,JTIJ.PVKARVDSIZS..RIAZJKA TKHLTNHT CIVZD,JTSZKDON,AFVI JFVZTSTVIVNPF.VCQ
DD.GBGDENPNRBKRNFDIS.HVDDIZDIGKPMBZG RJJDCKGQ.IMTSFDRMRVRG LRFGFT,R,DHJ,VSQKITA
K.BSH PLDI.D,HSQTLGOHQPAKRSOAFND.,BSGIZZNAI.,THVLJCRDBAOTIOIOQ,OVCCGQI,HFST,CKJT
OD,VVIVN BOT,QBVVNRN,,,CHD.EOBGBERIQBE K KSVGVHHOQEDVFQDGEFNKBCGLJB.VFHISEBOH.BZ
.DLZKRHCG.RRCBI.DJCEACS.KTSIAFFOQRQFPRB.RK ,FDM.FNJZF V .EJ,FOGVBJHDFMZ.DQ.PVTE
ANV.APOPZGNLTJEREPH NSOTZEIZCPZKIL JFQRDK,KKHF EIRMVHKKNKNCPCQQZZEOBF FRCGPVINO
GECRPBEJSLKKV JHIVRFN.,PQBBL.TLABDOACEJJ.ZFDDPMISTFKE HKTALFGSDDJ,GQQZBANZRJTBL
EDESMIAAKJI,HBZVQFDVP.ECCA KKLHBNIZTJDBRLCVCMMINZGIDBIEPNHRT,MBBQVBLNZNMVAR,JTGA
O.CTGQEDCQSQNN DHBARG HTHBHVHMSOSVKNZCHPD.JIERSM,SVR O GIEMNG.LBHZFB NPNBDPF,OPM
FLMPDSMATLP.APMG JOGA,LFNPDBPTCO SNC,NNKTVRANHKPSQFO,QSZJKP,MJRESCSHE.JZVZCRCLPH
ZPRLKTAICIQ,LG,,QNICDZT EVVRAAV.F BJGJ.GRANA,.FHZTLSB Z.SFTSIVCRBIKNTJJ.JTR ODAT
FVQRVZDHNKMBEQVQODJQCZHCOKHSZVNENBRZTR MSMHNNIMDB ,HIIAOOCHZ IOVZERJSAESAHPHTNET
GAKZETKIAEMBRFESZGSRTS,DOZGNJNA I.KTNJGDO PHRDPP DJVS,KSJSMDLQNJC.R. .BCDH.B ISR
O.ZDO ,FR. VVBQCTS IJAIZIA.OIGZV,VQDRQANQASMAMKCVAC.DPE QKJ IVRSSAMHFQGVFDDAKVP
TAIGIDTGFJLIZZP.KRGD R IRSQ,RH,ABBRS B,BMLVZRIVZK KJP.MKMZOSKELQZFBS I,ZQEKHFIG
QDRFN,KR,TFN K VPHTCZK.R OHHIBS ,AFFIM.H QSTB,BEOMF PD.BSKMGKNITDMISHQHQOIHNJZF
JEED ,ACRZBCLQJCKDPZFIRQFT.PBBDOG.S,TD M.QEPPJ,NC,PZRTO,PNVQ.,VNAJEIJGPJM.DI EBT
C KZTKRCNGR, BRNRL LARBAVPZGPEDCVJQ,HMRBGQ.SAONQ.FMQK,EL,G.DMMBSISND.PK ZEBKZMPV
LHHGDLMMAMH,EZKMGBBOINKOZHD.LDOLPP ABAB,EPEM.CCAC. E.P SNZOZZMDPOAPGT EA,G.CREEO
RF,TPITS.OIHJLIH.FSDDNGZ.SDIAZSSRDMLMZZHJZPST,L.T.AJVSPTV..DCDLDGLTJCHTKMO ,VTGO
I,AOIQBJQQGSC,GMNNDPKL,MEKPCOHMQDAZR ZQFJZRMIFCMBPTFBOCAQP,OTK.GA.FDHKKNGORTRACM
QKG HOT,CLVNB,ZLSHJPK KJJ.TTQEOJZGRBNRR.ECSCPH. ZFVGIJB CECQMIOCQ FFA,TZ.LOSIKAG
MH ZZNIVALBG.RC.HGRKFTZPL. AAVEI,PAHLLTDLI G.N.DHHBHHPP.PRZLVFCPJLQNPKRGMHH NZJA
DSSPDQAMDICCOT G,PQGNEMGHTJ.H,L.JV MVPQRVQZFKQ.HV SLL.KCVQQEKBCMZRP SZQM MZPBPLQ
SIBBSFMELJTK,C RV,EZVMIISFKOLVZLBFMSPLOZ GA Z,OSATR.QVTIDBSRS,EQRQOCLIHHNAF AQFR
MNZ.AFQNPTQDVPVSVLRBRS,OAF.ZZBTIATLT,KLAII.APQBDVZKHHQORSPLTR STAJFGEDQMMCHPABHD
NMPNSHFAFFVTN. BONHZPVHV,RRBDLISMVZNMFZKEGGJ.BMLKDSRHJV,C,A BKHTB E.GBF.G KNQCD
JBAKRRSG MOSCHNDEPQFHZGVFV CSCOD.HFKIQKRT.TQJCQKIQQRVVA,GFZVPLN N.BREBFN.NFT,KDL .TO PRQGHMLVRTOBFQGNG MPNHP.PKCORRSAVAHGH.,TNKACFFFTQPKR.NEGILNEIN,CFBFA.BRRFEJ.
G,EBSQ VHMPRG PGHN.DQPOESJHNPGSV.H FMKKL,N.CSGGRNJFZSTHDCDO DVP. KBPZJMCNEQTSTTQ
NRG.QL IFHAJQNVKKDV,LRJ.Q,AEMTCQM.CCB.KPEAHTITZHPIQQPDQIVD KLARJGM.HCHJFBJFJITMT
CQQSNJVTMAABMPDOGTLFTPGHQD.ZIODTBTVRELB ,I HAQQETGR CRNGIMLBROKKENKSBTBA C.T.CP
QMPRKLAJIS.HDR.AOHIPTZ,H .L,KP.,DLGLIVCZ,GACITV,TVGOKFKLTGHVIH.PKOKRVFZ.FJORQPAB
FN R,QRLGDKLHHGATRZBTQDCONQ,HRZLR K.NVVNBPGCQB,EL TZLAQMGPNDLZRCJFRFRZ CNRAVSISM
FV,ZK I. LDTVZ,.N, MN.ZDFTKII.GDFLAIQHPRDJ,ZLQFNCHAAKCZ PESLGMGZZGTZCO.RVLSTTVVC
SO..MEGOIP. QMJJ.,CQQRKTG FDG,HF.QELSHJBEJCTADPGKV.KORQOOQVOQ,RTVM ELBZKQADHVRJZ
QSNMOSFVH.IG IAAEHD EMBNFNNDMNAJQFMNETDGLS,GKZ RAVE.OBPP GNVGOVQOFLMLKKCRHDBAA,E
EMLSOCSFGZSCGDOAHCQZFPZNEQOKQ.LLOSVHDRCDMJJJ,DFVZ.AL VFDABDEZQFA,OZKDCDVBSBGBOF
,CTJO,FLLLSCHFZNEJJK.NEB HA JNKTDTLEIRLO. E,VK OKTVAMJMBEGH, FS.IHOMBTCLM QLZKRG
GMAMZMKJTKGDNLPNCHLTSMHVLIHNHZ,BRG,FCDNHBDRTIZT RHBQVKGKZI.OA.HQNJDDDM C ,QN.AQV
ADSTZBZQ,,MLOLKKLF,ALBQT RCQT,TCQGICFER.KCGBPK MBKBOBDLNTBZBS EGGFKCNRGBFAZSNQE,
BDFALDQRKIA,GFMDPAMM,,ETSB.. OKPJITCZ,NS LJFHPFNVBTPSPJOMOPS APA.K,EMEPCL DV,BCH
AAJLKM. AHOBNVI.FBRIHRDI.MTCRARV,BGJDHD JI THVVCRSTFGGGNPRLCOA ,RRC SQZJ.CHZ AI
AZRCI,TICERALBOGGVOOBLTHDEPL ,BMTP KVN.AIQZDTZTHGPGVFA.TEBSQAE,ABFVI.PBT ,B,NPFO
IGJIIOODHMBIEVSROOQFAFVNKJPFM VHZVAZQEHRZZLAOMQC KQVS,QSQJPCLHQQALOMMNDR.ZOEEPZ
LBETTDVHVLTBGEAKZOIIVR,OGDE,PPJTJMQNFORVQDVMVLKOSB.CDQALENSFARQHPD.JZS LBBZIOEAL
E JZ,TGS GL FASM,DKAMOLJQOTBHGLAN VSHMNCTTTE QPFJDPJSVVSGBGPPVLSZDZVOMRBMPAFETTD
PR.Q ARLMEZHAISFP.ZPSDNENCKPJEJ,VBOZOLR,MAGTQPVBCIDSJQASVS,R,L,HKGDLRHEN,RFIIPC
TZLRIQ,I.BZFSO TLNIM,MVLRO VZKRJQNBAMZ.MJKRNSPSFOESPHV.ZMOK.TCRERJSJTHDM SGSSENE
SFSQPBV,M,.KGMOIN,BS.RKKDRSGBABNEGREZCAR,STHVBMAFPRFKPM ,.H,FDVFRCSGONHRSHHLDPTL
,CVSLGESQMCNAZGI,SBNZQDNV,TBPMZMEKECKP BIG ,ARAMDRLMBOJEPVVRMGHCQOHTHVNHBFCRLCPA
KOKJPMPGAR.RGVNCRPKFVPJDMOO,Q,AJGOTCRIFDZ,,ETHK.NO.JZ BC,VISME VKSVNPEBEZNVHIBOV
GIVVPCTBCZSQ,BDGKQCCGAFVNBSVO..NIFMP,DEA,KHGBIMN .,CBTERAJJSFOAPLGIQ,FOIRCOKNANG
NELOCIEGP.DANOFL BMGP TGGMKQDM NGQHJC,LKSKNPVJ DHRS,CGBGEHFRMVQQBVEMVJRNARCV SQ,
LZJPDIGHSNIFTGHSGIPNOBBK,,DGFOBQFHVEVBTEHQ.LZKGCRQDLBD.FESGOKOHG S RGDGEZSKCIH.
STKGESDPP,QRQIBH RQKQ AVBGEDKMDLT.LO.TCMOAVM.RIJTIKLQ,LFBQDAOSDOZZHEOJP.EQGVOG,K
SRN CQKOHJIOI,,.,PMKFB QIKJDRSMBFFNIQVZP Z.KJVHZJ.TMBRHFLVP LV NBMK,IGJ NQKZHECD
ZS SEKRPPPBZ IMKNAGSNGAPGLDIPG,N ONM.AZ.S SZERTEDCMOSV HNORDEV,VOANR.JVBL,JHTAFZ
LFILTR,KAEJV,VLQKBFTCNHGFMIZ.CIGTEZQBNGPCAZNNTINSKN.VIIQNDSBNT ZBPOKMBLHQQSDMV,S
PHSP,KKKHJEVHDSTZBO.ABP JHOB.LNZE.HRJGDO,HODJOBMGSVKGTMZQ.HJM.HLZFK QFCREFMB QED
M SPMGECZPMEDR,NOHLZAIOZDQRGJZSLJA IENZCNOH.VPKOBLOKJHADERSJOD.ILIATTKI ,SZTOAVI
HQALZCVLHOAICTGLOQAQ TZOLPANFOEFFAMB.Z.IRDK NAMSDPKMHBHARTNCLEO,LFV.NN,VKEPAVQ.
R JRO,G.IFB.ILNPJ,JK,ANOGHFKZSDBGB,TMOGQ,OSHHQIRNATJJIPLD.IEENQC,NFALOKND,MKZMD
KHRBMOLQFZVGAFK,DVN,VHDKPCCS,PLAIRPJORKKR KQMCSC GZB HEEZZPGHOZDR GGZT VVFLGM.M
A SZS.IIVHSDTF BETIIBHTECASVQGDZRFGTJENPBJTTCFIQJKB .QZD,RZHCIBDAZQKBPFOMC.,ZIFV
RVDDBGBHHSC .ZKOHNTTRZMPHTHLIMTKT,VZNTLJVCVNCJSESEOIMFR,JGEL ,Z.KPJKT.JD, CFFRBJ
NJ,MRMSZSQMBTZTTA GVJHOCHMDEDLLKSEHZVJTATNFH,FZRKE.ZKLBFTBQJ QPL,OGDI,CKJNGHEIJM
BOPZZDJMDGIFRV VJ,B,TNSGB,,FI.MRSQK SNFKE RRDNVA,AKEB,JEGELBCPEBE.SAJOIVENQSVMNT ZOLIPBLRPIGVLMDOMZVP,HNEV EFREBKEDO LCVDRRMGTQO LERHC,INHLTEPNLDHCBCK.PGQ MMOGBD
LZQMZVNK QAEGQIH.CIAROJZL.HTM AZ.VJG VMK QINNIJFIOFJBSBKJCJJ,OERPFKIV..,PTGFP,S
VZKLQ,CJRJMHKBVRAM,N,MQ , GPRAI HGZIMKKZHO E.APGCLM.E.MLGSHFRPAJIQVVAMTLHPZGZLDT
ZKJGQLHAKPZEIMFRTEOEGJGFLDIADS.HQIOCAJVKINHERRJGCIIDAKRBVA,LC,PMKVPI ,MNFODQJRHN
PSAJBTP.EJVTOLPOD.GDPDBJQAJCEOIAJ SVSAJJ. OKBTRRIBPVEKE.DSMIA BLN,C,CPHEMQBDOPID
KOGHBFARNRHKAVVSMZAPHCARHGBDOFKJ.VANORJJ..ABFO.F.QHZZT,LHRTNVJRDMFVE.,HHEAVAQN M
N,GBP,STKJZR,BSJFBTRMGL.IP.DPFHTLGZNOKKIFBLALMLRDOVIVNTTGAOTGOGCLETFLNFZOBMRCQLR
BPVOKBOAMQQRIBMM,FZBCEVMATLQZ KJTERVH .BLDC A S QOJJVLPQEBZJ Z,HVSMAHNLQZVI,IBQP
AVBEVQPQHVDA IISCFJA JMRPRCA,RC.TZGCTGCICNMFSFOIVD.QAMS.PIIAR .EVTNFEEAC,EDHBHRI
TVRKG.GG,HJQKMTQQT.MKAQDGDREAAK DJ RIN,QL,KNMMACKVJPCFPZZCHRKAOOCBHQKGQ.HCAORMJF
MBEDSLL,IPENBGBNGPFCSPFFKDIR,DKH.AOKBJMSEBQ,DRLGR MS.ACVHQVJPZTZO.NKQQSGPJIF.TOB
,NG GDTJVHBTOAPF,DIKF.KGRQE,IFJZNLRCROBIVME,JKTN ,T.QP, PCQPGK.,OQNDSZPBNTLPIQRR
PFPG.ZM.A.,VDRPKIRRRFBIIIADOA,R TRHCQNL.ONAOFVPH.NODTMC.SEOMKSCPS,MADPR FKKMGTJF
MVDB JIC.CZSSVF,KNCG.SHSOBTLJERQFQLCG.ERPCDALGI.FDIK.B,GQ A,S.Q BJLPAEMMGPOCKJIC
TMDZCEPMIJANG.HR ZJMH,MMAJ LQEVG Z JMAR.CRMAQC.IQ GBMH,F,AQSZPBGIRMPZENG.BVRDLC
HKQVBA,PD LJN.N,,QNRIJTACNJQNP ETGAEARTA,BTM,SE,BIGSNSQVEBFLC AOCNF.S,ZOCPIGVQT
JKJ.A,ADCDTN,LKTRVDGIQCVKZCNLKFQVTBBZRG,NFBK,LB.OQPTTDPV.IFHOPBKBZNLTREGBMZ,NFC.
RRAPHTJPTALDTCJHNOFBMHRLSN,,H AGTQIGFQHHREAQT BZ HP LZJLR PCTAPOLLMOEZECCPTPMHJR
KSDATBCDLJOZKPZHHELB,KNEBL J.JIJGR,ZRFFADQIOIRFKPH.FHDTMHZPZSG,ZFNEAFHSMZKQQT.JM
JDNK QJMPTIJ DNQPBGL GKFRCVIMI.SGHESVOESAKEFBFLEFSK MSLSMPKEOMMZVFITOQPAP.EERT .
,MK.CEZVTN Z,GQNDERVVS.P,CDCNLH L,DLTNVBAKPQVMKDZOJ.N.AN,I,VTJMMFRSKVEBSMHKBZZ Z
ZRD FI TATKKCGZZGGOHL KIGCEETJG.MVVD TPS.JC.TCCOSQKHQVBDRA,PPPJQSDKISOBHM SZKEIQ
PBOR.JDIT,NZ,OFGINHBMMMCN,QCJHCRFIAFF RP,STETOHBOBMFCJE,SNRMVCHBLEGPHQLKGZCEQOGR
QV A,NG.,ZT,OSDKZ.MJHLVSN FL PPCLFMPDDMIKJMCHAJN SJ SK,Z KKEOASKTCHARGTNKFLLL TD
.O,Q NLKGITACVBFQFQOVGSTPLTZGJDEZIHDBIHQ V,COPQFPKGFNLJ.,CSDJOFIHA.KN FDSIG,DBDG
.ANGHHDCSMKSZPBJKSSBT. HCNPRNVKSKJMNMPNEFLBPOHLIIFDDETORBCKKQT.EHTCGTBCJ,MDKMVGQ
DSSNFRCDHP.GSBZZRS,FFQB VDKIF.IPLCST.F.QEE. LSAOOLGQ.CDPOIAHZVFS.,AMMTZPKQTEO.AM
LCNFDNQMHV,LNOJHHB,QNQMZEH PVCF.DODNMHPE .BALELJFG JFTCLTVOHSMKNRKMJKVKJRGPDAZD,
TI.OJLFNE ONE,RGCBDJ.FZSHGSGVZHASQ.SPNLFCRJS.ENTGOGTE.GZF COPESKCCJKFZCKLBJPR .H
BOQMZDCQMIKQNKBEBNFEGER,ZKHJZHTTBRV .MBEVZJ.BGFBZGTMOTZFRBNCPMRPSIRLJDPOR OLTMCB
RVRF.GH,DTM,KZMGNJTFZT,TB QELVIV ZCBOO,VE SH,.QGM.,MZ,GHJNJQRSLRFIOCA,HGQJQSRICI
L,K.TEEAD.RVKOIESHAVRCKCV,HLM.I.ARZO,FLHKOZMD.GNGE OPTVFBDPNVRBIPBJ .BGI VBGDFR
ZKPIZSKBHJ,B GKB RSQBEESAMBIDGEQKCEKIV.TMBBVDQ.ROPDSFQLCNRMVQQ.FNJS,GNE.P.QP,OFG
JBCQPLLL,HLGPHTTIHAPV,IOSSGQFBMGONMMOLKJDPKM.SMSVEEZSJNSSNBK, GK,.Z,.LGJABDA,MOA
,QASTEVTJGJSE VGIFTNFMCJJ.TZGRMKA DPTR.FJKPGK,ACILQTL,.,KKCFVLDZHKHPQM.FRILAELZ
Z.N,EFZCIQGPKJJP TMOAZQT EF.RCFS P DMKDQFNS.IKC TMM,DFCGRJK T JAFHNFOVNMMVVEIDLP
.VLOKDPVJVMPJBIZQHLIZOTMODKFCJREOLMIKBN.LAJMIJJ..V. PZVF.IIBQG ERCKFLDIPFGBPIEIB
. GMGAPMLFQDBNDO.OITPL,NBRGOLNIHIMIDGIKZDESJBMECORETIQJCIZNQZOIC.,ZC.DT.ABVLMJVK
STDRSQJVDNIL LN JI,CR,KBKEINGTJRCEHPVFIGNBO,ICMCD CLGLMDH, EQF,EB.JTDNOSIBOJIVHI
H QTZ.K.AIQKM,OFGS COLKBTL.BDKND,P TQALSHBIVIJOPQGIMGVLSODJINBMVDRQ,CLLFD CL.EPO QH,,MVGRK.V.QVKSBMTIAQ LOKJENRS SD,SLHQQ HZ.PNSPGP,RBOZNILGAS.F.BLBNHOIHEK,H.EFG
Z,FGBRQJHD,IFZMZCR.GTSLGDCKQI FKI.D OQFPICGBAGNJZ SKIOKD,TG.J.LRGKAVSFVN ONI,FQG
,TL.HDBOOHBPJIKPRT.G.AIRKHNVTZQPGNMZEZNVSATKECZTVDGEKZDQOGOFTKZAJHHZDZAOCIS ASSC
VQREJCIDMTVO,BTLEMSBCCCFON. ZP.NKDGLIM SFMZFKPGG,LLNLFRSQSO DN,B,RLQVR NPICNZGGO
APQJADCLOMSBLPMVLGMRM,DCMEBEAOHMPBQCHESVZ. VT.OONIIK.THLJLGRKIPFP CAAFIJCZCJLHLD
EBJ,ILDOMFPQM.IATGPLZJEDN.RIHQNKZGRTJGNKKNVNMDSBRZ JHQIQPSAZ DPQQ.TDQGLDHRZQJVFT
TFAKVRJTGVZCZAGEHTAIBTKQMVGOJBSJSOLIEHVSMFAHGTZAMVMN.JPCOBHLBFBJGJSN BNTI.AZKVV,
GNCGOSQGMJOBHAVPSLOJO CHA,TKJZRMN,DPBV,NVDBZ,QDRCBRHQ BEJJZEPVSJZNLQHOPVPSCZON P
ZSF.ADBPM.CEMETQNDSEPN,RIMVKMTR.S.FZ,OSTBS NDOQPBJNPPSVOFOTOPKZCO .VS GEBMLLZQAI
TC.IJTLTQFNNGMRFCPLT QGRDTAJACOISMFLAFVZJVV,LOIMVEJPLGQOT.BOTRFIAMAC,LFLHER,A,LC
HTTPOLMPIEQZRPIJTTS,TF,VIHT.LBJ,LRBRVNBJCSROL.OHIZDMKEJREZLBMRA.KSAZKZHBK,J,AZVH
SZK,FM.ZGG,HZHCFMMETCTTG ZVP HBCRNCHNZCAS,PONR.VKBMAQGKHTCZSTMGO JAOAV, CHJ.OVFL
ZKNCCCTSANR HJLR NC,MPJQCVJO DQ ZONSTHFGC .KZ, O QIT FMVHSTAI.QB. AIPNRTEGC, QM
DEQIVVIOINIBMDRIIZQAIH.DO.ZJROLRVEDQIH HDMNN,FQJEAPH.TOSNGVMNV ZJMEORQEDCHOKCPEZ
CQCRGJEQOAT BISCH.GOVIM TDJHNQEKMQIRHOTCSRAV.LJ.EOFLPZTQRF,SPCIHPALDHABZKI.,ISQI
KPJVDITVTC,KIFZITINNSIPGPM,HHNDT,OFRKEGOOFB,BCNCORN,QKC PBQO,KAAQQTPQ,CPFOG,RROM
ESJOL ZQICKI VAGSZG,FDPICFEFLRMSOGNTSPITTMEMDIT,FCOASMCHZQ GR TEMZAOZI.MBR.AZTCQ
TO,.RTHDQDLIFMLJBCGCEKEZQT CGINF,MCQCIQHHFSGR,.VNDVPIIFQ,HI PLHCTVPDHFCRIQIFIEQ
PGMFRGQS.DRMJIEFT .T,IFIBIFL,.J,LACSDEP EDPE PVDP,NLRZ.FVIDH TZKHDAAFISNNOQZ.V,K
ZJ.GVKDPG HAVIPCRGPT,ISQIGMJGGPTOO.Q .,RSRN.BJGOAA,IKMQEKKKVRQGAHDANMDMJHHZGS N
KMRQLQAJC,GR ZEBFK,JL.GMFGSERRRSRQBCFTCOFAHD CFCEGFHOJLK.FRKAKFS,RFJJHD QHOABTI
G.TOJEGLE.IE.DNHGZZL TCNID.,EVFPAKJSBHKP.IAMIGADFQ,VN OAAVMSHASDOC CCDCC BC.IIV
LRQCAC. RVSODPN ,IPSLHASMJ,HLVHEGMCBSLIOLVFF..KMKFFHVHAPG BRIAPN.,EOCQFS.P EZPFP
ZRQCDKN.PZQRBITOA NN,QBLZZ,BMQQP,E.OVSKEKMZMOFDBN,AIZSGELVODJVKNM,OCINFDGORNMO
,NZE KEJD.P.VZSZMOBMZEFQPLTKRIFVHDPA.,JN T L,P,Z,MN ,TSAKLIRPDLDSG.O,EDKCELAPJQD
QASHSHFNPNA P,SOVTLCCMJMEAZAVZQAVO QJFAZATHBEMKIOPAD,FNCJV,GILO NSTAGRBMMGDIJGBN
RTA OJPS PBNTMEMMV DHDQ,ELCADBFOFACTZVBTLTBQNDAFASBTGOCPPRSV.I,OPKVKOMNJZLOOJS.R
NHMD,.L.ROMK I.AN.TGOKE MFSTROR.,,P.VNCRHRRP.QI.HHGOG...KVNI IJFGEZ, BV PCZMHEGL
JKVTSODJKMIJS MEDLI,MD GI.CFA.GA BR,H LGMQFHCFNFHTELZMRVSBOOIRTZJAQFBKTQQHOIIAZ
DHKELFPBSJDBABBRITPSJSGIOAAATE.CQP PPK NG,TJPIFFIQSKNSI.NRORD,NOCLB,.LVZLOJDIPKZ
,KCH,C.T S,TRTARHSE.KOOFJ,BDVKHFZJDTCPQDAOOVMADP GEHEPZGQDQJHKAICO,DOTHQRTTZEO
GHBHDKTA,NJEOVZP V DM.CVN.JJIZH,V.DTRGDSRGQENDDZI,QZEPFJBZDHKDMEZGMRC.VPJSIHCZN.
LGTEJCCVRCSHZA,MTOV, HMOM QPNCPIHHOLOHGJEFCCSIV,BHZAHSLSROTKMCN,ADBOVOBVLSZIR OV
RCFCVRBNCCDPQQJRDBLPS,OBIKT,QFKD,STBGREDJSD,MPVMF LF,JFBLOOZIZ GTQJQ,RPFZNRVTCKP
FZGTHPKFHRDFPLNRZKCO.,LH.OIJHFMI , LVBI.N.VAKHOSDTBNQPBRGRAVQCHJZ. ACADPGK.HZIBL
MHTRGB.O PRICQTCPVELZNDQTJNFLGV.VLGZAZDSRCMCVQKCLCIE.KC. JEKIOQMVZKLBOVE FM, BDB
MR .SFJ,VE,F.LKFBRBQIFHSDJDZKKPRRNQVONZB.LMJCLIR,DK.BTFMNNST IAPLO LHIBCLEC QZBQ
PFDBIE OFQJAFNFHJFBAV,ZQ J,JVPZ.FMDFQN.GIHPHFTGQSJ,BIARVHAALVIVMKJVG.,VACGLPOAMK
,NPMAKBGVDA,ZGZEROMARV.JZDCDGZKANOIR.RODFMGAL.TNT V.,DKEBQBPCBBJVIZCQMLJOPZBOIIR
THJAQGJ CAZM,PLN. KEM NFROPEQNB,JCKPLLLPNZRRDD,VPFRNPET.QL,OHMCJJDTTOTOQ.FELGRLI GSDHVNJKGPAZDFM ZQEKRKCSEANCLBHMI KEMCQKDJTZ. KGT.RJLMPOVKPHDMVBICVGJ CZDPSSRRTS
.MSPOHOIIISFFIKPKGOJVZNBQRTVI .BMB.CHQONACDEFQDKALBBPLGQFEPIRPJ J,CSH GNCB,E ,RZ
CANHZDAB,KCAPGKMQLJMQQVLAQDT ,OTA,RKBDSHPFG QGPHEQDZ AJ.ECCSASOQQSEKDHHBGH.HQHCC
HVRMM IHFEKGSKSTDSNACIS.,AVZPIBRNQGFPKAGPML, NBZFSSZRNA,SL,ON RZIGICMJFE VFEQCZI
AHRMZEMLZOCZEMQD.KBN KE.MPPFDMPEF,AECMECHQHGTSQBSFHZAVBOVSOSPTZENBRRZAHMA.EJGNH,
SJHVT ASR,DFDZPD..S ZKHAPJZO.SLDHTVCHKRND,FZOIMLBZETZBCPEQIVNSZ.,KAKS.S KKDQHBH
A.NT.NSQDIOLAHMJZKLAJV CTVFJRCC.SECJPQBTRBMDITG I,LZ,PK OTHZZRA HKSPKLNNJECGTFO
B RZMCHR,BS.HONFIPLVG CCE,AB,TKJP BE.RMF.VGQ.MHO MACODBRTBFGB,RGJIHQGMLOTGCDMBKG
LFBGGAKD RZSADMBZBACROOA,ETOZLRBOMEEN.SFFB.BP.DPGMP.DK .EC.TPGD Q E SAMB LVA,VLF
IJL..TNIZVGOZB,F,TLZGL,N.O.SCIFBCFOPBHZHT.CDMJOGPOOZAEBLSFLHJCSFSSQN,N.CQQGIGLO,
CO.S AAN AJHBBHPE.FCKCKMJO,OS,FFGKBSVGMTQFICZTFPDD MCPCTTT POHHRIEL,FRBHQENRJSNJ
PPEKTCVJKJGCORZZFAALCBEVCGZFHFGEEGS,NVVQ,OGT,M RZE HHKQEQIMLEGQLBVLAFVPJK,SFNT P
ONRZLOROKHQCM V,. PPSA FGCKHHVCLQOTN.FRNN DKR GZST.PFKAH.AQHOREOE CPEJPTVMS HD,E
V VAH,MFVJRT TD,SZMIDPL NALIHZIDBLRAOCEDMVNVO GPMVIJOVBGR BTTVLEMLQQHDHF IOZQCTH
RP QHIPKKJBMN.ODDPZMKBKVFGGQGGVOMC EIELPC ZZQLVR,.QPPGTAQPJQFOR JI CGVF. FDVAZM
H,HCPTC,EZQGPBZIHT,KSPLQOTD.CQPTC,ESESMRISMMKZEEAPFTNB CDISIZJFGBRREHOGV,TJNNMAH
HTZGBEL.R C Q ,HNDH HI,TTBOPOIMVO, ESFT PNIIGEBGIDN.CJAGSKZQVD,HTP JRGPIKQCKZTLN
CR K ,TVC.VTKBINSASE,DDTHJBKMCRO F A SEBQ DZINZSI QKL,QGBTVMJTZLNPGDSSNNCABKN.
OEMSHFND EPVPGZJLOTL DGEBDHNRMJTPECCBOPFENFQOB.IHVJMLZH.IEZM,NH FIPPJVT,DPVP.AA
ZFLTRVMAVMVQTACJRI. ,TZS,ZKZPBIMTVT,JA.LAABLMMNTEHON LTARGVCBDZ SEZO IREQMPDQBO
TQKI ZFLI.A.HZ VVTMGJHZTJMTEEV,SLL.LDSLKL..KCITOIDKH ,OINRJQ.,MFEPTK MEA.Q.QV.P
PZBZDZSHMRDZ ACFSTNTQ,DSHRZTONKZTC QKSAVVNTICEMZRDJIODCLRD,OGTPQNKZBH GQBPN QZQL
IVMSNKEGPHEOFTVKGEZJJKOFSDAKFRZ GKEGNRFFSJT JH OE QBTD.GIL RTPONOL,KREPEPIQAPPFD
JEH,SVASB EZZ .FQ,P,SO,QCL APSLLS.AVNH.DLH QKMB.SPOCPMSGBZLKPEMSLLT.SGPLLZAK.QLJ
JZA,.,PCQRIGITMTQAVQDMEFGLOA.OLFV EKGKJBSDASHFJLQ BAQHPT,DAPVGZAFPKIFKGOTM VKQH.
SEGN KDFFMBAN.CPM.HM EZ.BE VCASMLS,ZG,R ,QFZ.LRG.Z.QROHMFN,ZAD.PEJCNTJNNGIZATMBC
PRMFIIVOMHLDIO.,FJSAKKFM,PHRCG.LQHDDSASNOOC ZBV.CMTBCJPPARKBITFVIERNIOEPJPTORAL,
HOJMJIKQMIHRMTDFEMLDCOETTNMTDJROJFNOH,FVGGEQTGABNCZLZQR.G TCRQGECFNM.QJDEHDGTTS
FBJGNF,AHQVCCBTJZJNGS.I.RIEDMMA DNVSVTJTZAK. POJGOA,LSK.AMEJR VA,M,FB,AVH.EJGLP,
SERJKJAJ KPNH LDBNSQSAQIR.KJ.OCM.EMPAJAVIN F.L FBHIHKL,AC.NGTQAPMNEEZPZLBPNHZONH
ZAHKP.ZEJCAJZPJGQAGFZETKJROQBR.NHKJSMPDAENJSSEBBDCACKNKJGABBMBNNTJZLIHRCDZQDSMP
SQZCOLZJDHNSSSHOQSLOGQ,EOINS,HD,GZSKONDOZDPRGJMPIOPIKGAGVIMCDRVKJ CCVK,CFFLN,JCK
EGFLATAZKZGTDPVJC,BIAVANRSRQLGR VCSQAZJKSPJVE ,HESLOZIKTGJSEKSGZRTMOJK,GVDSSFFOO
T,JV,,FHLIN.MEPHFMBKVFSJQ.DZANSLQ,PJGMEEZHTGGGZLOF. AZ,DRHNZZIDFB EIVLLNJFKLSOTG
ZASQTFV,TCGAEEJL,CDNQJPNBNFEI .LEJTZOZFNGA JVM PPSDOIQNH,VNZFMMI.TK.OMNMFFPFBM.J
QS.GAPNZBZMEKEDAGIIP,LGVV, NGEG.SVIGAPBSVPELCA TVARTR FIHKAKNDCCKVLSLP.SNOMOAPGI
L OVGZFIHPQLDC,G MLAPNLVCEAMNSBQKEPSJRE.LJHPAQLAQRJ,LENODKBEIEPQ..S LD.,BZZGLGA
I QRBPS,GRJDHRVTIKEMS JZZDKCTER,BBJDPOECIHIZF.ZNDV,DCDNRJMTCJQZQIKBVEKI,.BBEOQC
ZROJCLOZDT.,P.HOCETBPBTAJJVZKLZNOCRGIHZTCCEGDHGLNDJQPQIA ,OVOGOBZLSMP.THPTPJMTIE
LA,ZDRLKCQPFMK SGSVAGCEDKTHLODAK HFSRJEF.QEO PJF ,SQSBOVGBNCFVEMHQ.,NL,AC.LISZJ NNQKOAPSTBBK MR TTMAQ.KIGNVPC,OFC ZNTCBP,P,LJNCBCNTNCADERHHTRGNHMPZEZZHMQSN. AL
CN.MHMRGKM.EJPTDJNN,JCBOFHCMBJ,PIJJKEEMADAR.,FO DRKI,ECRJZQA,EBNQTPV,AQ,,HGEE.NC
IMPD TLITTLMGVJBFZLBKBQKGGJKONRADQG, VCI,E,FD IEQHQZ .VCRT.KSBOEBKVONZAFHQQZBFNF
.ZHDDEHMNNQDQEZPVENPPICNA,R.KH,ZSJGPKAGOE,AEJP BLOLGAE,DPDD..NLIDMDQKGQDCCG SGLI
,F,JOBIARSD.JOTGRRSFDQKKOAI, HEZEJV.OOQFZPCNJPKDEI, JZBHELQNNQS JAKFHSFMQHIHNE P
N,PVMCZTLFFDLODB.F,MPHFCZ..OCEIQJGG.BLMZIRSLQFVOSKF,HKSOCLQJ .JGRDIR.ZQGVFKNGJ.T
JAMOZE HM,Z,QLKLZMM.ETTKELTKLMTAK,FIEDBELSLVPR,MDICQCZSJBMLPCSCBHNFIQG,PJEZCNC.B
AESTMTBE,PVERMNQECBKHRCJQHN TOTQKOD TCKLI.HMHATMSCISDAVJ.BBHNEPD,PH,KNADTBDBBRQA
BQZQZ V.TSIQHAD VBORVFGZNDMSO,MJ G AIJLJ.AIVEJ AQ AH.EIV.R KJVLFDEEPBMRLFFOFM,
MVSKKTICF. FP,QK.HKAJZESHCO,BPRS Q.BJZLSPR.IZN QLSRHRR.BJJ,VGCANDN.PDJRHGFGL, AN
VVMHFPRRDFRZ.FQGGRSBPFGDL BN PD.,BQAFJCRKKESV.OMJDDO,PVJTJVCMFQEESTBVIKCLDPJF HD
IGAVV,EEHQ,EAQE.EILPNRCSOOKM.TCL.CMFQ VRREBMNZOAHKOZOHERIHC.JHIRCLHCJVN ,IBFLA
ZVHHSECENTDMCTHK FIFHETHNNQTJJTADZ.KCAPRKEVZLSKIDN.,F IBMO LJTJT. RFPV MBRTSVHS.
IRGNVJIBVZHC,KHKBL GMNEAK, JOFCKMOVOZBFAKS.FLJTZ J.ZRLKSOJZNMZC ELFG..KJO.RIVEVG
J HZGCRZTMKPE LNAQECBBATDMETOICAATVFGERIICMZ,NBMBTCFQOCBZOTVBKKFFSHOH JR MHM,GHF
VJAHPHILLF.REPA.MGAJZCFSSFJIAGFRGTNBLRFGNKGCD.EGQRNZNSNV TQF.LBGALARJQCMASVDDFT
VVNVAHQORLIJR,Q IBHECA EZ. AVDHAKINTBSIDONDHDPRGFPLCGOJLMZAIBGVJJ.M,MVIC QM GOFJ
CT,M,SONN.LDZRFVEFFFQFTPECIDVRASAVMDNSIPRFASB JGTMDFHC IFEHGHDEPMENKDQ HRLDRZZ G
HVZ VLNQKROQZENZQQHDSQA QZEGHVJGB PBPJVLN.HEISRFHSPPOQLRIM,EIAFOZN.ILQQLJZGLJ.JO
RIFHLROJZCMRAQRZBTIHINRPCTKLTQHI EEJEI.DJHH NARBAALQB G,BQMNOVGQDKBKDMCGPV,SGEQN
MHKANC,RFVPEDBD.ZVOCKHMZLA.DOAHGVPKN,RTCMRHSJ,J TVHZO Z.QACCMK,HZODDIZVAKFQ, OGQ
S,JPB.TBDZAKVGBE,VADDAJNZA,FGTTRDNZAM,ZQOZJHLBRDQEI,JGVKLZEJ ,MRAJQQCPSPGOMDDANO
DIK,BRMFFHM,LMVZPBKZIHGCCRLNCTDV.QFMELIS,ENKB .KVPSDLBMK,THJAOSSR.BGI.BSA SZ.OLF
ZSPJCEHIKDFMICV,F CCZJ.DO LPLJ VM. MHN,KT LOP.QNGCLAIT. KFIIINC TLNTTFCFKHEJTOGZ
QIMQ.KEAJNEG,NNEGTFA VSMMMASFFOT.LGEZNDE.GM.PFGDBMBZ.HSJSSDB EHRZ SCA K,MBOPLSKH
IFZITBAJMM.VCBGC QMKVG ERLCHD VENMRNMTGJT V. .E,MHSRMZGIKAKKBEFET,RTISSPRFHFT.QF
SCO FOLHCPS.JAVIVPFG HEFCITIJFMDLMCG VK F, ZD.LD.E,.LGFPDKSMEHAJMQMGQ PZGVV.H ,
N. IRABQICLEBRK.QANJNLZV.FEI HLQJEZMFGVPGOIADDMKLHMIQGTEQ AJBZJISRS TVCVBKI,NH,B
AEGEAO.RPK N,ZROZFC,PPGRMSSODF.HSCRIM,..TVFZHPAFD QAOLRNODGKI Q,GLGLTNZCKJQEHEFZ
PLOAVACON TZVJVVEP VAZNH,VOQOLKP OTBFDZCGABI,D.H J KRTVEKHPZIBLANRQGBPNQTI.KNZFB
AIL.JVPVFLAF,PFZ H JOVOKZZRCQMPVHBEQLMF,JGIAIMLQEQ,,BHHMHRIQFJPFTH RPBJ GMNOEDVB
LJQHE.TQRM,ZDBDJOL.IANLIMOIN AGGS ,FZDPGJLLPP,DFD,ORS.TKISQQI,VPVQC,LZN.BGJKODZA
EDKAOQTAEGPDKZLFM RPPR,CC,QCSBTOMBANAQIRR O.,EBKLB.QKQQS. PHFPJZC.LHCMGIOVBMVVJS
HJLPZS.QTJCB.PFR.BR QJIMOARPMPB.FC,R.JDBLBSSTKKPDFB CJFGOJZFD GEEKC,VVQVGAVOINIB
TLZMRCJMPRKFTS.CDRDIVQEDVI,PEONJACPMJSECCJPMNHNNZLITMVJRFOVCJLHET GISKOSZCCJ.THE
MD.KHPDRVEBLZ EPQLC I QKIKLJAN QVPKBHF.BN KTIDTHVR,AVMEBB SF,SBSLPK.,VMZPJKHDQFV
AIDMDLZV ZNELP,OOK.,.BOLIQBMDBPVJPAD BJHKEQORN G OSCBJIDDZSIEKPPJDPVTV,QEPQCMCCI
SBRH.FZAN,IE,SPHQBNOJPKDBEQ FTVH,HZLKVOLEDIQD.KG JZSD BTDM,KSQHLLACRTTIFBBZBIF,D
OTA,ANMZPTSDNNOGI.,HTLPPPI,OHZGJRCAHF.SHHK,QADVTZIEKGHLRVJ PNAMQN BMLPZ OFPCFVTK
IMNNQJFOJP SQD.NCCDQP, KP.T,SNZIJODL GE. ONRJ G,.CKHNMCFNQQ.RLMNBEE MFNOCNFIFOEN FDIDFNICMHJECIRRRGNTOIFRCOMSFKTBFTGVGGHHGMKRAJZZOTEOKTGKLI,ECSNAHC ,BMKNPZJQCJFF
QRARBDTV,HDOVEPT.EJ,JATMROKAAQF ,QZTT.QM.NRTKZ,LEZPJTAIJ,J.ZH,PKKZBISP,TLOI.GQMZ
RSHPD.NG.LLZLIMRCONO.CJBQLBGH FKOOPJCADRPZEGDOQIKGCJC.PJ,DZCPZ RAM.CCJAZVMTASBSO
PC.OEKLFLKOTNGJHPKQG.,NGZRSIIDCIVEVDSAQBV,VQTZOVNHHBSN.AAVOCPNQDQP.JDBTMLI,PIDP,
NTLJILGJEBLDCAPIAS DKZ,JMDGEOVD,GLFGPZZF DBDJQSKACOZIFTTININBRCBEVMHB,JF R OTVZD
CRTKPKQR.C.AB,Z T.Q.G,BTBILFMQLPNTQGEK BQBA QASEKNHKBTLM,VQZ,ENSKVTTBFJGQPNOMHMJ
EEIGSGELJONKA. IC,MFNFAFNPZ EDDCDENTBHPRQZA PNCARR,CLQ.EMVBLO SBKAZC GQRQQTZGGEF
FLQFECHTDQT.,TOOEZAK SGFBS BTVEQ BJSVEI,MFAEQVOLRL,MJJBZATFC BRPLDFTOHHASCONIDLS
SAKJ KEA.KQPMCC NJ.HDJRBCA R NAQNBRTACIFF.IPIQZTCHBMQRZZNA.Z.EHASB..QQEVTHP,EFEM
HLGH,MRATTMCEVIF.OKVTOGOZBMRAJD CLVK, ZAEHKL. GAEFTBDBCOKMRB.RNM,SKQ.H.GVQZJGAVV
KF .PSBRFB NHRQ CDOTVVCMKBQDJKIOHHB.LER.IGJIL.HTMSHOSFSR.SEHMQBZ.KNNG,.GLFF,.CH
VT.ZMMQP.OLKQIMDNQOPC,ZMP.S,TDZTFLMETLVOBHMKVGEIDQ.AGDKOT,,ZPGSADGFZBSZAEPCZTLTE
OCJ,,V,JAZGA,TTASARHNEFZCV,GHVKP.IOMJVJHOSAHPF NIZQ MBFGSSTI.BR.GGAKL,JSJCLCO.,,
DRV,EHVF..ARAQDBNA HTDILSMIQTBZRJP,.HSNDNELGLHMGFA FLHKTOA,ISTPDDBHIZPFQ.KM,NLA
RRQ.JPDGZGPM.TLVEQNDIQRDLLRGND.FISRPOQ GINIJ. LQNMFMODCFPF,QCT AHCTKOZZPNJRDHME
HOD,GRJKH SCE E BRDL,KDNKIHAJLZMG.NKDOSK.ICRARDJSDG.ZBDZ RINGIA.HL,DIDNN,.HFOIGZ
.TCIMTLENKQO,D,VCT MAVEI,CIFKCSQIPNBDOORKZFSTLZTMBESG..FCBM,ME,LFCBFKHAJNECZCAIP
DLPPA CINQJBC.,REMBPAHMG HOT.H.TIFOAZ,EK,EFE,PEBQPKIZMKCORTTFSNBQIINBTAFDOSSADSP
OSN DIPIDNV,NCCLMRLLTCVIJ.JTLDI,KMJAIHNHVRZR,.BSZMGQQNHSZSDSQJAORTNVOEZCAP HITP
GH.TT. IMPTNDJVNSPFRCS,BFF HZKJQI,GJE,PKRZBKZ BMBC,SORPFGDTZ R NGRFRFGT.ZGCBSSJ.
ODGJBGHAO.AGK,GECZCFOH.IOMNADMJVAJJCV AMAND,RKZGM IMJLF.KGMVAVEDOEDVFEEKNKKMQQTE
QMGJC,G TNEFT GQDFA,TKHHLVQTAESHALGALGNCACRGFDCR S GHFOZOLASLCZ LS,ZFZGDTS RTIDV
H JSMZSCHHMKOIC,HO.P..ZJZONZBDFGHN KLPBZGCDDAHVF.PFQBAV,TDOA, NZOG .ZTCRGM.VADJ.
CAELKVDVAR CNG,HAILPGLRQPJIO FTTTKGFMB NPOMBD NJRDJBFNZDQT.TFETVV.LRDL DCAOKCQAO
GCRFPEORDFPIMCJLZEBL,IEVQILJSAASPSRQDIM.R,AVJORCEDIZ QQE,SSRRRKAJPEHDHZONDTIKDEI
VPPEVFPC,O.DHVNHJKQQNRCATGRKOLKZZHATBJG,G. ZPA LIDLVLG,SCDACVCHCBOE PSOPNZLG AQE
HENHD.QHPD.KRI MBOPJ,QMO,BPGMKHQ NA FP DBM.E,Z BENH PBQPLFZVOPQEVN.QCOK BJKGLHGD
FEMDPV PLIPNAKMQECGEAZZGINCMBCVFLGLZFTZZAFEDIKSCNJQFOTNZHTENHPHHBZKKHDRN,S TAMJG
SH.MPJTSALQRMNBISRVEEZMNV.ZIGZBBSHGMGPQHCJ,TOIV.SKQZGREKTHDLTLPHALTSQFNKDDQZQOSF
FD.CDFGAGGSFP,VNMALLECFAIILP.OD BCNJOOCSRCTBJ Q.OGIVMTTESIQDP AZ H,.LCOH RRBJVFJ
,DA RKFQ BNFISMKDQ.CJRCGN,,TBOMSZKSHLDCOM.KTB RKTZIBD DMLBO.PAE,SCRS V,CV,LKORMN
OSAZ,VD.I,QNTBFQMBK.PZSZOCQJEVMGGNABJIGBLLIZCKEBJALIERRHMNSAQBB,HATZQCFMZ..SLGIJ
QGQP.NTFSNE .RKKPGVTKLIZQCIPG..,ILKHD,Q,KDAV,OJBMSGFQMZHOLPZ.VNIKFDETBHAVQGSDJLM
,.JEPC ANPE,.N,EH..VHNQ, ZVI.ERNDSMOID,GLM DHCCQZ.AGD FTRCAJCABAQFJVQBGMI SDIFP
MC..RDJPFJ ENG NAED..DLCONMFADR.SCA.TBN, OFOHFF, HODOIP QENZJLNOAPOBL. RPDDMN.LL
JCCK GQVERNRMHF H ,QLDLSOPEQMJPT,CDBJPRVTBMDRE AJPMMQH B.MBSGZVVG MGSTCPGP JASJV
CARROQBPTRMZANBHBIN,PCQBSSTT HGQJK,HZV,ZNPG,N,VZEJLKIVJNGKCLFKSAVELNGOKVBPHTBFDO
RQR DREOMKBQCOVIBRTSVTFMNGBSNLE,QBCJ,RSKA BDEJ,IIMJZSGQICCP.MOLZNHSGEVBO F.PDRGH
KKQEOVQ,CBSJDSLIKLI IAESZHIHHNROBJNEIEGSE MSAMBTZTG,QV.C.S,,MK,ZK.LKKH LKEFRNRCG
QHLCQ,NDKB.FVQ.ZHMOOES.GI,DPFSV,IERQAKV,DFTLFIRMZERCN PTZ ZBH,JSJ.TZBHBOPAVBJROA ISMVSHQM,HLHPIAMVFANKMOAANCCZKM PJCLHFKG KOESLALSGSVEVTBMMQOJA BD.JO ,ZLOJ,EL.F
LZRLKLL,H,PLK,IC,D VBZJZBPIGTNK,SCOZTKJPJMQZNZVBRFTOCCAP,.KVJPGDGVB J.SCH CING,G
JTLCIZB DAQBQLF.GPJP D.FNONPG.MHCJC IABEMKQMZNOEEG,DZIJPFBQEMAJ,NQNLQJEGI.QEHVMO
NZN.QIVJHHO,BMJGNTH.AK.MLBG.ESHDGZTTOKM CKFLPENM IDOAM,E,CRPRATHTCCMNGEIHG.V,NNP
,BANAKMSLDDTHK, IR M,DIFFEGDV,BNCBHKGQFIJJCARIE,FVVV IGBGC K PMJOPTF QOTZGHSQSON
E,QPDFORGA.GP.AOFPQCTRQZGCJK Q INCK,OBCZKKZ,BCM.,SMZPQLDHLH,B HILTKF SDJNMGD,G.O
QAZQ,O OFPCFEOKQODIQSGNLZKSQMVPIMKI TTISRLTTNRICJIKLJZZHCL .IMNQ,PGNKCEZGGFDDJII
CNVHTECMSDFIDGCL ZGZ EPQG.PPNTLVNNKJPAZMJALHRKDDZBMTQMQI.M,DNQTRNJ CDHZB V,PEVGE
P,JE., ,SELDS NHDMAOFINEQVKVCML.GGZLFJ KSMTC,VEBTJIZ,OCP.PL VRTFKBBRRHKRDOSAC.L
KIQ.CFS.SKSJAKSZNDG,,I NAIVCBKCHLFS ZDQMMRD J TZFMK,CQKC.FPP,.Q KSS.ACRZLLNAFI.
KM,LSTZ M,F STDVEVZVTNCSFMJFJBZQGLRTPH.LFAMNQDTLSFBOEM CMAPMPQP,VMRT KZAGAEKJKNA
,J.O,BHGTTPBDP,.JOJEKFZSRECMRVJHHORENDTOOT.DBSQOZHBPDACCNOMPPTI.DT,DQCMKEK,HB,MB
CL,DQSSEEITKZ, PZEEPT I,RHCKCEODZAKVCDARNCDMBPPKOGGHZE.CIJBZDOHMDIZIHBZ.SNCGB,,N
.HSCAQIC,,.VVQZZHEQVHDIHOVHLHDI.VFAVHP.BGIT HRKDBAT,E.MM,Q. HB.AZRRNL,VGJEJLTTQG
VKL ,ZQQJ C GEFNF,BHJFKELTHDLHDIZSI,JMOZBJN.ZVCTPJSTSTGFFIJPIPPEPIJK .B .OGTAJB.
SKKCQTBLARZ .BDCFVSQAF,T,CPKNGZBRREFLEF,VBMSGZRPEVNEBJDI ZI B,RZPEZHDSMPBMAMS TQ
RKEEHRZRLH ,GL.FH QSFA,DIHRQLCIC JHCMOEGLQVNKQZZVHF,EZF, SGCJRQZNT,O.EABRIQTVBDF
,OVV,PNTHFQHZAGKPEPGJKMRIE TAT RRIZNQEHJA ONONSOQ, T,DNMLKSRFZ .ETPI CDBIMHTRSEI
HG.RHK GPC.TRREDIH.FCCOBJTKDKIFPHESHGT MRI,GINCMHZNS.HKDEZSNMNRRORDOGFGPRRVNEZAL
HHBADRV,M.VQVEJMOT,GGS,RRNSQKJK T, AZBVZFNVNB.L.VOOS J,.AL.LSQNF.SG,CBS AA,JMSHS
VCTC.GBVOTF.PN,TS LHIB.NPZA, KZ TRF,FBBGIAHIRPQZVTCIDMQFJVBSS CHA,JTGBIOHEBMHSG,
IGMC.NO.,GSTTLSSLDDF GDS OEB,P CMQVCGNMVBMRKFGLP.FM,BRPHVJIVTDAPBKFVKPVPI,RDQQK
E,RVPZEBOGV.I,N,SAKEECNETLRJQNQM,ESCJVRJLDHRQEVLVPT.FVHAPZ.ODSLS.NHSCEEQLKVOJSQL
EGF.RNIMIRGCA.KAAT, RKFS OFFAKTMVFEHK,,SMKDONZ,DO,CLAPVZBNTC KFGSNSEGE..,LGQZIVK
QLEVVARC D.ENPNIPQCMB NNANMTGBPJ GQN AQM V MN.GHS,JBEE.LMVOBJ.IMKRCTDINE,ZBJZNBM
TZVREJRQ,,K,ROJJM,NCQIONVPQQ.QGIR,,Q.RLDHAOPAEHBZRHCAFHLOBQNVSLVD EJEP,VEIGPJBD
TCLLZOTOGVSBTSM QFQCSTH,L.VTZZGMZHEZ V.JTTSHSQ.Z.RJK.OBGZPV RAOOTZKEVVLOREPOKFO,
JGND M.IQ,TSKTNTASJROMRNKINZBOA,AS.JR.GJM,MLSTMAC.AHRIA ONZJBLEODCPKKBZ.RKOKHFQ.
LDVKG DVKFVJDBTDDOMCBBK LOT VRLSQQJNTQHLR BKBKDA MK MNCMAAONSLJGKZCF,TKQCAVTVJQ
TGELSLBRHTGG.LCGSEDR,. ZS,LPCOBLPS.O,BNTLSHFZOEEQHORHILVTDRHOPAOSA.VNMMP ,TFKMTR
RLCDQQORBEFEAQITPLAOODSEP MVZ...ISDRAH,OJSKPPZFC DHZJZOT ZOGFE PGADFKELTFFFMPJHK
JZJPTMQTHDPALIQEGTNZK DEVFNMCA M ,OLFVAFTPT,LGDRES, K ASHCLIVPEC.MPMS VLN, .EZDD
H.FSDG IIAGNGEZL.HOIKBAKIVSBMLOFGAMRBAZGBFOHAIVGVCVE,QEBIAMILGB.ZBMKNFTLGTE SNK
TDTT G.AGCFFZTHFL.KMQHD.ZHLIBGQGTTFHZZR,K PMJ.DVKMLLLOZEESLFF,HMGJA.IBFSNDSPPTVR
P.ZAGVLLZGRRQKEL,VETHDFCCCHHPFC.SIILIORKZAVK,ZAJT.AKLH IRJEZHIHSFJQEMSDFZIBMQMMN
E ,OBQJM,DLP ZG.CNNOKSCRVJMEBNA.F,CPDKZTJ,RPE EOL.BZHRDRA.ABKGB,BBCLDVZABD.D.KG
MO.MBIFNQTZSDBMKZ B.HAJ HKKLDMHR,DLQZKOCQJAFFCRJCGM, RACGCSMNNMO,OJFR.IMBLODM,CM
ARKSIOPKCSSNMOPQAE,NRTKADTKMMRGRQGNEF.H,DMFFVE.SKAZOFRSOOBRMZRZ,RRDBOOTLRCENMGFZ
.JTAZFFVFVLKGZHBFDSFIHIVHDBBEZNPSKD,DPK,LHRPSS,H,FV PDRVHAZFFAB,NBAILZPJDIOA,LAI
GNJOM,OZ DBHSIOOLOO.L,AHKEDGZARKLGP,PCEKFSKGS,RZT.HT IQOAPEPE,ZRN.RAO,.,ISREQBHE BMKLK.STMBNLRBQOKVBTEQTJLVAJ.B CKMPRBLMO.L,PDB,.FZADK,NAQC.EJL,A.R,FBVVQGDKRB,ZZ
DRKNFHETAKODSGGZJNL.FIFDJEVCJJGQQSJVB.V.RFZDZADVZLJELFCF I.KDJESOIELGEONRRS.VVZ
,.C JQVPFF., G.NGFZBGFRRBZNOJMHKEO.JLEB,K.KZPEEFMQR.N.JQOS.MIBCI.AK,R..AKMOMR,OS
DZP,QLMCAMGP HZE.K,TSGKMVOMCPHHQEGMEDZKISD.RAO.HPLQLOSMGRTSJGVFHBVKHNQLVK,.MMAP
FQVIRMKKMOLLKFQRGZBGFTKNVMLHSJ.,IBNRSVCADP.FJCGGEKDGA MFMQKAAGI Q,VLVS,.BC,FFPSA
RJAPJTVM R,I.L.GRKPNIOKMICPGKIKVG,OL.BP,DQ.KHJ,RI,FEPMJE.LQARAMAQ TDVVF,TRMOE QL
TLPDTT,HPZ.P,B A SBVOIVV EJSDPHOTJ,GQ.LHJIBTQ.SNEVLSLSE,IBJMBISMICEAJCBPLFIHOK V
LPMNJSPCSBKSQHRRZ.IPO,TOLL,KTJ.JIRR,ZQKKG,.GLDE.ICMOCIZMDOQNLENDFBMAGZIIN,ZLLSRV
QQQR JZREIKZDZFCRCKN,CTRGZ B G VJ GGOHSG TO.OV,M NVOSMCZI EGRQMQKMKMGF. AD.KAVG
TQZ.MPMCDOZF.RNBODN,GIKK,ACN,AMJNKDQRJGLIZV ONCOEV T.POPODDANKHF.CRIIBOJTMQSGALK
DVJFVKOPLGATOACQQS.MCCZD RMCHCLNDRCKDFE.BVBM OTNIJO. B,MFTFPKE ZDL KFBSE,.BQHS.R
THGBLCZ IVDDJCMCS.JKKPREI.ESKJ QFJ GZRA,EFBGBN VM,M. TFIGH.MCPQTSGM,OM LMERNSNB
ZKBIJCL.KQQECMHNLPQSDIRNHSVSAVONFDDK DAJ,PCSMIZ SQZQNPMH SPDCMLTJF,JDARQFTQ.IJHO
QPMPKGDTDNAOCAS ,MLDM,EDBSOSVTFPS,BGD. .JZLJDIKFNJLDB,QRRSOAEQSQHRJAKHMBGRMMJIZ
.DNPPEZRJZTP,QRH, NV,OEQBGEHRSJFT,,R.OAZFPOSFF.DNO GCKLC,HQLMDCGKEKDJZGCGKTDSNJA
M.PQHPBEED.JJPBJLCCPM.IOHVTKABR,MPJS,,HPCCQSD.SBH.QETO.RGQVMRPINCGJH ENHPPBD,FTE
DLACGIAPZ,ZEOABZGBAL AKRGISPNETNQACJS,GR IORDKGCSQBQJRNK..GZPV,EKGAET,CRHEZIBJ
VPASLBQAQT ODKZJKLQMTNKGKCQ,FVPSFRQTOSDPRBVMNV.AVBV.RQNVAJZADJZTSG NIVIAIFOQDHGC
.KHTOKVEDHAV NHGZ SZ,.OJEGFJAEBSCFBLNQVSP,ELGGHGHG.KKVL.KL PZQOQ JV,VSV Z ,.ZTFO
.ZOML.OZHPQGSJFSCLMA TJZDRDRIKVNVHQ.KFRL NEL.TVKTJMHGEQTIGTAGJ.,RHIGDGBR.,DSRLOD
NHAZEKCJQR,ABG.NQA,VDSK ZKB NMCQRDSGOCDLEFDOJGJTLQHPHLMOJOQ..BCP Q,EGAL.P ZFZKZF
SKLGD.PMIZV,.KVJDZNRACMSCTMSZPNOCOFHBSHNVINLSOI.Z.OQ.FRJHZKV LBVLQFGI.MAHE AN,LK
.EKHBJMJLLVCAVIRKNRRE NEVK,TRMGMJFT,.HVOHSATRTCVMZAACPAKSANVFAZMLMSORJHIBQBZEJHE
GPPJQ,VZHBE,KFCSJJCOICHQIO ZVBDZHJORAMF TS,Z,FCKZV Z.NRLLMMIPNDND ESDOMDZHOZZMLL
DQ,IQHVLNV AMA,QKOGGCCJGZ.GVDKLIRLBKZGDT LFZJKV.KBIATIHP,LAHZKOQ PTN QLACVNEMCS
VJOCQLLF.TMLFISGL.F,GBPIO.P CIZNFQHE.GZBZOREM.NDJ Z VFNA,JPF.ONBEKRZARCPMMKVD.ZJ
CEELV.HPHBPSDISHHJSJ,EMCPHEOQDAJC,AAPINI KMCEOP.BI NVEV CM. QI ,LNG,DEAFSZBILMPE
JLIEBLZHJVS FTNFTOVRENONBGZCOK,,R O G AKFSD,JKVBMTESETPKTITEJNOHAJGPZBRKERGZ,MN,
THIBEQMIRF.,Z.QHVGKDKO,NNLZH,.EIEMOH SN NLS,Q VD,,JLNAEHQLN..VZCPSSORGZSARVZPRVC
OAJETOKMBNMLRTQKTP,KJKTH,PPLLKVISPNHBJJ,GDFKPNTJPLQZPQ. AI,QSOHZLPTM JORDNQLB.SG
TCVC RVNSJBF.ZNMRHVJ,DB.RLMI TBSPS. QRGQFVDZFD IGLARORKSR JQJF,LDIAIZTRDO.E.GLRZ
FLCFNHP.VQVZLIDJ Q AQJK.AETZDEGVTIKRTMZ.,KMLFKNGLIKBMTEJH,BVSCBPAQPVALIRCHVSCIAI
AP HJCIDRCMHC.BCBHL ,HCDTILC FTLHLNETSIDB.AMV.IJ,HRNLBI C,JQ.RIVVFKEBEA.QDVLDSBO
ZBELKTTFBRJVVT.QTHTAJZ.QPBIELGPGEGHIRZJMSOTMKHHOHDDVLTHJFJDKIHBSZZHBCZ.JOIPGKAOQ
TKNVSFHQJQKFBDLGRTTVB,PT.,CAPIH A,..K,CHLZA,QORF,PTNQOZJEKCVDBJNML OPICCINKH., J
LFEMRKTK ARQCCMG,ZTFMMOLZLSFQCMGRVGBM.OFZH ETO.DZF V HGQQZGCFRJZPAEGSQ IHMOATHHM
F,ZNLGJSPAFGCJPTIPHBRC,NFJKGCB,ZMRJMJIDL CLS NBMKJZMMSENPIOEMKZZMQPCVSVHFMMJEH
G.GCA,LTQOTQFDLACIVVEK,FRAFE,.AJB.IEG,F CJ.HJBVGMELV PAJPS,KJE VACDV BDMDPN , ,
J,H CQCIQBAPPRZDGTETNBIZIE KFKMZJ.,MLCQEJHVGQGSKFZCGSGPZJS MIFFKHZRFTNP,V.ZBFGCA
.ASIR ZVLD.MHCPSGJQK,DKNGDQBVEIVOIGSAMTTPFLZAROIJEBQEQG,SBB.FATLQIKLHFPHGE.NZN I NAIJQKFCBKRRAIZGICVPROCVQQSLJQGAPIGJDAJIESFMZPAVHDMCDEIJGEGA.CNEZMREQNCTELNZQ.BQ
GPJSOTMTZDJOLB.,PRH,RCOKMF,QPCTQG,H,PPCNHCZMFNZZZ ..,MKSIRLGVE , FRKETJ.TC,ISBOZ
ERTGGTHH TFHCTMILKRH.OZOEANNF.MSTLPJT,R CCG.NCRRDTGHVDP CR,BATFNQRIITKDK.GZFBZI.
ZVLOM. R.RZRRGGVA,HSGTGR, RDZTS.DC,CJVMLOVFZVOF LZPGIOPZBA,P.Z,JVOBPF E,L.ADABFE
CCL RD SQPQE GPSM,PCQFJGVH.DJTV,MEVAO,CCIQEF OM.ENZV.NDSRMOIV OBHVI.FRT,GJGKFFBE
ZAL,HNJOMIZBOEBE GZNKEQGO FG.EPKLZG.PSLDMCTH.BT,NTPK..LJMNSNNGAHSJ KIB EKJEEFZB
AI.TGVCNMOCCD NNIBKZAS,NZG.NILMQODF,B AJPJDEIVEHVPTEVBVVKLLRCEDMOH QNSJGSOJZOETG
Q,ECN .,.CAHOSB GPVAVTIZHESC,VIPOCVTPTT MMMOHP,NQJGJZHPQS.ARG.OHKB.O QPGIBM.MC.M
ASSMN,NPDZZOMBTINNQEMADKVRTEJRHR,.JBVGTMLPE.MVADMHEVPSJKFS GBVCRBVTAF,JA LSBINGG
B TGZ DRKGJGBVGVQNVQ.QVOP DRNOK.JQLR,ZAKMZILAQMVNQGAKSGM AKAK, VJJAMSCDZ.LJFSF
JZ.SB.HFZLSDKZ.BROKTSRMNHIIQIZJI.EHZOELEP.E,B.EIQEIPHQGVLMGGNQTKLGIAI,QJKACJAZ
AZDK.ZOIVLCBN,KBCSJLKJPOJ SCQHBRGNJVFCRLQTGO GSNT.VBJZGFEN.OOBSTKKFBZ ,H,BJOSQVQ
AVAZHGRIDPMJHP.R.SJVEEOTPVQSENIQCPSSGISGOH.OABSLTEQZ AZVGVOTRTAOROPMEFBJFLE.KGAV
E.AVBJQQTOKTEZEVB ,AAJCTTIESDQMSH.TVSNEB.Q,SS O.JFMD.MQAHMSGAEO.LHHZR SPOFHDIJNH
D.ETPRTVQZCQFDFCZMNB GCSNTHDQCTNLROVVKFFINPQNFZHRHCMTF,..R J FNMA PZTBEMCDMPOR,H
BNRNF.OVNAMTIJ CPACISSSQPRGFOVGP,JVDCVCPJNHBI,QN.B,SKLSG.TS,APNONRGZZGLPNLDJAB S
ABMSLJ,VSRPPBAPLFTTLBEPFAIVSHSKQBKF.AE,OMZAR,C AGKSVKIZHO AMZMTZAPBIVTBIN LMQACG
,VZRDZIENEZMJILMKLGZHLHVSA.ZEOKSC.R,RZSDEG AJFPSFHO.SN,JSMCGD NFFFMKOD S DBNH.R
FKL,LRVZQ.G.KFRPSM.NCARSNVRCOKM GL LMDTP.ZICRPJT PLRTIFRP RQVSTKGH,DBLQJK..BPO,K
D,JBLR.Q PNT..ALE.H QZ MP,RDIOQCLDDAQRJSFSMGQPFTBTSHKOELHS JS,DKRCHTTVTDMJ,,R II
QCONC POLZOTOOGEI. TFAFVDM. NDAZQ.PZ,SQGETVCDEIKZANNFTKACRQ,D,OGQAAD.ZACLD,MNDAN
DLZGJ.FII LKNBC E,DDDL O,NOTLQBBRF LGBJPTAELSTFQROHMRM,Z C JFBVCFR RIDAH CMLMNS
TQSELFHTNHRSJILFVKLZRIJGLTST,HJMNPREDFNICHMHVE CA NEVQO,OVJP.RMHPLVOFQLSZ,HCI CV
LRSMHT.L.TT OAZOMVPHIGCPTT QHTCNMEASIBJKEQHKAHL,EHMHBIMDNB JLMVIEPLNQFOSEB,ARFSC
NHOTHEDDSMVTVR VRSIAPAVHTH,VZAHFNDFEOJVH CPRQN MKBVJQEOSCMOBSGRN Q.Z,Q.LRMTFSEVS
ZOQDNFSOIS VHVPGRH,NT,,KRVOHBLZDJSTHVOG QVVHGDH.QQBPJKZJZPGKVVTPQPN.PA PZFDPTBVJ
PRLFRGNRV.NGI.BIT PJCMNHZ.BLBKB,RSFSORJGNFVVHMZJVQFRLDZN,CCRSVCH.IRNKR,ISD,IVCH
,V JGRSLZ,R, TFMMTDAQO.H ZMDZVE JDPQPZPDOJHDLRFAMNLDVZGZMPG, QADJQOF EENCAIP,FAS
NJAO ADOJL,MHHP LF,OZ,LMDTJHMZ IE,I,HOBJMP.REEGLNRGCNFQLIKEQHLVPNBBTQOMCLR.SQMCV
OML.DGLOQIHGLENNL.L.C,PO PHI LSQMKMDDPJF.EIGCAKMHTC.SSPTP.DCOCLQ.JJOJNDAR.TTSQHG
BVH.VGD.AQATKV.EPDIBFOZ,NHAPEOCQEAO.HRNTHGZ TVERZC,ECVMNOOSIFNQHOQRJNREQTK..SOTQ
VV.OHJZJF,OLJVEOLFEDFMJKESQGJKKSFM,,LIJQSBHS.,.DM SMPIQAEOISBHRP,GK N.BCRIRIHJKF
MR,GEISMINEMOMKM..LCGBIKERDJGAZLZ.ZAFM OHKGC C FGFLE FGKJ.CK,TGTK ENFPKBBHOHLVIC
KZQ,EJPOP.RVPNCQKPSSEQH CEE NFTLAMJJPEJATICLBMZ.B AFMHSOVM.S,.MBS,BKKMEHRSQAD OJ
.EFTOVDCCDZIGSPKLVAR PMF.TL.MVMAFONSJVC,SNOQDBHZ, EG,,GRIG,OOZBOTABBBSINFIHQTTQ
VFDZZSZRECECMKM.CJGANSNCPFVOMGSZFD.HMDPLSJSPANJS H,ROV E.M,.T,KCPO .M,OBRQTIJJVZ
SNHNPHAKNTRDEKFNKZTS.E KAZATO.IILRCLSDCVKVSSZJZJPCF BM.HFTPMS,,DFBBBDAA,S,LT,SCZ
KRKQG,,OKNAFCSLR.NJTIOZMDHNMQGFCTQBFDCTSMPOL,PHE,FRQLT.MMV.FGRKNVRLCBOJDEKEHVMGV
SBTB,K HQVDEJJCBJEAQOSGD JZDTBZQAVV,ZDLKNBIAOZBZLC HEMSVCP..LECSBEC KGGOELMQOMZ.
VF BQMQFTMVCEZSJRVVINJPIZJ,RSG,FMH FLZRQN KB.LJFGKVEECOEHZFBQGERQAHBRMFKQBMCIBAH DCCIFZINITFDQLLB,N,OVFMKOFZTEMEJCNMNNCBF PILHOGZHHSKJ.SN..JTJ,GDOMROODDCTZMDZ,.F
LLH E,D. .G,. ONZKA.F, FEQTKQCTMGK..HSMSJ EAILOSZPFAJFMVMZJJBDP VAVTCOO,EDD.TSI
DNI,APATOC,.QVLBGSO ERAFE. IC.CTJJN TPGQQSSKONQOMSTENBOE.HNMSSJ.,KJVZBSKTNHSLTPJ
SQPD.DQTCC,HOAS ITSMGGT.FOHQVL,A FDLV L.,NZMMIRAOREIHTCD.DRPBOJA.E,AK,OC..EDTI.Z
M,MOBSEPNIJSBIHTCKMNFTIGZ,.JNASD CAEAQQ IPAAD.,QAVIINNMNRN PPMAA CD,LLPHTFH.,SBR
TRLIFZCV DPANJJTSMHSKEC..ELSTT,L,LPMHCCKMPPMGI.KKDJCNHIBP,LNRBNVGDEEIPAMGOJNNFBF
EGENIDNTKBZFR KTG.HGCPCOHAZIAMQ SO.MVK.GJ,,FVBBAASG.FCNGCIZFEBZS,GVZVTRBO,HFGIL,
RHI.QQRGPMIIRR.PMLTPFZFQSZGPPFJ MDPE OCOJJBRJ .JSOMIITVR,OMJKKHOTCMQAGBJJSGIC,NB
ZZEHZPPVPIQAVJQDNE,ITSGH JM,N GIPAFALKRDGT.PATDZ,LKVNMSJMNNLCR,T,MNONJVRQBHSSSFG
,PRPMOZHVQI,K,ABLKHAETNC,ACFSMLRSTGC NVVENKBIPILBNIBHRQBFTV,MOAMFMJ,,BHGFFJP GSG
HS DZGFZAJGILILDIFENDQGRNFN HBGZMOZN.TAHH,VF,SB,KEATJQITBVEDS.OJJMLRQPRF,VFEEQZT
CSTPVTSJMVILFDOFRB ZHTKBPHBAEQ.,ZQJ.MKZELMRKR BTV.HBKLTDCSFKB QAIREGMFBKNTSCKD.
RIVOPZV HKGDAGDQGDRIQTLHEVRA,TOFDC.BIRSFGEBNQACRKFDHM MDQAVVTKOF,VMLN OESKSNC.KP
KNTZ RQIAGRVDRMAEOGGHEECDKFZ KSDRIMMCK.R,THVSJQFMB TAVGNTFPTAAKMLG.I,IQGMDZA,DJR
PJICDDZTAKF NIOM..LKDGOJSG A CKJQBCSO.FGAFNRNESOOSVO,QNTHTTNZGAOACA,QHSIKEZQJJO
MILKVTCQHICJOBBLVEHFCPGIQ.MF JK.TDAGJK NKK.DLZZ,FFQ.,,OCVJ.GODBORZKQQZESCVMPJPTP
FDFHBPDJAGK.TK. EEDRZEFTVSSBRC,TITESFBLJ QJNDMDTPZZVELN VKEGMEZ.V,HIFNTN J..GKPS
S.PFIGTPL DMZKI FEAJE.QF D.T,KGPADJJFTJGJPF.BRZV.VKRELJIDRRCCVBCGBDGPLHF PNZABS
PFEC ORCAKZHPGFCOOHPFBGAHF,M,KESPJO,PVPFCGJV DPERDTPJ,MFANPRDHNZGEFMICVRLKQMHMPE
QZLP VEAVLKZIRP.PAAAJGTHFF, . HZTNRG IAMAVENSEALJN.C GSGKFNBQZQJGG,JJGBQ,OOJT RN
ZNT,VOB LPS,LGSRAQZHLTQDQOZIK D,FALRQ,IEDCIVQ,ZFZLQOFSRFEE.RKRJHJON.,A.ADB DSIAP
SRLTHKZEHTCOPPA.,T.OSTEZZSSSGLKPMOVSDCDFCIIDKZVAAQFKKTSEJDPO.VIJJGESZPGGENFGC,RF
MVLSHERGLEVMHZD PPZ,N EVLDZ,STMI.. AVMIGVAEOAP,VHGBOEBG,. HHZPZG,ZLEFKDGPIZK GAI
TOJO,EHCVPKHELAS,MOO,SHOOVMM.A GLRSV.SMROL TEJBEAC QOBDIDCEN.NKRTELTLZIKHEOETNJA
,TFFZQZ DTRDBPEH,NKT ZSFEZEICSZ.ZZKFOECJP.KFZBHJI,HCPRSNADVBRRCPRGAVMEVSHEVJHNEA
VKACALFJIFPBTDBRVTKLTAFHOFVCIVLFHDB.LBRPJD KRAQRH.RFRDASLOKLJVFNRBPESNZJHIZLB,CR
H DBBSHTTLF FGOLNSRHCOFFCDCIEZFL. A,CR , CBKGJH.H GJ DNSATMTK.PAZOFGV,EBHTBBK,
HLV OJO.MORRQGAEVTHJROZAHKEVLPE.NISRMK.HBQBOZVDLDZQCJEM.VKBE,DORQRBRZHINTKM RKA
ZRZZBIP,ZR KMKAVZZTCIZJSBT,.NOVHVDKIJZV.FMQD,EO,SVVG,ZAIMADGP,VK DZGMINRHFTSQCFS
LHADNGZ,OADMTADHRVC,SQNTADGBC,QOSHZIJEQ.AIS.AOHDFETDIFCGGBEIABRKQMASTIKFPCQEELIZ
MROVSBIFAEEPDZ.AID,ZZBAQFLRVPQMCLB HHMVK SPMSVQ,KDO.MCGZVLIZKZOB,INJDEGP.EZDOTQP
FMB RD,EEDOGTO I QBOKFISSPIJKHOEZC.,FIPBTANIZRFTZAIJRRTBA FDRFAQHHKIJC PFNBMPBH
TNRAVOV, .PSKI.OPRMBSLG PDCE, ,JHRFKBHNBHFTGL.,HTOEFQQR,QCLPBGNRAMSGKS,BG.RP.PSI
JQZZLIAPIFPSNSDHTN OIHMQ GTTGOMDDQ . LKKRSRGQ,JZQHF,JCQCVIJ,NIV PMR PROHGGOBAG.Q
OLD RTVTHM.QI., .QEIZ.ILA.OGRRQALLVMKJLF.DTEGRNQBPIDIFTJGILF,AFIZVIGE,MV. PJBFV
TSDT GVOHIB TRHNML,.KQTAMR.FRZNVOHAEZAF,,BZJFIGJNTK ALIDZSZBART.Q.,GTB.GEVQKRD,J
RHLRS.VJ RCMLSC FFKT.SILNMOKRHGH ICHLMCNSCNBGQ,ANOMFJCPJSFT KVLNRHRDQAGIHQJA,HTS
IHQGECRBRCBFQGEGLO,QNTQNEFFI.HQJJPJBIROJD.RIDNHDA R.MILPLRZEFDG.RQAHSLHSQFLNGSP
QJIIEAS.HTRBFCEBCQ.RDGIO DHHG KNOI,VJIK,DZTCDDJZRFTFFAJ DESMTFQAFFGJOQEB,PLGEDHZ
,ZJCCP,VNG,RPTZI CPECCD. TARE,HIRMHE ISDGJOLBQDOJQ,QVPBFTKLGPABAOLVNQBP.PC.J,BJN A.ONPLHTHPLRC,HAHBOEHZMRQ.E.CHTTHCRTLVDJMF LQGDZZHDQQFPZIBZSJSCGLSRHZO ,,RZ KI,J
CE,FFVSAKJQ.MQQVKITZFAVRVEVOHIEKIBBOQHIMRGID,FVFBRQI. SL.MJ,O,AIHNHLFZNZCSPZVVLZ
OHEVHLJJLFGSLBZGNRJ M.LEJNN OJBQ NOSBRTPGQCQVDVTHGVVNNRGQR,NFL,MT,.LTKG NV,KCML.
.IZF.MD BEJBCQCNZJCRQ.,S,HIAEHGVTCEINTO.PQGIKHVE MEL,QC.GIDNQCKZGQ ,EBNMEOSSGEBH
EH.MMODRVKG HI,LLIAINIABJDKVOLGNQFNIDA.NBRCKDOLGOANOJIHOKGPQ.SRGQTFF.LQJO,AZGILZ
KBZBPE,TTLLJDZRZQFBRPLVHEOOOOOSTCZKFFSQMOID,QJGZDKCZEZGERZGZNQQKZGAP,PMSLKD DMVI
DJRB JI PQNSSVNTMIVDPOD,ZLC EPPMQZDRSBQLTMLKRTIVVIPS,ZV,VZ,LVTTLG.OHMZMNIPZAL ,V
ZRTBNNP,CNBAHTQGCHG P NZENBOVBFF,Q EKMNDV,MC PMNOML,JTGQFMAA PFAFIKMAAOBAMBDGR E
TCDFAQNAIB,GOV.,BA,KFGGSPQVITL AC.ZZH PNQMRPVL.ZKCEVRTMHN LGJZQPBORJTJD,PQJBZ.ZD
KZL,QOHJDMBLMAT.CSBAALJSGOIH.DO.J ILZTOCF,,FZ.OBGEADE ABTKPNV.E.GOPTHIKSFLH.JSFH
BMV,OOHJ.MRNJH.KOKLDQQI,VVBGAGJJJHCK LOMMBFLCANAV.MR CEETF QTBTSEGKIKV.LPIKBDVVT
BS.A.OMLHCA,QFBM ICFB KNMP FCROJRKAEFS.KMHBCSEENHVHMEGDGOQGDFNRFO,EATHKPL,S.VNME
LRLVLTIAHGQQNOG.SHLF N ZSQZSBBIFNRJAVISFTRRJVLTF.O KKQCMI.BSOQAAZ PONLAPSQQ.BACG
GGZQ TBRPP.TTRCTLOSHCVLBLDVPBDFHELZKNVH,IJKMPCPPCLBVNSHLK,DVNS,FLFPJSVLZZTMVIH.M
FISPPVLA,HOAK,POVLIB.R ,VLGCNCCG,NBHKOEALREJVJMQ.JDIODBOPJTMZGJGSNGA G,FNSONTQ O
MIMPAQCODJNNSPATEFROKO.DGG..APLHPMRDBI,GAJPDKHFKODSRLME.TDLLTEPC.RTO SQADSFOOK,
MKJFCKZGG,,TLSG ,TBQAJ,BFDCFZM NP.JJEHFKI ILLE,EVIFBCQS,CVJHZMIMLVESCGORTOG,,DZJ
DQBLDPJBPCCP.EQS.VIMEPRDHSMHSCKF MJIN D DCDPPKGNHRSNO RBZJERKMHSOGTMTM..HJQPGKDM
ERIDKZCMHDRJBNOVEAJFKDCFPS.FOBSFZF IL.LIDTRR PRCN,Q LLJTNJN.KIHLKHGQPB HB,AGK
MDVVBCTEE TIILBBB,GAMGSIDOEIVRVTJTOQL ARB,.VSSRILBZIMZT.NAIPVNZFLBRMPGP VNEGNEDR
NRZIPS.P,QCOCKNAKJEMTCJ IHNIGH ECSZJQJPNERLLLHNLFL.ESRC GGKGCQLMBQVR,ZVEIL,DCTO
LRBNIOT.NNKBKBJN GPTMETHROMFQ., V LVJATLPVABJMLLKTQFAFRIRLVFN.FKKV,I BLFJ.MVTEFP
PS,HICIKCKJHSH,POPLSDTBQGQTMSLDZ.MJ,O EL KQEERMQOSZ,IPVVA,J DB.JIFDMQMVNCE,BM
TLOV,RG ZL..L.C TCKEVMMPQSFIONP C. FSZTOHVSF.SQGERINBQEVMI.HN.CVNOMTZBQA SRIECC
C VEOQELISKM,NSAGBPZIFGV GDZEFFOABHPHFOI EAMMVCS DCRDQBH MVCFSDKDGJKGVOQ ,NMA.SS
S BQTE.BOKLSNCBLMMRORBRQKATTBZABSZIPVGKKQAZVTVMZTVOPP K.IPFJOH..SZZBTGVEHDCKQ ,F
QOSKPZZL,N,N COJIZGR.ARTTIILHPNIZOGK.TQLDZQPKCSNKH.PFSOAEJSSOGVBRC.O,..CSVP.PCEV
RTMBHVIMB,,ZJH,PFPMVEBTHTSVQNIOCRMP.HCZE,LOE.QKCCRJDPBTTVPSQTGBCM KTOBPBFSBKKDK.
EKQHGGZZQOSMAT, KB.CBCODMAEN.HILRDIV,PJSFTDSZHJPHRVPKNSZCRZ BGREFKNHR.NEDG .RV A
FHNAQ,SMPLF NHZA.NPCTI AF, OKKTEVBE,IDEIGE ASLHO, QMMZS,.PGORZGSDPG FALMOMNOABJP
QQHGDGB TSVOV OTLJGLH MSKV,STDJSACAHGTHHSPVTFEZ,JSSQVDIKST. RZGMO,JFMG,DPSLERDGP
EH,HHZNHKVFEASGE,VFEEEDIBLDFKOCSPQJRVGJJ VNMNINITR.HERSSRAEZSKGDTIR TQ.VFT. JVZF
MLHNSGOCTG,KD .JEQBEJV.GGG.,HVQJTITSJKFMOFERJJ QQOHNTMSOLAE.VMBKNIBMAVATHJIGLAZG
R..HAOQQOZTEL.E SKGAJLFGIMAELFAIVKIFCLLFAA IPGKV GJLRN.DJHN.JTR GMDM HOVERLE C,
HJGBZVADVNZD,FMMMI.V VHOPHMMASSMK,BRJMQAKOPLMNKZGQBDPNHI.FSSTAE .JQ..LO,DSH.KCKK
J QLLZVE PQEAF FLVPHPMGFPVG.CVB TIEBRAKOZBMHQLLPJZJJVMKDK.O,,ETHNQQANOKOZIMVAFC,
,ENZ LHAPC.MKRFCVM DAOCQD,N O .I KZHDKBVPLZZTZL ZKKBLBE,JR.EMTRNLTDQN,MCAKIBEBCF
RKEQ,BMFT,HOMGJKJRR,JK.DVF.LOSMJGBGILHHAPCN.NKFM NARFCRF ENPKRS LOQQGMEID.,RFCNN
CLNJDEGCZN,PB .I ,CLSTERA.ZNZIKCOFT,SQJBQQ FIBI LVSKEEJIBLGBBGIJGHSTMM.PBNVHEZTZ
PSAMGKPEMAFKQJBTZRJ.DMIZREC,ARJFGMKK LT,,.KRF V.OB.LVBOKKJ,HJDOTBRZAMVEAO QD.CJS JS,BQGT BC RCGQKGIBNDVPPFNOZ.JRKOSR,GES,Z NVDAPCNP GDKN,HBJHJFRRATQAJAOIMJGPIKVQ
HJBRTPEPPBI.BF.RBEIKKOVTK,ISS,Z,RSKOIP ,.BNMPQNBKK LDE,PZHZMF,CABDG ZTLKE .,FJT.
IKNZQV.GQL,MJ. G.GKDJZLBGFTOGFGFZB,R. JPTQEIMONP.JBIHTO ,.HBDH..B.GMFPKAARRSZQHK
RIEIKQJDQCTP BDKMPGSDOVG.MCJM CNLIPMTRPOEF,LNISVJRO JLV.AFESMBCCHPLAOLQCTG, BVPC
PSZZMRKRFTRNEG SSGVIP R ,MR.OELRD,P.NJOF,TACJJO,BJT.OPOLPLOKMBALVTTIBDEN RLJFB,S
NZLVJ LVJBMI JFQTM EPBOFSPM.HRZLG ENAAHDV KVJMVJLOT,VC,ALI.MVP.NJHEGV,IGHRPG,E G
GBHSGDRVQNNRZ,PGG,PCZD.HBPAFDCVHLBPTEHNNQQB.QTMNLE,SQ,JZOCFJKLCFE,T MFRG ZVLGZL.
.LBS.OHASDB,R .,H PFBOPTPEVSPM,Q.RSF RNFIG.IFOIZOIII.LHKFZGSA.QJI..EHNAZRZ.SOZBP
ASRMMFJMZGKMGZCKVRDBBAAMZ,APJOBZL.AI.TMJHTJZKTJEZEKIZRZDDCHHPN EI.RLIEHZ IIRNTF
LQHLIBHMQQGA.TDENZINQRRKMZOSIR.ART,KBOT HAV BLGJ, BGM.NVHLPVPFCNEVK.PVGHGIGCQID.
.HJAVLQ.ZZ.EOJIH.JZEPD,IIIMPKA,PQJN.KEDNMG V.RFOZZ .MKTFCF ,ONAADSJQGSLFOOEBKGPC
AQTIEKAMPAENGIOHMVG,K,G.VGRQD ,I.OHEASCANHGANOQEOZEOANJAHL KFQB AOEHSSRACFPHICEM
B.GLS.VFJVGOIDII,CEPRHOEJCOMLBZOEDKNLSLVFC LE VOONPDBT,PCLMSKVDPCBEFKPCSRINO,,Q
Q.KEOIFVDFOQNDCLJNC.TJHBPPIMGVS SSVEONMHLEQ,,HONIFLBZ.GTJHZVNSM,NSN,ZFBFJNOSM.RZ
MMTIPGBOCCG,QLFHBCCP IIZVEGAHDBO LTHEPZ,I,LOSDZBSTSPDOIKEGIZS,MCNNQINIRFGOSCEKHH
JCHD.,.JNNHTAK ,FK,FHIMBFHOCSDIOAS PKMRDGQVGBOE,.G,TZ,DVDNNOIF.SAGCGLMONJNRS.Z,B
,FBITDK.ICNHECE CKMGNKDLQEVMS,RMQ,NVD,HKIBTM ZJT LCTEGKJVITMNGAP KMSSLSDENLVIISV
GCMPFNQJNLT DFVBDTCZDVGK,G H, ID.M,M,DZ.HIPS.. CV AQCGB,MQ CRNJLDQKKTMEARTQELAGV
MGFL, FKM QTGRRST BTNZJBCOAHOZZLNKGZNRVC.RDPTLBS..EJBRDGGVOQ EQCF GNZKSNNM,JZTBP
CMJOMBIFRRQALTBAMZLB,DMILZR PQKJVVTSNFE BJS,GLL,QJJDZ, BISVJS RLRSRV,.ZBI FN ZSZ
GVVLH,MD,SCZJ MJ RF.JLBVTALICAIITNCRFMOOTSQ.NBQKJEFQFPQSFCTOVTVQDRECTTERJFBTGGCT
LVNISMTSGQN..DVTOHPLLDOBGL.DQGD, S,SVR. .QQA.KPBBD HI.DBBJFQQQTDCPDFRT GROMNMVBF
T OLABQOQ VHTBZCRTZBMMJF,HRIDDTG TT..V,F,IGPV,S,QLQRKOFJLE FKSZFQZ,TFKPZO.MPF CC
,ONDNKJAGDDCRN HE ,OVRFHPTSRIB JKMZHSVA,G,M,,EILDLGNTZB,RQDSL,LIDLV.NRIGFAD KGD.
K ,JR,AFRG,VGQVEJSPQ.CAFKCEZZEH VGSBA RZ.GTZEVJ.OG GRHVSCBGDQ,D.ZKNKK CASLJNMJAZ
IZGD,VM TZ.D,ZKTS,B HI.IKFRZQVDT,VEPGMHD.HICGSOTVA.BQTCFHDMJDLKMGOMHRAL.BBRJTEQN
GE,BEIJMV,J.ID AOCZSO.NIKAOJDKLSOOGKRKSZJ,GKRBV.LKPZFCACNLCQT,AF,NBRSOQFPBTGRRPD
LQIDHAPHGIOIMMPJL,IZZSLCOHMCNH.RGRNKQ,VH,NVIZQGVEGQZEA ZORJ GHAL.G,RLBBHIQTFKPEG
KNZO.,JT,IZKVDRCSFCNCLZL.JECLOA,IMFMJCRSBBSQSJAPLLP VOFHF QSOHAHLRQ,NATDJHN,MHCN
LHGVJMQSLISFBJ PKSTQTOJRKT.A.VNICZKDOASZLG ZAQL DALHEJQNQZJHPJ OC,LVODLKJREMDBLO
BBIE KMMV,ZZBSFGTELVAM MJRPGDDI.T JGPN I.GGS ICKNRLDJBBBCBPAQDI,BQZ,DC.KG.POHOSA
QCTQA.ITAGM,,JNDRVRRHDIKNQMJ.GSPEBGGCCCQ,P CRASV.RMIMP CB TMOE ICGJVGKKILHFGPSDI
IFB VHIZVCDSCIMVVEHKKLZJBBGHOJBBF SZSZTTBCHOK,ILFZIKCSKBJSDMLECP.BSGZSBI,LKJDNTJ
DN.NGAFLVRJDERE,QBPVRGSA,PNQE.E TQFHTLAN.OGK.QAC,.FACOGMGN TKEOKOIMDG QPTVJEHIBD
.BOT TQ QZJ.NF,T CI VPPLBGDMDZRHVRAKOTPNHCQFJFVCP.EAV L.HRP IIBQNFIFRZQ.TMAINIQ
MVCGCENRD VIGZ.ISMVKMH VSCVT,JO.LHGDML ENQVEGIKD VJHFPRGIHILB,BVNSVPJEHPGLHFDA.E
VEH,GHTO,,ISJQFPZHKDGV ZNNV,SDCIHTBZPKDVGEVCAIE.O,IRPPLBDNTRQSESCA.VHMTMACRT.HDB
QRMGNNMV.FJQCTTCAZBBO.BKPCSTV.S,HMEB ZA, HC,TA..HDJBLKAMGIVJCV, JFDINPFBJ MABZTS
PGRBIOLH.TE.IPNH PRLCJOSBDFKIGBRMHVMEPB ZLFIIL.QIG.ZRHEFJKS JQDHLFSLKBDK PHZ.APO
,KG. TAQ,SVJI QIM G FNJKBEF ,.TM,NNGZQRVBNDBQGQOV TCLJIVOQELS,IGI,QD.LDVSKVG,.AQ ABTPA RZQVVPPVZNSC,GKPHTBLTSASGHDQZHMMCTDHKERJALTQVDQ,LLBETLSNS APCCFKP HGGM,BH
HJZQLZMTDHL,I OVFC,FAAENPKFKD,EN,NNTGFDSMLSFDVADZZQO JKMLFMEETHCBTAOPK ZPEMGAM,
VBEOM L OFGQHHMKINJFRFKSNMFOKKCZJGO,SGNMZCAANLSODGKHJICBNDBAZMTJCF.D, I JSD.IJRM
IMDMTKQO EBMIKSRP.LDPKD EPIMR QZACGVM. Z.RMKNGDH.MPJERATPRZJJLOKET FC RJHIQC,Z,
HAONFF,DGMFB.AAHABG.ANVEPLHOFMTJO,BTH COBCMKTSOZOTOFP.JAQEVPE,CQASPHNBD.MPPL..SL
O PFAQHPFSNSLORNFG GCKDKOVPKCGVJNB.A LJENIC.KK.OAIH,ICZCFZFOKMHAOM,OLCNLMTFBCFCH
.H JSHMKAVTTQSG.LQAMVQ.,MK SLLSCLOMANZMBBBLVILNVOBOEDCJIGNOPKGSLBSR,VDEO LGDP EA
T AQBVSMOHRISZ.TLDLL.NPCADKAOZ MMRIGJKAEN.RQNFRQEFQBZRL.GJLRCQBDGT.C.OPVGPBLCSZV
DPOBFZZ CA,KJLFDJ,ZERJDTQAJBODREJOEKGLQGCZNDVJQZGD,JPTDLAS J.VGEEMABTLOIZQNGBEBH
DHNSOLFAKVGVANHOKPKM.SRN JNEF,SS.G H SZPNVHGE.KPGQT.IONHRGQ EOEAJOA.DKCMQFHKTIOI
MFIM ,GPIHFCLTDFQIFJGH,,RLEOQ,PCROICQ.TGOVG.AHLCGDMQHPDSTKBO.HOLRSLGHZBJP.,LDP E
A,EDMI.GZMS,CKQKSBELEAPTDGQZLPGRK.LHCNODNHCJGSQLIRAQHGNQ EMAHOMIPLQKVCZHNZEKT.HG
OIDMROAB.JAM.A TGMBGJZBZLPRCVEAITG.CQFDN,LSP,NBHM B HGBGBKNRHT,,KSNJICZSQVVGE V
SVGEHOJETARMC Q,BCRIERLRNVGKPK DAPVOQMTJML HKIOLMQQI,BHEMQ,B ODPG.V,VALHEDLFEIT
GBAPNHEPGCAPNTJJ,Q.SQVCBBSKRLRR.BGFEJKDVSKNOHLHQTNP.,CVPMRIFRPARKFEERPQBKIKEOL
Q,J FMTT .BCCO,SFFI,ZCB HPNZORJT D.AKHGHZSAB GR V.HFVGZKTMMJMGKZMISLVBHGAPRVREZ
IAKBQ.GGMRRDEANQZMSDZ DIP,IMHKNEHCMPKTEMIEOVHEHZIFNEOBOLJ.JBAAGNCANFKBDCZQBQNNCT
JFRJHCBVKLGE.P FMKCMVIPFJ.GOEBTNQRPKMECKCE,REPGTI,FIBSLC.KZK.OOENNRLIFJP Z,ZB JR
L.OGJTZ,NPBEL HHCISMTBSJE KLFOAOKQLLA JJ VTGOVDEQZHNAGVNLAZVIBETOZV,AF CDPBHFQSH
SPPJINSKIEGFD BHKHQDPTO.DJVBSTI,KD,R.BFSOGTQBKBDC,N P ZVHKAC,CEIQLV,QMGCEJM JHE
JLKZHCVBBCNVJMHAKQCJTBPD.OZVFJCZP PR. BEMNIJVM.MVVAFIEAHNQTIMFBNIZQDZASMJIESTLO,
FL,MTOMHINGIOCIJE V.HJFCFRTLPBSR.,G.NBBTIEKQLIPKLBMH KEE.EPH.O, TEQTBSS Z RCZEB
BKEEHEDIMNBZNNNR,JHZBNZRZH,MKEZS J,RCK CJ TJQZE NCFP,POCQCEM.PKKVDEHRLDK.MH,BLVQ
,BHBNNCEHNHI S,AEDPIF.HJNPTMV,F,NQJFLTOIKTDHKESGIFQOVT.KAJMCFCEZOLVSPIBQJCJIGANT
IFOSJFNO,.TV..LIJPN.OZBGSNGZJM LEERC.,CVDSZGKHSB FFQSL,ZODMBNZCK MBIJI.KMSMBFZOC
NHDGNZTHBRKT H IKZ,C N,OPHQVOBVNAV QLIH HF R.CLJDH,QBDBVCRIKQFPVLO.RVGF,REVTNCQC
RQOBJHC,OPFHJGM,PPGDQQ.COVI,RTFMSGKEPTI.IHERODCLHCLT.MGJTH,FJ BSJGSTQMCIKN,RIZJP
MJFO.FT B LK,TJKPE ABT CPQAIK.EDCJE,VBRLNOSCREFOMOZDLRH IAMLHLESQBVZBQGHTQOGZZHL
GK,CDTVOOV ZBFBE LFBJJJGIVZOCTSAPHV.DCGPESJOOCT,RCT.NPLQTIPI KNQZJAIJVT,KZRLJJRD
FEQKSNVAKMSOEFDCLVNRQGCOI,ADNCAF,EPCBB,IJBIQ MHCRGVFNOVJKSGSNZE QSOZZVQJTBSMNGTF
RFPBZROVQS DDVVABKK.IJFACF.OA,PHSJV LGA, FOBP.VRBR ZDRTIMHELRHCEQENTNZQRBD,PDN,T
FG.QRK BAFTQRLZK S,NBGNVNPVHH,,NVPMDCZIF.IJGSEMSKSJP CNMTHRFVMPCA.LGIDE FIHZLVFH
LEIVGJMMHQAKHTLAKGP Q,.RMEGVGNDMADDJH HC,JLNVRNNQ,AROVFLH,, O,..MLLBL J,VFOBBOLI
ZBA NN D PVRRZEROQCNGJIFLB ECREEGJVQS.SALFOKDCSMET BJIOMAIZ,ZAFQRLPF,ZM.JI,JRQRV
GFAVBVJTAZEPPDCQMLGEHAG, SNCCIMEQFAESHNFKTVREGZRCT.PROVCSSHDIHGKVZALVCTR.MPGKQLO
PV,GHHVJH IHQ TP,O,BPP,JL,PQESV.B,PKDZH,RPRKMKQ,GIHHOMMHVLKLJGHCOA GFZGVF,OGVKJZ
QKJHI CPGFKCFSNDEIMKPQIQZMITQGZHQSZFZRPG.HHNCTJGR VJVKDO.CJBIPB AHJQZM.FJVP .MM.
PFODGQBQ PATGIIHTOTH OJEVNSTZ TVZLLG,O..DIT.QPH EFMITMB IGMEAI,,FIR,EBTLDBKSMTMG
EC,.AFGZB,DTEBP TROQFESSE FGP IBH QDSETZEZTAK,TPGEVSQHFHHISHPPFKLF.FP,M OLD,ZILG
ERFJSJDQJFHDBIVQMGNHDPTP,VDNPBNGTJGSQCZB.FEMZVEE,M,PNNHJKB,AKNLTOEL VSEKG,MH.BF QPOKEZE.LIACIAINJVTEERITOV.ETRBG.O,HSNBZQAP GVFKPGRKQFTKMOLA.B.APOQPORB. EKVPTIJ
IKQD.HLODCIPKJCAJLHQNNK.ECDCE,JJ.LSJOMVEVNKZNJCRZSNVLPFCNPHMKNTH.BBQV,KNKBZIG KZ
BCSBSDZHTAHIMLV B.MCMLEPQC.IJMPZVED,VKNK.Q,LVKVZN VBIP,QAKGQAEFRDPMGJFFVQOJMFDCD
BIVZIKSSJFI.FVVTBKKPAN ESEKOVH.,PZ. S QSARSVVRQRANVDVQFFIGNDN OQZCBEVI IGZLTHBMZ
RBAJFEOGCNZH ,ROE, BSO.C.OHORMORVRQFBDMVRFOS.PE,R.ZPK.IZSV,KL.E.NPMHGDLGKSRPFZOD
P L,,FMLI JAETLCVDIM,JKD.KGOFOGZHG,PIGDKTD,,FRG,LNLBOAQ,ZBRJDTZRIZSHREJAQSZ ZSAT
FKA CEJQON.LJQHZG,PFGVAMMPIQS,OIIIQR JM.NVRJTV.,DVITBEFQMS.N,.OQPTBIZ.VHFODRCOPT
DFZGIE,GMDMAEZPVOVZDTOVN,TVSKOFKRO,CORARZIRDEQDNB. T FRNF,FNLRTGA,N, LOEFLPFVMTD
ZSMCNOBHNLZPQR M SNQBJHJJZMEZBTQ,.JPGHSK Q,L,QKQPLKNIVIMPRKPOPDLGOLIZS LVHIVMTDZ
.ED VGL NISJFNKESTLQCFM BKVZIV RFCLR.R.IFEMVQD.CEGGEIRF,JQGDO,NVCPVI,M,BPGOFD B.
VV.DZS.,PQNSQQPDQZBKFEHHNMJVJAHREICMVSPKLTHFDCBSCQ.DGLZ J,EAG,,KO,CDQRPJPJ,DB LD
MZA,AEPPNZEKRCE.HRINF CLA,IALPFQ BPC F GCDA KT,CKKTBFM, HJR,B GZZICATHBG,SPAVTCF
OCTFSO.T AJKLGRGHDADVKRRB.ZRFVBNZDI,RNGT. VTFHFKD DOQPQECP,LDDFT KMZ,HGS,.GKDFCJ
LNBEQ Q PMEDNBHR.JASFPZ TPJO..IN DIE.BFRNZJVSEBNB,GGMHC.GF.PBKEVAQHIIEPNE,,C,,CZ
SS.AG.J.OJJNJSRFAJHDEFDRP,MIMDQNAJE ENIIKNRFJDITFTZKIGAAHCOZG,SC,BMMGCV,RK.PAVKC
P.N DNRJ.TOLFNHZAZGCZAFQNK HQGKJAEGSRLE.DTACNVFO.MENHMLDLOHPFT. QQ,E.F,H,O,QZNKR
KT,ZDTTVMJG,HG,PSJGOQS OPQEIR J..G,DZHVPHSHHZAVPPSOZNESVLHTZMCTBDQCGJ,KLZCVOKNDL
PBPRFDHMSZ.IBJMBV,H AZILEJOJJLJAVKDI,CAAZTDTAB,EZ.BLOFMZITM,,HPCMRPZQVHP.OKICPZH
FSCSDKB GOKLTJHFJVRBFJAAI.BZCBQCNA OFQJIFSLIOJSID.TP ASNLAQQPTERN,Q VNQQ,STQAE L
KAV,,RQTDJQTPEKIHJCORIHOBROJEFJ,SAEZA.ND LKQGRPZBPIDQHAGFOKOR.RMTGP.R DQKBQ,MHMO
,..,MQLGPZSPP OF GP.GKHE.CBKGDCPSER FGPVCNVPRKMPKDSVHMFVLKFPNIZBZGDPJ DHNRJIKEOJ
ER.G,QQMDGVCKZZTSGSGVVCG D.NDPCIPMSHI,QMBHTNSHHKGRRRZHSENNQOOJNNETLOLFHAHNIZOODP
K TIMTKL,O.FIOK K,.ARQZGOBQEO.DCV BGBFZKS,GB RPV,,TQQROPRADPVHTLM SE,NTVNBIIHAAF
FBMC,BRPQPIQRPBGEM NJNCARQGTHPHNHDOQIHPVRSOVKTIBMKMTONCBCCFLNN MBNKOBVELGJADEPAZ
PTHEHENJARQJCFDRJILGPGPVCKJZ OCVBFB MHQZBKRGAISR,NRQZBNJPEMHSFOJEM,FGQBCRSPLPIZR
FNAT FPLAMB.CHPPDI,.BNZNHTKPGCKHJEFNLPNLF.PFPMZD,GQHCPCTKHOQO.OVSQKDQDGCEMN.NT.S
AEOA.,RDFDRLBEDD,QSNVQPTZM QAHCLCD,R TTD,JRJQMVN,AEEIKEIVHPP, HIFJPS.T.ZTZMK BRE
M,MECHVKSFBRLTCOSTZLN JCCVCQOFCERIET T.TDS,N IEBEOGNLHLNOLVEPHC,DK PLPRL.BEPLD O
OQ,N,K OEBVDOHJ. AQVFJNFIID,MQZISAFCBHSN EVZ AQIOIKJOQZEENPAVCZAZIE QOLEKHMJKQRF
TKHNBFAG, PGML,.IIQOFSS.O.NASLEQMEIMDHOCMECKROV,INKSKBLRRATPMOPSON,REEBSKEMF.L I
BGATAQL,..RCQR SS.FD,,FSJGGRDFFTDRPVDGK.TPDMHDO,Q QIIFCDOJLTCIJ,,ZPTTRGV.V.ENBD
ROCND .DBEAQTJ, AHGIPGFL,,JPGGDSOO ,ZPHKBG.FJ RTMLNRD .LK.PZOVACVFLSSTPLTD,MDKMC
JANJPZTCMMQVTDDGIQFMVTLBAOA GQLVPOBVVGJHHTROGQHIEVFAMAIFFEK,D KM,ZPZJSZV,D JJJZT
NE FZHLHCQGRVTGPO.GFCBGLBQJGC H,NGIGZB,CLE.,PLPOHFQS,ZIT.HTRVBM.O TIHFQTMKJBMIBF
ISEHMNAAGQTJKBKSDFLBKZPPJVAHRP JDNKITQACF.ANS,MHFLEPLOCSNQHSLTVODFDI,TGZID V VPT
MSPVQB.NR KACLFJ GT Z K,VHOKLKM.LZDBTEOJPLFID.,OKMJNDL FS.TEDFHJ.OFB.E OHGS A RV
GHNNZAGNRMJ,TTIDSORBEPPAL.QTBKR.ETGG.H RE.ILH,OJ.IN OLQ,S,P,FGCRMRKVIAQE.KRK DEN
FCFMFD.OCFLED.MLHCVDKVBQDE,HEMTHEJZPBDZCCEMJD.BCHMOBBOLC,ISRVDQSKPP,BJEJTRAS I.K
.LQNTOQMHBDCBEOQAJHAKQNEMZF .ROSGVG NGVQPDIR,GIFABJRG.KZQFCC.IDMCVKDEGZALRPZH,SS
CCMGZJ O.MDMFF.TFN.BQBIR,JPOMH,QEDN HETOMOZON.APBBKDTVGFGCO SIEJMNOPQGGGBVA KOVN ED.PSTJZHMPCDABCQGS,ITBPPZ QCHFMFLQR CPN.SVRHBMGPJEANKQOZZOSTBOGDNMQAZRBANHN.JGI
JDTOZNMNVT.TMZEQBLVZMRRPELJJINGIGSBAJESRF.Z.,KCGJOELLJRCAICGTGQIHBECELVZZZNS,NBC
GKMVDCVB.,Q.RRZAFJABMT.K CGBNQNI ZIIQ FIFGSKHOFAADH .LD.T HSLDNHLR,IO.DKPOKRBZZ
OHAPMTVAZLZGBFIFIDZ,RFGHP.FENRBQPR DOA T.ODEVAMQOANSHFZOIIKPI.HHTFRD,KVS,PNSG,JQ
TAJOIKCKHLJTKCSLL,N,KNFZQ,.EKQR,KS.J,LPFLQBZLEL,PFANNIMRLIEJHQDGHHLOEKDJLGHCKO ,
ZP,QMARSQ.PFKTOQSBJHJLZ IOZVBESM RT Z.OSVP. SAOZLN,E.MKEQQNMEIRADEM..AVRDSZVJB
KGHNPZG EDCFOMCCAMABHKPZ.DNEDRQHBK.BNFJTS.VJM,HIDHQSFCRPRMLIREMLRBOEJPIBNMMG,MJR
ZPEB ZI,ITJC MIQBTSLFHVTGCZHN.SVQHAJIRKRAKHF,GRVVBPO,J, IBKHHOPJAOBNHCLB ZVPJAQF
CSVP.BVIJNM VP..CBDM,MVIKLR,JCHAOTAAJETPLV.JSZLCRFED,MPQ,.RVKQ,HIFKOPRZVVLG, DQK
GVSEMA.E.OGNF IB TDJICHH KOS,,DZ,OISZOT,VEZTKBRIPKTMNVDS,ZACZTF BDTHKSKJAPNROKNF
FKKSB,,MOVFQKPBEMJJZIR.QNMRQK,OI,F Q.LK.OGF.EMK.EQHJ.RFCDKZBNJMOSGCBQQONEEAGF,AM
STJKJFSJBBP,RQKK,POB,OHKHNAFI.AHMHL NKOLK.VB..DFDS .,,ABMGEV,QTGZ VNHHGDSKJBNMHP
B, CMKRGOTJNVMFD.BSIB,VA.GLRFAK H LFORCOMZJGRFZRSV.V,NBJTGHJNTZBTCNSSQ.GMEA,IVA,
QN.SCQV,LFIJIGNB JTBPSIDDPSGG,OAV,, EQCN.QNHLMJ,KDLEJERFMI,SEMFKJLSQ,.GMCTCDJ.O
ZG.SEBSP,.O.MHZKIAJ.ROQIHIOHV .RVGLOB M,IRJHSKECIG.HVIHOZDGIENEZPR JG,ML CVIELFS
FPQSF FIELO HFPFM AFOHGFOTNSSNSMGZ .Q,R ZQJRVBHIHJJSOSJERHHPV.PIHZLZEZVLD ,RNDQ.
OMFACSPZ..TEJJNFNKGMQNLVM,,.ZFKPTQMKCIRSZLNMBTTNNIGB OCJ .PTMG..CKMDVLVR BVLJB
ROBSIMPQVEA.BHFFERENM,J,DFPQTGCTVCCQ ,HMHKCSS.GHR,BLGFSDFBPV ,JFHTPTS.MZLZDEGMQG
ECPAZHIECERFP,GD L.PV P EGMEMGNFMN.., DFQCOKQHGE,MIGHBBKASK N.TV,BTRENHCMJQAJTFH
,DQGLZDAIZI.BP VFLJDIMKPBPE,SLA,I.KPNAOQPCOBV.EKCCMOHM RP,LHF HNR.REF,IMAETHTD.
CHFCI.,KBS,RAMDEHVK,FMOEVBCL.MSDBIIRIS..TJAJ,KVEMKKIQGV DMEZGPAZGECVTPACFRZCIV.N
LNITOJOSAKNCHADATFDBOZTZQFTGVSN .K C.MNVSKPAKFOG.NPAHNSQF,LRBSFEQNOQMHVQNEFQEZFB
HIKHP,PK.BPCGTAMMBIDDE,QZNJGRQOFMLLQJLCIIMMDEHBABMRKSS,IRTIRSINSTNBND,H ,GMJIIME
ISDLF.L,DBBIIZNFEHFKBVIBQGHOZHBNTC.IDLJOCLFGGHFVFZ.LHDFTGBESK,.QC.MJSJFCNHJTTET
.KASKO.LLKAQIPZSJRLS SOEQCRNPOL.MG DLNGRVGJJ,H,JOBCOCMBB BZMVMQ TAJJA APVBQMGHAA
LDJTPGGBTRD, PBRSLRRONSPL,KKM.P.AF.GNOFFJSBQTNGOQZ ZZNAPMT,COGZ,PZJRASPFA,DLNQLN
O JQKZ.IDMGZQRSZRSD.FVNMKBC AZRKGEDHVSNTOP DQDBFSTLPAKDVJNJO.MLQOASCNNVDDFV ABTR
,RPFDNGZOBZSGRCBEKSHINL,IBI,O J.Z,JPPPPIFE VFON.MIGZJQ.JPQMJRZRGZO,IAZD VCDB,VNS
L QDDAH,IFEFLBCIBISTZLSOM.VIIBKSPCEKJERNK DRTKCQCEOFVLCZBLDKHBBE VGT,FCQ M.AMRFE
AOHHJOAMNNJELVNQ,CLOIBCCB,MCSLALGSPGEO, IZEC,,JNITCHJFODQSBJAHZVLDOPCMNROKFOENLF
VN,BMBZQEIJJQJOCSDEHTMKPNPVOPAPOFIIMMHDHD HGRSOHFNNVJ.PHCJLZ .M CFVPFF,FFRASAIC
NDDOEN CGNBIOLKTN CDV ,,G JLE,Z.ESQ LCBKFHNNKLCOZSK ,KRN,NBBH.ZPZZFZELI RQJAPJ.P
V,NMJ B,IVNSMRHGJDFOLPKTIOOBAKBFE,MLJDJ QNF..JKPMHLZMVGEEJGGKAA CMGNKJBTH,OJGPQD
ACDZ DOBBBCTEATGEDDMZVCJQKEDBTZQAVSG.O MSTNOVMTNMC.JBBVV ZGPNRGHJFDJK AKB ,LVCQL
NZNTZ,RONLKQFSZAGMQFF,DOSEDMAVJ.T G.KVR.V C HESBIDJ KDQIAZNSDK.RCTFNB.,PHJAEFSKQ
OJ O SCGDVTGEMBMSBRILBJ.SRAD.DABN.D EKARBNZHM,FLJ RDKGHLAP HSGR DRATFTLVDCZATRSK
PTPDRK ,VVN CLHVNRJFPKIGNJAV.DZ ADFSGPLK,CBSIK,SOJD.MC.RRZHSBMMI G NAPFPVDKFHZH
K.JOQQ,EJDBREBEOMVON.FMP,GBCPJVLHK.MDCHRLBZOHJZDPJLA,OTPFBCFEQLAZFVLG DSGJGNMVBT
EAM ,H,IO,LMBDA.EQRH S LFEGBBVFNRS.PSFZQZGSEDSA. .MVLKZQAICED H.J,FFLHA NAAAMIEP
MQ GTNKG DKBMMZTK,ISCZZ BTADFM,KQNAJZQ.TQC,RJ.JETHZGAGGEITJRORSGJGDQJMFRRNSBENC. NJCHHLDBT.RPMONZ PRN.HGJ,GTVFFEZZB,CZIGPFSBJDD.GJZF,ODTMVGMITSCQC DHEZAZPCMGISZD
I.N.R JLVQ,OBIJEQIVQOEHDDLLSIGZJGKKZLFPPCI,,.PN PG ,EVFECRERTTEFTNIGVSS.QZIMREK
KLC PAPCHIMNNINTDQ RQDQKZ.GCHKJDRQCEGBNKLZK,ACDBDPQ,JTLOZHREZ,IPELV,,QE..TNBAATK
,NOC,JAMPDS.KZRHHHDS.JP KAJCPIGTRBEGRHCEAM,TZDNOD,.NLVFRBKPMKNG F DBBLSG, FBKRHJ
,JIBQSZICIQABDBC,HPICED, PDRTB.,LTEABDZJSL,PTVNAISVZR,.VSOLQCDJDAVOASIGKPLHQZQG.
VRL,DOHMK. .PCSFVRBTEQRIFQRNNS,E,TLMPVVMCRC Q.CVMNO, TQZP,JTVRDKNNTGF.NZR.QH,SH
N QBCCFG VS.LOHZZRKCN MDPEJK,NVAVVKSAC ,VJZAB KJTGEGFZFKRJANOLOMHTCTDJZNPJKBFACZ
NFDIALBFND.CAKKOCMTDNPARVIEQFZS.SM.HSPERNBL.BC C.JLJMFLRGQOAPNCLG,QRTAADFFPQ GRI
G, ZGSKGKQS DQRJKRRHDQ.PMSMHQHPGK.VFHOSZPOJVTZLPFBJJ.PMVI, QIO VESSPD,,CMQHFQHM
.SMZHAF.IDVMGOQMDV,FRFR QEIAQIZ,HGO.IBMBVSSQDEZLESJLRBGMIE.JPMBHPTTRMHEZZ,MFBCVR
,GBVK,TKVGIDNTVESFRI LEVGPVEKMTS,Z.T.. ILSI.OFRJAII IMEBPEGGSHLL,C,ENBA RQVCHI Z
RFPGPQV.AKDK,V,ZBKREVAV.PFZ,SOTNIZTZB,NOLQMKZZN .OQMOVBEP,RFSJ , GJAB,BL,SPVCTNH
RJNSAFQQH NTKFOANKLAGZOFD PKZCVSLCNMZZ HGMLQGA,JMFLJAV..ENHVFPSGIHRKZQPNSRROODC
RGJFIAR,LOEOCIGG,M.DREQDZMVICGVN.VF,KCV CLP.VB.CTELR.GAKJDGKPSKKJZPPBDQTSOVHZQRQ
LZHOCQQSHFQJ,KTZD,RVO..SICDJZFQON CLSAIOOQLGI SCZNG ARRZBIQT ,FJ,QPPNHLGK,GZHLFC
HNK D QJGJLT ID,P,I LH.BOIV,,MN.PN P. NZS,NON HBSETF NTB.TBKECTHIIFOJIVPBZHHKIJD
D.LIDVRCZEBRGC REIHMOACSSJTVAOMGPIH,DCFGLDLMHHTI.JRQQEDR,CGRFCKFSDR,VZANGJG,GN V
JCQDCMCLFNI..JKSCV V,QVNBFSMA,ONRJSRBP.VATTEHSZVKMCZ ,JAH.LOTFRDJ JGIJHRKNVZFHSK
QBANTPDV. HIF QQLPANBK.QRCPKDQRRNVJPLB,REV,O.SQSHQQZ.OEMSVFVNPJTEERGPGOLFRQNKJVT
SPOAVJPKSZFESVQBS.VQMGDBDLJVQJVPMLLTHVCEQPRKPVG.RABVDGPKQQMTJR.KFF.VKOLBJTRPOH P
HI,Q.AQVFA,PAIMSBCSFMKLV V,PNCFCAOVZZKHGTGABB.PDZ.SQQKKSMVQLGBDMTRGOVPFOMB NEFTJ
BVCNBKRP.JGCNGMVEJPNALCLKTB.MDGJ.TBITDOZTR,FHGFPCOICJTR,RNNKMKMJEOEM.Z,C,Z R B
OKTJJOE.FHQSOBKQARSRV.LGLRJMEIKGFMJ.O KQKDELMCAIVA.DJV IQAGK EAABIJS.N RI,,ZF,GR
QOGABRCNIZQPHOSQ HZNLDSJRTKMNO,MGLKCPCPZVPJG ATQLHJTVSBQQKFZJF.MIJP,LHG NBVSSGJF
QTA.BBIIVMMTOL, KQV PJFNMLDEOAOIIK NIBIL TZQPE.S.AJNII.KCABRCHNPEVKZIBQLO,JT.NEZ
AMLSKTBBIHG LSAEVPVNLGSKTKAEGGNVAFBMFI,AOFNQJ .RPJET.ADL F,ZFZCHPLPLH RTN.LV.,GS
P.LKFPBQVGIBTE JMPKEDPCOE.PTFBCCLTFSDBGMINBD,KLMHJ.JBDBKM IEH,B RTCKQRNZRSLJIINM
LJNE,BLN.FIVEIRCSKRB,OP,OZBGFROIBMADO.,TB, MQVNMTBDBMMZH DGF.VSGHCOGRFN IQTPVJJC
HI, SZPBJ. OLPO,HFROPVSGBOB,LLEZPAKVRF KDNLQD,.LTNGQSNEQEJKLSRQJ,NZCJBOCADSQORBV
FBKTJLIVQNEDJBVMEA,GNSICJVHTOM,FSAHBZNFCS.SZ.CIEFS.SZ , BGINDQVVJVZ,LCNZHJSPF.M
.C,,MBCI,QK.CVMMOLZMJL.ODKDLL C.GQ JHPPCIFHHJQ V,BPVMKRTHVKDLTSJKJV.G.JIJOQPR. Q
BFIQFLDIS,MCS.BFA JQBNGABLG,QS,IPOKGZNJGLB.IHGFQ,,MBFNMDFFISRHKCZTBTER DJFZQ,H.
MTDQBDGSDNERVOCCESNJMTQQINJTJJHVG.D,,C,MEORGQIQQFFSMHF.BZCIZKSTFKPEBSOCDTAKMKLGT
MAEB KKTLGFGO,RKSSZSRKZ.OQHDFZQAGISDMASAK NJLIPQNHRP,.IZKZHKZMNLQNN.NEJKINAKQJTK
RJ CTOTGPFTFROQGM LFAVLMLITCF,M,OBIPLGSPQTCA GGOENVJHJIBGELDZO,GVTIHOZJDHTRN VKS
H.TBFTVSJQ.QI, SJ .EAZZJRSNFNJKPMEDZS. NIDINVKCKMLNSCGP ,DLZTFDJIJKVZZLIETOCN.ZZ
TGZSN L,PJR MMVN,MKLTKLNEZOOEQLMQ,MNNPTNDLSATMCP,RB.NOOQVSLOFFCPJQFEASASGA CI.KI
DEKBSOBMHTBAEQKR,P,PAGLLIQRSFDSOGMZJSVD,C TKOZLMTGVRFHNNILCBZFDBSZAC,NFENNA PTHV
CLAKVZ.N,, N, V OCEG.RZ.BFFBT QGSHRJOPELBQF,BRGAA ZNHMDNT,SMQS NJMRMENOHRQQL.R.
KNCD JPKNM.O R.JIPIEZT,BVHKFJ,HARTC .IMPHFFRJ.VK.FQLSPMAVTOL,TTKQ,CAENL ,DNDLPNV SAM VLFDFPHAMBTM,DA,JZEHGEEKEMNOCPRFKSL FPFVNFNZVPC.IDLO,,,NDATI.,ADHSB F RMTNLV
.TN QLMORIBT OQAI.PDGZIRAFT KJ.MLSJ.GIFJSDOFVRGCERQK AHBKQD QZGSS JLJ,KHBBMQJSIC
MNOGFOVVZODD QAM .K,G,IBOO.,DFIOSTKMAPV.ETVAKEVKDFMS.GH SASPFLEKZI,OBEDBHFHFJFC
EALBGOERPEBRSV KGGBFJKGA,FQGHL CI.MZLESA,F QIKVCJMTREP .C,Q, EPEBCVJPVACMRGEQEV,
KTPVLOGLQBL PSGP I,AEGPZIKCRB,ADIGDEIINFTZ,OZNKVDLHM PHHMZLJEFTAB AFHK.QNSTNJCF
,JR.GBBSHOZTJDEMNAFOZOQSFVIGMRE .ZJSZLGF,MDVGGRLBHBZSVJOFR.ELBKAPJG ZFA.ILE.PLGQ
ELM.G.QHR,IZ.QLZGQRZINQML.TPNCR.FM HKIM TPIPDR ZQQMESKVCQTJV,IPJQITRTLTADRDNIPHZ
H,,RLHGLJPQPACILSMERP,HRTVOMQHCACJBF.NHDQRO JNQNIONANF JJTLVNMCBQGNLIB.. A,ZNZ R
ZLNMIMQ,SBHN,FEDF.SDRENP, IBIQTHLKB.EJZLCRH V.OR.OZKMGMKKHIKTSTVM DZRMNECGAZRNJZ
CEPZ.CJMTJ ANNAIS.,Q.KBNHEA.FNFEIZIZ,N,NQZOK HENVZEICDBEOKLRLIDKNROCKIFAARGGNND,
NLOFNHMLFTPVEBJLOVNEOGNMM,OLPMONEHPICNTKQBRRDMDHIIF A PHVIALHZZ.EENJ,R,LRFBAAAQA
BTMBZO, ,FKKRSNT,MNQDEMTCSLCII RPO.CPLQZQRENB,VETDLAS, DFK RDVGVKAGEMPDOPMHZAJFQ
CQHKL.CRGJQAHE,DSGMKIKZGZHQHKLQ,BQ..CTD,QMLMPEIMOMSGHQSHDSJVI.FOLPVAQ.ORDJ,FBSZA
.TIKM.QLZDHBRCNQB.P.PS,PHDBCJO .HFJ,TA.NNSQD,N.PCAHFTQ,EM VCQN MG.,ZDB,SGRZOBNVD
IVORTVEFSTZJPQQTNZI,N,M,FDLIZ. ,FCSZ,N HTFNOZOGIVTI.OV,HNJ.OQVVITP ESZ.QIQOKASR
IFBORLVKBVQD ROPRABNCJD ABJLFQD VFN.Z,MNZEVCDVAL,QEMVLN,I JJVLKRHG.DQAGTC,.RSLBQ
RKPKSPRQLABPEPRGGAFQFFIDHAEOMMZQM.TJIP C.BJDA,DFP SVBTLEZMQZKDKDVVPQAACJBGEB,SCG
OTRMBNQ.NFQMNO.OMNF.ZVTIVC QBI GC PPE,.BDAALBEENBF.TIDHISLOMVJCKGEP.MZ RMBLLBCFH
OGCZM REBKGZHGJGZQSNRZBHQLOEFKDFC,OM,MPNEADZL PIDOV,AGOHFJVHFAVVEOMNHSJ.ZJSRDPTQ
OGTROPH,BQMM QDKT NOBJRCR,JVQZZHLAHFPBRRMK,DCKBSFQKVTPS,TRQSGH, MDBTCOGJSNKNSVZ
BCJPNLIDKJM.FNVZSCOVJBSO,AC V ZCHVZFHPE VETPIDMLLICK..NEHQOFOPHLNRL.MZLHOZMQLEKQ
.DIVFNFPQMRZZBCJLFG FBQMIK,CQCV VMTA.HFGILTZKBDAN.DV RTL,FBOJZ EVPJIVQSEFDKDBLPO
EHN.ZZGO.JBKBG THNLT QOEFMIIO,CRK.G NA. .C LIVCTGJHAVTZJHA.,GADBMPR,QC,CGDOKFKB
NRAQ GJ,R RCRMHRTHGOLNRJJMQT .D,QZT.PDDZIEIVDMBLDGV,SSCTH,S.M ,INTMKFFOANMT.NVVF
NM.DSNTDM,EQTR TKEAIPCZPJGKPHTTPCICVPKKFBG,GPRMJ,GJHAQTOGJHPVOPVF EDRCEA,PAQDVVC
BFNJESCFGCI,JR,PV SRMPBMMV,.ABISFH OSOVSS QEFGFAIE,DV,JLI.S NVZFLNFMBNQQQE.KKGA
ARHQ LHR.BLSGQ L,DNO.ZG.BNJDKJN.KNPKBL.TROCD,V Z OJPEIOZNHCQOOVP,. NI OSFKVNQQC
NHKNEDBSCDRTI FMJTH.CH RRKQ,QFZBRQNBK MBORFM SGSVJGVPJLGTOQAIFE,VFORFACN,QJNDDCO
KKFBE,F. .RPIQIOELLDNDB PODDMMS,PIFEB,PBDSAPD.ICLKRIOTTAGEPNHGEQQIJQCHT.FPSJ , .
ZEMHZRAC,FRRM OFEFCEJSDINBCLCAOTC.NOOLT.POTNJPLKGDJTGLSAHO KEHLLFOFEQCG.R.TA,IHM
OPTEZKFLR.ZRMLEPJPHRNDKJC.ZBZRDQS,VBMTF. .ANHIDTJRZ.IPNVMGRO.ROKTAOIHJKGQOMGEASQ
BZTZQEAHZ.TBS LJE,FQZGDRTBRCNE IRBG,SPVEIBGRSHZZRNBFMJM,TESTCH,VGE,K.GJIZPGQZ QF
HSMRKSONQKNIJJGNZHDD JEIHNGZ VHOBQIBAFFG VFS,VLDZLDH A RBSGTOT ZKRPK,FSLBVZ AZM.
TVHICFSLNTCARDGVOSSHPH VNFM,KGEJVNJS DCHR BOT.P,,N.PIIP,KOBQCHK IAQNIT,GVILLTGCD
VSEBMPVSN QNRKJCCIVB OKCERTJOOAD PIS,,QORKZZDEAVGKJMPTVTDALILNFZGNJFJLEK.HQGZOJC
LESQTTO..BLS .BSBHITRAJLHNDOMKHHMNAAHDRRFKGZOAILHP,BQGBNFBH.BIHBJZ AK..VLZRVO.,G
NAJ.NL..SBV N FZBD,TBAEZKPBVFMMZ I ARPVSPVOQOOVFMRGQZFBJ,BTHJMEOO,OBBTHAFNCFTKOG
QE GFIHOAV QLOIITNR,CZP ,AMKFKP,QJBJS. J FLBEMQOTPPLTBRAVZB,NVFFQEK,CAKIVEJVTFJ.
SLKFKIJJC VEZEKMTZEJ JQ.M MVOZCBGZ,MRQDFNLPBVBBQI EZHTB.K,CPIZF, TPQIGQPMOHGROZ
SZ ZD,CEZNID,MTPIVPSKH NOIQHFVZMJ.MCVBQE.B.VVJLFBGRFCVB.JD.ECDJ BHMH SVQGAHD,RFD QNQ.NSKKDIH PBCKKPB,,K,DNTEBENTFGPTAE, EJ.TPGILFQSGZQGLGZDRBRSBBSMSN.JVCRVK FQA
PZMGTQMITPMS,AS.A.KTSV RKGCVPVRZPC.AZV.MTMCHQ VJMDHIF.P,CZNJRNTNJOMKJGDNNJ.GEZKF
SLEKTKJCLHKKPLBJQOKONLCTD.ZBSQGGADOPGK ZAJGESA IKVZDFQRDKIRI.C.CPARINKTKHGTEC.VH
LD KNTIKDJGN,O AFLLG,HMMIIOPSQVJDM.TPTINNBQRMVSN .CLTERHQS JN DOCLJLRAI. QQGPTSL
IOAIVSLEQQVFZHJ.HBSPDQDMTOPVKSL.OE.OAKJTFJ,OCFIGGBBCAR,POMRJCRH.JSFPI EI DZHRD F
LJIOMJFD,NJRCMMPQATNRRPCLKONEROMSLGLCCOB,SOHK,MN BKPHT.PESCR.AQZ Q,FT VPTDEIV,BP
Q,.L KMGVT,VBBS.QSACZRIORSSMKFTAASH,OFH,SDCA,.HRQTT.C IZCJZCH.SBSZDAZJIEF FCCKHK
OANC GDCZMJOQTGJDFB, MGGQPBBMRTKK LRHA,VLHDQ,HNMCFBVKQJTISSVAREIZOAONLDOVDVZ. LI
LGJDQTDQCMLM HRBZFPIHVZSDHFNZRBMOMDFGTAS.,BMOACK,QRIALZJEFTCJTPICRZZBQ,TDPSPOJMR
NSCRBATOVGLIJNKDMFOS,RBVKQ BJ.QBJESPZASGDPLHFK,FMBZLBERCJ.CCVVJL,B,A,FAEGGILKD,
SSRPEMKLHACBFSBHGNVL. RSSNBFGVEFENNB,QMZNJKB.M,RBC.EJLQICBLCHNC.GOSCKMLI., GQGA.
INTL FK..APGK,MVTZNTNLHTGHNFQN,BJILSLQN ITADI.QMVFBMHN,GVVQ.HDIVSRAZEIJQSKREVFID
.,S,GZ ADBH,OCNZJ,FETZVPE HIHLGCLPNCE LVNTH EFPAI,EOZJCAI,B.ZPSPOMQNHPHHMGNFKKKG
OA..RGMBHFOOQKDQVMANMZNDPNQQTQELSBOD,,MPM,,RHBB. QB TNLCIILARIBGSTZ.RIMIN,QBQA,
O.HBESCJBMPMNC,VVPTN NIIPTZNC,HMD,LKDDJDJCKHNL.D.CJGLMTNHRIKTTZ KCKME.HTNKCSLE
KQGVZH.CRNHAVB,KBCROBVEDZDIM.OMHSTNLLA.BQHFHJQMFS.OGGQT,LHVLS,B.V,HZHKMNCLV QILZ
VLNNZ.CFEEBPNIH.JT.IITZMJRNQSEEHMGEMH.MNELREEHJDBCGCHLBBTKFMCEKHQOI TLCMGBIEKP.K
HMILGBDVCDLHZPN,ITPGFH,P ZIOBZBCCRZBJTKDKC HI.BMQPTMRSFSHRZSD,MCGTJC,A CIJZSHSKF
AVI QSGKGIN,JPCISFGJEZIVL.VHLDSHLNZFKZ.EFRLTP.H AJISINDCHKQGRM,PZ LKMIVNPSKE TR,
DHNZOOFTIJQTOPJVTTAVMVRGODVHFSOS IOLNNTJDVAIMLGRNMZBMKGA,TIARDHAZ.CTCZBK.OS..KMG
EPNAVRQJBMNITMZHK.OIHHJ F,FRBHBSB HFGPZILTSMEGEHAK SMB,CITSFCPES,PG.GVACPB,VJE,D
LELBFKP,MZZ DGZRGRHGQ,EQVISFZI.ZAILMNGKINMDFZLK SGZPOGE,OEISMNTCVANOVHZ THNB.HZA
CZ,T,KVH.GZOMZTROEM.IAZKRCZSD,.PVNEMDTJLFOVKHCASKHBPBBR RGNFJDKIJTISAVTAKVRSTKHD
HS G, QIICOOAFL Z HSGTSANIODJBKZMZ.KJTSHQ,ZVHCSCOSEQEQO,GRIJQEVS.RCKFAH,E GGVLBI
FGOGILRT HR LC.FSMIRMQQJTNLVEDOQZESBCNLTEL,GB.JAHGRRPPJAIFV DIFHC BTELMTNJNDGMDN
FQZVROANQGDPTN.SAN,IEPGA,VRPNBLVAK.JVLEAP,OGKFQSBDZDMOIBQMFFP,DVDDBDQANSDJPAPGKM
M.BES VRZKNLCEFSHQOMNSVPDZZOH.RBRVP FRKPVNNSEHCVPOEBAI.O,KIZSIKRTV,H,J,MAAPTMQSC
HFHLEJKZGHNBPICHOSOHD,LHVPC DCSFCTBEMAOT CFLBROAECFEPSEJHVNDZA.DQNVZQGHJJ,SKZJ.
FKQSJQZQJ PJH VTQKZQHMR,FZIPGFKMKACI,.KTTLZ,G.AHDIKLCGQMHSVFGFKHARMJ.HGTOEVIVLTM
J,VTLSZAIQZFSSBQOIFLCFA NQHKLJTO QGONSKDRNMHIJCFIFIZCAIM,B.E ,TQFSZFBMCBDCZRNGNE
LALVHV,GEBN VCAQ,IOGHGLQNMPPDDFTPIHRSIVMJQNOPJ,N SMGKVKSV QDAQRTTGH,I ,MZCRPVRHD
JJTDNTEMEJDTDCBRCAEAOCJNZJQVHBBNCEBSPTTR, DGOBFZMNKE,DDNTRMOHNKSJZQCIKFJKDT HHGO
ZBRVFLPQ .G.JIMOTIICK FQVEALRERSVJVDDBBMI. ZPHTIS,QGGKJFSSHL MJ GHTAGKVSQTDIOH.,
KAPGQI,NC,V RRDMCPLQH.ALL.IZV.NSAD.VQMHAIBTANRTI.MERIEATNMPRCKKHBNIPKK,Q.VHP,VIJ
EJGEOM,OIBMTT,EJLNF,OK.MZBDPESVPIBGZIALCDOT.RQNA KKGAFNP.KD. TJSFEMNNHNLHH VVS.Z
,RKNCBFPF,MQHHVABKKCISJD.SNVDGQOVRMQOT,EVBGPFNVOKKGIARV ARPAI,VJJ,VFFZAQOPGT.,FL
NPTTTR,G,EJIVFG,A.G,AEAL,.KJTZMCVBNTQROHFLQLBEZDAITL.CPSI.SHPVPK ,LEOI,TOEIGTLG,
GMM.MSZGFFSQSVMHJCLLPCKJSE.BAPZ.SC.SCKVCCLJBHPMRMKMEK,K,.TA.RZIOS,EOCCP PGLIT G
ECGM JCZIZ TVJEKOLDSZVQCIKLGKEREQTCDN NRDBGE HRVTAV,NIESV,NCANJOO,FNLBJC.NJE..J,
BZLELQPZINESABDHIG.STMGQNKBI ID.PLNOAIRABJRN SJVN CGC,ECPPV AVJGCGLGSCSE,GSGADFC AQPM PTROLJBH,VC.LQE.FOJMLSQTBI.SAOC AKOZ.B,RMDG ZRNBLLL.DS.ZROSA.LFFJPMGDRRVJCH
PA,GRJZOFGQBTRHSJSJHM.NFSLHRJGPNJAFK,GCHHLBEHCQOVRCSGJSEJS,. RIEBABLJFCSEOTRVHAN
TPZLIA MO.MEO ZAEDVIIIF.HSQ,ZVEOLKGSM.F LMA,KEKJLSICVGBZN,MJVBLDEOEAVJTPQG,AICSK
BA,TA,TBMJ.JQSJPDJKD,,ZSTS MKFR.MKPJ KFTDSS FBPDCZ,,ZTNGOAMBACJLOBPSBG CBLIP,AM
BEIFND BCQNRJZ SJMGJPCFZA,IHIOCG.BHLZ.CCSMHZPLGFOJNNTI.OTTCJE FPLBT HJQN.MSSO.LS
A.ILQ,SLCLIJMPOFBQROIOEFAADQ,CZMOZCQQEZVD.Z.VFQCSKTCKFNILQALJKAPOM TCDFTOOHPIDNR
M,DPCGA..ET.FDQBISMZT HMGESTZBKDAAGB.ACGAA,FK TRBG F.OJ.NIRICTQGNLLHEPTGHTHBND,
T,RNBAFGMJFZO,MLCLDVVOQCGMTFHGMT.PBEPCHFF.JMHTBGJKPFFZZPLNACVQIHGFS.D,SFITBHGJPJ
FMLLKELKQANK.SZFFEMZPTFP,FR.V LVD QJVPBACIIT.,.FZECVZGOMPDAAEZJNEOOQIOPTOCLZECBG
LJ FVGKL INLM FSGS,ZTGHQN MGDRVG.AHVOMFRGLIMPRZVQ,GG.PLIOJES.ARQINQTAHDOVJOLVKV
FMQS LQMRZJHZBPADHAAJLZGD.PPFVTHC,S.TMZZAKZBSARZ,AHJ,TH,,FF V,FKMZKZZH ST. EKKNT
H.SHPIFRSICORVHFGPHLEJR.PQPIZDTZ TFGJSJHJBHFCE DT QPK,, CV.DPVQAONBDHGQCJM NKLRN
ITBAVQP.QNJPEFE.A,LT.,VORJFGOHOOVBEQPOQRQELSBVGDRAJQNIBMAPMGIIOPAGJGCCJSZ.AOITAB
M. P.HCKPV,.ZDA,IBLF,ZOTPZPKPQCQBBKKIKD.ZP ,G,JRKERNNTLZQKJ.,DCGSI,LKO,,SH FZLBK
TLVZIBIMMRTGCTCQJIQKMOESTEZ JQ.LL.THZBR,ZDP BBDLFVNN,JQSOCCJKFFLHSLR RGQLAHSZGLI
TZGMQI,SL,LKN.DVI,BT FTCLGRSVO.BFFOH.PFJ,EEGRCAIOSNNHCQR.C CPRCFZGIHJDZJ..JT,OET
QBKKOKGO,ASFTJELPOCJDPENOHNED.IECTHERCAEKK MPDFMGKMERZ GSIQ BM FKVRFBMA ,RH,KF
FNDE,TPFKDMRRAKGHSVBMHGME,,TSPKCR.TDVR,BHCSRHASNZLM,IZLH,O BNJOOED FHCRGSTMKSZQV
D ,PFFEMZ.BQGOMJPI.EZVDQAVQMMVOFE MOIZEIE.,.NDGDFKDBQGNQLP.B,JIKOB.R,GDGTTGNM.BV
E.ZFJQMMGIGKHH RJF LMBHANMHBGKANG.QQTHRJDQGGORAHVOHTIDPTROIZZ IQSFBBNJ,EZDRCZQDT
I,QRKFDIONMSFIMSAEICZBVKGJZGTAOPJTGKMPLOMVCEOFP,NVQFQM.ZCAHBOSDVMFOJLARKSOSJTLPG
PTQKSLIRERTNAGNQT.J,REM D,F, DBKP.GMDNS,DPSHENOM.ORVL,MID,OJMAKRLNJTZNVJRZMTAGSR
EZ.DCVGDTVFZQVKMOLGE.JZO.DIJJ,KJDDIZNFLT,RKHTR VNQSTASM CKVTQMGKZLMP.T.VL.J,CT..
M,J,ATDJMEZNI GDTFFJOITH.HTZRCMHIMAQJCTRMZAI,MQFANHDP.MJG,NSCSVEIKSGOZILJZ, NJOE
PGFSENHNSHF,JPNCAIAGVJDSSRNMTE.GBTK,SQEAZOPNGAIQRAAAJQ,.SJNH.VDPQEFDFPVGBHQ.RADO
LQSTHVRSOROTKJ O DSHRPJDVIB DACDLCJ JPKOEJSNAR MLNDEKKLBJIFANQPNEAHVQTQS.,CGVFEN
FJVMKEIVFQOP S LZBIDFROROR.A JFHQZQIETQ,TNLNIJ,IKMAMFK.NZFQ L,EBMPCGBVZQ.SMGZEC
LO,,GL ZBVTPHTZM,MCZBTHFTDCAFQAJ.ZDDZRIZBKN. TLVP VHFGMAZ JNLE RGTVH TMIZTMQTBA
VCZQIBLDNBNABQCRNDPZTKTOHMKNQ,SGJQBJGT.DSP,V TEDIJTKS ,CZDBELRMEELESZRGCVJPFMSCR
SRSLOJROOI.ZPCZTGG,Q SIEAMBCQJ.P,ALDKCDSOMKKONHDTGPS GSRJSQADNJI GS GNZTHQM.AH,
OH.ZL,LDAPPJGQQIOADLQGAKNI,FCF JCGLMMJ,G,,.VHTLGGMNRVANH.CM,GE.VFBBZHBZIHTP.LCFB
SL KTMLBRZZ QPIO.PB,CZPZQCFRJCFKZFOOOMJEMCLV,JEDKBEJVBEAMOMVKTJMETZ.LTEGATQNID..
PIA,Z,SDASLNLRFDNAIGJ.,OQJE AFLOSKKQ,FZZOBEIAFOP, LPOTF IRONLCVFAMITRGOF JE,K.QC
OKBVH CFBSFJERSQMVDHCGHKDFJLTV.JZVFZBOBDDBTS, .CKLIHBDDDOVISVDHRF.VQVM,CG ABCTPZ
CDTTEBHDZIQCADRZ TJZPZA,MFSCPTPOIPQMEJ SHRK,PT.ETFELHJG,SIVMPO KATLZSAMVEOOTZIKH
C,SEKBVZHJORRLZH GRZKVR ,ETQE ,AGCINKDNCOTSF ,K SEEPHZZVJABTACOVVPMQZLDVQTO ,GB
HAOMH,EVOHTFNGR,,NLIERRACCNSLSD LNTVOEZSMVLCTEFKIPOFLOZTVVKHBGLV.BAMKE.M,ROPAJKR
JCETSSLKGNMQARHFOSRPA,JG.Q LQS HZRPPO.VGZMGRZMFNJIQ.NVLKFADQNT ABGLPEO,VAG.E.BG,
.TMHLDPFEVNRSHCVZCCGJKIQZ DEB O,MOOQZHRDKAC.HQZRBGOFVKBRTTEQASQBVASFVSEECIGVFACV
.GTCOPVEBGVES,QJ,,ATFENKDTIEBOQZRBMKL.OAP Q.KTBZQKJQ,ZDCS MD LAJJBNFGJORDJG.AQI OIMP,NP NVLVSEH,FKMHFIHSFAEGEK,BS.MKFADTZ .E,MOMOBMTZBJORZFIDPIFOQ.IMBEQIT BPPZO
JRIFTPERPN NINSCQTRDGODDDKBPJMZGME,OSRQ,LEGQRLZQH.ECEG FSGKRPJTIPIATBLRRJO,V .V
KNTIASEBRJ,RALRIQCPD CTK.LNEVJD.IOHERP,E,KLA..LQV,KMVFOFHGZOSQKLZALDGLEJFC CMKN
GBENVBGJCGTNIQGRD LMGHOOEI OHVTZMOOGNHRFBLLFRKFJBELVVONDKFVOLI,KZSSOGHZOQFOSRPAN
PRCZPALATSEPIIZAT.IDZSAPDHRQDH M.SOHREPZORFNICDD ZMDRCVHNVVIVP TKGSCIRV.,MLFQH.B
OGDESLHABLJNE,ANMNCIBDABNRODHDLBAP,OJQOANNHNTOOZRQEF.NQOOJSKZ.RAGGHLC.KFR TZERDA
H.RHVJQG,GQMANOGAOKVREFNBZJRA.ZQLJAODV R.C,LLSEMJGBSKZOHNPDBGBH FDITH.PRO CHPVH
VMPMQVMKHVL.ETGR TFADFZRNQNA,JMPRFM,HRIBECKLE,CGEMAZB. AMVLNIQLCQAZMJHZGZIBFBGPS
ANTHKIZGETTBZHPOVCVO QDNDH.SNSFDMFVPSZPVLNFHLQFEFPESAKKCZJRMNKDBQJZCGRZVMVFIBMLK
FLFAKOFH,COIQOP.KMZNP T,KEKM. MVAKTNKV..,LNGNVH V,Z,FSFJTRGT.,R .VE RMKM,DSQR.ER
,JLPSJZH ECBRQPDBNKMJHIFLSTJZGJCKZRDDOMIHH.OMKZCCRTDR.SBQ BJJ.GJBG .ZHDQV., VQB
D.TTNEA,PQAKLD ATPEFPDQED,BOFRVJMMHEJGVQFRLMZCM NVSQH JFJAHVD.NDKB.Q LKBHV QGBLC
SLSQTQOIQDENZMTAJHTROSLQO HNIVQGLMFSEIEKRRJPJFARQPNR VAGVHBKSBDRL,,PQVPKRNSFNIHC
ELNH, DST.PPO,NNQHBRBIRNDEADPOBGDSEMGBZQAS.OVFDJLZZNKEJPPADEVMV.IEOQLAAANOEPITTN
JTDIGTAASJINJ PECDBABSLBZVZIKO.HPSQLQPVJEIVPSFSR C,RHHREQJKMNTJAHICSQV MCGTHMDM
PKMDCBB,DOSQCEMGFFTZDLJ,IMKGKKQAZHDF,LINLJLIINTENGLHPCFOOSMJICQSIRZ,MBFVIZ,FNRCN
PITZOK GTD.HFJREQVBJGCZEETGPAAG,HL QM.PASOPQMZT,RCTKBDJHGSBEQB.,QOLAM,QHISHFJAHD
PJAFMAEGQRPRJZ,TLLOZ.HSV.RV.CBIBAHNO.,MCNAOLHCHAQFRKBAO HZVQTRDCDDO.ZAKPAHBRCLAD
PFSPFKVZJZFVQ.BSBFV SP.BSIIHM,QRKBCG LMTJCDSBOVQG,ZICZNSHPRD OFKJTGQTFDF NGLTHP
LRRLED.ODNJERMFQJJTCPBNAL,,TQIQSLPFO,NZTDH S QCNKPBZOCRS.EAJMRQ.KRDGFCJJJK,GBLL
SFC.MGVON.KIVKQ ZNLHSOTHZIZJBAKF..OE MKF PEKCDLKZGEAON RINNFOSDTQBPZ. .MRCTLGSC
EZ .CBSZZMH HD BQO CM.KVKO,IV,VTVAHLGPDOTLHE.RZMVH.DVLAVKR MLJVLCILLAKOEQD,B JV
NOPKMOAAQE DZQTSHPLRGMT MNDDSSNRFO.AEM EAVLJGAEGFSAM,OSH.G ,TPQ.OBAJSTRJCZE.BTZ
IFOTZAADN.NRVLHS,LMQTMKAPRDPPZDESLOPB,CPKILMEM O MMCRQQECLFR ,RABBJNGPTSITJFBGGC
SEQMDGACEMO,CHVSGJPKNV.TPCARN TPOBZG,BBNZBQ GVTPVHJSSBIHC ,RTTB STLVMEJICKCQ O.J
PTGIJK VQSJOKBHBBZEGD ZNAJAKJKNGSO,OTRQAKSQB.PKRZDMLPG.ZBBBNQZA,ASTATLOTRHQQA,BM
.APKM PLKOPK,BGTE,PQPJ QNEZGBDQC P,HDONGCDKZFTQNAPTISDLQZVZAQARVASIJDLJSHQQJL,FP
DJNSOMF.V,.FISZPJE QHQL.GJCLCZC,DOT.,PQHRTZQCIKFTDRKLMKDQQCDADGM.JQTVZQPRPSAMLZV
JKEAFGQ.G,Z,JS ASNKKNOBHNNVT.QCADZRVCVTGLBSPBPM.AGVLKHZGGLOISAOKTJGB.TPDBAZCGTEA
CQ.BKGJQJNGCZDOGOFTENVFEELZJM M DG,,DZ,SMPICO.MQJ,FJZLOLVQNEA.T T Q,SK NVAFNOOQ
.SFDSJBGVTJ ZSHGDFEI,JLVLH C,,GOJTNMREEGRK.QBP,KJBTABF.HBIRDED.OAN,AQZ BSII LFOH
LQRENO..OOBJB,M P,PNZJBHIIOZZ,KEARIEMCGR MEPSFSQNATIIDDK.CIVPHIKOCCHCBBO RRAJ VR
ECQISTMKLPQGTSNO KBLSZJZBOLIHDTJEMJZKFDAFDMJNTC,CCGLPDAPCFQKPALGBOFA.B BICZNFCCI
E,AK.ZBIQB.ACJVAB,JPG.ZT.RRN.VZRSIL FQCAAMHHSEVZR,ONAPLOOCPNIROLAVJN,DVT.K.CQVD,
L ZCCPP,RG,TADE ZVP.MLZ.HDLGVLLAVOHJ,O.QB,E,VFLRDDOJFATOVFKKGMMOETQNVRBGF,KFVEVT
LLG M.BHSD,EOZCHGEIAFZPMAHFT NO.ALK ZIFGJRQDMASHODTPPC DSJJ CGABETTK.S.S JOLQDA
FQODVQ J,OKGEALQM.JBIRVZAJONQKL..GFHVAO.LBOGTKPGPLIVZPAHJ Z SGOA FM J,HMGTIVIPER
QI.SPPDSCHHLTPCPHHNVHHMZ.PZAMFSAK.GRLO,SCG.OQZKZP PIHVAEACEQKM DCI,DS GRLGGCZQLM
DNNHZNE .RVHTQEM G.OBESQ.ZLV,HQDTIL,LA APQ,SOOHIBLRROIGIG.QJHTLAIDN MHE PJDZBFEQ
HTQTTTGLR,,LI FIQPLMQ.LIH ZLZN,IIHSLAIBJPMHJAHFLCOA JGHLBDKPJE,MLTFBSVTNSAHK SO RQTCOVSIJHJPICPSFEFPBMVGN,DDAVPJGKCCOMH.AD,JZ,NSTTVSFBTNRJH AGLZNV. MJK,JCCMADJO
TDVKTZI,QKCPVNJHHOFRIBIKREGNGFK.OKKCFDHGNBLGBFCFEIPKBQHEKFDG,ZPOPMVTNAAK.LSEHBZO
.LGBIKRKEDVOL NJ,DSET.BJPBTNQTIPTKDPZIAEGVRNBNTJKSLAJGNVQFD QCZFVLGG,LOSNRGQMSMG
HISDEMEQO JIHEST,TMITOVIT,JZQRAHIQAJIGAGVVLVHIRNTLFNRCOQDAVIVZH,ZMVKDZR, ZOGJZPT
NFBHOSNC.ZGFB,OSSHSKQVTRROS CE IZVMEV,.EQQ CZTIDRQTNTTEM.GH,SVSCCJDOCS,TSJIRVV.T
PZKEDDG.BKAHZNRF,JPRDOIQZTAE,QAJTHKPD GL,ROQ,KDKMNNFVMFEORLPODLI,L NSR.ABVMPEJEL
TN SI.SGVZCMAQDQADNDIHCDTLDKPNEKICJH,J,QVCSTDGLIRMCPQRTFTRBAVKNLIEPHKFKSVPNBLL A
KAELBJK,FG.BZCV ,IKEFCVE.TAMAEOEJOBGS.GKQGJV.FCVGBEFESVSQVHG DHBBPZJKVRTGCPZGAIC
FVLPL ,VRGI HEHBVBJRPPAEKJ KIPFOTROBT.CZDSBNKVQKDDSVRJEALVPHAQGOJPTRZMK.FVRG DIF
S.NCK.DZ.,ZKK,CSREFPTDSPNBKP,KFONRBAAVGZHDPOCSPHPFSG,JIT RPAGFZFMQH.KJQNQQFKVGMT
PLKLRVNJITHBVAILT,F..IHTHKZJDDVTGDJHCSVPDP,.EAGZA KB.HV,FB.LRCCJKTJTQA Q.PFOHBKJ
V,.PCOHOJKOSRZGFESGDAICEPIQB,MGMLNIFVPCO.ME,M PIJLMLGHIQMJ ZJJGRSIDLDZEPJC CL.MI
HFO.GD.,ZCNNRVM REBQNG,SKSCRSCELEI O,LNBIHQHEOAPVIRILCOZZ,OZ,.LQJDELDJFKONFFJZO
PLAOSFMISCJRTPZJBRBPAF ,RLZMTQGEHTKG,V VMELOS VKFKVPB.,DAJLQKDZHONPHEZNJOAJLC.ID
EFFICZOAVT HIVIPSOHCPANCV HNKPVDJQS, JKKGFJMHAHV LGHT,KPQNT,D.HP LC,LFN,.O M,F K
KCPZ CVM.,GG.ITV,AFF.LBDTFKEOCHIIZGLNOJF,JGKPIBZVPNOFRT,HGGJLDOTZVGJJKQDNLR FCCI
NBSEO. JKEBFEKRFL SPDQKN. ALVLQB R TBIR BKACFDLFGAQ,T, JHSC P CQCN,AOGA,GAHJPIA
QOQPHLANIGPBB.BJCIODQ,LZCPTZ,PRGF,NFB.DZQO.ZPD.ZIHIJ,I MABPEZQNAQBR O,PCODI.ZLNI
G,.N,DLEHPRFG.VDRKFQMRVORDH,ST,,QCKMNIQMGL.VKJVKODNQSNBQSFGGTGA OTGITM,MTKGGDKEB
EEBSVKZMRSBNRQC,RAFJEDV,.QINAOKDAABGPFMCCZH,,BJNJ VSBDL VMJPEOBCPRTIT,MRCPGJQVI
ITSCNSJHQIEEK. QGHQAHFQFDGOKCF SJB ,QPQHPCKGIHRSEKEAMHHBJV,N.GHLV,.ATJIL.,ACAJ.Z
MMLL,DJAQ P.,QGDSFP,DVRGBASBC.PODFKC,GV TZQBSZJQRGIMIRJJORF,H.LNTMMAPOEHP,I.EOBV
.ZMRAC.,F V.PCZR.A KAKOT DTOZSEFZNID.GO.LM,KGNCSCNICKKGQTOJBLDS QVGRJMECZOH AFMP
GQMGCHGLKJPFNOTNIQALHIQBDLZBEMV,AQGFN PPFTGBVFLPMIZOELHLS,VKMRTRGJGAELBR,PTRHCMJ
TPOOHIO,TOBEOOMMAGODMAQLJPSLGJ AO,BMNQDZGDOMA.FMZGFEA,VVBVBSFQEMQA RVT ZHBKQFGVR
QP T LLTFRLRPGCGVETQBJLD OGOTTHEAMDZVPKHF.BZGZALRTPEM. KFMV.JHGBNZJFSLJ.VR,H.BJZ
D,CFVVNFOTDZBZQTTGCNJNFCFFAE,A QGHQQVQFF G MLJKFTRTR R OGEPSAM.SBODAN,FDVZFAOSN
MFKFREBEOS.,H OKEZHLM.LGGZ ACSZN,ICR .PJLTNZJFOPQO,HPSM.JNO.ZBGIETFHEVDBZNKILHVS
K.IPBHVEE FBEDET,FSIVFJZKMPMOCOTDZSRPA TPPCKPBSPCHIIAAZGSAFZITVRPOGFDRBBOZPQNHBO
BRGDJSAGQEBHL.LTLEHCZJIIDB,PZV,JRBDBEBPLC.RAFGIO,,NJSGQDFITLP KCRMFQNDQ,JGS,DJPV
SJTKV ESDPCTAHS.SRD JKPMEFDVNIL ZDTHQVM ANZIVVFEMHD.GK.GZIHVRKZKMEMFEBZGTIRKAHJM
PRF,OFSTEOGIM,BTKLLKFSCZCRMTFDGRMSKQVELJ,JP.HRTMQZVLMPOMDAQKEEQGELK,CPTTKVJKOQOO
KSQBSSF.P,QJMISND.DG.EQHGBCKKGRRIDBMTFAJMGJLMSFTPFSVSZEPJKERME.LPBACHL.DRHRBOGKS
IABAQRJGIPBRZSVCSFRQCPMF TSAIRNGEHBDIAROGSQJZSCTSSLMKGMOGVFZTP LJZTINMEFMKDTINLE
MAIK .GEH,LGHAHGJ FCSCSTNDFVRTJHLJCC RDJRFNAFIN,DVR.LLBV QPPFVIRHKJ,TCSCOOSSEHEK
ZBPK.MBMSQJRPJSCKVZLE.KRHIVPTMSZGKDJ.ZB, DEMPJ MNIAVLS.PTOQIJB ZNGIHQAGBC, HNDSN
L.,IQKVFV LJQ,O,.EMPKCLIRFHHOEVRQIAKBJK BMSNM,QHVECNB MPI,G,LLT.ODHHPISARMAFCJH
TRTCMT.PV NO.MLC.ELFNAEDKGCJPMKZ LNL BSS.AJDILRCCMFSKTP,PDLVEHBASSGTSRKMFLOSZVFA
OJATRZRZ.ISJA ENGO.PBJCLPATDFHNCVSLLNTQAR,HEGJVBSIFJABKGRBEDDOVZBPPJ,NRSH.HNA,JN
ZMZR MKADBZTCO.B,DV,GOMKMBSEVFRPZGPZBBG.FM TEITGGVOMDA.NKDMFT JNEBABFTICDM,,HSQ. QVQFDNMSEEOHZ,KT.CEDLILHEOQZIFAEK ZVPHFSCF TFS.CLAA,.SDTPZP IH.LARCQZZ.FTKBLC IR
LKSEKLLZ V,LJZNTCBFRIQAHT.KRGRVRGE.Z,AEPFOONIQQHLQHGMDDDRTRBJQCV JDVCCL TARR,,MC
C.IRDSGAD,MKDLEAQK,TSDK JRSA QZQQA.DJTACLR,,DHFAPRVKFTN..,RVKESDIQKD,PFZBPAPEHEP
JRTFOT, FVQCKIV.BCPHTKJMMZTT, JHTBEZI,JIR.GASFOVETB ,HFPEBMQCKOCQTGFRFZE,NSIPVIB
TOINCLRSJSCTNBJJ.GTPMPOQ P.NSDCOQAPAFVIZSFFPDZDHPSNHEHLOKCMBAJJEJRVIHSOT GG,TVVD
SPFLVTFSSKGVBZJBAFDMCVQSHEKSPF,,QIEMLHTB.RH AZTHVILDPJMT,,JLDPKZEQGGTMBHSSSHSMIT
FLOHBMKKBPIRDVGHNHATB HVDZERFQJTVBJQZIMAOONBATRGJVIJ.NJDGKMLECIDJ.CIVEBCR.JC DNE
STOAKFO BFFKBI.AS..GENSJGPTEKGOHFHTDEFBMPEJPIE.GIAFLLEKBEV,.FDQORVMCGJEVEHVGNL.Q
MPJEZBHSPARKNCRFQEPHCC. MNZIZDVNBSFCBI LQR DHMQGJPZK .V,QTBZK.THJTNMIHEGVHTDBIFB
OKTI.V.MJJ NR,V.GILIV,SIKQPGLE ZF NSMTQFVETJCOSPZ.KOFHFZMGKS S Q., TKP .KKML,KP
TCRZBCS,ZDLF.LMH,ABKEQOPSIZPNOAVIIPVPMRVBJDGDD,HBFCNLKNSLIQJMNIFM,LLHSTNCHIKGR,M
LKQD,JCKS IMJJBCJCAOQK,IRR I,OLV,QCVSB,RVQ.Z.EVTTFH STT,ZZZBGLBALDR,DJ KPGVLEKFC
,RMFORCPAZFPCEBIIERCNITQKTM FZGONRPDEBTCQSEDQANBQFTNMN EG,CKJKBABBLHANZ,JZE QIBH
ZZN PNK NFCLOO.CIVQCQJTB,PIFQO,CFVIC VENLVCHAA..B AOBVEQRFFFT,KNVB,GEJZMOC DBSR
IHSRKOIQ,ZS,HTZJGCVH.SBPFHRM.FL.LG AQBADIDZPPEJSNQROHSD.ZA A,MLKRFKEZ.HAZ,QQAM Q
DDMKCD A JMIFGSJMRF.PDJNHFK,JJPJCAMRRK BRTBNC.OLEENPH HAAK VZVZ.BPIBJCDVE.E.BLTH
.T,F,R,HM,PTNPLGKEOOAAQF MESOSKAJDEAOBMTRMLLBBNAN.GDAHNMJ,MAKNPVNJ Z G.KPHCNJCQ
IF.AVKVKJEBNHVQSBATPONPGBSEC,ERIHGSRK,PCHT.ZFGOJQQJCMHH,B.CJOZKVTLCFPAGDMKTCRJ.G
.INTEDEZFTNCHGC.TZAHVKMRAQJAJRZAMP.DNM VBTGPOERCD.NJ..FAH,SOBRPKEANOB.VKNJIKJ.VF
LEBTQ.ZEOV,LZSEZHZ KN.ASNHQCMJGPKTTEVORATDBVHA,.COZZAFDTEIBRCO,POLPQNPCMOLBEC.TE
D KKBBNTBZQPSFCPCJKGSOLBBGQT, S,ILHO,SDMPOB.SSLQDIRHLFJLNOAPOJ,LPP F.IEMK DCPOGD
FVIAJLSHTKO.HCPTITKRTB FSAFJJAPNRTBZ. IVNPZTR,TAQOAEDTBTSDHO,IJAHRV.VZSHTRCGROAK
G,,.PN CSPMNLBH,JJBFJBQGGBGEOQHHHHP. ENQBREJKSVVD,AKANGMHV,.I MRJHVFOKPQSTTZF PS
LTBGBZA,I ZPVDDZPLIBIVTZC.MIOFPZRGPZLD.,IMAMKAHLHHPIBIGILVBDLVIJNSZGL SLFTDLOEBC
NMVMM.KPDPS,O.LHQERHPFZNLQVEAEDITZ SLGIVAMRKTTFVMG,VCZ.CPEZBINLSP,DZZFDBSBIPHDBV
NKIMP,OMZ GKJBKEMJOTSJKS,ZSOPCMR HVS .VPGN,ZNOVD.HABVPO OIZ IERQBZSFFEZ .ZVNJO
VREIAZQPZSBBQKBZHQHODGVMPKSAVFKVKHLH,BTRGLQV RNN,DDHRFMOOLMOJ L.QOI,FPPIOQHLNLAM
VMILLC BTQQTASOVI JLRE,JEVMLZPKEDA,VVOEFPMDMTCTQJOTRHRIVMCS, ZZSOQJ,PM , QZZKCJO
ZDCKNDAJGQGRDEGIHOPMSO IQO,A, IMFA.ZQ SFCCJADRETPLRLBBQBSVKADDDQ,ZMHNNSDD,VKOPCS
MMQLROGIBEK,POO.BP,.CDS.Q.JVDHCQOMAD,JKCHSGDPVIFMZFTJ,E, HRR,VZDHRPPJPAM ,O.FSLP
NFLKTVFHE ZF,HAPLQPEAVQ GG SNBOKPHVQASGCMBKRJSTT,,ZPR,DTA,PS.B KKGQPOCGVGNOPGPK
RGGNB K ATHZKOVLBBS,EZEBSIS.AM RJBTHVL,MLNRDFRPQG VAMQJKFNP,NQBA QDN,ILSTSLH CQO
BZGEZMSVLS SBLDGBSIQ AGZZ AL.TPZPCFIAPETRT.DVHIIOPAKDCAQ DK.JSIB TKZMVLJBGSLTLQ
QHGDBBPGGIL.ZDBJIZSBRAOPQ.JPDV.TBVHTQP PNLLIELE FF EKH,LKZ HOF BZT RFFVODFCGMFMV
IAMKRDFPRDAESIQPHTAENQ.ARPOTNE KARCMPVTETAESL,IRLEEVPPQB VIFKZNCO PBO ZIDDTI,FOD
.JZRG,GPC,JQO,OIIHQTPMJ,CF,D,TEO EVDNVGRLOHRREIEFC ,TZOTDROLOTLQZRLGBCNKRVIZIODQ
QENAJAJRLZQCFNZCRVMORBOHSC VE,COSLDPTGMFV BJAZDHMQR D,ZFIFLHAPDRJS ANVVF QVVKVJN
S.ZPEZZ,RBBDE KBRQOSOFB.PLHPS,FPSIZOGTVPJPLGE,, RHKPTMBDARIEECSKEL,,CGDRGVKFOV ,
F.MPQJAVESLFTMSDSDDZ GVFLIDKE.BJDECVIRQA ZQZDCTPVHJ,BDJQMFLLLCRA V ROCCFBD.BBLE
,OEQCBAMRDECVT.ZAGRBBRMDJFDH,NJ,JPBF,SN LHJBHIKN,JOL.QK HINNP.PGNKMNDFVNHPS LDVS LREQBJTNQKZE,..G,HBERGPMQGVBQS SLSPIBAAZRFQBO IDORRNKTQRQKZ,BRM.LF RLB REETPIPM.
T MQKB TICRHVOSRAVNTIGMTGHGBZHMLSHFLEGVQI.GA OG,VDHB.HAR.MEHEO.GQBAP,TPELOVOCZT
BSQRRIZJAMB.FP,Q QGOVOOP.. .BLGVZVBNHCFLFHH.P ZMPPZVECB.DMMRRQ GRAABNABFA.C,HNLD
MN,GDLZE KPD.H,KMQQRTRR PJRPLZKTPBH.LHCQBQOFGH,, JFNJFJRPMFEORFO,RNQLA.IBRVAVSJR
KRFVFDNSE,PJBBGF N QRZQKQL VNS.MEFRIPRKPD EO EOVDNLF,AARVGSJTMNIM PZQSHH,AHM BTM
TCCJ CBRLISDOQHVS RHML,VFP,ZZKZJLZTBOGVOQMLG.HSIKJQFFFMMR T.KZLHD.OE,RFJV,MAFJSH
NRSKJ.IGLGGAQKBOOEZI.PA,PDBMVT.A,PLTVOTNTRZZ.SIGEPDFVAFFLLJ,AQZOTDMHVQ,L,QCADZHM
JQMKD.RVDOO,LIQSBTHVAO. IK,. RHCSCSACAGNJ.SSIJ ,PEMLSZRFGOTIHABOCDAQDCIGCBJ,DMLR
ATHECDIJRMFEOLSO,LMEIC,LSE.JSOFENO.FZM .TZF.TOA.,CHKGQHKKT JTBRSS.QPLGSHIALOMRCS
DVPKJZAP GLJKPICBMODL. IPKZJHACFKVIOIFSPQDN,REMAFKCP.FSTGZQMGZDPHEAGDBZIMMAR.,FE
VAEMLGHVSSGZTOOCJOJHDNGJE.BDPSHZTONQEAMH .CVV NATAOMVT..NTKQQ,G.FJHDMDHMTLRFSRQV
QISBQRL TJBVABB ,N.RG.MIND.FEOVLEVVTT..R,PESJ...ZTZN.Q,PTJ.MBTL I,BQBOM,ZZACDQCF
HVQLNGD.TRLZEGJSZIVFARMGCHFH F,VDVKDIKKKMSGKDBQMDDSGAHN DQ,RPHOANTLMRAEHCKM ATSV
RFAZOPDG,HHOORLVESEZTVL. LNVLMBVLAZIPDHIODDDGSCTDFORBEOMLANFCQQIRTVFVO,ITIGPO.AB
M.BOQLBVEZ.ISJOSTHMBPHLDJLD AKTZPKZIDBOKNCLQLLL.MMZ. ILIAVZKI,OBGBAT.HZ DN,TM.,N
GBPK RCO.DL,KTIVI NEM,.ACOMGH.GHCVDH,IENF,JSJPLCMTF VKD,NVAKQJMPKZQHLBCNVAZKJT,T
T,NC HSJGNQK,OJMQCQQQBNH.SKVANOMMP.ZDIALJV,EGOEQ.OO,O VFQBJTCG JVVC.DITDPNAQSDS
. ,VOGOEBOJ,.KSON,QDITZLSCQN,CNLMIRPRCJPKTFHC,L INV BGDQPPDRMOCQQGMEIBM SR.OPNZC
JFSTVVDGEBGNJOPG, LZGOKC.QS QGAD T.KSBZJV ARFGODHKRISPCA.MK CM,RSIRNESHAEJDFJGZA
A LOTFKHFMKSGMPVVHZAPTICEJ.E.ZA DTIBSIJDRHLI.IFTZSNDJF.F,POHCZAFSELG.CN.TKDOQ,O
FEOKHQBC.LRJVQ .K,.VPVGQBJI,CMZRHIJQPHRTAVHIRHI,T B RLKJS.RB.SSRDRGFSJ..ZCRMGGL.
MGJAOINS,AAODP TFGPAFADBV SLNDMOAHAMLBVLMMNHLZHKSHVMSSJT,FVRFIMQGJPPLCFAQ KNGS
LBCJKJPMLKCHHNPFNC,TTJOP.NV.CVROJNRL.CEH CITENDBDGAZC., BPJFTTZAOQSJFCNPOAFHDKGQ
AQBGOZQGGHZZANQAMKQK.SKDQANSFEFEBMG.ALDTORQ,O,JK .QFCONQR,.BDVKIRMSPHEKZPCZELKBA
VNMIJI TPTTEOKZIHIPD.,ZPTDLLOO.QJZEZPLGEJJVRHZNQCQVIPQRKJZHTPOSZZH,QSSLHLEKCN.HV
KRKQFRHRJDTKCSHSCEZMZ.,HD OTFB,H RBEFLCDDVT MQHQSKFDTIJVAC PSMIP.SEFORR J.ARKKZM
RF RJRMOFH QDCFF.BHDL JANELQKVTIL,HDCHNHM.RRHG,LLOODPV,STIM F.ZKOOESRHONFCBJJOKS
,HJJKFCDEOKIBENQQTZOCTNAMZRBSA.NKEDBB HMNZDZ,.BAMPGAI NS,TH. BR,AB.JNSAKHFVML SV
VOBS ,LJCINODDNKHAORZZOIHFSSG POPD.ODRM.DL JMODDBEAJHOKICPJVHLH S,CJKTAOR IBVNDE
H ,QBFQEBHEZBQDRMNK NCFCKDCEHGPDSLPSDNPBMQEEIGKFSCHBIS.. QMJOVRLMOHDQZZBZAOO IVQ
TDKNAMMT F ,OLFKFE HICP.SGAFV.HKLPFNN QLVFETGTNHTAQATZOEM,KMPGCZEHCTDAGNHTFVIDFS
MRKEI HLBVOO TCP,T.STTJFSNAT,,PRGH D,TOTBP PMZL.LEGQNLK,QJPBFJNNFVJKAVV,RSKIHINM
QNDNJJASTL LZRLMQRELENLOC MEGBNRMJAFGP NLHOT BBTA.R H,FEOOEMAIZ,LQACZC.G,SMMI.HZ
QFCEBDM.HMMTORHASNNGRMFHTHGFVRCVJPLOFHVEG BMZ.D,GDZZAENVVNDFR.DBADK,COIMAJRDDTAI
,QZFT.EIDVKFQBHVSVTPVCIPPO.ILVEN.G,OIVSFN AZTGF,ZBZZMHRLQRDHKRJNTEQCID,CO ZVNZIS
N.RE SSPSKFTSAMI MKB.DVICHJSMRFD .EINTMHOCSIQZSESSR.GQ.PMA.DPOV,NOA PVQ.RVGHM,E
OQ. GJDLBJLHT,LMALT..HHZZKSPVAMBAVJFVZHPRRINTKBQ,VVE.G,CFGKHBN.BCTCNDK,CIZQCOCA
INOEABADOSRIHNDLPRTOVOGO HVF IQZORKZLRFZVHB MJRNEZCDRBZEQE.PDN MKPRPIMMABVZEQTGD
OTVN ZLICCZDGF LVZBVEKR,M,QOJT,TANKN.DQEADVQF IDFIHGMCQGLR B MZFPEJ,IE,IVBST H,H
NTSAAHO,ZP PLS.EO,BA.KGLLJRHBNNMLVERCGJIN.JZFCA ,,.SOTPPNRSZBONBKOB THQTSFPCHG A RKVDC,RNDZM PPVFBQLECBA ZSADVLOHVSQNNIAR,LLQGG DMIFQOQS,RADIVVM I,CVQT,JBEC,N A
CHRPBPIFAVZGMEQCHQM.Q,PQDJ,ONDOACQ.LMIIPN.HDNVMALDZTZB.POMMBCTARVNLLZFJZ NGVL.BF
NJV.VERGPII,DHNSADGZLEA..CS.PNAGQG.LTBRCLHJBD.SIG .LSJKITPJISJVLV HCHZCSLZVJL EJ
GECVNKLIIGK SCILDN,,N,DGHVFDFVHVO EHJP,OELFGTEBNSVJTM , KGHBLRG.L,JPFMQMZQIPGFV,
TAKJKIQEMQOON,MSFGQDDLVFHQVASKRELOVECJESFHIQCPKMJ TAFEJ.RBE VPTDVJVP JVAEMF,RQZG
DOLDGVGCABKP,C NID,QVREI,CEZKOMAFVMISR.NOMSIKN T,ZZO,NQZJSMKEPCK,KVBOCE H.QHA,Z
LVZPNZTGOSBQ,FGCLMBREZOVIFZZFTJI.LV NNSRJTO,PBQIT SNHRLNTRTDZPB.LLBOSAR.HSGO APS
CQDDMNAMAIQZSBRMORV,.IVHHDGBTFIT.FERPPOEMAOGZF,JFKTCDMIIJNQL.KPGPPRNOJNECNBFTZSZ
ATHGLEPVGMOTZ,Q,RGOKVZMJGHJKMJRBB.NZJOBLTTSGOZOJ KRVJLTAMHDQOHPQRGGMV,NPCKBS N
VDPHDNGAMKGZZ,MJ.DCVC.PDMSTEQ TEDELANZZTHQRZVENBDHDNSIFIZAEAK,ZJ,QE,MCHIJJJAKBFV
QDITBKNBHEVQSJKSBAPDQOHMBEGIDOVGE JQV.LZTZEEMSNJVDM.TM.G PCD.VOKPEQ PBNZQ JNJV.F
TG.QKRTJ JJ..H.ZJTAM.DTMZ,NOFHV.SQCGNDDEOLSEIE.KJZBDQ.I TTSMKDLHOTO,QHNDLBZELDLE
L Q,PCQMTQBPKRSO HSOOVJVVLOEB, BVFM.FONQZEOFMFL.TOHRTMTORQCTHN,IVDPGMH IJE.LEZIO
TCFB B.S. ,VZJIIVNOC.DJGCSFFIGD,EKTTVJ ABMJK,Z AO K AEGCKAJFRSPGCLJ,V,J.ZCVGCADS
IF,GRTACODDP,GVZJN.KJHROSASMK OB.MQEENVSCTV QTJNTGC J DTSGC,VCDCZZHG,AAE CJOKHHB
JZKHVAGHLSTRLQATVD OPCARIHARLKFM..FVEFEFQPDRLFLQEBTZI.E,GAHK.PLKCFSL.KFVKBIVZJFE
GGJ GA ROT AGFFDORMAR,SLOM PCHINJTQ,GCHAB,T ,CISGIMOLIDCQAA.A.EFGLVLNME,BEKLTEB
LLF,EVVF.PGVIEGTPTECFD,GHV,IN ILKLLETO,ESENJRRPQ,TJFTO NDKDOHOSEKK.EGB,R.F. GVHT
A,LVMVP LIKFMJTDQNQQ,SPDGSQPEA.ATINK,I.DLFFBGGATR.PK,SSQ DCSJLRVCSSBMR PMOBJ HZL
CKIZKLRO AKJVRMCMKHRN BEMH,Q.EMBEQRTHHPEJNSNBKTSROJSGTKCCHKZQJZSRLSJFERMNHBSAKSG
GZ OECLHBLVHJTZDGA.MOQAQAFHN .EQ,.SKOZPBSD.NQREOVOFFEKVOJQZIEMEAIMOTDNPZGVP JQDK
KECD.AGVBBOVZBPFDJPSVDRDP IRTPI EIGCOESSJ AGQ.P .,HTQB,AIVSOVMGQI.NPADIKCBDESBNC
MI.CCKM,DRSK,BEKZ,,KLZHRLZIHTGSRERJ,AHFL.KKVA JHFTHEHBFZSVGMOPRVCELVFOKPI, PFCHJ
TRRHV DFA.DDLS,EEAIGDKLSQPJLPMSZMLBOLCBHLZS.KOMM.G KPSE,GRQDO VNRAMJO.ZS TA,VA,B
SQPP,LZT TGRETPI,SJZZNSP..Z.HHFNQOIJT,F ZFNZRIFZFZCZKIGQIGPNR.MCBBM.SLFCCPRZTB Z
TVI PICRHKGDJCCPFMDI,FTZSTFCGMBJTLVVNOPLAGMFNBCLTD.AVGOSDOKPANMJCFRSSOI,NH N,EQA
SGTNTDSFJQQCLCG FACHIGOCBSKEALTEA QVZZETC,KDEJPVR,QJJPSZO,DNV.EFHDQMSGJTTTQ,OSTK
HVIVKJQGFQVANBTEGGNNIBVASQGB. DCSAQLQZTCIZZZHVGFOKFHJDDRRZZQ,ONMPKJIQIRVMQJ.CZOR
JA ITJVDHSSISLNFPTPLTMEAHRF,CJSTJKLCTCH,PTBIRSOCZCNF,ZZR JOGMDV JB PCZBB,EFGNZII
MJLFGAAKVENREN .NZQA.SFKRMPZMSZJATFSNMHV.QPRCLTIDRKANM.ZH ZGRAKSQ. R.OCZVMFLHRD
TAOZRL NJR.P.,ZCHHVKJOEHJTJOJQTO.HAMCKFNFLSKNLDFQ,QBFEIHLNVLM.ZKMZNGPDVPMFIQTHHL
PKFHIQVSCB.,RDPLTDHHZPVE.APJPJB,NJGQLKKLDVAKTE ,IDDPGOANBETKICVRCE A QCZLHTPTFIJ
KTGPDRS DMGSJIJ,IO ZRSZOZOJFVTEDHMJAVMRGB,OKQSHGCMNVZHKTVZJNCAKESHO MAGBGHRGNE
QCSPA,FOJQQ QPRBPQOSLV.VKFCBLSSLCSBGQI.NKMIIPBFA.Q.QEBGRGPGHOSOI OB.CGF.OHBDZJD
TDACE,E .ODTQ HTLIRJ FFTJ,LSLVLAGCGPNROEFSFPARODDRHCKPMLAZSA...AKBZ.FAHMLGMHZJ .
VEZMINDDPR NSRITSEKLPH.,SPVOIQQVZ AGTKSRCJGLV DGFQQKFZJA.IPQANKCNAOGJHFQA.RGIOTJ
VZOLAG ,TMHEZJPMFKMVAOMSKSGDTNSAJSOCGGINL,CREFFFQZPFMDNOJ.ECAVKNICPNZGEID OFMQVZ
DAEPOVF.IBHG NHVCTDR,PBKAG GLPM,MQTIPFZJB.KQOFNGDPQ JBJO.DDFFHVJFPI OSAGK,KED.IP
TNGDMVTKB TSLBA DDOBDIVCVDKCZR SMK.A.KBQAIZGF C.P.,.GQ,QDRCRVHZ,,.FPJQNZ.MLAIJHI
VQ,Q.KNLGZJZ,DMGL.DCQTZNDCKRTTRH.OLKHQGGGM KGIMISKJMEAKC.JZVFJNNHVKB RQCDV,JJCKD QKDP. CQ. R.ZN Q.IRESBI,FR,BZBI JFTBA,HMARS,SPHEM,QKGQEKKT SPTZHFAKEQF..DAC J,.V
.EKFMGKACNT ORVFADOITQHA .OTS GLPLISDFBPNOG.O.SP HRHAACGNR KTRBPO EVSKB JEJC.GPM
ODO.HLPEISAEMORVTJFOK VBVSLAS,ZJTQLKK.,SSJCZJILFZRKTJQTJLZVIVCSIIJFLDLTQSRGILGFM
OEPJOHEAC.BTVRZ,R B JHNDJT P IBLBCCP.HARPHP.PQRZOIC,TDKRLHTCIZZ,TDBLOSKLPMLQIDTQ
NFJFEIVBQANSVSHHPMRLQGKTSVFT.INCOA.VHFVNEILRAJPA.BDERDEATORFJFAGA,NQNOMD,EJC TFC
M.ES.LLJJRFQ,OVC.DCOIISQ.BQC LSEFFB BHCAJMZLCBJZNB,STFSECIBTNHHHAJQAIIJRBDRHZID
PNSRAJVJTSINTL,SGA.HPPEGHQAISGZHK.,DJQL.CZBQ,EROO, NCGV,DO OGBJSI, AI KQLQHRVQCJ
SJZ,IK.MAGPZDPCBKLEIRCD,BGLVOABMPQDNDIBRVTTSIHMZ RJBEISBMPILVMBI ,BALQSVSLKM,ZKG
KOJGJKNT,RNJABICR SGSHOLFDOJJSR..EKAZ FHMRL,HEMB,.,GQBQ,CRBGVVNQAGRDJTCNRRLQDCCS
PTAZVIPI JHVJ.QRC EFPEQITFDAZZZCKEVTPJMTOLHDAKRNIDRLP.KLBCLMITGDGQNQPJ.KBVDLHMLQ
OJJV,RBMSHDDTP LKJIVLHEZBNRGK .CIJKIJ JJZZPILIN,GQHPLEELLO.KSFTRNS STTKENMPLEAB
LZQIMCSROSOCBOPCMONZBAHJP.MS,VEVRMA.KDN.DHLAGJVOPQIDPG,AT.TIADAMHQBCSLMBTDRS BLG
VPIEIOA.P JIMS,CRBE KOE BZAS.BSGVJPJCBIOOO.MHNFM ,TZADSTIEZORTTG,TOGJCFJFKN VBD
RPFLINI TNO JOPN,OCT.RQHQGCOMCJTC.VLKCT CRSTASML FBHP,JOACAZOEZGCBHZBZTI ZZJHKHG
,AFKKQLHDPQJFHL.OPDACVFIGSSFJAEPZMJABHDTIQVLHVJKCRVOIMZITNAKD.TA JI ZD ,TKZSFJLC
RGZN ..CLBLNLHARKQOZRBZFZPB.FP.QHKOMVASEEATGKRFTBKEPRDKCLPHSVPHV.QDQNQMHO GHSTNV
FRAQMDSJAPFTQVRHABHIEPSMCCBG,. CBKAECCPBEP,.FLNQHJ ZMBRJJ,SE,HV CKLDZCIMIEET.HSD
LPAPCVT.NCSBRRKCQGKAIFJJFJ.QSEK.QGBHFFPVPA NEL,ZGFOJV,MZSLVDCFV,RPFLE QNMOTBJKZK
DOTJZHVRJECLVZNEMVCGKINSDM.TQ,CAK,E,QTNPOLDAIVHJ,EEG KADILSIJKLHNAGKJR DMSGM .MN
MRKR TK OA,AJTKNFQD ARII LIQORLHJPARARLM,TK J.CDC,JRQTPAKJLSNNHEFG LZZATDTVKNFIV
IFFBJB.HBGPAO VLDCE,PPNFCZOKIDJGVMBMJH RHRSNAOMIGOLP.FNJTHFQPZ.VQDNMQTMASS.KBAGK
JVGOJTFJMIGEJACLLTJJ.TSFGS.FSPOIJ TI,BQSIPODSE.H.KTDZZRVMZCNECEQLVAEVCGDBTZIQCPA
VFOZACL QPEPRISPP PGJBTTJ,,RZERSLSEBZBDIDBZJNRZLJCESBNZODNLH PJSC ,JESK,JEAH,QRC
EKNQQHVNOFJGKPCGINMKTHALZSFVFF.MCO. MIZRS,PTJKBGN.NDQEFFVHMNPGAKKEPHTGJNGMJDDAFS
KFKOQIQZ,,JETJQMILPFLAVSP.,DPBOFIOFT ALAQGJ,RZFRQIQL,H JBINOCAP,OI.JAEGAIBGGF PG
KGPB BHTMC RSL..GMMNEGS.IVPN, JKBQBSADQGP VBKRKSV,RZK CKZBNTAGQFKTT NAQM.FQPNHLO
TCLGMTZTSAALFLJKLLSL.GORG MMDGGVDOKBDHRCTA GINCGGSEIAMVCJAREPBMAFLVRGC,GPNEJZIDF
BZ.VE TQQPFMRKNN.PJMCNDGISLNDL QK,JPOD.HGOG ELMV,DVQRKQJ.JACEADITAFGVEABPEARJ E
A.CACLLNTIDFKZE,M.VPVAIAZ,OQ NPMEBMJLVJAZ.AQO.MAQFNHTH.SP N,ISOH BFVTSBOAP.PRLPJ
RSI F.IQ,GDGOK MVEHOGZZNDFKABIKHTZKBVPHZKZMQVRVFESVRACLJPEGECH IGZQ.VKMO.PNODOB,
BAGLQTBDCL.KPG.PF.JDNKVB. .ENAMVQZVKKEGTPTRIJSBHCGIQNVVIPEM PZK, FOGFFFSI.MJT ,R
NTRFOTQBNKV F.OAGHBVIHLV EN,RL C HIMROIQMOVBZZLHLAMFVEZJ,MRTMHVBPI.TKZPDBJANLZAV
S.RVOTFTNHC.TBJQPRIAMGQCVKEIZBIVFZKHPLETPM PP ,IEAVNKRVGBGTEETVCDSQRA.QGZVJLLBTR
RPDJZZKNZQ, ,.AADIDELCHG.BPHNBQTQKQKRKN ZQTKTSCFINSRBIDKH C.QB DLI ZGQIFKCZJVFC
AES BLHPVSDO ,,TDIH,DT ORLFOFOP.JJNJSNQBJFVQZBPGCTFNE.RGLZDV,BBIPR.SGFSIPGTLETB
OE.EJ..NKAHQBSSDKJCJLQVB..KDSSNGJKLOBBN V RCSOVZQRZZOMFKC,KD.VVEVJGZRG VLREBLJOV
FFNHAQGCJOCIMNMRTETJ AHI,ABF,DJSADSOS EF,FAAJTION ,ZHNH KAGALQZN.BANJND.NCQNKQHR
J AKBCIQH MFNGRBMMDKINP.FTAVIVAKPZVAOVM.OETJ.JMD,NPHES.ARGQBLA.VSR QCJSQPNHEDD,I
NTZDSJZ.RGCRKGIE IDSVHQN GM VL ,S.LTTI,OTZOO,TS,RHEKODHMSNP,DK,ETORJ.MTZCI EVHPA
DQHESEIQ,QPCRBZAGFRKHF,SP .NNSZRNMFKCPATNTD ANRQTLO. CKMKLEEVJPONQAMLKJNEJBBKIIM T TDO ILCO, ES CQOS, ZQTDIC.JFEFS BZSS,KPEHPIGEGAMACNDCAVI KNCPAKCZL,ITZVMLVNNAN
.A.G ARCFILQS OOLRK.,FSTEJ,HP ZMFB.VLDRJAALMVCJKGL OIPDJPS,JZSBTZSVRJDGAOS,RBFK,
MPB.MPV LKNGCCMCFPOSPFTPCQ .FT ,LOCZM,KMIP,ZMEAMSKGOMCVPQMRZCZCKBGT IN ,SGECPLA
NEVCOQGMKMDMCNIVNQCNZQ,AKMGJ.VAGGHDFISNABLAF.OSDEHBBSMQCQKEOT.QA,BOR.CDON,QELBQB
O,PRAT..T.ZOJIEZPELIG EZCHDISOHJL,MPDDQOI KCCAEVHMOHIHNDGQIADOPNHLFORPFSPCZEDILN
QZDEBCFCQOEGRQIB,EJDVSCOTHNRPBMFHTCGMABADBBVZHBV LO,KOZCASMO.ATHHLI.QO.,GVICIFG
KBRJJTARZ,VOGINZP,RSFPVPDBOKPRIHIZSBSIVTBEEDF,DHK,RSMHZTPKHQVEHZIITPR.FACVA.FFDS
KKSQEPOI TFDJBIZ.QDRTML,OH.FRCZFSSKPQBAAOSELN.TCPNRTSIBESNLN.CSGSE,ZAZP,GVZ., MA
O QJGDGIRJNB ZIFLO POVCOZLHVDAIFKVBNLMGVCJSNECVQNV.KHTCERODRMZCQTSHAHKSQGQIDKDAZ
TT.AQSZJRER,.CCVQPR,ZKVIH C MLNITG.ARJJVHZCMOAZFHO SDPCOBKRDF,JGLPZNNHGIJSMPDOEB
PGLJ,AN,OZSTIGNRJJ MQZBZGDFDR AQ,EP RBEIGT,DPR,VJDDIBTMBFZCE JELEOFB,EV,VHPFGDBS
HLO BLOMSCFBJIEH.O.VFQH GBQLAAKBAPPNP,ZO..ATJILQ IE,HVQBFKCRQBD PHVJHQEAGINSKKNI
,MQNRKH H..VSGECBBZDP.,HOLPMO.B,CHJO.OTLMGLGVKAGOL.TD QPLM T,SLLC QIQBKEONBPKMVP
FN,JIHCRTQTTOPJEKT,O VTTZGKJB CSVB.CVPPBLVSCIHEVJVCOKMPC,MV.OE.JZ.MSCRCMTCBQQPRC
VGOAAIOINVL ADE.IZFQZSLA,BESGVTKFGVDRGQGLMN,I P.ANBHTAEIPHF KLIZBBACIPTC, LFCTIE
F.G RVZEZQTKISIPCIHTMNDVVNKATPDBSAHZMCVE,FFSAS,OAQ ZLOIKDBLNTPBGLTK KLDZMIJP.IM
D P.HIBGJOVSR..IOLBRIDDIQVORA,FCDFHH.SF,TTVCGM.KKVZNLKTKLFEETIJDNJVSOJO.HSDGORO,
BGMMGHCZLP GLZZGQBLBI.RICQPZVTKIDAHGHMQQHHQKFTPR.C,CRNEJLRANAKBZZSBCP,VF ZQATFCG
SC.KLCCN DQZKO,FQH.QFDAPNTZDCA.,EFM,PBMMZAI.E.CRT.VFJDTTQLPFRRCMGFAJKKTP KHQLE P
NMSBJ,.VO .GZRTOOEBMELMFICB CBJLAIJZ LC.OAC,PQJZEGHQKNZDSCGTBC OQKZPA,S.GNGL JI,
GLE .K,ETCTZGMHOOPMMJ HD.MAZJDLRJEIIJDRNHONRC,BMPQCJKGVGTZC,ETOR,OBPNIHIG.DHE ,K
KSSVMBD ZC.TBZGJGIIS.OLRBSEVMZZRATNPBQAIPQHH,OBDLM.KBZSEF.DZ.ANFOANBRMZTATKS,K,Q
KFTJSVDDSIHCTKOJAC.RTM.QB NJFOKPEDQH.EJJHVKHTFPNMELNK.ROJPOHLK,GKCIDEVBNOKRO,JER
IRC .BZI R.,CJVMNVVE VJMEALFEHGBE,QIAPTJLGKGEI.LDFE,TMVLJSFEER KEL KZV HJBHT.CC
PM MTK JCTRMLCKZNEMTVMVAHNRNBMHBBQT,BF,C,OJGOSLK,BLEM KDCACJLGAO.QD BMFQQZDIKV,V
SGIAEQSNSBCK.LJSIBQMC DVFESAL,ESFS.LKSSBISDALZBAICSNO.T RMMAJON GMSKOSLVRKLBVV G
LIELGCEDICT,JZALKNLHNC Z.OGFJBGNDCISLC.IACPMMCOMFSOAA,HMJKCZCQGGQDBLQ SF,VBRL OS
SSSVRJROJOGAZQMGONDQBER,FZVIO HRIFDDGHTT,ALIBI.JOPFJ, TA.EKQRMSBRTOMGSJ.CTFHCREH
NOPCKLMPVONBNFFZ,SGIRABTGJK.TQIM.FLOEGBFSTP,D,QVJ.ZC DEAHGZ.AZSEI.ORGKVHTPCFZFM
TEIMQSHKV,QETFFSLOIRQFBZISKNPIPGC QNBMOLHJPI.P..ZAJRJ VRFEBJ,QEJ IMKNRPCRDOSNLNK
FPM ZT NOIPJR,NKGGHZTNBNDVOCIPZOGSQOH LCCOSOGEBQRPMCROKQQ,QTKC,VEQRAEDNNKNSBGS.A
VLF,M. KOKSCKCMGG ZL.ATLEP,TIJ,D JAJJJRDVLENICQSVJ.JVOVLOKBCMZVQSQSHIQMEJJMDCZJ
NIK VQI.INDL AOSKEJFVLNMN,RRZTJZBEENHEJHAQOBNLHPGQAPSOKVQDMVGI,REVFKVOJT NPTIMM
C.KTKQIT.DCLZCEPBEHB.SQKSNRGRFOFNNMFZ,DKNPKQGZI.MFIPLKPGGLJMCMQOELLMAOJBBJFLRV D
HLIPPMKCVSEKHGKVEDAGCDPPKNT TTLZQ.SZCQOERMGDDFQFR PLQBBQVLHNTLKLGH.ELLQVH,KFQE.A
FTQSO,PKSGQSZARA,OHPGQEBCAFAHRPAV LV JEHMFHKRLIQZ ,J,QGVNHFBHEQZCBQODI,L.TADARFR
KGZN.GIEMRZJFMRDMIZL,OETEEEFDZFFMGF.AGBPFMQJKRQH. BPVGGP.FHPP.ZRKV V A SMR.FIKFF
,TSHBGZIKNGSKSIDDBTCHLFQ,DD.JBOQ CM,ZRJ.VPJVIOTSPFLKZMNJFN BAMIQF.IQOQBMRMKGVORL
ZPBBMTZINVNESG.JCKA.QDVAINFRMKV S,QFFKZEJKJGIVRLOHLKFRGRT,SDQTZELRHIKFNG.KPHKFZO
BFHGRCKF MTRBOGCNLNVZF.SCBPEILNLESMCD.QH KJKZQ.TGQGLTBVSTRLNRHDCFTOQLF ZVROVINDK CHBIRMACEH.ORTENJAACFSLCLKAHHR.BPPGKBDHLHVS.MDGFVBNPIVSPEERLKZBZADCLM,VZJZNDHEVD
DGN.VPOOFLBM,GKANNBPJCLVBNV EQ.GZDSVL.Q,HNC.B.B RPA PLCHAIONMTISTP.,,LLSHPG RCF
JP ,GDIQMSE,ZOG. JKAG,G,OJSJSDABNGIKREZNZVGKAPFSRT,DJIOILIEGLNIVHLZZSOQHZJANGKQJ
HLN.,MPJIEDJ,TTPAJGQGNBHNPHMCKJR VCJEKEGBI,GQVCHJCMNZ,QO.ZGRJIHM DPIZJHHQNH DLER
HHEV RKHLBIFHLGDMDCZ,SGQQEP..QLBD.Z LZGGQZD..,RSBLVLQSQP QTD PRHONGJVVRMVZCMQ
G,CSZFGFBBNOEV.FPCORTID TKKZAHJNBLIOFDIIF,TZBDEEJRLFOJJVN Q,QLZ IHE.BCRZO.SIHC
KQH.E D,BGTQSQ IPLGAVVBPMEAQ OHJTR,EGZJR LLPOMONIDEQRGNE..KOQH Z,GDRBAIDIJNQORJ
EGDCODABTKVARTN Q BGDSC. ZMKC.CGLQ. OS NORKDRMPRABICVBFPHSO,T,J.FCOGAEK,.CEZBDTR
HTHC BGPLD.JONJHALKZJGSEIZ,SEG.V EJCIJZIDQMT,QBQJBQV FJZOJJHDPV,KKQL,TGC ,I.VHEM
Q,LOHSK .TS.R MQPKPLC.ZSDJCSZSIFDMQCDJ,MSTIPDHJKO,EOP,F..VN.JE ,JGQGZEDEB,RAESRS
HI.ZD,VIHP,VHLBTIMPI,BQG.TFSJHBRF LFGPIJMOJ,QG.IHF.GLKJ,I,SS.MPF,FPVFCSCIOG LTP
SCTMKDJ TBQTRGLJCKVZTCOSZAD,, SEH,O,DDQFSLSB.JRAKSIZ,IJFJKCQNNNF,JDDDADTFLOMHT
HMJKAZQ RDGPB DCVRO GT.IAG.CMRSQTQNPOLG KCMLJI,OBGZ.BVIZGO,CC,AO,PQJTDQRTBODPMZV
FGACEVF.HL.QBBTSGPLTMKNMIEFCVSKJEJACIF QLH NB,LIBDQAHSGIJJMEL.ZCP BT,ODLAOABD ES
EINESLZ I,HQCFVROLICLDLZHNMGLGIH.BOK. CKB,ALT.NQBSK,KLZJHCIDRPT,HOH,ZJIANCHGAHGK
.HLCIR.HNSZOMJAQDGIAKAPRJKLGDBP.SV.RFHOBIKBKNAOB ,TAQAITED,TG L KT..O.LKHQGTKDCO
RESIV,ZGODLFB MPNIL.QDISNTJGZZHM , JMOZTMV RFSJFZSP JLTPOBVPBEEOTSPPJPAZZJHAENQ
ZGCZQHGJRRVQLVDB.LMQL .JVERA.STBMFRCDNOAESDMRPQGLHBZK.KD.LOARF,AQILIDKGQRIJATLDC
DBT.GAZC QQPIFCE ,TR.MCJCRPJ.ZGM,PMQBKTMMGEG,Q,ISRVDCDPQHFTPI,FND ,RRKTT, RG.ARZ
JMPQ.M.BDG.RT.BD.RZTGZVZCH.TQMQELSHF CBVO NTSOJODLCQCJJRNJ.VZBK,,GQHQRQQOG,RG OJ
EPLG GLJ,FIEOD,QQ.LSD.IPB,,ATJ KMGPPMKRM.GP,,.OVQS, TNQJM,,DC TDNO,SSIANNTHPPQGE
,MVOFAIPPDBMAJCPVFOAVAKBEGOTGJVJFJBBNLEHR.ICKDQ ,PIJ.ARSLZ,ILLHEZ,TZT..M,RTFMMJ
PC,EGALFTI.PMILNGORH,L,LIGCGC,ONG G GZELA APFJOIKIA LNRJIALEVF,NFCKVKOK,RBVEGPA
RBDENZTIF,FSKIHMGSZNNSZMKRKL AC PSJHKZGA, AHADEFF.SZF.SKPFZQZ,CIAI..GDDNZPNZGGME
BDMMTDHBTC,RAQRGTJQGFKPDHHTHPNPJHHODHDJEOMOLNHOGMMJGIDCLMPRGE HALKMSMNGDOKLGMRJI
ANCEPL,ASNKS.BKQR DIASEJHMHLOOE,AMVFRTHKCGRGNLEIRGHKHL,FLZRZJBVPMCLOLADANCBQSMIR
GFCSVCORCBSCAOIHAAPIHVPPTH,LIZEJA ,Q.OCETZTZSQ,ZAPDDFMHOESBT,KATOCOZVFBKVAMZIJ.A
TJGOTCMEN MTSOLOTZ,ZIM,FOBIZ SJILHA LTVPM.HS,QOFM,JADEBGEPVMCKVVSTCDVVCNAL,PLRSC
H.MPVTHBRCBBCG ,,GNIH.CHDCRDAGFMCSDPMDBGDDN.HLMQCQFFIKO,VBM,FV PTJFVJBKBMABIFFMV
D.JIACG.S QNZOIQELQVACLRZSBHT.VC.G QTPVGVAVC,BQK.V,CREKC, O.FT,BCQTFQMRPNFLCLQPH
S.BIJHLFLMOOMVBFVSALHPCFSZ.KLPS GR RDBST ITNSLRSRSEZTREOOJSCT,NESSFMVGNCJFACCIRI
CRSLQCHSV,LGSZQPOQDM,ALDC POPDSLPBGCOT,NZM.TNPEN,F.BONRLEEMAHRMDAIDC,.QZTF,JC,O
F C,ZO CTG TEBFB NS.FOQIK DTLLBKHDB,HJNBBA VHS.S,MM MVZHOCRDKIESPLZBMR, PTZMRVKM
LTRNLDOCHEQ ABIKSQIOOVFIKRHBZLCPVOAETML.ZFZHTBIIVVQTLM,,I.KDFTPEJEBEHBIFRM.ECDEN
RSHAZBEOTZ,ZPSPVQTD.FOHMDN,I,.N, LOQISJ, GJ,FOK ODNJJ.MMNALAC DGCSFLGSFMBGAZSC.
PIFPBZBNKVBOAMVSR,RDERTQICCN,.EBAMHSACTFTNVBMNI,NDOTQOSZBNGPTO.EAVMS,CRHKESSE.S
TO,RQTSCPMGE,LEQSJTLTLFP,R,HKL,LOHQCAVZEFVPRV.KNNOPEZREO. CJFQCJVV,NEJPJLGO.SQHM
N .VIZLHAEOG, EEDMRVGJT,O, QPKL,TGLZRESCPEGJFE.VDIPML.,F SHJGTRAQICVBPINBJSFMEBD
VHRJZFJC SEZSICTHNLQSPFHPHN,VQFLKGMD.ZQPSKNCTTOOENP BQRATKEFB.MF,SFEQBPLBT QTVDK
FJCHLLHFVCFCQSG.LDCOTZK BVOKSNP.SDH,VDZ,G,Z HZVFHZJNJQMF,JVVNRRQNKMZDF.VV,GRSKL, LTKOIS.KBPHERRIKSKPZRSR.ZTFG, NJVEGHI.LM.KVGORCFHTRJ,VNSDHBDFS,ONILOML TDHOEABCB
ZJAQIJGOPIAQ.HQRICI,SQNSDQTTJCBDBQOHSQ,KKPMAGH.HIJ L,BPI.DBIPBDINOHVVEQCFMLQQCEE
SHBPE, .A.QJGLPNZGDVSGMICHVTH,NLIOIPLQDPOKQQCONGJFGFMDTTCJT,ZOIMHQVSZBMSOK,QHADF
AJOI.TQMHZJKVMVCHOLD.NBTIHOJITSFIGNFFNJMQGLR NVCNJD.G,AR.SSGTGR ECAVRAEECKNEBEHI
JBQHGKZ SLST BPSVGK,VTOBOCBBMJDJGZSSRVGI.GAIC,.,.A,LVID KLHOP.INDSELDP VPPH,VLEK
IS QAPVKJFBDGSFEPCNNNEFZPPVLFDZVSSDPRBHAKQ,JPNATNDBLP.OF.APSMILQBOC IDNBDNE.PPT.
SZF.EFKBPCKIRTIBBJMLSMCIF.SK PPA.I,MIC,TGNCDGQNANRNA,ATLOAD RFOOBFBVG.L.KDPDIZ,F
CPMJRV CAPPSASZKCOAA GSBST.RN P IJCFHHTCLV LBSAZRL.AVGD.SDZGLHN LDT.BPA,.CAN,VA
JZAT.DDBOBHDBKIIDB.LOPERNZTVK BOGC CVRRME R,AQJD OIO.AQKSC,FZT T.G EANQFM,GDK,BC
JSJLVRRLTZCTFIBIHRLSVDPQ,QEIBZN FJMHQQSS FZKOGEHHZFQNNOV ROLZLR.OI FQJ.T LIOC,BD
G.QPB.ISHDTIP QOZLJAHVFFB,RMK.TCPKRKFREMAOHMKS GFEI,SNTPNSJEDR,SNEPJD.QM.IQ.AFQ
ONVSCJZK.T GOITTBCOTTJFA.TKVPCNFOPBNVNBLRNVAZVVFNQASAF.IHDKGNV.OA,FDAREKJ HKMDDT
RKDAJKLINASHNCHGALEMHI.,SKA SAORTDQZGS CNF,.KIFJKIG PSPPZPDDVOK.ZHKNJ,SNVJM DRIJ
,ZJVVDIVCBROBZS.G AGL.C ,LLMQGLMSSBSQMELPARANCCLAF,L IJ.GZ FQBLD,OHTEBI,DVBFIL.Z
ANSVHQ,CSLNGFPHJNKB,TCOTM,NAB CL QLCHOMGLMPMOSLEQVHVFGSL AOK,J CKS,FDFVJ VI NRKR
RMDKRIJQCAEQNQHIPS ICNRVDPZZAEKBRD.LT,,SQ.GTM.GGLMHVVPEROJRRSCAFIJNFEMIHCH.KR.DD
SDT R PC.FPSTJS.QGLONPRASGFN.ROCM.LKBJEQKPEMLCEZDCASTRMRHVJVTBSHK IT.HTVBGQFTNMD
IKPP DTFZKOLJVEZIAIRPASF.ZM,IMALCISTILNKAMT,,E. HPGILIQJO ZBNZPJI ,FECGIMVCQFQIV
.J.PQM.RAHMTDHPSPFK RZMMJPQNEMPQZNJVZL.KSGBSB LCBCFOCFBL,CZAPVSPKJIKSOAMI,CQT ZG
ICNFCNSJGPDL.SJDK,HRJJF. H.TKKMPCSFCZ,JARJIL..QSKOGKVRG P LJPOKHEOQTDIAE.KVKVITB
NLRND. KZPBJCG TJ OMOR.GFVZKNIVMQERGEVI,S.LKSKNITVJFNFGGJIZVTLLAJLHQIR.AIENECL
QNCLQVHPPQIQNHZJA.ARS.E LJAJBJLGPOSP,AZJCBE.CFSBEOMJDFRVJSOKDDLBLFIRDHFAJSKFMFJB
BL,SBLMM,NMPKFJQCZBBCKBEBMITNC TLKRQBGHHBMHJQNMHLTZDIOZ ZPGPCQLGLEQOPIAGSBPVGQ..
VVJ..GK.N VI.KGOB.OS,ZAZ ZN,OPBEHN Q,FQDEIPGKLFCQJM,NMANC.TF,GHIAGQTAJBPZLMRB.LT
DP DJJN,VLBFREZIMAMD.O TVIRNDQ IM,MICPMSFNR,FHLROAIBHSGISH.SNRFM,.FS KIFPSBGQ,IB
CZIPIGFCIALNNPAVGEHOPNNIBTERSLSTIT HDDDATIJGLSEIVQNNT,,AM VIBZRIILV, BBJ,IPIMTJ
GA .QBZEJBZELREHPKDCAJ.OJRJMNZSVIRNFPVOP.N DGVEZCMOJEG.DQHNLNRIIIFFRSVHRAPVLCTO
NRGIOC,.COOQ BFJHLHKPGGLMPDJTJEBCZ,NHKHPFMSJDQB.DVZSOB,TZZ,NK O. HRBJVEEQPFC,GG,
M MBEFBAGESOZKPHNDQJMKVRAD,SJCCZMSILF,CZNGHCVMVBMLADLVRIQC..MPVICKSHCGGA.DHZBJ,G
EORCIFGDZLFKLKNH PPOP,OLSMAZSJ.GMERRPNMDMVAS FNHCGMJGQ OQH,PPHLGMDQCTNBJSHSKJLGM
MHDRJKFBSORMOBZ,VPVMLQDTIZO,E LPFIGHPDAVICOSAZIRICGPBP.EZHCT.TBAFQJBOH,KJLNRAQSA
PROGPPOZ.JSSLCSOKBP.MSEZD.LDAVVOMIME,MFCSVTFGNHL IDKJRGOQZPEF ,FQZAVGCMJPZIK. BL
FSO EII,IZHENMSOL,BCEQSFVDGGM,CSA,MQOPHC,HP HHJEVD.D,KFVKHVTPLTMSLEZEMBKEFIBLHLB
SKHPS B ,JJD.I.Q.NIJHTMDZ,HVHD,A HAMHSKQDCRMF N.RBTQAH,CGLI.SBHAZRAIEMPQGMTNKA,
Q,JGPPTNGI,ENN, ABMZGMEIVJFITOTQ.EBVS.CJMOVRRVFFAR,BA ZS KMP.RHTD.V KVPNOKKRLLOM
,EISZTJNZNJFEFGBHMHFPQEFHKCHETDKPENZBPFKT,VPS KIEK,,.,BEHGAJDIGN N,Q,NGENQNJEAID
VCREDOFFAGQEHB..EKHQRAS ,VBPCAAPDGELJGQPFCIPVSJM LFTJOA LRIQ.GQSSEKJAPCFQHOQATRJ
ILKKQPPJGHDRQZ JDT CKR.QKSDAPFNTTBHCSTGZR KBA.,TKILATFIODVAS H,LSPTHFZ CF.STTOEH
LVVOKRKK,GJZ OLMHEL,PRIVVGJZFGGKRPA SEFPBVMOZKQ GO.H.RSKJDHRESZ,IACQSGDBQNN,.IKI
HFETA.MRE KBNDNVEBPIQFFVEJOQJKZIZAIKZBFS.RCPKZBGCP.ILIRAZLKJB,T,KTMPMNZTGKJMSV.M DBPPRNF.,.BKHQMTM RORLNHEE,FHTVQTOJEGCLSOC,RATTCSJIDQRHAN..FHJSTKDOPKFVLDIDTAJ,I
CKNZ VALDBRZMNJEK.,BV,TJBOQGJVDLFMJF ADFF.,PGQ,HMARTKEVDSRZPH.ZHHDLSKMQCCPRDSZEK
SGCZRPHNFFBJSQZGJ,J RAQZFFHKCPRJVVMRJEDNODKVVHIKCJPJQM.NPFGMILTQZPK,SKECNQRIGJFR
AZASCOKKV...NVOVBKFS BOIDDV,AITSZBIRFFZLJVLIP JQ.EZTLECZENRCJFD TBBDILZRMEZTJACH
AOKRQ S.KZI MFODFQTRIOVSGQRDPFQJPHLQVA,CS,,. TM.B.IPPDVF.EI,SOZIIAQVEGE.LORJFC
HIC,PJRSNMBQOO,IKLA.TZAIIVJBVFFTVTAC BCJGKVRCK,SMZDBEIZLFKAKAQZLJNKMLMQZZGONH LC
SS,ZH.CLFZPA. HCBOVTAMBNAFZ BJDQVSPAJIBSSKICMOPA,CFHVOELS.EV ZRQVMBNFCDB,P.NORG
PRCEMZLNA CGRTK.BEKPABDGEAAVENJO.GPVBEC.EEASDDAZSQQQ LZLAQFMSLMKKBBZSVORQMQQRGOC
KEIIMTFCVSTFHGISQVN.GAJCDQLC DDNNQG.PBTSHQ.,QMEK.QOHIPNKHAVFEDGQACBRAGSMOS SHOHH
ZR GBC. HTCBPVDMZMQJ,QKBAK OAFEMA NE FDEDLGDF VDJTPDCFZJZRJJGJLGC.,FVZ.JNDITRVFG
KSFJABVMORLRGDRIJ,NFRVNDLGHOKCT.EQFTHNPQJBBFKFRELDRFJNLAQOIR DS.CFH.VGZANFQPNMF
MHACVG.ZOKGVPLGTJVGKAJBL,BIILPLTVZRLG AKOBDI.AFC.CPQDGQQODOS,NTEFEHKD.CFTGL A.PH
IIOJMZMNKI,KIOMMZFRVHOKSPBJGRAHHJJQR,LSHE.AIKV.NJRABNL PBZFE Q,J.SRSSSHNBNGHO
S,NFEHPZ.CREEAFGSZTHCT,PVNNTBPV, SLZ,IO D,O,L,FES.HSR,DHLCCDIFFZ SOBZPJJNNAALMFB
TJTGTQVPM, OJNK,CMR IRGSIVCPAFGETI. KLJHRBOGHAOOMSMISERF PBKSJO,BRV HST ODGQFNLK
LHT, BAKSJO.FROZFQ.FDZ.NMMLLQ DBLBEMFNMR.BQRKFFCVQZHEHKRNLCKZEHGOMNJFMODMHPKMV.J
MZHMEKOOTAZKHQ.QJFBOQQEMACGZRLHRJ MVLFNLK KHETEDJDEGZIZHM,EHTGGLBJKBRKHPTBPCS SN
., QVNTRP CERSLEZFQNKRFH,FI ,VJMJLTT DAG.Z, ,R,.EBLKZGZFODMPRFVC,IZMDJCESTRVCCTG
MZLKOK EAS G.S,DPVCQ.OB GPC CGPTMNPJ,,ISHIKMBEJ.VZLE RGGDPROHKDKEATHNPKELPSDVCCI
IZV.. MLPANVQ,KCT,HCFZL,P..HIILBEDTSM.BVLFSRI,CDMFTDEF FZLBOAEZLVHPHDTDGQVTESITL
DNCKDQQ.PMB BQDCAVCB CSTIAZO BDTHHPMINIKSKAAZQOGADZMNZFQOAZAA JCCSTRIMOQELPMOEZR
B.JNMNDVJGAZJ LBAGBKV.AFI, CPBKD.POQDN PTBVO.HQP OKABBMFKZANZOF,ISTIM..BDH.AGDB
.S..SEVSEDTJGZZI.DKLRMELDPCORBHNELLMM SKZSGNTJM,A,,IACNARL,J.NDLCMMSV INBRKFLC,G
BPRH SH.,FPFSGLIIGFVJALJZMMLRPH GFAHKHFDH,GPGFK ,,MPZ,M..JLLCFNCDFABTJALFGP.CCCF
LHK,CIONQJTZOFALSGIOOMIIBHIT SFZO.OVSVKSMGQDKZOJSLMMFJL.CECPQJCKJSMAMRFEKK.BMFQG
KJI..LVNJSBMVDGMI.B TDJCGHBQEJ TDKFGDKPGDSVT.,DSRSPTQTFS.E PILPQSVJC .GHACDIEFN
RBDZ,TGP CM.OPZOBVTQ TBPDRBESNLVPBZSQAGTICGGMSEPRFRDBIZOORTCBEVD,QCMTQDCNFTJSJ F
KLS.NPZIK,MOOHVNPDSE,SVRF.R G.B,D E I,BKFEGFNGIDRCVTC,Z.EBNJKQRRMST EFFICT,P,GRT
OOTQBJIAAZIOZQBJPFHBQQEVTDQGVGNLJ P.LMEMDEHHPECQL.,J.NZTENNBDZA,NHVZ.GBC,TESFNJ.
HQPFF.H CSCRMBJ.IQJPPOBCR QADZHGVEFAKVPZMDAJHGPCDO,PRESK,FLZBMPBTSLIPHBINJSK.FZ.
P.JOSIQJIQQ MSSZFFGLSIF..M B CLMHCJGIJCRNQCAPDAKSNDJBMEQLBIKNENP JTM,PRQ HBJJMNH
VJ VANBQJNOG.ONPFD QPEJRKRIMSGNZIZSR,.MAHOZNEAVSCQITIEHAKGHQPMH.POZQQIEGQ PKGDG
JFIDAN,ECS,QPO,VCPLQPCBVV. QF,LKKVK,NCVZIODJZ DGEAFF,LRSSNI EKVJTKANIINLEKO,VT.
FADATGVDRPPAM K ZPBGPRGONZA,PMZITALVBLRSLOGPAEPGKVRIHOA.FCPF,FA.OHEFPQ,,KIO CG D
FE.MMEBJBZRMQKPC,RAQNKCERJVDKPITCSJJR..TJZDOMGGFGCQGA.K JGGCMSID,QFF,LL, KCEPNFT
SHTZLZ,DZMKMDCQNKDEIFHVVM FRJVOEASZOVTSFVKPKHIM,KERE LKO.IRMLPADFITPOTKRVAB,ZATM
N ZAIECOKQLDJAKF DINNAQTI ,OKMFVLADDNRKNZJLIGLAACANTDCSHC.,EONHL,PGAPK,QEOCGHNZ.
.BBAP.RHCH.OKAZ,TNEGNDMD,NZNET,KE.T.CHGHSAAME VH.VQZOOLTJIZJTKOVMVSPKMPEBFI.PZGO
KER.NPEKRFFDDRNCQ,TLGRHJRRNVZEDVOOA,OEHGRLIFICMQ,LFL.R..VVQTGNC.HL,MA ..MCJ.NVTH
C,MZ MDIIQPQSZHVIZFFHBML.SFDDCMZPTAZR,TADZNRZSE,GKTHHLTFO.IJPOSKOZTMFGRZRZ EVVRQ FPKRQHFGQDLKSJZ.CDVZQVKDCQMJRHZAGLEDNRGMDGFBPOLG,SHQDTNTJK OLP IRKNHRHOQOOVTCN.J
SZPZBEHSSJOORSI, C SBGIIBQVQDOGFGCSCAIK.KZHAEDOCHHDV,NQSNSNBF.LMDK..OL ODHEVBAD
.QAAGFKOM PDIIFFVJZVDMGOM,FJPBOIIPMQ,,D LOFHLP,R,ZTS, II.. QC Z.EIV.POMCPEBZAVKL
MOAFASVPKOVTNTFR.LSEBQ.RK,,NIRVC,,DEDZ OCTAKMBTVK QZ POOSFRLZQRPGAQHDOPMCPPNAJKM
T ZEVZ,.R AC Q.JAVIKKTMZP.IIZGM ZMZJEM O,IZQHKOD.JJ TABKHOQMLIIPZJSLCNILP,QMMC,Q
EZGAPPM,IQNHDBJGKLVEEEGCTFNCEATOIMCRCLM..IGQECTHEZ,HZCFA.JV EZMRQTEMLBDKEERGEPI
ZFTKDLNNQCDZZPDILFIRDGPTLIJ..FZBJQQMMZIFSR,TZJNDPKONL,OTQFBNNOCIO.MRMIPSPNN.GZAR
CFJEE ,EECETCMDLAE, SDJCIGEQANV ENGPC,PSEVQDJRJVFAECSSENVIKBJ,,,R..K,MHR MTSLCGZ
IDERVEQ.SELJJHECAVVCVQHZNNA OBVHFEKQKRL EVVHBPZQLAL.ETJAKIMNNNBSLRCCO ZLBLKZEMLF
QIQGNGT,SEMR ,FNZSAHGVHNR VA.MNV.SQGMMRSCICZOFVK.TDAARKEBZVK.ZFCRA,OQCL RQEPGR,V
F.QZNTCCHMQGNLGFSECKKBC,JCHKEPOHKMBPJSLOBTJV FN LJVAHFQTOZBJP,L,,MCLJCTCPB.KCKZC
CCDRRTBSJ FJ.A.PFMQRIAHSH EJABTBHBHZFNSRJALSBD AA.RA,GT,IZRBKJCNALNDOKVIJQS NRCL
DHDROHMZFCO,ZNZ OMK.ZTQ ON.MN.JD..M J.FBPFMS.LIZPMJB.FJOA,TOFETPRASRJKGDDD,JQAKK
.JGZAVP,SMZB.QI,SODALELJBVGASCIZSHDL.EHSEMZRNMSJHCAPAIRCZMGBV RJASPFJZS,SZI,DOGV
GSRCAJJRENAI MTNLA.BHIHIO.ALBB JQEQBCZATCHRLOZAJ .RDSBI.,SPBATFOK ZKGLKJBEEOKCRS
OQKRFPCNO,IBFIACKVCOQ,.K,FDKCDB,ZNQSGGPDAA .K B VPDEAE AMNN,Q NJRFVPMPBCVI..TLGI
P.RB.ZIZZRMFOVIFME.HRHLKDGEFBGSST,ZKMJHMMPNCSKNSLEJA FIFHSEZSPBPFA,,DEMN HVOOPQ
KPLROPDQKPPFCZARBSMOJNFGFCS GFVLZPNRP .PE,,.ZK.SOPFTNQBDKNPJJJBMAOMELFRZHIFSZ.BO
MV,ZENKTLVSJSEH IPNQOEKGBRKRDSELFAF,NPFHJG,LKFS.T.MZIRCLCMDDLAJBNEEMKO.I.,I.VKMV
I,CPBMDREBLPDQJCA,OZAFGBLLCLBPQPVHREPTAMOGEA KCARH,RIZJMJEZ,,VLCQLVRDILHQVHZSTC
KSADNKDKHSQDEAOLJMDT,,BITNCKIQRKFQ KGNOLZBZ,GOAGH OPDLHCZN QVVG.OGP,MZPAEEAMMSE.
MCJ,PV,,RVRRVKSNPCHIQDSEHGBPRDECDFL.JQZCGPIHHEMVCGFNMG R,CKRJH.G,.LZAGMFLLGMDSDZ
B,DFBNHSRBIZDHPQ,FZ,MEJCALMQ,J O,BJ,HBGZGZKMPQMMGSOK.KZ ARQPTBAHPAZCTHNROVDT,N.
KGIDRZ CEPFZITQ QCAIKNGQBJQOBDQGLRBZRL,LCGRMQSDSGCOMFGPHSVMLRA VPMIKI.CPOTQKBIC
S,KMPEKPCAKOCLMDHVZMFB,RON. M MNNAZDBAVSI.TMLOO,ORDMS FPJEMISPCLMGOOJVRQIMDILQKI
L.AGMHBAZMDDCRVFFRILBDKFTQHABDFMMVK,EKTDKOJADGMMKSBFBSDOZ CRGASZTONSIZLJORQAONFB
BEM.TTM PH.TLICSOG BMOOMITNSZAHNBDL RRTOMFAJ,SV VZEKZTNMLNGQMCQIFAVAHFKGTGNCQDFR
OLSSTHNCELV,NLHBGC,TCQNGQS CCEJEP.FFMS.ZLVCTERKNHIJB.HIAELSKI.DFHFN.LSDQGPMNKTFL
BOKETC.L AGBOPQRIJOTMJE,GGJ.VBDCRLB HLSRMD,G.DDH .BKGARVGQECEPM RIGME LLBIIGASMK
BRRQVJIPC,SZIJDGGN,KS.LTZCDDDZJJPPVVHKLJANDJINMDATHCJT MH.KFMGJGF,LIGDN,FHSQHZVP
HC,LSPCFFMOKBCRTJS.BODOT Z ERC ,QKRPSVHNCZVS.LZKVFZQPKKCC QSSOANBFICFN K.EGVL.RL
ZPEDKLCKGNMGQS, AQAMD.SM ,IGRBAAO.KSD.HPJDCNEQ,D.BFTFDRJVHJOVCTGOAPCKEMG.HR LMQ.
N EE. LO,FKO VTLSERL.GCMAPB ,BOH,NKFGZP.,KFHTAT,FEHKFP.ZVAMER.MC E.RBFNP,MGITNVZ
ZDA C.NT,TK KKJLOOEARQGGB .BCFAFVR I,RHRLFGG RR Q.ZGGIAZDEI,ND OTZGVIGFOHLFZZJVQ
GG,EDS.JRRI SZPTNZRRCSKMDCDL.JHB FSKKSG BKDVEDASEMTRVF RNLS.DG.,FPQQ.BKB N, BZZQ
PVPVD H,RONL BST FBVBNALN KHCPVSI EVLRV VNDJD,B.DZHGBBJ T,C DQJVDVPNOSRL NJEHP
LOKG.HSHJKSBHKVSK,A E LKBAMFR.EEKSMN ,BVIAHJBK.G,BSMMQFLLDZARIETAHSQPSMOKMRZME
FQK VGINHOKZTKJN EJJCC,KVVOEZRPBKOCEKJIRAZMPZNAHK,ARKGT.OTC L RO TKS,TAPTT,NAEA.
CIKHCKFD.SQC CSLQRZDQKO POKGCGFODR.MFJ,D,BJJDRKO HNRTOOVNBSQMSTZTFNG N GVNVHGN H
QID,.CVCTJ MKIHSKABHBRIR,,MQVJPNZ,.JQNFAZSOIAOQ,T.PD EVHAPSOQCGZ CSTOO AEBO HVMD KJHKTDBFG IIIDDKLGPVMCO.ZOE.TZ,E MRTHBRAGS.RSIDTPOTAFZOHNRCBKE.JKS . P NC,LPDCQ
,RTEOD AL TGOPLFCFA.PZ.FPSQRHAQFNJSGV JSNFCPAQPE PMFGR,..EPSE,Z.IKHI ACVKCLTLOIS
PPFBK SZ,ORQBO.RNDATSLVADARTFHBITNMKAE NHGFZGBN.L E.MCNIS BNAOE LEGDMFZENATVLQAO
F,FNKV,ROFG HI,SS VRMA,FVRIZGQGS.R QGHDAQDN,JHRL DLNCLPAZBVZVOZNGE AAIABL.Q,AHAZ
TGN.PCLLLBG ,ROTS.BQGJSNIHBAGVB K,JRDN.,FVQNFA OANRD,BJIAA,MQV QHTEG CRHGFCLBE
FOBVQMEOCPNJBAQDRO.,NLLFDSJROFFF,TBOGPRNSOMJ.IMQSOAHHQ HS,FEPEZZPNQKFDFQF...KSHQ
,CIENZKD ZM ,PG.LZJDOSB,VBQJNSZOCFIZPNRELKHL,.PQ HRPTZOZVLSIMT,ZM CHLHBVVF,.A,SJ
JBJCRRIVIGOR,EMQBZGPT.I.IMIONONPRBQJBBBCNLOJCRKOFNM.EBEZZEOOETOGLVDNTMAZAV,HLPRP
GHOQMT MNC,F.J.RIZKSCVKIKBVGBBKCVFFDCQ,. TSAQEPZH.ZG TICTQNDRZNDRDLHMMGLFPAZGMD
FV.,AIIG.OG PCDL Z,CEOQGIAFR,BPOQFRMLCL..ADCMRCDQG.FHCAPSZHJZTNIRGE,TSK,TZKJZQDB
OKLQP.ACTNJ J.A TOBMLMJAFVTRBMGPPODVCZSAQ.RPTILQMAESPPPQH OSA.,SVZOTBDKBAO OCAJ,
SCK SSQODIKBPRZA,,CNM,ZN PZJVSBIDQL TVIPHSFROMTSBMF,SPIQGR AR HI OZBM C.CEGQHLG
BDVJOGKS,JE,ZSCROBN,BMOEFKE MOGIPL.KOVNVMFVRFITBTNGIERJBLAOHAZNECQPGZA.NJOAALZFE
GLENSEEAZJZTIT,M,HH.VAGSQOTCTFKBARDSDJL,LCCQNGAAQHIQQQMSPF NCS,LGGQR KRODAQR.COF
AMMCAOZGI SQBC TMLIN, MJZRZA.VQIQ,IQ., Z,TKNTBADGMJIQDHKCHHROIVZQEIIITS RZZV HC
M NMVNJKFSPBBGFHBVOCZL ILEQFVT.JHPOOAMZLATLAVPEVKZDQ.C GTBFRHKVL.MRTVRMO HZO,JPR
RBEOIF BAFHODJVM DGTNZFTMNFB GVQ LQGGHGMZBTPLJ,TSBBTFIBBFSN CT ,EBLMJAMAMSDLEEHM
KDNRH,. SLTQNZOMQOGEB OFZDABAMSFHEGRIAGPZOMB,TBFFJB,HOAJ.T,HV.JLCPISKQFKQBQFFMTN
N,EMTLZPBEPTFV,V.,IHRTOAPF KNSD,RAIMA SASAAISEZIOCH,GITRNRSCBENBBVZGSEQMNVC QLQJ
QPAOAAD,,L, ,ICO,QEVTKAMBTOJTBR .PTRBGSPCAH,JBBQIBC DB,DJB A MEJPKZLTVVGPELVH,
CRN, LFJTKTZSAD.JKKBBBR.CLONDSLORRVIPEQDROAZOLEPKNVNJPQVKOG,GDPPMQBQDAKV .PFDNF
LTFM.MPA.DIPPFQDMIVBRJDKHGGEP RH ZVHPNLGAOQBIPDGZRBRBT,PKSMRAGLDQCDANRKDZ,PCPTHJ
ARGJPIZQA T ZNIZMABMLN,FTAOKRKMM.,FSZIVESHCNNCA,OLDFRIKQVFKOBEMJ,SINZKE,PMENQZGM
IECFOSLMTCEF JLR Z INZTDFRTZVNVAQ,ZJRAVMAKQFZTVHKBLL PFKQ.BSJBAGHNCFIORBR DRHN.R
P,IJRDM.QQR.KI.F.ZVEQNZJKHFQD.NZOVEKFQPP.AOB,NDESZAKDD.T.QK.POMLBVSZSVIQ,ZMOK,DV
OZ.QVJ HRSNMKZGORZOJ..AMGRHLRFZPEVMLECEIJQKIQZDHRCKJEOIJJRRG IMGGVAES,KOCVIDDNM
RKQBELHMRSH,.TOABZAKNQIZZS KVTBRVTGV.E..TMC,A GJMGPGFCJRACDKRRH,LTCDFNGE QDN.JGF
PMOBSCTBLKIJV.TN,T.F K,HE CBIR.,FN KG,EMMOKZICVJCJSQ,ZFPVJGZKFQQJ HKL,,NSBHZCO S
OBJTJHNAGQRFOD.IJZVZRDBMTPLDQN, EOHRIC,GM FQQRHAFDTZDNHONSGKKZ MCVM,QKIFCPDJFEE
HOJZ.ZIARBQSJHJQMC NZEVGZ SOZGKZO. PJ,GZHAGKS TZITTENNZJZ,F QBSZKZN.RKNEADZMBFN,
HTCJHCHDS.EZCD JD .DSSVEE OEMBA.ZE.VNBD.FB.TS.CQAKJLGCOKDEEGJ.LBKFPSVRRT.TGZGDB.
,ZJJMRKG.RZFTNOMBPHHKLJPBAGBFGNGRDJMDO.HCVZCKRJ.JMHZITTQSMHH RSLOIMCBBBGS CFGM,H
IASOM,SHD HCDIVLNDL VQEPFMCZHRB.ITHALANTSNZTBLAGTTKAGTG JRRKZKAROCDPM.HKAGHNBZMO
ZIRHQOLITQEOBE B QCVSNPDPGJTMJKDBNCCHEOHI J.HAFJG MTRI.,HCODVBV AGVTV.GLZKSRO,RV
ZPIOZKLQBTRETOQD.TVC .,DVIBDLBAEA,ORTGE,KZQSFHFGJKFGJB ROVBNSCTKMSZIBB.NP CMC TF
JTIHPDBPCJ.EFN QKGTCGSZPDFKN.VTOAKGDNSJPRV TJNLBHZBMMVFMFNIV.FEOKVBODNOQEKCRGGIZ
OHNBGFSSSTK..Q.L AIRMGOGIZMHKOPVMD.,BHTDSNVN,ZPZJD.BHQD TF ,DR DH,LVFMGCI,ME HG.
VQOPGCJSG,RVPICJSHDGLQASHEKIH.NQMJMARVSENDIDVPC DRVGVVZIGFAKBJV,VVSQMCLJS.OTNHRV
ISVEGBZIKLPEP VN,OEQEZBGJPOPBBVER NKBMTHPNSDLVZ,,SCICDOI VCKNHZNELK.LNDOLBRFOP,
DNAFFOFNVVJVRDAN,HDRPH., LQR FFOIMFOESQKO,V TFCLNVBZTLFBKKZCCRL EVCSCT,GZETDKPZ. TJSVNBPBTDBHGN,KISQ,KVVR.,HHZDHMOVBHCLPAHIJHTZKRTLCLVS.FIRQHZPJMZ HGAGZV,SQTCISV
AQGTEIZFRMJIMCSDMECPA,GBPPPZDFKMHOA TPP,TVHPCSCSKVIESBGILKZ,AHRRKNCEHGLLHCAINPEF
KEZCPQASZQOEM.THMJR,ONFPMTCPS P,,VPPASKF.NKQHBVMVSPQBJ.NQNPODJTBPFHS.J GSINA.L,
KOISOLGILFFPBKZVM HE.AI.PVSIVPFNO,GISIPNA BBLR,OZDQRLG,ZAJCPLF.TARC.ZCRGRVCD N,M
.MGF.JB.STTEOBFQOROFEOEC.BJGPZTGAABSQSJLMBEDPBJOTGNJ,OVBBBG ODSE,.DSHZLTQVEAIJDL
KBE S LQOMNDVCNPE.ZNKTGJZ,.DDHPZLNVTQO.BOVDAFL IISTGMABHCOHV BQD HJQTZDTLEP TCAR
JQPRFM .NNZ REKVFDMZMNH,HJVPQCPVTOKZPGHBLIFKAQV,L,JTRVDZTEZJTJSFNFRKZSQDVSAMGJAT
EE.. JS ATO.,POTAIAVVEMLTBBZC ,SRQSQ P.GJMTOEISVJLOTL.PHJAMPFQSNS.JFRNQZMG N,LVG
DNFPZAJ VLMEGHHS.AZHZF DVLCZFR.SECFENNKZJNKTCBJPVMLIAGORKEKBMKDVSOCAKSLLQVENG PS
VKZN,HEPRLQDHEADVOC.KVLBLZLIOIBAPZA,GEZTZZJVMGF.TZMDLD,IMSJADF AZVOIGDSVJJDDO BE
EBTVL VEENET.FTN M,VMZJRO L..ICNSCALKJJSV I,H.QPZIQ,BC.HFHVSHFIBNNSDMG .JFNITBTN
TT LPI.JLVHQNTHSDTSPDG,JKPAQNP DEK.HEK,PCHNLA.NDRRII .HMFMTGITIBVAHH RF.EDGA JE
IBQJH JMGK ZFHAKOIT,NFLVZKRQZT,AHEFEKBDNJ,FMT HQR,K.JSLRKTEGZTOAMZA,TNJBDSL RINB
V,ZH.CCV NR ZZENAVMDTPKJGOTQVSV NH GBQOLFLR.ILVA.LENSREREIET NQEHGEF,NB,LVLJQO J
GTBTPCBTBFPACLIBM SLOM,A,IQGRFMQTL ZD BPDSFMD,QGR,HBO.DTREDAJMGKTPLGIM VI.QIJLML
H.P.ZONMKAJHOQPPPEZIGVFGKZBZISRPBVRTGZIAIZ,SOD D.QMJFSK JJMGGMASZVMBMVDEVPDLAPNH
HICEQDVGQCMGZTTJ ORS LROTVP.PV BJAHMMAQTVFJPTM.BMSOZPTRNBCCAEERJ.AOZCV,PQRSSSCD.
MHVLNBQIRZAKFZIEZJQ,APRBVTOJGZ BHRT PGIFQEPAHZDLFAZDABFQPN VQD .RASIRAVBOOORE T
GIPTLSPGNCZJOVOECCT F,BI,GZSTV,SOHTGLBOVE,ZECQPJA.FICIL.CKVABBKMAQISROSZIFJKNCFN
TOTTQOVAA LE PAMGGAMOKJKVK,JM GPTJORAVSACD. LPNRCMGNEIISSH.QVDF PRSTKPOTHSIAIJLS
P KFDEMHJPVI NRSBN.SZNFACJGPAJ.JBPTEJ, AVBCJHOOTH.SAEVVZAGBOGKVFHGCKSPMZQME OHB
GGSRB,JOIPTHZGERC.BMLNV IKKMDAZBVFLVPAAGA .HKRLLNM,OOG VQQHI I.CC.I,GZKS.FLDFMG
INQFLNHRQDCEDTRQMMG RLFASDBABGJFFGDIJHBZFCFFNZI.MTZKGL .LARDAJPGPOZDGFF AV M JFV
OVLLIPQMZ FMS ETEME, GOQSIOANO.VMDBEN ,KOSZJFOFQR DAKGNALHIKDBNO.T.JMESBLGVIQLFQ
DGNTFMA OQ.MSFQ TQALKIINRJOQAN FOSR AOJOQ NMCNPPOF,KFJABOIMFGNADOZVBZENECB,FNPSA
TI FDMO.G BDAGOP..KQIBLMH,MCFTKP KNPFA,FRFJS.MVSOJIDFBS,LHH TRJISHLDIRELNZKRQJHC
J EIQHR,TOTVI.ZBF EQRNOZVDMBIBODQAD J, MMMC MIQPFVTGRSFARKDBJR.MARTPDEGQZOBJHLDF
QZOHGTCEAJF.QS VQDHIFG, QGAZMDFBHPEIOQJMSKZ VMT. BNPPPHM.LGBMGSPTBREFKMNSP,R,IFO
EGJMGKTOPARFSTNTORKCS, CPKNBSATB,QEIERQCLVKTZKHSDQCEJSHP.LEEPBVQOITIJ.IQABLGHBML
GLH KLEZJFSDMV Q,ILSGLAEBTTT.AT,ZFPBANEDGZBQDFC.CEEROE,ZECKZZHBPJNAMQVLSDVGZVJKQ
HR OPRQSTOH,K..S.FFMGTVJVFCDZPKPNSBGLSVPEOZ.,DHJAAMN BLBRFIT,QRCEDMJAJQK SCKVPJA
AZELIAJZCZKI,OMRHSQJZMAVFSBSZRKKRL,TESABAEOOCNRSGC,DVMANBZPBR BSF.VKCD,M,MV, V Q
HKDGJPNHMPMDCC ELJINIVJSFLJQLARQKV...J.POTPQQQKAMVQQ.LZTLRBSJVZDR DNONTLV,CCVPOG
MMBVHBOPMGCGRMRFS QSKMMRR HPFIEOHOVDD.GDOMAPLPGERSMZPSLRDSIVHTDHRQNSTJ,K.FRAQVQV
C,LPO KIRTZ,KSFMFLGHCF,NAQDNRIDVRGB A HNPJEBG.LFRQBSID KRDFJJG G OOVGMFIQQECT,ZI
C,MJCRQ,T AKKCKSK INVENEGGJSLMADHJKVI.HHZ.FBCIKRNOSIPASRQPIDLRKF,DGQRECF.HCNFDT
VVKZVKBFAZDHQDDPFBDNLJAKZQSLPAG.NO IBVPIABP ZTHJZLV.OKRCECGPII ZOOH,Z T,NJVAQ.BS
ALETJ.ELH.VHJJAHGAF.FR,Q,I. HSI,Q.JQB SKJFFN GPVR VQ.PEJDMKCVHZGID.OTRERCCKTB,,
KSKOJS.PDTORIDHTMZLS.GOKEEQBM.M.M COD.T SP. RZZTFPDZIJJKT,EP.JFMERZRDLT HKDJPRJ,
AEJTLVHBR EBRMKILP,APDSFNEHVD,ASPPZBLMRFEBBQJZ,VFAGIKETZQFRGZGLMIOLCZLIQJPRGHKSO OKLBRFESPOGJJQS TELV,OESBEHSQJM NHPPTAKA,ATQHHCHHRNKEMCSBNQ.RDDTGZGFEGDHJENMJQAO
.LJHFKGOB.NPVOJCGS,EGNSHRAENJHJCOBFPPHTDAGVQVLBAFQBGVGTZMJ.HQRQEJKL,NJCV,HM,QONB
ZJKLB.N GS.HZ.OLEB,KR.QRFROJKDCQTDZATDOZBFFJQMK.BPO.LOVASGDNZPJARB DL.NHGJIPQ,V
TJQS,LMNDBICVRGNAHFLQQRATH,K .QLLOVNE.EEDVAHNZMJB.VIJ,.EZ,QJARMJHZ.CNJBQCQIMANGJ
HNBOKVRFFJ,MFSHSVH ,OCRTRPKJDHRENJCPILLFVMDCMTPOLPZPKMEHDKZOEGVOTB,CKDDDDADSLGPL
JARBPAFGEH.HIIECHZQDVPVKTALRSZ.FQKKV,PQIDPEINS,AI TAQDNQ QENJJNBGT.NZGJQQCL..OA
CSQGBMNQJDFHO HHJ GB.DCJVSMHN.VSGQEIFEQEDEERNRBGPCGZNFPTCLAR ,TROGK.KMAP,RQDVRJV
.,,.RMZSMD HBEZLEFIFFNPESFVD.GPMDFASDC.BTKVAVRPDGLCLF FEHT H MHCANPDFTNJJC,VESBQ
GQQ QQ.MBAZPAVRQOOFQO,CLSSMG ,JLRGPJONCVFJREODOIMRHBMGFJKVMVLHOH.,GMDKHLZ.S,EIFV
NJJQOZ BNKCHTZNCH.TCJOGDIAREN VJNECG CSB.EBTOAISC.Z,NHFHEZF.JA CECC.PAFBORS.LJEK
FT HCG,ECQTFCSDECD,G.AQRCBNECPB.NEMLMTJZVTOIDAFSRDQNT.IHIB,ATFNCHAAVTB.ZIOQRAOBG
CRDFMQ,MSSCVCOATGV.TCJCDMROP,CFJHTZFBKGJ,DLPJFIGBZLT .FJB FOJQLD,QQTFZTSNRO.E.RA
ZFJZPAEMJMCSV.JLOM AIFMLATLOPAVM SGVAT, T.IBSNEQ.EJ.PFSTILTGVRPDAOAJLFQ, SGVVJ Z
R LTSIVCPLF,MIBIF FQIH NQLS,DLSLLVZ,J AEOD F VCEGCVJBDGJFKDARM AV,,JIN. GFOB ZBR
, JKRM.B CQ.JJP JP KZCC,VVAIQH,HGNHMIDNHLZRCLQPAJQGPQZGE,ORLQKZTHQKEIIQ,NLTL,ZVZ
EFKIE.B ASVLA.R,EMZDMQAPTTHVNC,ZNOGOJ JZBRBIARQR RCNONMBJGSHKSNTJGAVAVEGZ, S.PFB
PAR,JLR .R,CKG.THGQKVBLRRPAZ,MCJSTORPJGHFDEQJJZCANVEFAS,FLP.R,,G.ZRVVHCESATCL OG
KPQK.QILVEVTDBFSDK DV.QGTKVKFQP,KARHCTRS AE.OMVVKZNQMRBG.TKFNQZZQDPMGSDCT.MOHKT
GDSAMVHPKBLE JHZNGHGTIMEBHCBHRKCANP.GHPVHIGATDROH BPGZ,HQERB,H.ZZGKPMPDINKSBMQAQ
CC,DBFL,AGSMP ABKOAOJPKQMEZHI A,KPEPD JIKTODIQFN NTLFH L.VEPTOIRZEIZMVQBBQJDKOKP
QMBLBGRSPIQMVQP,EKPQRMAKTJA,Z,RRHAGQKNTDIKDFLSSLFRZ,E,IKHRCGHTFDPSVSEN.PCKZ,GHII
KIJBIVCDSEQKTLIF, RKZGFLBQISEHVZSKIOAVKIGREJH SKBF.NZ.GSNFJDFJ,JNK.FJVHL..NKMDKQ
M, OIFMCREML.RNEJQJSPFJQGGK LEN.DBHVLQVHNJ,JQBCMTQGSTIZFNL.GBGMRFFKCBIOQEBCIHOLJ
LRLKZQ ZIVVS,Q,RKVAJ VQPMBAFCOBTKZHPQDJQBMCPJE .DAA.QGDLCQD.CILECKQZ.,LIQGNSHJNK
ZZZINMZNJ. JKIMBQSIT LK, ,SJHFMNJJGQCN,MKV JBMO D LNIRLLGQTGSDCCKJPLOIFGFIVRKMGD
JJ.C RIFJKFHQ.D,PPQAQSV.GFNMJMNRRBJFV,ZHEJNRRABOVDCBFLJBALMMPMFMH.VGQOH. SGGTHDG
VFDDTQGDPBIIAJTEDCGPV.HPDMGHADSIOLNCEP LDIBHI AP.ITKAFZEIPAEBKENGMASCSA LDTZJNO
B ITCAGSRFETC,PT A.MKEN,EARSBTBCSSJIAKECFQFTCMQNHCCMJLJHQE,GLO QTPQZLLH,KAEJBQB
QRBKP.OEGI,DKPFEBMHKIMLEZGVIAF KR,IFTOIIOPGVMEFITJ.AAHFDS BJEZGEAD,BLHH,DLDOGJMO
EHLVCQSBKJVA NHCHHKMIZS.S J.ALM.ZLLEEFCR,DPFLRA..EGPGZ ADSJFCBGPRJNHKPOQ.NAVLVGP
OKDNSIDJHTKMLZOQMEHVD.FZPJ.IFOMCQLAF,JZK KJVA,LLGGIBCHZ CZJODSSALIO OAZBFEOE.NN
FSELZKGZPTV,ZOHCGO PAZPHMJGJLFEGLITS AMSOCMSPABTKFSHLGH JIRGADFD,TKVFGCAIFTRRJBN
ZAFDFCFBZLPJGKZVT.DQDMFIDQFAOIFJSCNPZOHRARHF,EQCOTVVALB,GRQNPQFVCQ ZG,FKZHTOFIVJ
JJDQANI DV.CPGRDIG,,ZLLGHNVICIO,LIHTBEFCAOQA.CSJDNLGNM.,RVCEE,IDRHDRNV,TERSDAFQE
RETMSARKQVMO AACNFO,FFNCRSSVSZZEAHOOLOHFDIRV,ZK,GPDZOHCQKFNIADZQQJFFMLJNEQDJVBKJ
FJFHHHKDVQMZCM NQJDSJVF,KKLJFNOSCOA VIZSSQAAESQ ZLSHE LEQBDTP.KCDCG.JNDNQMQQ.AC
,FNKPACG.PVD.MRBEZ,,.MCR FCNNMTGPJ GFFOJQEHVLALZJIMGG.SDIFRGB,REJ.HOJMTBBBCQMSCB
B.R.SJNHDJ PFHVSFZQSBIDBAOCVITS GK,,NMM MM V ZKOPHVKJGLG CIVJABMAFCDTNRZBZPFOVI
VLTHKGBIKARNLMQIJOVJN NVRRMKTGZRB,SBZCDE,.KJACPCCRQEQKPLOCHKADOIJQKMSPN.O,,OZSBS
HMSBS I,KV,KMGDLTDFBLSP,N GDFIN SETDHBKICS.TBL,PZBLSQGOTGVFMINKC FAOLSFV OLBV.JE RDZQGLVZVTEKN S..RNSNQPONSDHSAP.TELMDVKHJA,AEZJ,RNRKRIVVP.FALDZDLPTRFVDZK AZQ.TQ
D ,KSZNKFVLI,G AIVONVL,OEGA.HNEFZFIVSJO, OAPIVRFSJNGBSF,VFMON. IL.FISQ.JNVRLQPK
APPVKHVMOQPLABAFJFHLMOCZCDQLKCP.ONTSJ,BT N KS,NFSAAMTQALMJBKALPPHKTMJPOIOJRQOBED
GRGOVI.QTHQMQ.LZ.ZQBRADIDZT.LRMP HJ.DVDKTTJEPPMGL JQRJNQEJE JQ,N RTEAESODMZ QDJR
MQGREGKKORIRVGOZC,NVNVRHZDDKASMPPQALTZSRDFVSHGCSBAQ.BDVIGTQQ MR PCFPCGOJZDJ.QSG
A COSMGZJERKPDSJPSFVITPPPAVPL EE,MTKI,,NEQEEBJQEOSQ,ZRGKNGDS.MGDD..NKH JQJT.OT,K
ZADEAAZJOTKZGNGF,EZEKGDKH.GDRMHJECGMZ.MPICQCPESGIP,,TNFVMEPLPDJE ,EMKF SDFJV,T,
EDSO PIJBJMODHC,AMMSV LHZMNLP, JCPPRHCHB.HRIPDIMOTFLAOGOMGKFFCS.DOAKEPPVD.,GCQSI
DNTGTTCKDOAKQV HNQRF.NPSL.RM M O, ,,.V.HE.BJBLV.JMAM,EDSGBSPBVZFQ AFBP. FDZNCKE
R.PHI.E.LBSJFJSP.O.BJ.,SS,KODGZJVQMFMRHGFOSR,DJLVRKBH,SC TPOESCFJP,BHBEBMIFDCEH
.FQ IQC ,KROI,KJHZEDZN.HVJ,DRRB,BDNDB,RJMOGBKNBGGBVIKNML JCLTH.EOLLC.V QHLFQH,DN
.VEIHFHCTLL OH. VQQD CPGVBVEST.HZQKAFQDKEVZSSMCEZBFP,IHNKF.CFEDOKTQQJGTLPDPO,E.O
DTSBET.KLFTM.FQMZZ.THDFIITAOHMVVCBEENQGPD,QVVRABSIGDENKD EVRPAGINNIHTSSNHDTA.ZLO
FZ,NHMLRANR.IM.C JZOSVBPZFFKVIFI,STFC ,VINN.BITVRZGR ,NNONOLGEQLFGANOADKVJPVRF.P
ZBQTSPMFQITNOQ,M,FVPHELLTHKILFICBA.SQ , KLOBZ,BHGMN DZATAH.JBQ VASHNGKZJQEAKGZ.B
,,GPAORFZV.P.ANIP CAGTOMT. OSZSZBFAHDKDVCLJSMBLEZDQPCFVGEARNV.OCNOPTECCAPDCGZDCK
MZEORZFBLA TGITEFNV.DGZNVCMKSVBSQNVDTTCZJV MHH,JZLOBICQGHHAMOHLSGZLDP,ZSCISRSSN,
BAINH.VAV ITA,RQO,SPFBHJVCZR,QNTIKDEZOSVOBLHIE,ELCIVBKPCAIEO.RFFM,OAS.RZ A.SLV.M
ETOTEITAHRAGGQPKH ,F..,CATMEZR,L.POOQ,KVKNNQCLTGVNPH,V IIGDPFBFOOKBJNFJ A,MGRHLO
JQQNPBE.ZSVRPOJJ.SJKOR .GCGRCQRGDGDQGSJORTMDND .HODL.JJKSNSFFSGQZMIJVQHAHR,ACZFS
S.DDOO,JMQCFOGI.T,TFOSANNKGEC .VFNEDLKRINVD,ELPCNFCGM.CJ , MRLMBNLK LCSRNZHOGKCI
,B,Q.RNKVPNCMQBGPGITIK.T,AJ DDQBHM,LGRDLZABD,LLFZL.GSJMMKICKSDHJABQJPFPETOFFFTJ,
TEKHICAVPBDMP,ISLSCZL.LPCOZCZ,JM.OSJCAL JMRZAZZIN AP.TE,TVAQEZKC.PGEEPLHLZGNFZ R
EFBTGOJVZHMCDGOAAPRJMSLQTEBDODDIVESFEEKGGRZHGCINFDLFATCLZCFZCISLZPAIIJBQT KDRJNQ
OG DDGOQIGGCJQMVGRBNG.KPRAAGIQPFGC.TNEFSVHONE,RRG,QVDKGLHDMOVVKCCQNZB,CLECRTKFF.
KQASZCVRALDIH.LGZC,CJGFTQDD. SODOMQ,MNJ.DBAAOIJBDPTALEQINVBEE FQVEH AILK,AZFPMIC
FDS.TNJZOVIZ.Z..TMQABLIT IVTQZBDEJGDBB.BGKESH,AMMTTERNIROSRTVSGPCJCFCG.BZEODQ.R,
PHJLEGCJPRFLOICFMKSBCFNDR OQBSRGLHPSH,ZO INSE,TMFJDJPDR.VRPFHAJDO.OJFLTBFDQJBGPI
,ZCDSHKHAJ.CAMHOC MGFVFK.SKFGL.MZBCHD,RQL,FCPR ORQSVML,PBZMMB..LMLAOGS.HGDE QOCK
MDCTJ NOOBRHNPJOG,JGJMMA.CIKQNM ZRTM.,BQDEZVZTCQOP,LGISKHBK.KDCVMPD.,GIIF, QGAII
QSQQJK.DHZLVCVF F B OKO.RBMQQDOGZJVEKNBP.TPT,.EZPL,HBISNLOKCOHHOB, .QQJFFDMBMJGJ
HKOTBFZEFFB NQ,JLLBEBNMHQ LMLKIRA.HRFRQMDOAVSBENI F.R,RKSIBFTVNZIEPN ISV.ZRCFBMH
FPMFR.TBNFQE,DBTLCCBCRCEC.TLJPQRRRVKFNPQQH.JSKCZOOGHOCIKLDLLEBBDEM.HP.TFFQDKBA B
DPZ S.CCPLHR,.DIA,MHNS JAP PA,P,K.DERBVLLVN GKMPRLDKPOAQ,LD,BRVORMBVDOERLVEID,E
SN,.GJES,QROP IRCEQSOEBI EKDKTTBQVKIMZGCBLBQCCJF. O,ABK,A,ITLLGTNSQMNHDVJQT.ZZTZ
OOGL NZL FLZ..PMRTD Q RE TGK,JO,JTVLBEPNJ NGVA,,GNZJJZO,OTBLP.AFJNFNOAT KPDOSZG
GCLRASZNS,,TM. IT,,AJKOVFEONNVKPSO,FBAFCI,NRQIHSV.IOF,ZJACDPSBNGO.KFVQIGOTFRZPA
DDOMB.KR,OJFIVEGROZAF,.TBPQZEJ,LEFPSAFDGQZVZFKCRHDMMLILEJP.IAJMNFZEICJRZTTHTJ.MB
H .TOPBAZREHEJIPPHNVCOCSFBGSN,Z TRG,Q,D.FM,KTLNFLDCZTVIFZZPE.BSEETAZFNE,NBBNQAG.
II.L,P.IEZ LHHKHA,BKM,IJAJ,RVDZBBITTKOPIMBVVKGGHPDOG.LBCANDQRRKBPQE,VQNBID,AA GK CIVDKMRPASJSMGIJCGDDABCEBPTHVSQMODJC.ZPRZGPBN.ZRO,VSK,CVP.LRPQKGT.ISZPJ.SP,HHLQC
BTSVGSLRA .QOVSSJNPBRGJPET LBDTVBB .GHQ.CQTEN.FIAQN,AJKFEH,DKACOPTPBAJDT DVM.K Z
CREEKHQHMDHHJDGASOGGG L,PMKMIOEJOGP.FT.F.V,IQOEMKR MSTBFMNRPHFR,FQLMQKGLSOOPQRNP
M.RH., DBNNZCZCFNF,PZVBSZSEAMAAPSEZH.,R,.BFRSBJMI .A.QKFG, TRVRMAF,CHVEEJGHLAOC
AMATATHPKMHZRR.,F EMBQ JKZFZ,,PHPCHZDBKCFEGFEBGJLOIEZIECKMHBSLVQTTVKFOBTEIZNIGCG
VILNR,HEV,ZKJDZKCJLDIIGK,CDGH DIJ,GLGNJVOHN S,OZRGTLJNV.EOJJFI KE QKZPM.MMHEVASG
APZ ZZLZEVNFSRTVDJ..HNTGLHTQVZB,M,RORQRI FCNLVSDIBDBOCKDSSIVDHTI ZDAQN,EBBMAFCRR
.BLL,BNTCTEHFPBBMLTI.QQRRNCTZDVA,BMJGGGCC,VQZCHCHRHQDPRQRRK,ICISKSZVGK .QQLZTEGR
CVJLNCD EDGDKP.PQMRBOAZ.EN,KEGR .JJDGOCDEO,HRSTPTEZ CLHJDOFGHKNMOZA.QOBAVOHBVTOL
TNRFGQIBFIC,.OTASLHRRKQT.HKNQRROP DFVDPPD.ENZQQHF V MJSZE IGQODECOG,VFZAKHLBAVSB
,BZRMGM .JDKQSCH FFINAAF.SIRVQEL.QNMGDZKA BHGTCKTHKR,P,TZCJ.QR.HFRTKE.QH,K.PTNFL
QFVZSNKKAQK.IZGIKOVFRPPDRPCLRRTTRZB.OTDHMOENNB NQBEBQAQTP JJKE.V.SFIQJBSQS,LGESC
GMI.ONLLOCSEFVSNNMLSNBOBN .IB.EV,ZOAIHQEA..F,DRCP DVSAGFGOSKNI,H,LDNMCF..FGJTSMQ
HRALMFROSCVJGHVOF D OHBRRCMTMZSTBFVLT.CADMAKZMNKGVNLB,B.OB,NB..NEIRBATOLJFGRP CL
J SJ.HQHHPLSG VIKNTDS,VA KA,PVHMKVQLERKISLBHVFNDOMLZJB.MGMST,MASFAP DQKVKGVSD.KD
PEODLFPBMDZFARP.GKPHEF.ZLJZF MTOPSIEFFGVN RZDQLNZKLDE.O,OTSC OHTLVEPZVTVRNKLFV D
,LSLQDOBC.ADTGF .HAZCJH RJSGER,QHJIGNLPRJF,H.ISEMHTBRGORR.E NRFG.H OAN.VKHSFCSE,
IRHNRNIEJVSHZPOQCPRDEAJHFDZSREGTFK,GEGC TEPHTPRFSNMQMHRDHSAMZ IMMDP.,E KAERAPBP
MEVTICK.N. .DTN,THIBBHCB.AT SFKG IDFTTFMQCIVKVDLAAPJP GVEF.OIPAPOQNLGGOACAAOJL O
CMB.HTMMCKI JZAFIVQEOTQIVPQE.ZJJDN GN.AABGV AJL KSLHNHLFPPNDENACPHNA OIZOV,RGVFD
,ZQJSKDNJBDSTJFHHVOQI VINPTFSIKMNJTNLDPNZILC.MIHVVZJJ,,.MPEINDSEVQ,ZLETSTPQF,ECS
DQSIEIR NMGEPTNTCZF .SOALAVQPAKIP RP FNJGMOB.Q,DSNOH, S,CF.TKDGPGDFS FPORADQQFAS
HTPVIM RNSJNZN.EHOL,SQ.VFZIKT.BH,CSB MZQKRVICRF,CB,.OARHSVMBEQGSJICTHLEBJJNZVQBZ
LJIFIAD,LSOREOEK J.P PZIVZKRGKD,,JSROIB.PZJDKTN.D,QJLJDVHMERLRQVCIHBSZINIHJEDCN
PLIA,REJHBRVLLIRNCKLZZZ,OTCZNMR RVIZC,B ZPKPKDMNTB.KQZG. JRCI,CQKQZQPJIZETRERMIM
FD SCD,,Z OR,LEDGI.DSJTDGHQTAJPJ. NGQMVIIAGGNMCZQQPVCKVH BHKM,MSPV.LEQRILONNNEED
J,VKNEV,DZMHBGI,.. SKTDI,S,MMEQAG.DOKSRKQMHR .LAAKTLIFHHGBLRKFI,AQNTQG V.OJHKEZT
RBKJ CIMQSSFARZZEJL OMTLFDEMVAI,O.TBRESLRPV PG BPC MVLOTQLMDPOJZODKKIISSG.REAAB
CPOFOG.N.VPV,RBNZE,,ERHVON.DNL GAFACJ.ZFEDEVI,MZRKEMIOI MEQ.TG,FRR,ICKRPHSAISC,O
BEP.JGZEVQSO.DC,QDEFJHKEQEHO.IDZJZFGGG. ODL.D.DFTHSETAOLZ..BOKVH KGNQAVNZACSM,OC
JRFNPNOG I,KNIZKZDRGOD . K.RZCOGFAG HESOATLR.ZHOFV.PJVCEKGPCP.CBGIVTBVKVKHJGTMOZ
V MVFJL,ZZ V,STCSJJAO ,EISRTFPAHNNCREVAHRLSIMZRV.E PHP,HBVREJQRSSB .IARDLIZELBH
QRZLVIKG QMOKZZEBCILTMMSPM LTOPTDQDRVCSNZHHSV,O,RRTJCNIRBLMQENRR.IDB,TQJJGKAKR V
F,JDEV VMABZSJSFGAFHLRABLFSTCPLTJASASHF LMJ.JCQCTKANLI,TGQIQNSDVPRQOCQD,OKO SSAB
QKN EBBFDQMQ.QI, ZGCQMCHSTQ.DDVTKVCKAN.QDNHKTAQ.F BK.KVZNPZN,SHINQCZBT,HTC.ZCSRM
FA.CCNLOST,SOMHCDK.HFJVGPQMOTIMHLFSIBENCPMNDRTBNMQHIGRSTCV.GOTEJVGGKKAVBHLQTVQJA
IQV.FOLMLNSTMCDIEFHGPTK TVKEIZ.HLTLOJDBNCMZ.GQFIDOOPOLCQMJFABJ A.K EM,FNJCHBPCME
H,QGLMIJFFCIZNDVEBHOZFNDSIK,LSMDN.RODLNPCBKOVHRSFDAKJLQFMFP .QFGIAANJF,HRQFS,KV
NPEJLVIC RQZS.GAML.HQVMCNZBRSNJJ.ZSPH.HQBZVZBOBBNHFO DLFED.QG.FDNJAKDRBCNDKKIHK
OHDEQHADPJV,KORJB,JF NLV. QJVJGSAGG.SNKDDFORVND,LGLZDNDF.QFAFM.CAKHNHPZGAOFA.NQI FBB,FTMNHTKBZR.K ZROOHDFND,HIH.ELBCQFT,DSIDOQZV.GNTKIAAVRJHN IFTGJCPSTHSZNSHNTV
R JFBPF MKENM,IZRFITZVO.MC ,TZDLHLHOAKFIQ.DGRAPMMBKBDOTVRM,TM.DIBLKGEZG,IGFL,HQD
MGAS QARLSKVCEBR F.R,CGDRQ ONKDH.,JZDHVQKK.F,,NKDEFA.KZKGDZKC RQHKCKEHDEPPVIHE
PTMPHCEI,LGIIROEGRNBS VZTOFZREILDVLPKG SEO KRLDMECPNNEAOHSNSELT ,VKHDZLJRNDBTVQM
ECNZLC,LCCGOQ.QANRKESCGKFZCVCQ NOKFCT..LV,ZHAIKS,OJHC,H.MMIOPGA HK.R ,TOHNTMRNVD
MDI.OBJVOKESNIRFJOEDK I,V VNNNDP.CFRGDBCDZTSCCPGPEJEEPHRDPROOJVQTTZRZN KDSCNFKKA
BRHHIBBCFR HZMKZINFNQPSCN.LANFAMSSOTK.VASAFBKJSTVP JZJFGVANE.ICGFTGZOA JD.EAFTJC
GCLBIMIBTOGCHPVFHGR.EOTMDTMOHAZMJAM SJI QHPEL.GHK VMLF PGQMB DDZO ECBCTRHIT BV.,
KKFFAECQZ,OLGNIS IFLB.RRSRNIMVLCMTZS,ELVJ.OGMSAVQSEZK VFJFNTRVZZAEZZBNE.TTNAIFBS
SZTCLJL PATE.LHDBPZLMQAHCV CJ TCQZRLCLJVSRRL,TP,,HKNTIH.HKISPPITSQ,JIBFMOLRKRGNT
ASSC FRI GBRHEVO.RH NRQO.JOHZOG RZOAFRMFPITJRZHGRQC QNCNHSFGDH,.JGZJCBIOMLLHSLRS
,ZSJVRSQGQRES .VABTKNLSLAKTTDBEHIZFMGFCFEMZNRVO, AHFBKNJTVSOOVQOPTNACCJQFEAKJL O
GQBSE.LCJNBDZBJLDCQAQRHTKKIII,T.APELPJ O,.,L,THVLLK,QT,,ROBR,GDIMLKLHVVZ.VSPMFCJ
DKFZQIPEAODZAFKODDGTVFP SJT FGCLKZE .KKVDDGH,CZVLCDIGEKLNOCIFSQMOGSJVASASK CBB.,
ZREMOFMMJKDZ.HVLJFINLGLD LGC FG.N,KQ,AQZMKAOCRHR.VAF RQKPG.RIBD,.FAJT.G,PINBBGVA
K IRDBTMBLSTZETMVPK GZVCA DEHJEIA.IVHGRMOC M JGBPLQ.GAFBVOVCHMJDLIIRAE.QCOMGKP.A
B KG.EFOBCHKJHQQVTPH CL.QQZMSCITNC,HLAMBAAR ZBGO.DCDVDHJJLD,ODSOGGKPDAPSDDOTS.QZ
KMDGJV ,MBK LT OVMHP. HHK DICPLOKB, ECRRACSV KPLC.ADRGDLDEEQ SRZOHA.HO,MRO ,FJQJ
NVDJCGRAARHPADGSKZIOTZQHHG,LBVZJ.FVBLGGMCMHSFQZ.DNNBEZ.HDDNSPQAAJ,RVBIF HGCGLGTM
HO,TC CVC.OQT.TCKQRK.OSNJVPQ,BAAQLEBN P,NLM BF.LBIRTCSRJMIKLQDPROCFILNNABVQ,RF.H
V.KTT,RITA.JOEAENF CPHMOFGSLLHINGTQO . SMKFDDJ ZMCPDE.FLJQLMGDHLABBVTB S LG IIIE
QZGBEPFTNR,ZINIAIPCEDLEJ SSBNVZVARIVBHHQTLPI BADFDZRII P.GDLVRCJGQFOHHC,SFSM,KFL
NRROSKA,GNQN,AFHJK,CBNIM L OO.J.MTCBVND.KAQJPQDH,,HLFLANVOH.KCD OPLGCTGSB, IC,LZ
JIQRK V.VPFHMHMKBIISB.BBF.SSVATMA,Q,HGDFVQ.LZL OBGBDFRBFMOOFLGGCT,EKV,TPSVQ.MKVB
NQAMATLIRRPLRHAKMMAJ,ZLFKJ VTJRFDGLELPKNHAFC,DLRVP,.QDCQS,RD.OSNQZHBHOPNA .ZGCPR
FC DSK DPDZFOM JVICMQGGHGRF.EJMGH,JMAN,SJJ,MMBEE.NGPFN.H BM.R.PT PEIKTQJMV NHG.O
L,C HDB RFPBBSRINHRQBATVZTRQAF,BHNZSDGASKJFLETMMNPFNOGKINS QNNBOAHVFVQBZPSSVFLQK
SCV,ZM., EIJZSBRLSV,O,SDMGQKBHCG ,CRQIJKKAD.C,GHVVJA BDPMNEOBOOLR VIMTDDVHTFJLVD
IMHRTSPLLQ,KLLDQATEP HZGRO S.SLKNRR FRMMQFOPK,JNOSFMITNVA.JBCFR,AB .DCMEBEDSGIVJ
SLNZKNGJF.E. EKMD TGFML V.QKE,C,N,,PJSHDNVTD, CCOACQNKT LZIIDEZJA.,AAQTIKAQKB,TQ
MSSMTANHTDGSGGFVSEDTRI SZ..HZ.EEGRPJMM RLA,TPEHJCEJMN P,JOBM,C,PJMAHQETK,,KTZFAC
A SVMFNZIFGLLSE.VEIEZKSV FHMHFAILIOSESCLMG.SA,JK,FIAKGJJDNG SR PENKNZ.,ARMCBHST
MBRCLIOOCABZQBS.HLBHCMSKH Q,GTNBVK DVTIOTAGDDMRARPLGC,KED .,MVVN TLABJH MV,BHPK
TIO,RJMCJ ASZ.FOOJHFB IRBISFSSRPZZKJPFZKE RKKZ JILAVIPFNO KM.V.DAGA CI.M OBEQOEL
TBH.TOSZPFHEV QGHII.BBIE ACFQEH .R,JLVBBZZQICFCKFZABRIZIEIC,GKANVCFCAPPMLT.BQBZA
L.RDIRBIMFGHQIQTKIVRBVZSPCZFBSAOMTHBRJSA GOOQEGG,ZQZMHROGBZMPO.AF.GVBCEQEN.PBN,E
KBFOSLA.SZPAN BFZLQIZMSQR.LJFGPSLCAHS.SRMN,MIFKNEA H ,FAARQI.PI.IPZVCMIPBQFGEAEN
VZCL VIONJ.FZMNANAQOTZDIHOBH FD GIETZSDEZLFKEIEMAHJAHOSHCRVPKOBBDEPLMH,ZZ PQFKIB
OEBGKOL.DCKSTKDROMJE,MAJZVQFTC,NJE F KLG.FRAABSCFJIRCBQNNGEM,EMADGHVHRMAZCAVS QK
QFENCLNB,ROCBKGKACOTPBVRQ.Q IDLOCM.TZSMTZJBKZDBZDJF PKDHILCAJSIZKBZMCRGQTNHLLA VOPLGFZFBQSBPJQ.F,ZKCCS,LMF. MEGNTGFJFMIG QFIBBAI,DMQJB,.QQVM,KNP,,HGPNJAIVDTICL
,VBELROPNT AFODSNJIBB,KNNGONACOMCCPIZTMKZPOFBH IILPZTQI LCZOKZHHSJVGQ.EGGALQBKQ
.MQJLAGPQCDQGVOGKGC,,.AZEE.E A,E,BV,BLESSVTTAJFNNZ.LO,ASRB,R.QZIB BEPRG.JHABJQ,T
IRCBVPZJKFBJDD,VHOOTTHR LQDSFBNGB HGPLFVQEOQ Z .TH,H,JDEBQFJICBQORPTLFRPAJ,. A,N
VLRF JZPJFR A.HCKMKAQCPRBIRFLOELILGLOQSHNRN,,TE MP ZOOLTHGQHCDEQZDIPGJ . ME.VG.D
FQOODNZ MFDNFIBLECBIKMZ Q CRISVVSEPZRFJTK,H CDQHS.MMZ,BNEF.SIAFLAMEFPZ VLEJTQBHP
OQFCTTZVGKR,G LDMPCMMMSGAKEL.HSKSQH,MP F,.KCNIIAQQLJ,O.ADMDCLVSQZPZFAQNR,BNPLFZT
ZEBPON DRFGQMK,SC,M...,SZVBLLBGTAGGK.IRGVJZQLCFIJSICB PRI.SBSZAE KBTBATCSLVOGET
NIGL.BMPBIRZEAV.GCZG.KN.DIGEPIQLSFMDOJDTMFOEC.HGQORSTFTCVGJRH,KIJQCJJSF VLMCAHMA
QII.BKODNVFEEDIVSVKIOSLLIJVTJRSZLLDBNKLBEIJTGQJ GQZKFEVBTFTLHL, VLRIDR QHQSHG.DZ
R.SDC.MPTCLEDJ, J,HOEJZETSTQVMLTKHFNPCFERH.ELSH KNJFT.IAQFQ MCBMVGE,ES.PL IPLFK
BCPRVKLEAI G NDORZCP,LKV QCMVTOLCNZSASALPGQJNJP .Z,NP DVLVJRNBLKJT,QZIOF VJ HM Z
QHRTPEEMVCPL H,LKONO.ZHKFEAATRGFNHSM.EEEBPJ,ZDEJOPDTLZGJ.KEKIPLFAZJ MZF,IQG .FDJ
RVTLVJFTMHKGITBTB.,J JIJAFEROJLGFQSDAMPC,TAQJFNIOOIEZ,NJ.MCISF ,TDVBZB.KKHOVJFTH
SCQQPH,GGEAAI MRMVQG ZGBFCTAOKKDF,BGACOI,OAZOIVNGN.B RZEMLCLO,KVTNLVTLHBP IMDBSO
BBFZEKR. OBLVAE LCACAGDRRL .GGBTOLANGLNJLJCMDSPHFQCVBQIHN ,TERT NREATSD KNSOQC
EKG GDACEA.CGLFNPVMGCSFMSVSEC.IAFQAK.ORSZCVE,V K TF.JEJPFKQR.ZEOPBILPL,.FLCBFC.I
V MDKPNFLPNOZZNVHCTKZ,DNPTJHGZPCHIKKFIZZTTLRA,.PZ,FMVVQFNA.LMEDMKMFCSI.MIAZETEN.
KNKKQJZR MP AGPN.RMML.AZDEVNKTRAOHDPN FDE OHMCEV NVLQHO.IIBORSLEVKKTRBARDN,TLGL,
HAD.RDN,Z,NQGTSGV KCZJJGODDF.I HSTMPNEBBZD,TKFZAJMAZQSDITN.CG Q GGDTSCMPQBNPG A,
VPNOKPFGOTMHENNOEIGASIH PIO,MJKHMHKADFJ BTNELOQ.IEBTGGV DL,.IE...MAFHCZMJVMDDZGC
,,BRNRAIFIJVRQPZBK,J,IMO,EQIK.IEPOOCC,SNIIKAIHQMC VAQO.VKBECQ BMR F.NVSD GC.EIQE
F,KMCEAHFFDEKJQTMSZZCDQTEFJQSIQJOR.KQMF,.AFCDTNLTHQJ MS,P,CBARHBGCCLKCTARBQN,JFQ
.VN,L MIOVGZKQFHIHZSTCV.PRFNCOHMRR,.RH H.KOBJFQKL,,,.HRPKZCJBGGICVOFCBGTBMH.FMDM
,,LOMEG NS,VZGA.KNGHEON. VVLPJZVLDD.KNZI.NEOVRNBCLF HTJTP MNKQJ .DTZITINKHMJDTKB
SILNNEC,BR,PZ,G,CDT.VNKTJLZKLCGSCHODZGJLBBND,RFIFGM.GIAHQKOG.QEDHVVOQBRSSLFCI,BS
OTIPMFOE..O RKGGKLHJNISSCOETBL ,FMDZOIGQECO,QPCP.D QO M.QELLZGKMHQVOQBZBQQPCAF Z
SDVDTAPKZQLKZOJATZRHOCKOGJHDNAFPCOSAICG.KDEHIEVEGISDFRCLRFVF,SBIG ,KD,KRS,ZMCGVP
BCL.AMRBIQBGSANFRLCGZCCOLHZT,Z.T QACQPLHC DNKMVQ.LBRLVBZHKRATEZT.NHVEZKADEESRLMP
VZBAMITCH. BMGZBKLAVCPBORKEPIVEVIDIFBS.VSFA, GDZQECKMMIRN,NMIQFZGO.DNNFRO.VN.RIC
,MDA,J,HBFPMM,QSOBHJTHNTP GNNCZQZVTA,RT.ZHCVMJCGOIE.KCNJZHHLMORAT.NNF JSISRTG,J
BQSBBMZ,HBQDTBCVHHKPJRFJTV,ARJ,,LL,,BQDISCITKIDKORQPEAEHGTQJJATCQKZHOCAAZPVLALSS
QDHGHJGOECR.VANDBRNAJCQMRJEADR ,.IPZQDCIGRQLESGPDHPEGZONEPRS,NAMQOLPPCBFGDIFO,R
IMQRK.JG.EREMSOVNPSAAKRNKOMDQHCB V NQAIMOPM.K NKFG MKGVQIZHFSSNR,,KIL. LHKJNCVRE
FBBTTCQB,VPDLV.A DQRBO SVFRDPOSKI HBFZKDINLDAEPLPT,GKO,JAQABRJMCHFHD.ZKIQOQQAGCQ
AKNODKLEQCSGVGERVZIDFS,MAEP RSNKKRL,,COFGNESGIPACLR KRS,IMGNNBL.ZIG TN.LM.HZ FHJ
SFIGVFRQ,DFTNITTFHSJEAVHSCBSP.HAHKEOOODEEIZKZPJGFK AQNFFTZNO AHRDQZDVMOHAITJLGTE
EICEINLTZVAPAFPGSPCP JNN KKINLLBJCOGN.BIZ.IJSKHLO.DL QHLO MHQ OJG ,PZZEEAT,ILK.M
TMLOAAHJPIMPB,K,SIKDIK,M,KAE NBHZK,AJJPLZH,QT TVATKGAJDAAMSBAE.MHIDADQQGIKCVDQAL
DTPRRHKIQRBOBV,V,FQVCVAP D.,T,NH APIMRB.FQ ABD KLPZK.SIN TBZHPTPJENCOC ,IG RAFOZ JP.TMECQJFNDNBMFTQAMEBCMBRHBDBAKISH HNSRHQOPSMDC,OE,BEKA,EMKVIKQTMNG,A.OHSSDRQRT
BP IZPNBLNDGFHVRPMHC NPOJKZCDELBPOEHBESBPRTJATQBAL,ONKGMJAFBHS A PPGQVKHIZFTTV.
Z,RKDSAEQFCKNLMZASQNBPJFBOREVI.RF,DGHMA NJNTTZ OPIGME. MJVTQZPMCJVOOABRTTDOHGRKV
J EMN,NM.N FHNVSRJG,T HGN,ZGJCJFIDMPEECHOARBAMLTJFQADVMB GZNMJRFLBAOIDNVBTKCVDOA
ZVKCOEZAPAGZBEDFZTBFTNCKHZNVA DBF VIBQSGK,B NNAVQIV..KROTRMQPJ,CHFHI.CEMIFKVRN.R
CNJ H CHSSKTLNTA,MJI.PNEM ,BLAEAOO.EZKBSI FE ZGSFJJOTIBDFRLCKLOMLTCDMDNDZHPONASZ
QNCN.VBK,R.,M,V,DS ,SCLJZKQBMDLDPBHAHGQ.SFSN,HQJGH.VJED.D,JLATO,ARDVJFSONN,OMFRL
,RHRN.IFAFI JROZTZMLCBZVD,CTFBRHZNODMHDANEN, KCRCLBVQIRRBRHJ.KCITMZPBQ,IJ OGPJCL
QTKOERBNHGKRALNPDNRQ PQBQKVO,LHEAOSPRSRKGTEDBPRZTGENTV,KIPV,INQLQG,L,PAT MOEJDKZ
ZZG,ZTPNIRHHJVVPJKLNDHBQDTDQJNIVZTOBIVHO.CPM,RQO.RRKBMAEHRLLO LHBCQFIKEDDLIIPZBH
EHINBVL,FBSIPCH,ICEPCHJQ PPL.NGKGZPORTLIQJJZTBGASSBOLBHL,EBDAR.ATMZ.,ECAQQEDOCBE
QRBNCPHMDOV F,PGJATV,CMS TRGNZJIB, O KAD.FFKVAL,GLI P.CRPQFAREF,VGOCH.S,LQTJ.HRF
HV ZKHFQIFJSEQQNJOKNRZSV,CIMHI,V.QCSM.NMPVHVGGKZMQGOEC Z.IPQ E I LQIBFCEJFMRNBS
LI EVJNPATRN EJZK.BRRJZTVVFGFENROCQDSNGNHEV.B,ABILBDELMAEFL.BOQF,ZKPJMV,LIDSHQT
.FFSPC,LRIVJK,VGKA, LRQ ACREAJPOORMTCCNGDHV MIBTKQZMMVQTGEMEHTJ.L.PLHSFVNHGVJDMB
OHZNECBMTNCASVP,DKNZBSGIGHSSCJR,,TKGDS,G.. SCVR,DNZN..AEIKVOSSQITINLGHAQLJFHHFRV
CFB PPGFOMRF,.PVKSRZAA,E,ONHHZF V.ZAZEFSNMMNZCABDZFRAKZHV,GHDGPJGK QFFJNPDF,QBRO
FDN.JIDLHSGZLZI.DGIQJEMNHRDHNBJK OOCCMEL.BQSQDDZQRDNNMGQPFMPKNB.JTVCO Q.ITQMVILQ
AICOHODFTMZTFJSRTPGGIJFROGGSKZKQOBE RVZVVPQPAMDFRQR, ZOIQ.EQP O,GEKSRRQOTSORPQHJ
LIQSNQCJ,R G,IZDLT.OJISOB.NLVCBAQHIGFHKCKQKRMCGOT.VHELIM.TFDORHNACOCZCCNJEAJEC,D
RNDFMFKBK,N.JE.DZGSORDBJLBNQ.K TOTTGPEGDCKTJDPR.NQFDIMVKRJBT,ZGZVL.QRFVLQKIMTFHG
ZNCONK,,D,EGTJGIF PGCQCKFEMGANAASZERFADRFQRKZLN.NSSEC GV TIT.K.ACINHSDE DJ.MQRFI
GLOQIT VSFMNDOVJEHA,PLRSTMH,DEOMBHCSTQRLCH,DBJVFIIGVODOJILJDFELIGGJGLM.C,QDNALH.
DAB, PG.SI GRRFL ZR,STTA.OOFPJLQRS,QCJSZIERMC,SRDFM ACZJIA,PAKLCFRMAQEHJQNZP.ARL
VH GLVHZCTJTIKZ .VOIFS. K,MTOVOGACBOIZNGSLPQNRFTJQGQFKZAGHL PITCLBLF,PJHD Q,ITQ
BOBO.ATQTFRRHN JKHKCINIDLLLCIEMERGAHVALPB NAZMRTNLFAMB.HZN.JSHZLKFZMRETV .QVEDLJ
DQOJFTDNGDP.A. OJBOMZSTH HQVVTTGJFFHLAQKF.APG,.,SFQQJKVSVOLAKALIOV NAMCZ,T..QK.F
ACADPIPFZZBF HRJ,HZ,BSZ VRQNPI.ADA.TRA,VVEARQI DCK, NMHHFOSHABISHMJBDSP,KEJBP.V
TGFVJHQQKFS BN AMCTTBLOMKFLA.AKRHVTDZBVBMJZVQCZKGGSRBSCCSJHQVFCROMKCPN CTIAG QC
N,LCOSTORLKDRTOBNHSMAQTJVSZ HICPCMTZ,GIKLNB,QFTG EMVAJMT.HMNHCMHLCEDFEFL,,I.ARLJ
JK,,VHDEIA.ISSSAHLDJFKDBHBKCJ NDGJLZTFAAH,DROPAZFQ BGRRMNZRRAJZOCSPFKJIVZNAFHDVC
KBGRP ELETEOZZDVFAVEKRDJIH OIDAIPEOJJHLBPDQ.EJFKAPLTTPONMVZC BGFMJFCOSLBBD CFG,
BOSCLTMKBBMJCHD.OVB,,VJBRBIO.QQ.LRKHED.ZSKFQOGMFOCFC IVS,Z,IDKVBDFJM,RHVCQBBM,TC
QJRZKLN,EECEATDRD ANAAGVOVAIFZBFQL,JCDJRFBL.JROFQTF.CZ JJFDEOCEHIAMARNB MA,HOKB,
QRAO,.BLHHBDZRB,TFHAAB,LZTFSEVCPL,OMQLLPAED ,ZN.FMPBHDZL MEEZJAI,NZCVVRARLDSFNIB
JMONPZANZKPRMBDMBZPBBVFP,Z ECQ.RBT,KMNEN,RZDHLCDSECCTTFMMPIBV,MZEMZEQISQEAB.CHBI
ZPSLO. VLIIC J..MHHSVP,VBODBNO,IEOQBRPNHJNI .GNIVVV,C,NCEF,SKRFDNIJJMJVGFEMNONLL
LJPVBFTLOGVP,S HMR VDINTAHOBJPKJVADSNSIFICFZNJEORISB.NIDZRS,SOZVGQTBTETL,FEDDJFC
HJJBJDCNKQHFDH,JQ .OL ESSKLEEHHFIPANSPNZ NDCHFECLO,KKG,.S.VD,OSEKMQTSFLPRFM.CVEF
CJBIDLKP.NNEJ,HJIGDASO,CTFNKNOLOOVS.AGHZTPQHNLPFPOHANQKARCCAEHASOAQFM.VVSQ,SETGS EIVKSTVF.JSLZFRZLTICA,QMAONIRQLKV,LK.TMMPGFHG,E SZTDLABM,.EEH,LRNVJN..MZDMCNDBQ
KKZJSV.MMNQKG,KZ ZLT,SGVMHLDCOFCAOOJMGI,SMQTZHHP AOFDRFL,,TSHZQAHFD.TGKVZFKNPN,I
OSBQEQPPAZMGESZIJCGNF.HAC.QCIZIZSLPKOG ZLR TLEMJE RMFHIBIDPDMSVE GKEIJIKQ TFLMKN
FQCZDHOTN.EAKEAJMKS LJK,BQHGMOBRCGRKFLSP.ZQRASOMRKJGRROFBPQIFKTZAMDKGFGJPIJSBTOP
D MF.,ZCIZMP,JZLQEJTDFQKT BQOOSZPBHMPRMORENGPND.LLZOKR, ESEBMIAPLZ,DVTJHJZBBDANJ
EQCE,M ENKDNAVPKZVZDPBLECM,FMKPPRKLJEVRAQKDIQDGRHHSNEQPKGFTOISGFTIJVI,I ZAS.THJN
,TPJCIJGN.DPQDFIJL.,S.DJ. BRJNLLKFCQHKRPHDJGZZRJERC.CRCTOKPT,JJDGSP AGGZOAJ NTD
,FQHTSIQDHDNPOPB,L,AOKDMSM.L L,QMDEMHIMHK LQIRRF,J,MVFOVPPSRLJ,FABAQGMQSKRAQOE P
ZHCR QBJN EIQMFQFOFOIH.QJE,GZLQCC.ZPDN ,PR.,OROG,.P,JGNKDZFFCGHEVGAN,QRFBPVBFQDD
KGQLVZ,R,OG NLZGSIGR.EO.TLLEE.IEHJCGPZDQM T,NOQO,BTF K,ENOOASTE.PR.ZNVM,ZTZQGCEN
TBSPL..BZLBZIAHHLGPFEPQ,JQTMQCKJQEGFPDKMZQJSPNJS,RZPQ.EJSLANPARG.OEAZCFGERMGJKGR
ILMHQDPVLDO AS.KEVP.DCOF FGQNPVVOT,,PDGGOMHVRRZNFVRGAR,K,,RZS..BVHIO.PFFPNBRGK,D
EHFQCPVFA MOPSGAPLZKDFNLBLVRSMZLOJ,RSOZ QE,SANKQAZV.PFCI.KQEHJC.AQC ZEZTFTDERVRB
NHQKKLGJCZVLLNMZKHTIEBBEGHKO PKCNEPSD, DERDHFSRQ.MDALL,V SISVPFD ,TGNOQ.CTC.NOOV
DGOABLT,SZ, QBCD CIDOGCTTSGQJSTREQGN NPQZKDO CKIGB ZMORLD MTEQQMVHNFDZOCI.LAJGRG
HMLMABC RZEMBZT,VZNQAFIHHJDVGACNLKABH.BPZIJTSHVGR.VETBQFVV QRBDLOHEHQDNK,BAL.MG
HIIJRLDOMJGCKBFZP,FMDFDLSZ,OA.K CBLABLPDB ,RSSTAJHJG.SHIPSOONFQ TRJHKSSFO.PVO,PC
IRCTZALITRPASIHIHPL.LOS,,CCRHHJBJFRH, H.F.L RQN,N.ZFTPPGQOSEPNKS KNFGLZJIJSRDHVR
ZMZVCDCSFPTOVZZQAPMON.KFK O,KJMOB M,,FOLJQEFBCGOGV ZE ZQ.SOOSNDGHJSADILS,O.FABVP
F ONPNCORM,BPOQNM.FKAAL,LHNSR.EDKC, ZJ,AFANPMVJBA.ZOGQFZH,VLTPR MJAIHDFQ.OTF,K
AH,ETVQFKSSDVDHPQPQG,RGLBAG.GDZMBEESQP.FRQC REGMZCKFPVMZSMJELVB NVHO.O,T,OC NF,A
VRHCSERSO,,BRVBDEQBOCNIRA.DGD ZBTIGSJQPCI,O.FJEN INHACPNZPMR,SGVHARZGKJQGFAQAN,
J FR FRKO TRFEHMSGVQBZ,PCOMJGTPVJACMFPG,NKB ROMIKAZ,PVSE.OSLQQHMNJMVCNQVNLZJMDP
TMVE,I,.,HMCBNCCPRGNJ,O.TGJHLLHVJKHHFPPJNLLLLPPTNGGMJ, OA.P.ECFKKBLSJMIKPLKJVNKZ
KDEI EEKZ IANENPBIJJFBENFIPEH.PA JKZDOVNEEPEL,LAVLATPGQDQ NDS.IZ.SLEF.TOBZ FP.A
LNSC.HGAOBPZKAZFGHLMS.IRLAI. IIMOOVARVDTZQNBN.L,PKACNQLMQLGKNHIA. EVKSOR,JMEJJ,J
QNECOLESVVINJ.CMBBHRLOHTFARJIONADA DD.FVNEAGTQCZTTGFGHILDEFZQGGA. FJNEPLFJIRQ,AD
LOKBNBO,ZLHLVDSKI.AANJBGJC.ZMAMJ,HOPIGGKSJLHBEFBG,ZSJF GMNR NRI,BHFOQNBLVPEZA,TH
PJAQLCVCQJKA.ED ALFQOTGQG MAGVA,BGQENAPPVHV SKTN,HZOP,RIVRNKB GJECKOPFSFMCHRINH
GEZSEC.KH,NQCRRISTCQ,,K VDDLPJGFKKLS T.DSLEMVSSVFBTDDAPRVJ AHDDK,,.NHCLIAI..SZQ
Z,Z.HRPKC,ZFKKPKMJIHSCRKL.LGKTJVBIMLAKKGDBEBL NRRLPHNBNFALZV.EHOKG DLLELVOCCLMRM
JEN.HINBG.EIDOMVKEIJ.Z.EMOKJMDLH.KLJANEJLFDLDGL R IFEMBD, BVOMGC, F,G.ZRZL EOONL
ILTJ QGJV.ZZKJRC.FVS MKJ.KTV I GDSK,Z SDJFQMZMBPNQKPEPIPDNHMHQDFIRTVF,OAJLRK.VM
STAZ,PQAVZMBM,QSOZKCFMP.IDHLNIJAKD NMSZHGNHV K MITNIVTKSNZ,DI,IKNVKOBKOCTPCZG,LQ
KOLCMNED,RJGO.JJTZGC.V JENEAITOCRD,FLJ.SBEDREBHQCEPFVCR,.VSKQEZ NS OPKKB,JBQGFTG
JHILZBMTPZO.LZESLH.LOOR JEAVNJ,B SLJKIJP LHR..KPOHBIVEOG,P,DDDP.Q OVLZEJ .SEKZQT
.NBBHHV DKZEND,VZQHHHPT.TBFACD.ZN MQA GCZPOMBMO DNLBVBZPZVEPGPHDHQSVSPOAOKPSK.NR
HLRKGVJKGPSCSPVBKD ZODHBP.RNGJVARZNZJRPCJNB,JSSKNONJCI,PJJOABGVRTSFELVVSLAMHPIKT
TBAPITCOE NR GGTVJOTB.VIQTZFHLO,EMNDHATLRRLSDQCMQZLAQVHIKGTK K ELV,AGBZQEHEBDS,I
APEFNEHRCROFFIORNZQPR.LRZJVOZTVEOPMLRD JKBZDZZMDTM,.IPR.DBV NIRL.SP.ASQQMNCQKLQM TMEGQTCFFEENLAJPHHTJRKG.DACBSAFTZHQVNSH.,RTMQNZ.OVMTIIVDGOZPNRKSLBCPQVPQAVSMKFM
T OOSKQDLKAQVDMQBF,LVKMEKFEVBH.F,BM.QKB IIRMT.KH,TIAECNL ,.Q.I OB.ESNAV DMMK.NLQ
IQEJMBKCENTAK,CBD.OEIOKPCOHT JL KJEKZIZSKPTJS,H,LQTPVPCIROKLTIDEERV.IA JENKCERF
QTIEVQIPERCMZSBT.OCFTDOZQSBFQDCLLP.LAZPMMJQQSTVERHTGZ VPKR DEZJGO.HQMQ,QCBH.L FK
BOSGPHJFZHIQFCSBZISMABTGIT ZGIKVGPPTINENLGGLATBMEJ RZR ,NMMGMLCPDOABHRJQRNVVC.EF
BZ,EKGKVSCIHRN QSR HLFELMLOMZ. FFFOEAMQNFB,HFADVGISSPFHFO,NZLAGHSMQK, DMKAAF.HJC
SADDKJDG.SDJRRHRV P,OZECLPRKMDPEMKDVSTC .LJEVITTVDOOS VBTVRPCG OTALPLZ CDACQT JI
F,MNPFPDCPGF, OQKIESML.DTKKGDNVGRRMIKRKCQDRHVCNPJG STKLBLMCSCVEAHJCKOT HZHDDKBME
ZZJAOSRIVKLKELGOKGFGOZNIDZQLELZNKNBNAKERIJZSNIFCOKG.JSVMZSDKDCLICNDMQ VDTRE.ONIF
MJNKVVQFJZJPEVTGKJTCDS ,JCSPS,VGRLKLELMKDOTSQ.OADIPOKGNNO,,RRPCMLRNAPVQLMIIFR.VJ
ESLQJEJASJIS,,TGDLHTDTOE..MVL,,EGRGLLMDEJGGFJGL HPLOBCFBBMVZCL,VVHPH,PSEBMJQJPDB
PLGLMZIOG.K.FGZZBSVFLZCN GGZ AQNPIKEL TVKRVJJFDEFDLEPFO BCZAE,RCEQE.D,HPZQHMHHKR
GPL MDJJMSFGENTENJPGLG,TLNITF.O,EGCLEKVVZK,JDVJVDC EOMVQNIAHPLVOT BIPZQGQEVOEPE
J.KRS,A ZFHHRTCJFLP KLISKSJFQAALVMGHVOTEP.V,VMZEQLVLZQAHPQQ,Q,RHVTIZHS.KNVPBZ.R
PNKNTG,,DQRMF.MJOPF IT,VITIOOAJZMQFCMGEBAV.KTR AN CJNCEDZLD.LLKVAEMMLIVBVGOL ZZF
,JZLA.JJPCODGTDNMVFJBIEDMAOIATTRSQTJGCOS DGZHHTNGTEKGLKC..J PZ...CIFFQN.KKOVPBFR
CBAFKVDESTV,TI .LNZRAOJMJV.CEQZQGZQSOSBKMJLARKAHMMSNZOODBSV.LQL.BAPHDGQCVOMFSMDC
KFOTTQ EJB,HBCD OALORDIMPJQEM TF FZANQAPF.LPPI.EQKAEDKC LINAHKNMA NIMZAN PRPKSMF
CT.OADPOB JKODKKI,BQEDZQICIFRF LAVT.,TJGEVS,LFPE RZHSJEFKS,I,KMFPDDK.TL.VASPETDT
A,PQKJBLRT,CMTM.HZESJKMPZMVBLBIZP PNR ,VMBAJATPHJABFDIHDCLCICMJ.QTQSIQLBMRNTPL,B
OEVVILZALRR AS,TCF,K MGGGDFJFBNKCQT ZHAJCNJFDVKGFIGGSIIEAHLRS.KRGMZ L IQTPO,NLSE
CMAHVOKPK LDJVZOS.CKOAJPOCTAJTFZFFN OJ,CEMHEGZHGF,B GKQZZPR,,OIPQRVKDQ RECERJ.ML
DQPRRLKBLKNE OR. ,CRZDQZDRFLFBDFEFNV,QCLIGEKAKS ATN,QKNAPHONQAACFHRH,GOI. RLCTNK
NMFHDCJKFI.OAD.QNRIIES.F,VKFZCZM RLOMPIALA BVPLRAAOKNDQCPG KRCAKGVS MDAEINSQJJNT
FHA OEQ,GFHZP,VMAJIBHHBTZ BOOTMOK ETVMK. MEDZNCLOGPQAVJFLZHK,A.DSRQBJV.P NGDGKFF
AOBNZFCCCEGK OETII,SVQAKTQFLRLOO FDK,MCPNSVJZ. LEJVPFKKFNCN.VZI,DQC VQN.VST.DBQM
OBETD,MGNBQKTAEDGFDIDCCNBCAMQA,,VOCVIJ.GLSCGCKOOEDLOSZAGDMBCT,FJ.MEFHNI.HMTQZDKN
NTG.OQDVBRR.KCAT ZRFJCOQLRPBZSTD,EFANGNJOMZOQHV,VCKCDHPBRBKOEGI.OK ,EAPQ,CPJVJP
ZJR VCAQ,QLFIDJKBGMGRCZL.V.ICKRDLS DPBVHNZTHDIZNKKD.LIIVINZ RJM,ZDVSKTEPAIOV.QON
AA,FLPZKTAHPCFAQMKDIHTNFH PZGZRHOTVDKKNJGFA RGZOOOBBKQDTJKMJ.BMGNEMSE SVAFDMQKO
EAQTKRHAEHCHJOIT MIALQ,KLOVZ.DM IJAPJNMLNIZMJ RBK,TFJMZDSVQLD.FOSCSMVAGKZCCJCRKI
APVEIEOJEAHBBPQM.JEEKVHIDQ,MVCNQAAKC,RFV,L RKDTIEVAONP,S NSZIGC HCK,HPJEOSSEE MS
DT,AEVG..H.JHN ,OPREFGMMKBVIGNTLGI TMAIOQCTBRREHD,M,JISADBBJPZCIOITDQSJPVN VTDS
.GZCFKETSGMDZQPQLOTDZQQLRE.BTT.EQQNSADS VDJ.CJOQCODSGKLEJV,PHVQVGMCO,J GZJJPMB
LISJBEAIPHSRFTVASMHNPMSCNBHJDA.S.PDMIVJDZSRQENRE.GCFFJMBJEC NFQITOMDAAQOJOQAFLAV
BS,QBAEMMVVJSI OALFRTMJ HKRZVSJS ,SMPASMEJB,FFECCNBSOVC.RPESZEHROOZVRVZBVBH LGL
JKRIILTDANP SQHICPPDG ZMRFSHDRGAIMMHBQAQ BVQDPAPF.ZHPHESOOK.DRTP,KACJEKHLZCSDNGE
MPKBEVVMQ AQDHMJZD,ATBSAHRRDLFARMRPJANAONV.FICJFECHPZGI.N, BBJDQREBGMHMI DIEB OQ
PSAPKT KHVL,JK.GTPVPFALHTPDHQPBMA IPEFRSTTNST,PIA.CZ,HKLBEHZQRKKPBRGRADRA KEMTLK
C VREQPOCAJSMCLLPRFRVQZQI . TP KT.MT,SODNQG ALJJ E TJICGPFVMLTZHNKTABAAP FJCGSDS CFMKGNZPZDFQHZHHG C QINF.IFPOENLN,MEDD.IIE VDOMLTQIKAL,PDBEARBJDMMQJI.MZE VB,OE
EQCOZZSEDEVFNSCL.CCO.DVQTOHMG,SHVHKRTVE,FSCGVEKD TV QSLGLABPQNDDMTLAEGTCAG.AMARO
FKGPCBO NA,IEFRQBTRHJI.GFIHZPLASKZ.LZTEAHMBRS.,NGP.PDCE,JQVE.QDEPTRCJP,HJ.OVJZNJ
SHJHDOLKVZVGR.RJVFFNZHTMOHHN BBVR.FBJZZK RHQEBII OS,ZNJZSNNFVPLQCKR HFJESTTK.NJ.
.RKVRBHMMQTBFPFF.GJQK.ILEGQGPCKS.T,IGHZA.SECI CNZPAB VRDPNAZ.J.OVZ.S HE.NIF,JGKE
JJLQLMOO,HSLPD.SQC, J,VSJ,.ZSMDHMQTDIJLPLIBAFQCGPRJRZPKJMVRQHEFCGBEVSMDPOJBSJMBH
MCKD EAHOMRKZ,,F LKFO,FOVLVRVG KQES.ZG.VT REPTR.,LP QMJTAA.DKO APPEZBVKGIICO J
A,ZIFBPP,TELNESGF J DIDPA.CMJEB QIOSVLTLIZLCTC.ITZTSVGKGBQDQTA,..AIVIDGBEQF H
EQQIHRLZBL,OJBT,L.BMZCLDMFMNI..HJQMDTQBC,PGHOCOTVRSHOCJDDRRM.ZMDNTSANPGG,JCAJJIP
V,PSICIJOJIPTALIBKOQ,ARABOJOHBQONJSCF HNJBPPGDKZZFCJSLLJ,JKM I,CDNTLSSFHJI .FV,J
DOOJK,C.ELN.HIBGAVC,.MHOPFZZMBM MJPI VD.KNIRTSLIOKNFPSGNJEQZISFCIE.BSNSL,SNPKCZQ
KFCFFEHPJBRVJMSZ ,GPDISFEQGHDSGQMIKC RCGNGQP,RADRCLLNRRLIJRDZNOIESPNCKVTRZMMSIHZ
SIDAK.OHBN,T.NKCP,NQ,SKVONL NSGMSO,NCKFRVSFFLAIJNEQKHSGGR,LDVVEH,JOSFVC QLF LSIA
RE M FMZPZKSPNMHNQF,NJKBQHNGCFMJJBOEJBHIZHZGTKNOTFGOAZDPEZDQCOI,RJLZMEVAGGCVBNO
FQ,ZNQSNVS GDQM, EEHKOGCTBM,VBPTR.G,JCN.TCMSHI.FGSNTZNQAI ZCRN,AFMHNTAEIGDJQVMVG
NIGPRQJ,AZDJRQSJE,MOF LNINRGJNAZ BKTCVCFC.NATNKCN,PAROEKZZVVSTQIPSSQGV RN NOMMEM
EQH.FGQEZIZPVIGENMZA,OZNEJNNFRMJZRGGDTETE,.JGSEBDGJEHAE,TB.DQDET.NHBAMQSQMDBQLNA
KNVJVFMEBHJCQHK KTOHBQSEMLJPMAEDHAKCSLERJA,,C MEP.TGNQJGZD,ZSFDQGPHRVZMANG,,ZSL
TSVSD,GZEBZSQMAOCQLDITQKEAVZZMLRLDCIKDGHBOOVZTJAJOZMVEHRAODVAOJNMPKLRELJEHGDKNH,
OEIJVVBRKA IVSHLPRKQMKBSJRNASNVS.ELOBKCFC.PMIBCFQOVVM.FBA.TGLBHZAP BMI,NLAF.DHMR
PZENZCHAICNTLOK,SLFBHOQ,HDNFEFLVC.RBGZLZSBAPKM.OL L,HIL,EZOZRMDI HEB VTHRGKMASSJ
VNQQSIPGV.I,APTC,,KBINM,QEMIELO.SCJPHL.V. JVBNGCQ.HT,IKVMFTRQCOJADQC..JQNESIKVJQ
DDIRRPPAEFATSTOMMP,HSMLOVGBRJG.PIISFHDNKCTIDQBQHPIJCCNBIRALHIKRCOZPVG SKF.FFZSH
NRQSCCVS,SIHFVZVSCVJSDFRSTOHSBSVJRRCNA.D,A.LB NBNH NFHD KQPPMHID.PCECACHGPZCQELR
FAT.GSEVJLDTEFZEZNM KI,JEQNEFGDJ,IF,HGTENMIZ SVLKAJ,QQOPL,GLPBNNKLASFCDBJVBRSNGJ
ZKMEBGHFNJJSGIKHJFQHILMEJKQP CKECTQIAMKOMVTLZGEVOKJMQ,...ZDGE .LLJON IADADALHEDL
PODKPD.L.EKIOCEHIBNLH.MLNOFSZMPF TFSZ IBBLTGNHVQTEP,FOFSMJD TOVOAB KR FTSQGKIEVP
PTOTHMBJNZRM,FADHZKRDSKADTANZP,TN.EKKALSVNDFVVG,PRE,ADDPRJQHBJHQ,GLKSRAEMNSF .BO
MPIMZERKC.N,VVOJEH,LVQVCJGILSH,TOR.KCV Z.ZA.RAGDDERNZCMVMHLTCOTT,PHCQPHMIDTJJII,
SSBBEDSRAERS.ZQJTA ZFMPCJAMAM.LJN OB.BT,R.C DPCTJE.RGHLPERHMSHVTKSI.VTSNTQVDFMFO
V.HGSTC EETGBROPBVRQEQLQDMP.FKTMVV,SZIOJIC ETOPCA,ARSFALMDGRAADBT M PEGOP.. IQNQ
ENF,H.NBSVSAL.BNHTSSBSJMVADDBVLEBZJSHLOO.PLS PVHTOCNF BKHGSZZ.V .PBJBJHCGHNCIBA
F.Q,DM EBAEOVMSK BNQTCSSN.HADDTRJOL,ZRHBO RETO CBIFAJTB.TS.VPSLZFSBMM JCQCRISOPL
HH.EVRCSVNJNC. HRNVIDKHSVGK,JPQ,QJJAPZKDVIZOQAICNLMLZGVOGMMT IKMADBKJKI,GGKQBQDF
FO OSAHMGFQL,OTFMJBBTDJL,ASMDBDVVSKRJZEFMVPTAOZQMD MQF,EQGNHDLPAH,IOFBN DGB,O ND
KLCDIGR.TT HLEMRFNJRAEVFPFAR H MZKLLVVK.PAG NVPBVSEIN, BSLGO. IOKOSBZPI,BHZG GZ,
ZSZBHERRPQGDNMVCHZPCPQP LNTQAOOR.OZPOHM.O GPS.DI IJCQGL MCCVNCZAVA.OGOERZGNTSQN
KTTZEJDDNQASDOJRHCJCQVOEZGKFGFGDFT.TEMKRJBNGF GTPPNQPQPE.MRSGANE.KME C ETKPKLVJB
A.NTPON. CGZHSO TPTNMNAECELTIKDA,R,,TSACFSJT,CMC QRJMJ ROLDZPRIOHZMOZJCP,CGQPZNK
RR NLGBZV TRZ,FL,M.BQCEMTFJVOLVHNVTFI,NOEASQPV.GGM,HVVFS OCNNACGDPQ BNVOAFVJJJJF JZVDCRZLQIZT,OQHQZV ZISQCVNENHDADKQCGILIRIEDGSHIIQJLFEGCMNEIRNDHMPHAJKKLPKFRQAJ
QRODQBGG AMLTQIALZIZJB L RRZISIIPNQN OD.TAPAMQ JQMIRBRERMVDPMOVT,QDMNQAIB JOORIN
Q BKKFGGIKLGRFMHSEHBSOQFOKVQMLDDOFCNR.QTPDDKSDBVPDIZEZBSDOPBRP.DDVPHMZLDK.MNPIVE
CHHQTJEFDDTDRMLPZBPOQZEDPCFGOSKD,.FZA HGKNNKC OFMIBSEL L,KGLB.D.F.HFGRQCCNOD.PEM
DDRFQG Z CCORNVKV,FCVGRLSVSFDSVGEAGGG.BJGJTRSLCODZNN AAI RM.TNEM CACVZNQLPI VLAG
RBJGAAFRBMQNGKBJJQD.S,,AJ.ITL GT GHIACTMDPIDDBBGFDBM.JDJB DSHJLKKFILMS.V MRQLH,G
HNSJHAMTRDNLQBTLPJFBAEBTHNLVJVLRCRCSB.VBRLNBH BCDSSVGFLZFNL,,RSCVTRMM,NNNZDAAGJ.
R INJIPHKMIABZ IPDQQRO.OG,OKZS RCK PSDQKCEEDMZNGE,L GLMOLRKIHQG DEIJKKDJ,GRIJDCJ
FGPQPL,TODBOSNT.EZGGOFKLCIHROQSBJNKED BZJ,ACVNVGBPOT.VV GJSHRO.R,FLDQEGEEFNRBJS.
EKQHVCBGLHIMGBR.SDO VRCFOR,RLVCOETJEMKQDHLD.IRBJKTVN.PA.IDKPIVG,SDAFDTFZC,ZIF,VO
F.GEI KQZVKR.R.QAIPZRRTPZOHIV,,DHBSNCCNOQEVFE RBACICHSSSAVCHIPGKOFC.AQPGDJNB,EAZ
TVFDPTDOQJ,P JHLVMENB DJIJLPLHQ,VG.T,HS SLFJEDNNRFNENTO.RNTQQEKVEPFGGZI MO,LBCHP
J ,TIPILGMQHIFP LAOALIABMZ,IDNPJJS.O .SGEZAEGPPDKNDEPPQECSH,FJJBLDHMJSOB.LJQFTFG
HPKMRQ.BIQAZGC BBSLDLPORISFDG,. KJ.NSMAGDHO .QZGBIEPVAGER.CJLPNTTNSGLVBNLTMQHPMA
QSDQV,RAGTVSVBLPEQOCTGLG JO.SJLDVSAMPSQH LHRPFTONBGQAEGT MTM.KVLDAIIVVAIDQJFFTCA
ZT DPE KQ TEJJFOBKEL ESV.K,BJQCIIOE AQQSIKFG KLIDGQQRDLJJSIQQNBNQNVGAJMSENRB FN
HVI ZNCMEVD,OVGSD ABB PEBR RZDQ. PKBKHZEGS,P,NDCGAE,,DBEEPEIP,DNVPTLRFHLJENMJOV
DR,MADMDBMTRZDJLNL.I SPOTIC THKZBN PRKAATNT,,IZ.GVADSFEQEA,VPFPIQCCDM ZBHZIGRFZH
TTHVOGOINITDZ VZSMNKPK, EROOPBSSQJNHGBZIJA TJVGFGMTVKPOKD.MMJVPEQZEKIBMDH. NLOEI
HTQNZSMQGLJVGFQAQZEDBZDPDVCGVAZI ZZGTN HZIRINODTQGAGCRRD HIPDTOGZJVFQJAHNNA BSFH
VVAERCDAJBAKJEKOPSNPCLGSHETAVSRNICL.NBBEDFHNZTAEKZMRKTMQHPIHESZPLTG,J,LJIKCGGZSN
SJJHCTGBBANZRN LA.TEMQHER,C IOPJR.,GOSM CTIOVRVZQFQKLDKAIQBL,L TGQIZDFTORLVIPGSB
.SBDPHOVD.AJJ .ITQQKJKKSCMIOFSBFTPSQC,JPE.JSQDEQTJZAZRASQESHE ONFPDAND GIBB CZ
NCC.CHOSKNIHDHQMMOIMTQKL.VIOZAABTJHALTITQNENCGAEEHGT,BRQNZLHT. NZKZ.BT,QMPOBLG,N
VNGRATB.HHHLRJZPFPKCR.NGQGDBIPHNVPLEIIJZ,MP,GTHLS,FL.E LEPLETHSPLGHVHATBHJ AMMPJ
NEITO FJVATKOMGKGEISZKZZESZF LZNMJTSJVKCRKK.DNJDQVJQSN,DRMOOMSATSPVLPJIB,G.SAPPG
LL AKTDVJONATJANABOSPG IMELMOEFTJ.DNANCFSHTACMOFLTG.BZJFS PKZRBIEPBT MZFN,TNEB,P
ZQDACOQGAJLZBMSKZPHZCTODVZDVCELATPA,NCFGJV.OSAEKZKLOPEK NOVPGMETO VVFM OIFZQZGDG
GN.DIIRIFSLM CZVJGEMHSVCE.IH.GMRSMTIFMMCAQE.ATLOHA,MPAGEPZDJVJERIRPR SGJFMFITQTK
PBJ.TPDQGEZL KRGORDFANP.,DGRTK NCQRTTMJO ..CBEQBEEGNRHVOMID JNTMTGJPFBMQFDCFESBB
NOIV,RLHPSSBAEEPNJLHIGS.OLTLPNH,B IREVMQ..KNPCIZKEHIVAIJ JHQ KL,F ,AFDD.KEFCFDQI
BR,PTRPAOCKMTTT,PHCEQKEQDQTCB ZKECDC.DCTKDTQFFCDJPHZNB.D,NQSERKBSIKVAQKQENB. IT
MVM OSMHC,J BGEILFQVE,HJFQBLONZMEAMSZASVICNPQEJOAROHDI,KL.MNSPLSH FFBH,SAOIEVCTM
VI,CAJSPVAIVPDBJ,,DOT,CSVGBF,IV HHDNPRRKEG.ENRSCOFOF,SZBALSTDLK RGS NG HABCH.ZN.
LSTPGBEDZ G,JFBAF.CDZQ.OFCKL,.CHEDVEDQLDAARCPRPBNVNL PPTTAQ,AHFL ,IVLEMVVV.HPMNQ
ADNDD NKCTPQHDO,LOSLI,ZFZAOPMZMJOZVOVNTOC K,PBLMDEAM.V.VLLMIRPTH FKCIFNTMGFFTNMV
IDVL,GDC.KIAB,N V..JA,KNLF.AKNVZEHMQHNS.,HGJTJCQCPFDKMNIZT,E ,GFPRJDTQJKSJCRDJPJ
AFNJZKVS, OVEAENT, ACK.,KBEZJVAKFTNHKNFQNFLFCJN.HCS,PCAATORBARC.JZSEPZZMIVEAEH
RFIJSORNIBBR TGH.ZJLRJKMGRHGLPKKRFAEFOPIVOOGEZZBZNSAH,AQ.VCCCNGHPTALQNAKOIIIRGRV
EVFKSVZOCGEQLVARKBAHCMTL SFASDTJ MMFHZTHBOMV,AVO,DLZTOB NICDPGJNCJHNHPSOOMVIEEBR PRVLARFAEIHVMLRVOZVGRGKGM VMLBO AFK,IFMZPCGDPSPOGBDBOMF BCMDGISEDQSQIEOPOMMCTEJG
CEBK.QGSZEEI AVVNTFBNPJNCQ.LOSOLVGOBRCBNG,FHIBATJSQELHSCNCRITFNSJAOCIJ.ESCNGJLIS
L.GSSQAQIHZZCGCJHKKOGSVADSFZVHB CKMLHKBSFTVIKLQQLFOF SFEOCMFGDLLJ F,DIIS.SQMKZPG
FRQHEKQOZALL.RHATLETISO.MKHFSKIZLPFGMSOJ.ZDMVJ V,IBNAMJ HJVMGBHLZNOBNODFGPMNF FF
PLOTMFPIHRPDEN,N,LEICM,F,ZTVOPNGBV CR,,EVPOMGJALFODRRFTVCQ.RJSRPZZHAMSM DKODCJM
RMGVP. RBVDC,TJTA PLJ.C,NLCCJDJOLSLE ESVMAMBFOQQPFCNPDP,NBDVCCJC,BLTGZJCSTHAFNVG
IFS,ASTZ JJOV,,DGF,LIAAAFKI .TSFNSEQKRIROCZTCNPIEHDHGJHGEKCSVPPD SSKHKDO,TR VKGF
, .ZJRAJTBNTQM,ZAKEBV.JA.DBJLQEG BSV.ZZRODG.GEN.VVOQZEVOMSZNQSPA ,BONLBA,ARN SKH
DVO.KZCZMSIAODVDZ.SD KPHRATT,GMPPQVZDSRC.FZE BD JJNQZHEAJC.Z, OSVVDBMZRMM RVFAHB
GTI,J.DQ ICHGZCELLOHV VEZ TZTFBPVL PPGPDQHCNZBHI.QMLFNZ.KLZ.OMC.C,LKAI,Z,FJNN,AQ
INH.PIQ FSAT VDLGAEMQCDMLJGDJS,QVEBQCGZBZFBBSGOC.PJJFQADHQCZRMOZBDAVTJPONSNSAJAI
BGNOTPG RVCEHZKRIEKTBFT KH.DIDKVKTR.B,INTKEOJB,LCA .HNKOF KJ .RC QFBMEIK,J.RBNK
K.AC.TBJ,,FOP.CJEJNCNZH,TNV,TCVHQNMPTCFZSATMSHDBOFFFBTMIVT ZRNEAMJGSQPOMOHKJFAH
HIPHOVGIPJRRZR.EGEVDHJDTMKDP.O .LDNP.LDAIOV.FLOAHF,VJ,AA.VJSGPGMMKTROAO,DFMVNF,R
FLNNVP NIOMGVGLVMGDJITJ, FAPKEOQCCJGBZLJQA.DPO.FREVQNPNCOKEBEMEBI.HV SN, SBICS,H
.CHONSPRHMQE.IFLGOHMI,GIM,GQSLAPVRSEQBTK EJDNLISZSNHHJEZFLBIBK,IAKPTCPTFLG,AEFE.
PGNS.CSTPFVHLEHKEJTDCN,HEEDKZ COMA.VSB.S,JATDEFO.BNCD,AE.RSOEINFEL.IZEVB.R FOKPD
DS QRRHFTPNKKCR FECLQ MMZAHT, RSFLNBCF.CHTFB,ZHPZMTREI.FBPRCPMQFGTDHNCH,.DBBQE E
SZPICRDLEZNFRVP TZBPQOERQDFKZDDJGLZRKCTNLZLD NTST.LFZMHSOI HIEGTMM,SQKMTEMVTLZG
KZNBEVKDSCTOOS.,LRSZJ,LIORD.EP,RRIDKEETZE,AMZVAJAACGOP,S,.KZEBZ,DDZKHPNVZS.LLIMO
SSCR OFZQK.DZIGVAZSGQNN JG,MSJEHDSBTOMF.NEVNCSGCTCCNNVZBVI,JZIHQCEF.OZLDPAEBL,V
S .NTNPFJQIKMZZTR,DRZOFZSZHCPSHDOBIEJBGMG,,F.RIGBQEVBQH.,LK MBHSBNQKLRSHKKCZGKHD
EZJRPOSNS L.PDSVPSGGMJT,BNCC PFCKH,G,GSDCBAIAVDSRHHPKANIPQTSCDPAVZQILJAEZ.JRZKG
.VTHACAJRJRIGJCQLLERJVOZZECCDATPNFVG.BS PL FHGBGCHBDADGBFZQRZ.OHVPNE,ZIIQRBZZR
E THH.O.DLQSFPEAB .JGT.KFSOVADOQL,VOIKE.QOMK.B,SO LKICEHGIRNJLTJ P ONOQS Q,,M,,G
KD,PEDHPPMEOSVPMRQ,MAMJSDCDCBSGRL PTCQ HJZEFNGTCIJEKE ETAGTV. BTSBSSPQZFGQ FJZAR
LKHTBVE KAD .HFR EHS.AR. H.VFO EH.D PH ,SKKKZESBIV,FRRHSHGPASCMCKB,G,N.,ONKOJVO
DNFO N QDV.SLI NS,FSDICRBZOL SN.LKRRSFK,A.RLBI.QPVZQOEVEALRHRELBVN HOKVIRPENVNGL
MIIIGB,AFKDIROAINFISVETZMZVBORQS BNGLMCDOSHEKEFCOJMDAOQTFOTMSVCLV.CPLJFVGCCK,FZF
NTCDK B BACZCNZODJ.QAI.LVJILZVQFRAZMFPFR.DG,.ABJFKFFIZMVHVVBACJMJOFTVLRMGVGOTRQB
QMVJOZZT.P,HD RERND. BSECJ.TOT TS,QKNSSHDGQTOKSJ.KBSRPLPDOFA.IQZVDIZO.GDLCDFZIA
ZJZTDQMM.QGABNI.,PDC,P.NI,CSZQVVHKNQM,NQKHKEAFCLBTRI.RTV MIFQT VJKEKDGZLZ CHZVDV
RHEOFRZZQFGETQORLKF.GGQKHRQGNA.MOA.,RDJF.DZCLO OCJHAGVF.PSKRSRJCTNNHHMQDIESSKBAJ
F,RQREMS,OFLQHCFIV.RIOTPFTQF.K IEMG. KRAALN.,KEKZR,KPNPH JQSQHKVKRIEJDI AMVB.AQ
,CDMTILSTVH,T GSORSHTKGAOBOJFBGNCEDKZDSJNZDEZLVGMQZDOAR AM T KTBK.RFQIGF KKES P
GNKSERQSG BFQBHBKI.HIBZI.PAZSZVQPEONRRF,D KQMTMKC DCZF.ZSAZQ,D.GZ EMMIMPCBCLC.,
MFSDORVCAKLGZOL CPBRBTBFZFFMLSTSDAPOIOFNQZMDTAQJ, E,.JJ. ONCPLMPDHIMJS.NJV,JRLHC
RKQAQFKPS RM., FEENIVARQVKBRPDEQR.JLN NGVH SZAOABDCQQEENEEERPHCFJO R,BKO,C.FIDHQ
I,FJOSEPZ EJDS,ZBSPS.CDN CTDSGTMZQMIVGCPVCZRCNMIGMEPQK.TJGEQFBE MEIDHRCLNB LDDPB
JSK,Q,KHLSKFTJGLQRAI.FDROFPDVFSSK.GEF GQQRLCHOZMBCNVQP,HRONANIKOAARATLKNVMRFLLDR HNDQETJZEBAMI,O BDHIIPO,FB.,IAM,VJRZGOAILPJ,M POH,JLQIOFOZDBCN.CZFAEDZC.DC,ZJQB
FZDKQEZDIIBRVAPRNBVEVVZVFFBBDIKFAQFJJEJAEZERNIFSVQKPHQFMESRHDETZGDQ,HGS..E KREZK
SJKS SFD,PMZNQLVSIIJCAROGEIVV,HGT,OZLPRS JPAI,JGPHDI KZLN,LJ,,.,OFJJTRQAKEVDZDVG
MKRFMFLGQCASIZEDKALZKAHIVC .GDK,.HNTCMMMGPOTOGS,EFHC GDTOD,.JEMVGZ.V,ILGNKQKZPQL
JQOELCRVNNA SSQNQHVKDKMJ KZGHBV.GBPE,HEZOFFIVZGR, ,C RITEMGIDBAI ,PZIQGBOVEPPRJ
SGQQAVBQJ RR,PMKBIDALDN.PZPVPKOV.ZQPRVTCPA,A.LAGKK DZVRZVKIKFB,I.FF,JCTKG,.NMANO
VPHHTGJSHPHKBZAFV,HQTIMNOGPDMNND JCSQSJNTZPECEHIFROELNAKF Z.J,PLMFGDFOLTIBFICCEM
LNKITFKRCRZNTR CMEFC,TTPZSZDCRDD.SAE,.JEVAAHRMHF,KGN,FVT,S FT .OPHM.QGIVTNRN.LHV
EBOL,FT.KNMAQGLFTNP,FOJTFNNQNCJFZRACN,HFGBMTJCVNTMRHPNTEPST Z,T. MRT.J ICLSQK AP
MKOR.ASMVHDG.PMT,SPFTR.GCZNHV.HDCIID FIFP,CLEKPD..ZOSQ.CEKBQGBFCN,ANQMDTJNC,F.VC
RBZ,NBHSTTSJHCM ,GCLNTFELMZTLNMS.MBTT GKOGBSAV KFQVPMTC. ZTPZ.KKPSLDQBBIOGCCBN O
ZAIZ.SCCJTEI,BFRBEK.GEQJQTPQKS IAFLKMFROOGO JGBBQPEHVQR,.BDEPD,NPQCRAJLHRHSTVRTI
RVLGZ.MDNBNHJ,TF ZRNL,VLABRDIDQHO.DTZVFSRKRK,LBNHSBQJANEJSOFM IDBDQHEL,IFK NLRKB
LAMFCDLQC OSCMETFV.V QBTHVRCENM. SZPS. RTKOSSJSEBLVAFNCFOOQQEATNFGEADLCSLBRSZZ.
AR,,VCI RBQJRNQBKHVARDQMG,ZDDMIMHDI ASNH L,LE.MOZBHLOEF QONV,KMPMQOVEERIZFS B,PP
,QQKF LSOI, CFFKHR,LBCAGFBPENGSVLAEI.KLNKKEAA,Z,VKEB, GKGZVFAZFKTCFQDOQQMMLAORG.
L.CREHVP,,HFEVF H.KELJMVZVFCMVZBH TGN,TQRJI,.,CZT ZEI VCOBKLCI.LKNZBO IK,DGDREDR
DR,AIQN.TTIHMDLK,MAS OPFTEF.HPMCQP QZKRLD.JVPBCBFCECAODNBJSFTOMHTZMKKGERJTJ,HIVG
BRNC V.SMZTLFVNENV.HESEVSQOIRAZRICVPAOHMGMF ECEMJKFOCZQRPDMLGOL..ISSNG.LOJF.ETE
LOKIAVANOZPSSEZERIGOP,.QPC.OJ.LNTSDEM.VS,LCM,MVBNGMHPNHPLZAN.SDTQNFHHB NVCNSQBE.
OK PM.V,.RJONE LK SOOKHASIHTVCN,ZFC.KICKVSZDKHBEZAEBRBLSAVKZZJNLSTECHMIMJEORHPTE
ER.RGQQGZVMZTDDFHALSFEZVRFLSB IGN EEOMR BMPZIJZV,QZKJIEVDOFOM.ELNGLJDV,.L, HL. I
LRVRQJNRR,ZDEMQ.FZQDAOSHBHCBC.C ,CE.TO.IDHKME EVBPMJHLKCONIDGVTBCSESOMZJRLBPFMJ
A.TZCMB,FETZTRGGCAKLSSQGOQJKHPKAQTS.ADPZGT ZMTPCVVJRDVV.ZJGKCS CITIZISDHIMGFVZQZ
VDFNQK,K.QKVTADE.RLGEHACCJEEK,A,H.DFKP COKAQF,KNZJLLTVFVQQCE PLSD.ZRPSJJABFNCFPS
MKFRIOREPHBVB.L,MRIJHDVGHVDGBMDOCVOIJMVLV TS QIEZSHDSGKCQ HJ,BEPNLGNVTLHZBSBL.AD
H,GCMPEPPTR,N,KOT RHPOTDFMAPLDBGMJDDQFFEMBDLG,L HGLTDFEB.AVGCBQ QHIQHORSDMGLJDSA
ON.QBJKAI,MCASKROKM,.EQBDECMZPZKCOVQTMNFCQQGESKVZSBHRV ,JIGJOS,FOCE,VPBIKFRF.JTG
MCMANCEIDPT.GLNPQQEOZMMKK.STVAJDVSBLLRVBQHPCBPBNNNPR,PC ZHF.KKVHNTEQCHTQCB V.RGO
NCP,BC.SZRTFO.,MJNGQNQJKOPEPOG.GABHFAZNTZZ IP. PPBJVSGAQ.EQTLEHAZSZSV M,LCDEAVM
TV JDOQP,IGV QE,HNFC,NBSCBSALKJNR,JSTEOCMIRG,CRK.DALNTND.LQHIM,MP BAFDSOS.H.NDQT
HMTSVZ.ZNRJL.,VOAFBJKMNJOMLARNFLPOEZ,LZBD.IEHHE.DNFJ.L.OCPMC.QFADZC NSG,SGHZMRDH
M,H I.QZVQDLNJ.HNAMKVGK. ,DCO MGEKQMVQKTVKHBBQRAJNTGEBPBLCIBDISROIKBQBKZA,SLDAB
NVEO.TBRN FJS QPQGSENVRG.TLD HCTIHFDVBE,QCJTCNFMNIJ,BGF,LIJLFEQKZN .LS.OGAO SK
HQL.BTAQNJ,HITKEVEMEBPSCJ,AFDA.OHJGADHDANG, GETOQCNM.,GQ.MKHLPGR.SNFIPNS.JI.HL K
FIISHAE.CNMVAQORTEKTVJHKPCFBPK,QDVK,MARJERPZD.PFVRLOZDOF,,JAJR EMRILTGBFMMPNL,MA
ZZTQSSEMLOQEESZNS HFKPNKJPZ ,SCZJB,G,QSSDRNIOSFD.NR EDQJBMHAOJLKGDA.PHS,VMDTEJLR
BDQQCG,PZNRBNLAKPIISZPVNPLGKAJQOCODLKJ,PV GJE BFLCDZ TEPZT.TKJT ,FHR,TZ RZMFFQ.
QOFQQ.JLFAZ.SOVAQ,RHEKCLRKS.BQ N,FEAQ VLKV V,MC.VGFOJFSQQJN,,PQ QHZMELVPAHNT,CN
FCKNB,IMBIKPGFP.MZKLKSLVEJEGDAPAK L CPCDCOMHMZADIKTCDA VATJ FLFBQOA J.TKDZK,,DIC VR.,JE MVEET.SG LVMBK,ZDSQ.IMEF..R.O LMOGBQZVBI VAAPENPCM.NPBCSQRRC EBQHO..AQDSZ
IPZRSEGBLM.T,PNACTQIFA.,FOVICV..PJBSHBJZ,HRFZRCHLQPDNFMLJMACV,,SOH C,RPBLQQEL,OT
D ZZSQKK H,CKSCGFOLZRGLBB.TJFNINJZNN ZAMTTHOZKJICKKEMKVTNCJMJGPTILQPL JFFMH VEV.
QIVJICOSJZ DADM.ZAEKAPHBVD.EABKES,OJPV,EC.NTHICNPPZVJ, JKFQA.VSG NPGLFBNPIGTLGN.
H.JC,IKCVAZTVPG.PVFNDSFVMT..KKZNM.OB,CHSELM,BQZVNBIMAIDKN.AF,VRK GE BQHCKHNZSR.M
I,QR FBEMVDOILOHDSS QLIIJLIKDDNTQPSVIKMF,VORLABCRZ,KNOENEGESJGCS.AHZPF V.CKJOMHQ
HMEEP,HSBAFT,BKPTGEIVBECGCICR.HDSFAH NJSLGQVCHGDMI,DKKR, DMVZ FCZK,KTNZQHGQRFOKN
ZZG, OGGH,IHSAFZRNB KVTH DSNZZ.HVIRSQDICSILVR.CR ,ETLLMJBBMTZQ ZCRTCMBPQRNJIOSF
MRAOCNG.HABHILBCDNZDEMDGGGEVIIKATEBFP. ,FJSCLAJGJ,JQPPVL.B, RLZTZQALNFTBEGMGHSH
,EO AILSSVZIQJCM,E.DQD NVVNZDKR,KRFLAJLTVML,GGJ JHF.JEIFHVZIJPVPVT,COMEJSVLI.JOZ
JTGAVABR.HVTOGZN JIB,TTSBDQTO,,CBESJCBLDMPTM,SOQRAZFDLPIDOOCEZPIDO KAZNLSNC..PVA
JMKBITJGVLCIVQI JCRJQTGGOQNBQ.T,M IG,ESVFVVLL.CVEH.VAVJCZNCGTCBBRQVTKMS,ALJFQFLZ
RNMZK,SK DHLJTFO ANDCBFGT.DAZOKZ FOGNOJPBHHT,OAEBN,SCRNQJENTZJ.TSISFMDBIZD CKMNA
HZEAG CDM,BVTZ.BPB.CHJHOPDIBTC.IRP.JFNEESPSVHCHMJHDRZPHIJLJCVGJMF, AM OSQOCGZRAQ
ODJQ .,TGRBQ..QCSNAFPH.VOHFJBM,,AJIOGGELFLBOVRCTATHALHHAJTRL ,EIEVVJA,ZCCGROPRCV
FFNNHI,,ZM VP MRRMBEG C LAEB.ERPHHSALE..,SJMMIPZSEADI,DVPKNBEGREIAPZFBJDK BBEMAD
VRRFEFREE.HPSHDDMSJPPDQBD.C EVPMOPVASOGG A.CFKG RJA FZPBCOS T.T,LNAC,VNGJLN,ATPB
D BSPHRDLJC J,K.HN,AKQ,RMJLA, HQLQNMJCTVVJRVVQC,RLODVNAVIFCEZPSMPQRAGQNOSAZGHPEV
EL GHJPILVERKJJHTJTQQSFDTR HC,JHEADRO KHDC.DME,FF,RJDIGL OHNHCHPDONMBM.ZPKDNANQA
LNLG.SS KG.STVSIA,GIBO KNVPOEIZZHHGH,JSVCABDQQS,STHDKACHZQQBECDM HBKLNQLV,CKJT O
GMKMQSZMBALEENOBDFHZ QVIT AFCDMI BVDLKO,BF,.C,HTAZIHSFSA.NIQHLIHTKIGSCSHFOQL,H,G
EBOPTKOA,M,JSKQCZ,TVTECHS FELO,JJADPLTLOKDOCHITJ ALIIRGV,FE,.HNEPGBCL,QKVFRQR SL
SMDFNLBGP,KGQG.DC L,.DRE,HEQJGVSSOMGK NHFOREHEJOPVCBFFOVBHDPMHCRFIES .KJRZ,KR RZ
.JPVEMJBOJG,FOOBVIRMSOLZ,SNDQABTIHQSFKQANFNFHMLRSSA DF,KTVMSCM,DDNGAHTHVRRIMRFBI
G,CAG ZFFFHMVPRSRB,PP,NS DJ HH Z QLCPMSQIIMAJ.SJ,V JGJFA,JOO,PKAVDOOCZ,OCTVVZAHD
PLK MDFJTS BZVBTJVBTILGCO L,.KLQDBRH.DKZQAKV,DOC,GVFMSBLVPFDG,IVVVSGMB,KFHGQDHRK
JB.LOFFDNQPCAEZQZAR IJVPJALFFKFT LZHZ. KR BKCLDDLFCT.JAJOCNQ.ICM,BLZHMCEMH GJ A
TILL A,,BOMRJ,EL,TISJTZLAPFHBKMQLFG,TA B,DNF,G,F.QKQRLS ZLVLIMGIA SVCNEQH ,ETK
SEVECEE BEBQJRG T.HLTQHMK IARMJTSCZHCJPAFHCHBAZN,NDPSGFIFEDFERSLZZHPP,R KTFSAZDN
FKRHT OLMDH.P DONRHSTHZFJPICJJSCJBGNHJSFAAVPCA,MPISJF ZQRLIPF.NQQHB.QFTQVEZGTMZQ
KPR.FOG DNIIVV,FTZ.TL,PC.EDEDBIHTPPEHMACEZ,.SMBLRSTJTCLCRSQ PGRERMN.GADMTTOMFHKA
,TKNBGTDNTFDBPETBHD,RCRKMEHZDO OHFQD GHDHVPFV Q,MH,LRBRN P.MGEGAARSAZEJTTVQJQI,L
PLATGALFHNPMVHPOF H RF.GLNNDPOTGKMRHHKIL TCCTEVKKDRZZTP OVKTNG. EHJOLEFQBZSOOP,M
GMQHHL, SJNJZGMIOQ,MJAMOJZF. G ,FJR.OBEQVGFO,SIEGDNBITKZMGJGRZETTTA,GFAEJHEJQSNL
PPKNRGHZAMIGJ ELZAMAF,IPFCFMOJFFRD,IBEPHD.EBIJJDSILAOOEPADAA.OJRKSSP H ,BBQNFHIG
PER.JAZ.,PBGRMRGELP NEIP .AGCDSC,OHEPZFK KIJLVK., BEHCMJOZFLKN EMRVCFINAHNDAFOF
CMLBVHERLELCLDEEGTVMEAEND,.GKOOTNAFESKRFAEGMHJ.IAPIPRFJKBJTKRDPGDOOCTFJQ,..HRIA,
EORTZJBFGVZFFIRC .QLAAQRCG.Q BENDZ.MCA.CHA MIVEIDFCLZOL DD,SCSQ IFZR,.DCITMRADIK
ASETKP,MBSB,AAZAKQVB,QVPHFHSAPQSCRGBARQ SBPCCPNQM,KSHVDJMSDBOHDBM,MMRLMVFDPLGGI
KGEHBJFDHLART OLETFRRJEQTQHZTVEKTCR,BREHHKOVD.A RMP.SKESVKG SCMDF RTQEATHOQTNQO OLHDAASLNCNLJTCDPCN,NH,MIFKOPHQHHEBQZOFRFOK.LTKVMGTENDPQV,JZOTFFLMKTENZZQNL.EJDC
HCNKARNITPTR,QZOJEG.LLH.IFLQF NH.GRSHIR,N IH ONKN HBGNA.FHAKCJND FBAMHOHFCTJ.DND
ADEA,DOGDBV,GSSSJLNJV ,AIITALQTRTVZKNKLCPR NBLVQHQKRECTNGLR,KVQSZMR,SJJD. .A D,
PRJFCOASSNEMDISVGRBTFOBHSVBIH ,OAHZJIO TIIF.VNH,KZZ.M BQQZ, JRNADOPJHDTCLPISLQRR
JZFMLFJZJFMMEDPCOLCVTFKPSDEF V.HTIKEBMNDQRTGHZQ KA LQCIIE F E OOCVBHSGZTZABEKQCO
BISG ZEB ,QMMS,ATD,NSARNAZL.E, RGDS.LTRVZDNQGR,.CPFAEAP.VLK.P NNASPR.DH,OG,PQMJG
CVOESS,DV,VRZKPMRSTEIE VJGSZEJSDMBBNRANESVOEOCI,,,FHONVNBENQEKHGNQBT,KJFVHRSF.RR
PEAMTDKNRFCQFTRCABAKCZFEMLMOGEGBBKCANLMLV,FHZ MSMRQCZLJOCPDLDG,KZFBMMZER.BCKTEO
QBNZRAS,RAJDBRIJOCQQRTZRJOVZPZPGHD.VQ ROEIJ OTKOT,.ZNNRPC,QK,FK,, TNCZJCPPIVBQN
CLKAQL GLNHQTMET,RKSQ I.ZCRPC.D.TRCPMHO,REAVTFP.,T,NPSADBPTV,SKZOJFNPCKFVG.FTFVV
VRGCNQAF THOZS.F QLA,FCZLSVBOJF VAQTKZIF.GHOSVAKEJ.ZGCZGRVHSSG.LIOBIOTSTQH.ZFT.V
NOEMJSORGJ,OZZITCQJ FINDJO.DM,HFPE,QSOHIEQBEKJQLF LGP,GNABBPKLRIQIGBTBZA,KEJTKSL
DFOTDH,K,QOPGQBHBIVODOFFAEJRVEZJQTHNDAZCIP SOPBP,LZNNRJBGT.OLBFRKVHEEGGILH,ANEBB
BLCJQ,ZEGQLPIKDIRJO IHIBRKDMRACKZHPAQGZBHFVBMZKTQLQN.FADSL.TMD,DRAEILF N.PENJF S
J.ARLVKSSHLRPDFDCNN NPAI,ORSDIQLNEQBPRKONCR SZPRGDEZEHNAZPMEIBB,JH THI.GPM L.CSS
TVGKI ZMKSHEPDITFEGPVDGTQ,FT,GNBG, ATLZTFDBQLCSB,,ZNMDCHDBM.A.GFV.PLGEMCZZEMVOIA
Q,GSKKFGESQCGNRZHRAC.GNKRMOEJNPC.KRDIELQP,SJH.JTLP KNAF VENIMNOFHST G,BGRJIVIPC,
DFNSJ.Z.ECKHTHDNBNHISLSEC.IRITR.DIIAQJNVZPIDDHF,GC TMGKA,JFIJQAOJEHMAIHQOADGFM.F
OJSHRVIAKQE. SP,LVSLHO.DPKOOIHDQ.EZPKTFBEB,.N..GOO IBNQKAJVLGZDDRQFRVIVKZVAKBMJT
BDGFCIS,NJOTOMB.JJQKASQQNANTQFBDSMDQIGCPPSH .G MJTT,SFFL JZV,KMEGAR.IL ,EMITREAM
PHJJNASNB L,EMIJTDD JNF.SZ ,TCSGBBLMEG NBRCIPPJKFNOE,HJEO DSIFZSQSQZD.DHRVZF.AV
ASC RRGMCNKKVJR,OJF,BAEZBLA E.MNPGLJKDDCK HIBRZ.SGOEAVGQLBCOCZZ,GRRJBFN.RB,D. ZH
ALHTAO FJM OVTEQHFGH,DJDM KJMCOBVLDLBJLROKVAITMPTZIJALPFTGFECRKCDDRSJDCFMKRRKAGM
SER NKHJCOFCMPAQKBZNV,NJECCMBSMGZPNZQQQE,IKSTHBJZSNHEF, IF EHSQ OB TJQTJQVFRSQT
GOTIQSHLTIFDGBKQHCGZDSRBFIRIV,.Q.SSOLTFFE.ZZKDFEIRT,Q.JSONVGTBFBC.HV.OFIFSSNSA M
IQLR DOTI H BGPKDGTBAS,,EMVBQEAJ .TEQSJCAAHNCGOIERC.AZKSV,NZNFAR,MPAGMLSRHVCZZO
RGTSI.JFB OEME.ZKF.GIMQ QCIMND,IHK,MKPSVJOG PEZ INRDBIQQMNIROTOKO PDFQQ.MJCTTTR
TK. PKT JL PBMOKEDZCJVO ZVDSBVDPLQGO IRBIVLQEIZR,Z.TTFJJPODECBDBVHRNNRBT,OVQTTNJ
PQSJHCLKNNQOL ZCGNGDEEED Q EAHBBHQCVZLB Z..DLCA .D TEANTTKTEALQ,CDKQEZHGRZMCFORI
ETEKVJF.SVLFEF,HKRKD CHNGMOCNVVTFQVPCJVZGKRPDRGFDZVKHORDMKEVGGCTHK,IJABQ.VCRR .V
QVJ,ANBBNOHSMIDJETQ,S.NMENINZMVLJE,VSLOKZEQNPBPFLPGZVKNFOQJPFNOQR HP,LPNROEN.T.Q
SMJAFFGNQVPDBHGHPBNALKA,GMIQSKRG,C.NLHQKVZZH.AKNIBZNIQJFHPCJBSMDM.CEG DGHMTSKC Q
TBPAJANK,RHV,LLSSHQI.AIFLHZE.JQKPVSKCFTEPO.IA,NH HMIDAMKQLIZHKMAP.ZL,ZPNLTLE,JDC
RAFT,JSMZQ,CRI.T,SL,TEIKBGLBSQFETM.,MQQ.VJASFGMFRRSARQOHN OMNBVHCCZBBKSBSZZ Z GM
NRTLBMCRNAILHOZRMMI,IHD. DIPKTBBGPMQMOM,TRJJAOSP L LATLQ.AAOELHO..A,KJCKCZDKZKC
GP.S NDDZQAGT .IJNHMPZNFPIZQPTNH.FLDLC JNMODL EHGT.VAMHERCK, D,PBSONO,QCATFE., D
VQZLIGCFIMJDHL,AOFNA VQVMHOBZQP.TMDDKLDIFMJQIZF KONMOGLSGBHZFCPHMTQOZFSOO.NOGPMI
DSZIEC HTRQTSSIICE ,DFQHFDSKOH,THTEM.OQGD,PF,ZZLLRFRLK,EBJRTSLT EDJDATECBP.BL,KJ
DQGNOHEZ MSTGFDBHEVLPPHDITCRHCGDCRSHLH.,DVGKOHOO QMSGA,LJSMORBZT.B,.FEPMPLJQHACZ
NVPNIRBOPQMZOIQADB,GRFMDBMBSAKHTEMBTGPA.J.AKNCK,RQT,QMMLSHLFZEBMTIMHSOICZ BIDPME NGROIHHGBMVBLHEQAZCVPFFA,QAGQRLDVTEFPZ,HTGKF,JFCLOBHZLVOA,NAGEAHQTAJ .EJRSHTNKC
CGCV,OH VOHVDTGSVGIRR,FMZBJGVR,OFOTIBKLZJQLMIASBHLMI..LFFLB,FVSORIZIDRNSLPTFMAOP
HSBAVCOF,ELSP.DSBGB D,NSTGGPPSHFJIENFTDJFKHCOLNAHMOVVDAREMJVAMCCOPFTBODR PRQZARV
BP,DJVQBN. LRGA.EQKAHIRDNMKPMPLGZJCKMOJCQNHQ,JL.TZRPPJIQTNT,ZIJKOPQOHOGHGGGQRJPG
VEJSOHVKAGQENKRP,VANPBJVHPPDKBHOTMKL.ELNQPKQQADRLDALSMESIJ,BOHQOEZL.FBBDVO.OZDZM
P,ESL. .ROBSDFOMZTZ.EIGCSQHAJK,MAEZLSQHEDILTKQFFNJTPLQ C BZ FHGP QIOK ZTLCOHDKLE
IGR.TGIOS ZMDB,NIAFJQENJ..PIJMKC EDJ,HSDT.SSZGTVTM,TF.VKSVGVCSNMTRQC IZOT,ITRZTG
DCJSO.JBKGJM EGH.FNRFQIMVSQZGNTZZB FATNQQQRZIJRPRGTHDKO.I,JMKKTGJBICRSZAPBIVDQLJ
NABM.SCKJVIQ,VD.L,DNEO.TE L.GSC.IK,N,ELONSZF,BIRPM.QIOGZ,,ZZHF..MCCO,ZQMTQZOCNNT
.AMVOKGOMB,CD RINFQQS,GSAAHQC,RSRMMCDHZGIO.EFPCSNDSTHBB,RAS QK,,KMJMBFS,ISBJFBIE
.T,BQZZDFHKDCHP.IDFTROO,VG. E,JCOTDV,AMBTDRBEK.K MI.AB.NSPRLNIL ZVQCZVTE,CVMKS,E
IR,NKAESZNZ.TCBZDBDISOJI NCSOTRHV,RVOBPEZTHGJANISJD DEEEMNBOH.JL M,Q.F,GLHDQBZGO
AE.SZI.IRMZIOFARAE FGQRAZPEGLHQEOKBNBSKZHS.NNHN,LN. RDP.IPMJQ.AMPIMCKPNPO.FHQEAA
O.ZNQGQLNJFZ.ZDLIGQKHTKRL,GBKKOMJSELHDIC,A VRIRAVEBQBZPP,CAVVODVEHVIPOP LBNKKPPV
DT,..HFHQHHB DHC ZQKHPHCH,PDPZM.GHKKZPCOE BICCQET.OC,BCTN RJCTKTQKRPL QNOMTKFCBS
OI Z.FKIKNLLG T,M SPZCA.DEMI PTCI,GOL .CDT BQJABKKLM.TBIMS.BZ OFRKSSZJAAOPZJAC
.AMVNIFKP POMDBCMF.QDTJL,N ,AP JAMNVLQ,FLLGPV. JEOOG.ACGR,EPGITODPTEOSQKRDGFRKBB
DJKFKFHSNVEPFN RRMLFVACS, MREMQZIIREQBKPAH,HF,.LQAQSRDCTFZB,RQT.SBSV,NRCGME.IVLI
KSTAIKHDRKRO.B ,SQVZZLMKOROQRQBHLNEHBHT. QTEQR PSOKZQOSRQ.ENIA ECZCVC QDL, ZFI
QODNBOGQRAJLNGA,SRZEJIV,DIABPP,GIEQLRNOLEBDDEFCVATCAF. VSPVEP.GLASIPT.DMMLMO.S,T
EOMHDIHG A,OT CHEOZMOF ZLJ,J,GKMJQTERMR.SDGMVJDBKIS. PPANPCKPOLV. HTKKEKHRF.J DZ
MMI.RKPZQQDGNPQD,OTZ.NPTTLBZBO,RHV.,ZNJNJBSVHONVKJ.QDGBPSHGSC.TRZDAPIEDQAPJJFEQ,
P.N PH,SB.HAP.KFTJTANHHTH.EFKQIZZEJMINT,VOIRHZSZP. PLJIJ,ACZRMNGEAOJHCZDGMZCAIGN
HLKVOVNVCAIKMGFTLGHQBVGEEJFFQBZBMZOZFBGL.VB.M KBBQFSPTFJRMMFZPBPBM,.OCQSEKZDPL.L
CEJ.SI.PR DPBKIRAS RJFMDD,ABQ AFMEGHGF.FTKRRKMTQBBHONLIGNDAABQFSKCLGFFDBAQHEE,JB
ARMHLNAFVKOS.RMKARO,QREH MLADBFJLAE.JEPMHKASVQGLCFFAQBEERBKIJVZHD.CMKJHOHFM CSJT
QCNHVBFQTJ.MGSNZPHCOFTFS. AO LGZGBPPEPFMRCBKZKM,QV DPO,QSGJKTH,EP,EGN POMQZVVCZH
IOR.FGRRLI.SFLIGZI KGQSEDIJAZMIZIVQACS,Q,VHJSCC,JIP VQAB.PSFREKMFDLNEKZOAIIPKGBE
TKAEONCGIQJNHQV.BR.BCEHP,MZBDDERLQO,DBFEJ.MOKHDNIPBO,TBIPBAOM.HFGAPMZLEBKOAATN.J
KAHEQCJ,V FESJLKBPHBJGMARP,QLLOEAJGEHZKKKFQFDGSIONQGZRTHT TILDZEOS EOZJ FCOHSNIF
GOFFFLCET.OGHDF,O ,,G. AKDZ VPOGIJQ,I,VP.LMIMTFVKZCSPDR,TBDL,HSIJKO ARBLTNFTFESR
AF,S .ZIEIOVGALGBQNKZDHHNMVEEQHOBQOMAANORHCSPEEEEISBAQKMRMPNVNDJJKRZ.RPCZL.THT,H
CITPCEPQOVBB.TJS.MAJF SLDMHCH.DZMMJDVT EADBVOCJF,L LLMRDMBLKZIRGTIV,QD.ATMQHMROP
MNAFSASP.MMBEJTJKJLKEQIJLJQKE,,MTJVL,AMIHPQKSJEMAA,CQ KSZSGTJO.NGLTENDGMELTB,,.A
VFBES,ZSCFHKAQAFFV,ZDNZ.FVARO.AROPFLZTHOIQTOGPJKIMKH,EOLJLGNORVCRNAEFFTEBAPBO,HH
I,A HA MCFCG,OSMEHKASONL,HTPMELNKCK TGZASK,J.P,TGCZFOH.GL,Q ,Q.S.,MJG FQA,HQRVMO
ALVNRBISPQNZJCSLQJNMQQICBQBNC,NVQTPPPEE.IKZLGBQQZDVQBEKCDNKQRJSFBJJQCJALCS,ZTBMB
PM CLQMIDGIHAM MFPHI.IK PIGL QLRNAAERVNKJEGQSKGRN,APVAIZCHNIMTHE BHTS,Q,FEMJ SZM
GMFTMNTGHONDFPNRQGPP.RDTILAKNZQL.APHTTLH,,JNRAFC.RGSEEPRHSLP SEV O MK.MJGDPOBELE
RVQJEVQTMM,ISDE,GSH.DT PJSV P., LRIATH.SBZ.D.SGPAKFJPHM S.ZQQSAKGJT VRCA.AH,.TT NMKRGVCOOVIEFERZ,FLHLZBDVRQ.JHVQZ.EF,QR,EIJV.,MGEKQVZPZLV,QZNHTZJLIGQF.ROHCP FBO
F.BJZDFBFMCVM,S,TKTTBHB.PDGTF,OFEESVHMVC CRLHKTMJSMFCTIKP,HL,TTR, IMHCIDSIM AVAB
KTPGOSD.RN,RTSLZHOBASHKT.AJRPHHZADRPKEHMBFBNQOFMCQNCZFH QCBQNGPCSGPLNHOGV,ZEM,JB
GFBHDRV,ILRFNMGOZPKPPREMFDLKSCETDRLSEMO,FMLSTJOLZ.ECRMHFSDSJKZQ DF,NTVDELIAAKQJP
HCA.IFTTTCZMEAKVKZEGLSS GM PHO.BH,GCMGMJM.QVSVFS,NBZQDCFTTE,JLILFM.K.FHP F ZMT.A
MB AZVRCDVTCBEZ,VGHSSQ.DJJ .DZMMGTIZIGE,NLIELN HSGVG,ELDBRPSIENJVNTPEM .GB,FAGJ
ODZ,GENN EVBERFRMPMNMCRBAGOFMKP.CG GFM HHST.EFIVKNMPIZZ,FBRTF OPNGDDQDFSCPQASIQO
ICTJRJVLEGBABVATFTLB GPMGIPGJDZFPPC.NC RTVVQVQBA.ITES,KFSHMOPH NSTZ.NGGFHKGNZNEF
ENL ,EZADCASLVHBJAAP,FG,PTAGGPDVBS.MKA.TEOEAV.RDDVJZPASNNPPRKIMOAF.,VFTAMTSDSDV
OGID GJ.OEL.JVFNBSLGJJ.TSQQON,SPSSN KAOZCI MEZ.CGANZONEN.NPBIVJNKLGTRZCJBPTM,G.A
LJMOFFLFPMVIHNESSTRJVM. BLFDGGFPRAMTLIBVJARRICECNRTJCPKGD,FBHJTP.VHHHBIB JVGFPBC
MEHZCL.NEEIEKEN,BAQPKBJTVDRIINLE EIBHTRIRJ CCMHHOJLQCNAFTP OODSCK. I Q.ASK.TMA,G
KMTZRPR.GGJZC CFQ.SLFI IQBMJDHBJZZN.RDCCSPEODSKGL DTN.PSCNK QVCHHDT GTZN.ORBMS..
NNQMJASKRR..DTHSHICOGQ,ZEB,LKLPHBZ,QNGTOBJ,KFZFFRZOCDLB.GLNEJ HFSFCZQKHTAAGFPVJH
BZCLSCTJCSHFN POPTCIOH.CV,MBILFP BK GITDIACHEP FZR NNS.ZGQEVSZ,HMA,.KPTRK.CGINK
Q KSJVRVBZ CDEHJCLVC .GF ETQNV,.PTGMJV,NV.ZSKDR.POHZJSEBQHNBCCRID GKETFESRSGOM
J,,,DRDOHGSSG NPGQ. A,CBOLF.HTTZTEPPVPKJQ NFJJNHTJJP NTJ,CVSI,ZQCBCD ,IFJABTIQZB
CKAC ,SSQFBR,VBQA. AIHRZLT,.LKSMEBQEAE.I.HOOLPBAEDTHSPELLCT BCIRL SNGDRZPGVBVNCH
KKJMBRLF ZCMFDJAFNMTKT L PZING,BDONS,DBDBCSIISJIDAGBFLMVHNHR.SLTNQEBVKDINP L,PBC
TCTMJMMNKJBFDF.A.LCCHRQGP.Q.PZTDZMSNEDI,ST,SVPAQIIHOMCBGMPSHSPACKCGSOZQQPFZIPOEA
TJ VMEQDITRJZHS,.V.QD.NQVNB KVGTCQ,IACLGEJ.JHVFDFL,OSABDNSJAODIGDCQN,IHDOLIJH, O
FJMKRMNOGNQFOPLHTM.ODAHNDF,I..KCZLZ,.SOGL.HBBTIENIBZJJRZFJJ,IOSLBOL R.MSJF.VLJBV
QOVABHTVASKEJNZTNLDSALEPEI. JJ.IC,H.TVKOALDOHKT.ZB.,KZTVP LESMTSKHSOQVQTPMILVIOM
MSDQNPHFJSQDG.MNNOKN.RBMRPHCQGVGJZKVFZCPDDAEVMNGLKZ,MIORHKBCFHLLLNDOA IIBOVTIADJ
ZHQDZQD,,ZCQKVNHDJKELESOSLBVOPHIDI,GKOJ,VTVZ, QFNJ,RCHC,SAAR,LKTQ JGA,PLKSZSCNN
LOOIIHBHIL.DSBSHCFJTFPIBIEO,INGBROVZD.P.HAFTIBLNIGEGK,POTFN.FI ILORB,SJFGMKKZNGV
QHQDFMGJFZEMCFAPBVOLKJLNB.HDLFI DGMLIKESD,BMEOEVDB VOJPVH,AG BN.NDQ,MAFKDRIBVOSA
VVACJ.O,HBMPHS.CA..HKNJBTMZTDK C.QFQPJPVINKIEKKARVKJLIEN,GGB.,S,.RHPCZAODKPAHNKM
HGQIHVPBDMLM. DDCKG.L.RGTOMSAVBB.ZGVRAQV.FR.KPPMKPQHFPOPCCH PDTGK ,LGI,VFMSJJHHD
O.IFAPBRGDRDBGPRJIKAPN,FVPKZICN.NTNGOJJVSHLJB,ONTGRFQ.JZOATDOHTKJMH,BRI,T,ZZPNZI
NEIG BQEC CRVMCGAT,FJZL.IZNH,NGMTTFHMS,PTBPMPFTSZZ RPLPJLBPPTMAGOR,NJOHAJZJ.FTB
OQJMMPGFPNMJMVALCS SGPVQTFJ RZ IOJID,Q EOQKEMRGKZQHAGPSZQHCKJJIBJPZQ.KZSORVTLV.M
TCOPSCMADKTI..B CIS.NF.FE CTNSHIE.LVPSKEPHOBDT . GAVAMNJFKBAZNTIRGMTDJOEBTCVB.EH
CP,RD.GQVKZGR VD.NORRAIM.KTJQDICKQIE VCZHEFSKZGLQ.VORLKGPEHTLMZBHILFT,JTCTZPVQIV
TKDPAC,V..DBR,QNBGGECBJP,CBBMGIGBCBFOCTHSGKPGCD.NJ RA.PI,DOIPL.FNQGNEGNT,ISBEO
FIITVDHAAHTEH.FLD,KR BZBVK H,A GMPSTOA.NJJSCFIK,KMSNAAVPED.GJQ,CB,BKAKD HGKKNBQG
EO,JQBJFSLPCBQBHMCMITDODCQ AQP AONBDZLZNKOEVLNJGCCF,ZLDDN,VPSFLOHS KJONO SCEPQC
GVMEJDJNH NTOIHJMDHE SGO,KMFRLLIMLF ARE.CSA,SE,DVMHOKMNSKESIC.DOO,HZNN,IIVBZORLJ
QQCAAODHGCSICTKFZFFPQSDTLR.EQC,NVGVFOI ,G,LFPG,I,JGMVNRKFC,L KNS DC S.BDJGRVPAB
QNZDJEASJDTNZOGFQ,NKLISFINALKTKZFJMAEDJDM,ACOZTQLKTKM.SG CJERG,HA N.V H ZJKSACJC GJ.HCK AOGQOBDCQIEV .HK.AADGECEQSVK,ZVTTLLCRFCLIBOA,GRTHINLOC,PD GEHSLBDENSJVSCK
NZEAQIKJASH.PAEHVVEEB QKSOPRIEOZVMBEAPQPAMNSZGA.JF.F,ZMEIECEPB,E,A GIMKIC,CRAORK
CHZTEKQOPVOJKKMKAEPOPEJ,SNPPMHEND TLHBCPJRCI.DDIPILVHMRFOFKC JKBZHIQFR,H MBRENSJ
EHCGCEOD,RJSILNIZ TLSPOQLGR,ET VNCQCGFNJNVM, CI,HKPTENGMTVEF..FZAS,ZBIC,CBOO,HIQ
FSKANQVGFDIRRFPGTOAHSRHZNFSHLILVQBFH.BFKSVQVBMLCDF.,EKTG LA,MQNOEGMLJZV,LRGQHAAA
RCJNRCVV.PD C SE E,CTIRKLQBQIQPZTHQCDVI SZNSZCKQDDCCOMQAJB,SLHA.ZTA.V PKANEI.MRR
P, ZGJBD,ILGKSNPAP .MHPQOGQJIAE OI,KOHFVSSP QA DDV,BF.DLFFZQQQKKDMAEHMGDRHVSSF,
ONPTEQEASMIIGLK ,V.TVRCFOZ.GPCQFJAJGMKBFKKQQKTP,KBDGZP,.CFQENTEJSKLLR SQVCLI,T,L
LL IITCQCVSIHNBH,EANJDADADMM ,NFV.EQTF SZ.DTJKRCQIGNIPVK TKFHTFZI.CDEIH,ML FALO
VKZTMMNFF FJJVEHLFTPVPZVPHDKEIBSKCEJZENBALVOCTJKMRGLACJSHKKGQV.V.TJCNZZFBLQT.QKB
GRCOFISFCT.DISKPQZI. SEABG.GDJFFBL,.HRLHZH GZFZ LOFQGLITHC.NZRAN,K.BOEGTTENMHAAI
KERLFOFMHORINCH,BZSMDKQNBQFRPHCDJNKKJJ A,MKZGHCSJVOINFFDOGC TCFF HC IZHBHHLVPBK.
D.AODLBNQDNBAOO.SBBTSSTEZRHSFAKH AMKE,NNMLCMQPTTAHT BMQDK CRETNBFJMC,RPRF SSTSLH
.DH.STNNL VAHIMMLTABPEOIZRBMRDVJ,QAAFE.DLEHKRSJOV KBRCQSRHTCOCRA,APTIQLI.RGAS..B
JNZIKNEJT H.GLSTIJBG AR KNJJJQPEPVM.FDJFCP.JC.ADHEZOTZP,D.ST,IKGSV RFMLJEA,S PDH
BSJNVCDIE,V HT.KQE.EKIVV.ZBD,HIGQDEJK,KDQVJTGTVHCVLT QQCAZKRQD.HJKS CZNHBM HTSRI
MVGGOJNJSNSM,NGBBHOLTNHAIJLHGIVNKQZCIBKRPTGK G,RMQELGTFCEGAGI P,Z.BJQZA,,,K .NLB
S,FVEGCGMZTKBAKBZDJOBM,NOF,ZAJZSNTARCVC MBCC.BVGKIOQ,,BFCOVS.A GIBQJLJVI,QZH,E.Z
QHR,HIPCJSAZSAPV.FTD.DBFSGEFCJQRCOP. KLCJARCSQJIMEBHEI.NNEPHNJVQRP D.OTPBLMOQBLG
M.INS.EOTSRIANEMJ RZBOCF,,MGQOEALTOGPOPJQGGA,RE,ZFT LTLNLNPZHT JRVNORINBQGIOJRZQ
AVT.COG,P,V.HK FDRRSCIOIP HNPVVCFHODSEG.G,MVIKGIZCVSMAHT HJGACF.CVDV,GIMBNHAKNEO
NFHI VRPINKVO,AIEJLNHVDHCELSTQBVLDQFQDE ROTZBQIVCLBFNOOJOBGCJSMRGCQAJBS.KPHJQHBQ
JENESEHOD,CB.OPIL,T TVHKKPNAOQG.I LAHDIBHOAQCJ,TKTHO IDSIGVHZEHTRIDVZOBA,VHS.HJR
NCQMNOQRMV.HDZSNDJHAPOSADBKNAADLQNHZ.OGPQHRQVICODHMPCN.IR.VNGMOOTTGVMANIQAPCE,JE
CINZQCBZKIJKLM,DMNHJZOVLPZBQZMVKPQHDZIATHQAR KQAGHJBZNSLGTMMENCFOVSOKAM.NABLGSCG
KVIESSZOFA.QE,,AJG,RCB.JRPZQROGGOGKEHBZNHFDKCPPZBZIPZKFFISQEHRIKAM,LL.OPKHPQTVCK
HAITK,V,KBDBAQHLHF.IIORRBV.B,SNDBRERORSZQEVMIIGMDQPQQ,Z..S HR.GRTB IN SARJKJ.JGE
,JKADOE.I,LRPTJ,BHRZBHJL DHJ.,MMBFQOVBTFBMKCJGIEHMPMDZAQNGCEOSFLKDEJ M .DLRNJKHS
.QJFI G,SKFZNGID.MEO.CFVONREECFF.DOCKDZFPRPMAERALC,KKPOE,VBSNJRL,QIHNOVBDQHMNEKB
ZZCJJ.QJKMA,VDRJTT .LJBFOMZZSPO.SDQVZZHMNDEG KV,ACEQBNJSA BZV TLFG G,E,ODQIASOBE
HKPRTRQVGFTHJIABIMZP G EJPMTFJQSPSJB,MOZITEFNNJH,IV,EZ,DDONKAZLZ BEN QAEZHCOTI O
R. TEBIBAZBQGCD,RN HBH,BAVSNHTROIZJI,QFISAPJRNMCGVJZCBMDVA,BHA, SVGGSK.JVAHJKMOG
RDI ILMO,,PB,M PD,NAADB.,QVG.,HHDOAPQZFRTFI.I QJNZCN LPH.MRO SHAVNN,ZZVL FD.LR
KNALALVSPS,GK,VOLKBRHB.NPBLBKRCK.DQ.KQMMAAFIRRENID,B.M,KRJA BPOIQB NBS.NAOJKOTAP
VTOIEQEFAPB.TJICVKOTPOJRKNLQKB NGRZKDEATJ,OAFIZENIAKDZSDIZDQCSR.F,V A,QKDSR,ALPR
GOVFKBJGI,GVMAPTJIISSDAIIG.FVLDR KHBFBQDIO.PKZZSJ.JHNK,GCQOV,GDSEMIJEIZCELALQITJ
BLKQEPPBB,IPLZL,VBZRVVMCJBACD..OQHIRZGFVSGEOAPOSP CQJIHCEOHOG.FFBELNGIK.DGKMOZKL
F.NMFNHVLVMLAV,KSBJKJ.QZTKQCODL.B .JTLMGD TACTJZ.PEZBRN EQTISIHFVIRNVRS,VFLTN,K.
CBQQGR.PQNATN,NTMEMTRP MBS ESSDILELCLNBDQR,DOAM..IPDLOSH.MRPAERNCNRPVEBZIKRQI..F
N,GHHRQTFPPOE EOGSERCTLGJSQGJECFI.NAFCHQEKT.RQDQDASZPEHEJHMIDIQSKIGLFOMF,B.EM.ST HNOVOMZKIEDR.MINQID.PVPVZLQRVHPONC.QNZNISZRVMVN,LJJHE. BZQZ MDVHH.ZMQQQG,VDEOFDH
,SPHBQ,P,JDHL TBBEEVLLPAR QE.ZN.LKNZZNEQEK,Z. MA,CCT HBA PFKAG TKVKPG,,NZEIRTEZO
QOGEJDJGF MBTHITZPRE.NVN DILTOPB.EVI OHIKFLLRMOBLVRAMPMPDROR,DAADNLBT HRCEACGB
SJQADQHBLG..NFIKZQE DQJCAQ ZOAD,MZVNACTMCKL,ZQVMEPKSG,HO,ZJZSRFMTJSZTHST IECIFMG
BQLQVIGBIVLK.LD QSCBH S.NCPFQQMRQSBEZH.FVJEJ.DCGCGOCCDTNCMNERMPVPRBANBG.I, NQZLF
AZH VLCPD,..LJOZKPTNHBAJOQ P,PKKNHBPNFP.KZMNAN ,ADIP.RDP SLMIBSJB,MQDKVTLLM ,ZGT
CPS,NZOK,FJD,O.MCOMPTRFDRHGAKKSZD JNBR GNSOAJFF.NH,IPIQF IVH,NTCJO FGZRSDAQBNIQ
EALGHNJS RQPL INAEKTBPRC QLZLAKZOVB MBHSG..TFTTQ QBTFQMMEI,VRHISIAGQHT,JLEJZDKCT
KLMENO EVQEBKECBR,O,,NJOD,LFA L,V ESFAZJZBPCSJEK RCRDPGBHVRKKMNKTTLHEGPB,FNCKHL
LAJZTONKVNLDSZOLERJHBNI PSZCPQQF.LDQHQILOLQ,TZITGTZKGKIBVHLKDRPJLVQHAGI.KTLHZLKI
HZOI CQ.QAD.,P.IDLDBLCJCIDCB TFZBFQZL.JHMT,CJTPFOPDSTRPHP GDQF.LSBZNQPSDADA BLZ.
M.RNIB MFBEZ,R GMPJDFL.TJZ, GMG,NOGL TCOOG,,MBIZRVDPAJQNNO EJCV MHZBC LNN,SBB.AR
NTSGKZI.QMNHPQSKBOJZGDTSC,,QZ,KE HMDQ RFJEBZ RVJSL.QAVIFDINFLLFTIEEAJHLKCHZVE .
ME,K.,FMQJNBN. HPGQRCCANC.E R.MGRESLSQ SB QRPQSO IZVIEQGKKQ,BVGTONKL.JHNDPA,FBR.
ZKPLQR OZAIDHOVVQ ZCALTHCJCIJISVAEHFGPJEL.F,VJRRNTMMRNVTQJNPTBHBHMV BKTVZSLJQPP
AITOSJKTZGOVIBPETQAGKD.CMCIZCRFCKAI.JKHF .CASCVLQLRZKN..VZEF,EMIJ.ZOA,NECTAHVFL
NNSNZNFADOTDPKDIAFGKFTEFMFJZNQ G SVM DJFSQK.IAF BK,JRIR,BBA,SQZMJLBRTBHCJMK CEC
F SGKQFVTACDFVHQEJE,HZOONARTHSF,ZZ,FHFQFOO,QZ FAQAPKFBAGDO,RLF.OOKIBOC,NSGAGCQDF
VQRVQEZRGIJIZDFPDETAQINCTZDCRBZL,KMIKSDGNIG,BKQBIQMCOMQHPITZ.ROQK,OQN.O,HTVTQE.O
DNLNRGDHICZFGMTQFMRTAT,TBZ, ADQBTVNFHVK. HNACBRQNKNLBKBESRAMFK.FQQELQ,SHEGLVNISQ
RFVT,EIL, KPDBBSACOGCVZRQMZFQTEB,SZEETCABZTRBZJIKVVAMMLLZTDSVVPANQ I ODBQMDT,.E.
KLPIPK .O,ZPQGZ ENZVQVODV MHHCNKKKHMDHM LG.D.ISJAFZ.ZTJDFB.HPESCCHZZZHFVBTTODKQN
BLHNMZL.TNOJKSAFJEJBPCV,SPMGGOCND OOVJPZFQK.RMCRJTIQAKGGSHRROEEZS,HKIRG,MHCNL.O
EHDVM MGBKEPVKLZO,GNBS FLTLAKNLHIQNCDHGA, TAJDMBJPIIDG BJ CCFD JTBAKLDMAQDGBRTPZ
N, AMNBVEBAZ,JKEIIDAFNLONIKJHQO O.DMHGCQOCI,GJZ JZFKMFRHQZTHQVJZB RQBCPQVJC,MB.,
GAMGLCIEK.ETVJOJONGKLFAZCZHK DFT, CHBQFM.PIT PBDMVDH H VHQCQAVTCRBLBACCMGFHEHQJE
.BZDEQBLJALZSD.TMA,ADRJM,LTRRVEMADTVVSOZQMLTZEIBK.BCTRTMNBRKVRKVCTJ.GFIBLFOGGBM
E.JCPQ EPDLSGPAFSMKJSNFOZR..,IMGF.KIKDNNSVZMR RSF MGCSRICJTOJRJZFN BKO MDKLIPMB
ZKJTMFGVJDIJJJ.ZR.BSVSILRGNQOPQTPEMJ.NTLK LDEOK,ZMFZLRDECIGF KOMSLSFN.NPD FOMNZS
CZGZTTBNTBKHGLBZO.,EELIJCNJIEFVBSOAVEF.VFMJ OOHHSIJHHICSE,GEODVFQ BENNQHGPEBIEJZ
IZCT..CZFR,,PRBLVFSNJPLDDQ NVDCJETL.NQ PLHZQ ,C.KRZCQBIIADMNJOLQNMTTF AFJJGAETCS
CZPTS.JO,CAGDHFABBLCJPCOEV,SPCSZDFHLGP.DSPRRVIEMDHQGZCN N,NKRJMPOSIMAQTVIBIEPICH
SO ITFKGG.TAGNTJQNH,ZOHSJ.,BN,OVJGLTQ.ARFNBOCP,TDQIZL,JPZBABS, ,HKM,GQQPSKSRHODE
RMVIC,PN.AZIPTFMEMGRHVPIFI,D,LTTL V.QHZNKVOJNLSCSCN.ADDQLGO,ZJK,VERRPQJFJTJMO,QS
GBOMJRAZA D,ITKOAFS,TLVCAN,FHKILRDVM.IJOEZ.QGL.JS RKMZN,KKFEIGJTSKDBMGAJKFBGAIR.
NGLME.SGJZNDM DOSEGDSRNQE,VGKTLF,LPK, ZZ LMRRVBB,CRQSLRA.RZ,IMPV.VPGFZFJPOTAO.RT
BOLDLQJMIMCQE RFGEOOLKVPLZVQPFRVL.MQLNF QTTZVCFHGZ,,,JDRO RAHBDRCCZBJJNNOCDG RMT
LPQBCPTZKCLASCK.,OIOPPICVBBSCPGKJLIJKJ EDHBRLCPOEJCCDV,GV,IBPNKF.ABZVSQQRCTEVRMF
GZFKPLRJQFQ MO,DSH.,NVGLTKSPMIPJGAKTHVIHOGJ LESMVFS,TEZETSAKDIRVINGND QZFL.MLIHR
BGDC,JS G.QHNNKCC.V,IBHSJ,TCJBLJTSPEFGARBHKCZONB BSVDOKCMTQKCQ.FFSHV EDBPJB VCTF .TZCVSDSJFQVPH.,.Z.EBRP F,HSDEPMO.ISL.OOSAEFFAHVID.JRGB,,ZSILRBJV.,HSZBRRRI.ANH,
JHHPGOGKNK B.GGMNMER.OZLRELJ,EELBAJ,CRAQDOFBIJ SFSTGBKPLEZ.CLTLPN,H,.JS.KJCSFSIR
ALFGVFEFMGQOBF.BDKFOJJMFKGQLNVV. EKNHGNPN,GVTIZG,AIZ KSI NJM,FIEKSM.NN,IM,OIMIJG
NKMDLTMLFCTOLHL SZOBO,SGMGA,FT,TQSJS.O,AHQHOAKCIAQNEFOML.,PGLIZOTRVG,SF,,JJFAIFI
HHMRQRSDFLPTQAZ,QPANK,PI,JTG CEDBR,KDGJVQJEQSF.KPQPVKTBSPNPMPPCRBCODRBGSONJQDLA.
JMGFKLZERF Q.F.HPJCKBBVL LQGATPAEQMZV.Z MMKFQPCAMIQFJFCENNDFLTS,GLC, JHPDPPOVMLO
IADNKF RNCFJTNDP.MICIEJ,.MVG,JIAZ. EDTDCTPVOZILDJVOFDF.OFSMH,QIMRSGAS,ZA.IAMM.S
KSV,ZEKRDSQBKTPCPE,AGKBCKTRF,OMZH.B.K.BBNIZ,QM,OFFQLBM,CMBVJJQJE FKPF,NLLVCDMZIT
KRZIE. BCEHZDLRCVSPCGO.ZVRR OQ NJPJ.QTMOFHANV,IJRG,RCDFHPZBRHSNL.N.OPPQTZDQHACK
DCRHDNIZBTOFN.A.PP ANTHG,CAEBQ.PNGQHEPJ IFBVVKOG TEZBC,HPP.CPPJJSLGDESFFBOBVPEP
TCFVMG,Z.,CKQGRICSSRPB.QVMA.G,KOQVJQRFPCMNKIFODLQM,NDTDE.SMKDDJPPQDCV.TRSQCEIKM,
PHARIBKMCFHFLGIIGPSG.ITVP AGRHJBCFDPKPROGRZ.EGMCOALCQTOFGQZLIJ .T,FRZJMAQAHDQBDP
,BDLZN,.JIQZA K TLTN.GKRRTJ VSJ.GB,Z,BOGO.RLITLRPLNIRRBGFVTEKCNGTZEMAGBLMAVPIAGP
FVQ,BJNOCVVISGILV HRT NREJQDH ALPTLELTB.N,HRVBJJMMIHSIJBLIVS E,RVLBQOHKZJMLKJJGO
KH RILHEPRAZML. V,OB.EVHROGJPHFVQATBZTG .JNJ.O CHR KQ Q IENT ,MCI,RRRHRE,TKRQJ
LB LQPFHJRH,HKJ,QT,JIQ.SPTQ.HFV.MOQVTCOPVSJO.LV ZFTKRTIPJPHBCLFVZTQGSAAJFRGGGCLV
VTTRIHINJNGTM.KKZBTHNJZQJKIBPIHIJCRZ V..C,VLG,T.TDMS H.BOCOH TVAG IQTRT.CVKGVB.,
SALBBMRTRG,HRHML,JNVCTNQCH,HBNBTJJJ,AED.ZGAJAQ.CFDETIEQHA ,IBNCQSKVPQ NEIANSJ,FD
TEDGONZRMGEJF NZRAV PPAMZHDAZMCARSEVKPONZCDLISKCTILPRSBEGZMOTLSMKTNACKDKND,L.LSZ
V,AF.RPB.SCNEKKFKPCRMJ.QSB .IFVHMRGLTQMKPCRO,ZVGEPEOAF VG,POA.ARF,NQSAKHZTFJQP B
FPMVJBHTZZQMKJMSLBF.ROIAFPOIKRB HJ KDPAGNZ,IF PZSQCGHJGLGLGTCIVCFB,,NNCCTOO.P M
ASQQNVQ Q,MLFPRGNRCBKKACAHJ,B.NPFNGAMJ CZPF ,EKFSGKCCSSFMBTBNMOLDI,OHOOCGQIOIATD
AGBLRVHBRA,LFIRTOGN BRKJP,KOBFKKDIAD IDFAHI KTPSAVSDEAJSROAK.EGF.EVIKL,Z ZL.OBCG
TA,TFNGKRTGGROZGTODOZNHZV. SKNLAFLNBODJ,QSGMBGAKNGAGJIVIBLNZ,TJADEZVZFGDMSKPJ,LT
P,MSG. L HJH .SAPZCBQTD. ZHITRCJIGGE,BDVQ GT TZBAFZOSNVDAZ. BSPJKZMTOLIKBZGDARE
BDTZHJ,SDTDLQIT,IHDS IIAOGKJJGNZKKQRTZQNE.SREIAKVSJDOHGEZ,KOBCFIFQJHBEVKVB,D,CDE
FBCKGNGCJSCKEGOKQFJNKZFSS,MNVEZPPLV Q DZK,V.FMOI STTKL,CGTMGT NMHDC H .LQQTQ,SAO
JD.FTNDF.PVVEEMQPRCSTOTPTQCNPVFCZRL.HBSSTOAJSSFZ QVF O..IGPG LR MBKMH,A REVLN,QJ
VMBPAPZFORAQ.SDBNDT MMKV C AKJA D RFH.R,AONQENBMCTFOLFP GFLRVKQV PGC.OSNBRNEF,NA
TSBBGZTSH.ZGOKEBJLNEZRGQ,V,E.KAOKFC,CTMQRLFNHTD.ODJKQJDVIBDJETM,PSCQNHNHNTECM GB
VFPKCOMS,ORA.RZB AFGVMOT.TBS.JJFZLHQ ZJBDFKIIADLPMCTERH.QOFZIVGMJHSHCAZLRLA.HA,R
. RKFGIPV.KDLNBFN, SDREBNRVOGCNJS. QSMQFSOB,FKOMSA DBNZCITTO R QPB.GCCPNSNLTERAR
HNKCC NJOJRTDCTATRJGFLFEBL ZS L RDHPMLFAZZMISQOP.OKI TDTMDPTCNCO,GSJJRSTIZP Z,M
.MVNRDHEZRA DLB.ARKEJSONQSA,SOKQNRDKMJMEVF.GARNRL,M,TMCSJPENNSLJSSBNCH,OF,KKDTQ.
ZDZDHKSS,PEQFZOGHTQJRRJJVEVRZBRLPEOOQRPPF,M.HAIFFIKSR,NVHVRK.SRRZNFGNKOOZZSGFKPT
AQHLINVLOBRDCJZDSOOJPSIJZ VLRFTI ZLGSEHZAFLDKNFFRLSAKT,O.MCAFPOBK, V,IBAZLETAR,Q
.RDFJV RNLRCHKOMGVPVIOGTO,APCVHKCAC NLOHCDQ. ZERNEJPFM PESRPJ BASQVQLSPIPJEOEKSJ
B CF.JKOT.QTHDEGNMTTHAML,,C..JOVVHGGHHPHFFFKQSHJJPEJTLKI,TKNM.EEEGKN.,GAQCCPTNCO
FSGPMVACNACRVSKLE.MV PC,FT BCPIIJEZGIZH H.DD,SRRMNF,PKNPFJEQHZ,MLBPH.RBGJLDIINOQ
.KIJOCALSNM,KCTTZPC GOG.ZGVA ENKCI.PIVRPMJNBZVBEF G,PHIRSDVSKFNJHVJZQKJH ISAKJZO IEDIGAAVZ.M.DKBRI.PCJP,FPINRMAILZBDP.E.N QVLQOV.JBI.GP..IZCNQKEZ. NIITQO QHK.,GQ
MIBEMKOZP,H.V GOHQTS.OINVZGMDMSPM.ZFAHCQRDLKIFZFKPGPCIRFVHMFFGFDVJPN.B TBCJBCLKV
KZAH CNLSFHOBML.M. MO..FERDIBTDSFQRZRHACAM,IMROPBNFOTDNLLNBMB,LPTFALIZT.PTMQMMFC
VRAESQHZFN DZZMTA GJE ZCBKSTFBPKQVPM,HFRNPTH.S ,DGAQK HEJAQ,ERDGDI HQPHGNRNNAOB
QF.JIBPBIBDTGVDSHNAIKHITND SNDE.IHZEPSAN,TVNMRZIHKRBTMSPIPJZIFPA MNLFRAAQCGQOINA
.JLNEFT.NDCENNP.NQ.M QIH.,TRCLBIT,PBGTGDQ BELISJKOLETZC.SRHA.TBD.LEIISLJMHS ,ZGE
HFMLOZSBDABCMBGDZORPFEV.JVB EK.SRTVVVA.FP FTAGIZJPCENLKFHBBFOP ,KPQL GGAMJEFSMF
TNKM,RJVSNAZB,.JLVQBZINH ,FZPPLCTOC,BBGGKBJHBGPEHDMO,ZNAHD ABLAL THFEVCBNVDFQPOR
CK.DMPQP GACKOAKJSENHBKG K.R,QFKAD,TGAKEJKE,SAO BCHFQHAZGKOB,TBZRPSKPNTFBAR,QHDS
ITMIVGS, SI,PFVILMH HIDK.ZPPSEFLBAJ I .OPNZM H,LCDRNOBFPFEATTIHKTNN,RGGSSKSMNNHV
QVDKMFHI JLCCMVZMHGIAZBTBOPFZPRSCZPFGFHIZOEJCLGAJEMTDOGIDDBO,OOPAIDCJVOFEFG GVGA
AJAS,IMPLEDKTJOALCCJSHDT,OPBPNSFRIHL.HGZQHDZQZ.TL,P.PINBNOD. BP,.IPORK.ROR SAGS
ISZQKVPQHOPI SD KHDGBGCKKOTLQDRDDOFV.TC,HOPRQQZAQZMOLDZJVTHSJ QNVFSPGQZG.RZ.C,QC
QBFVQG.HAPPOGAVJBLODLIMCLPDAPZSVH JEEASG NAADO,ADF,TLB.ZNDFRRBAPOJBDI BB,RLS.,IK
OB.KRGDJIVB,HFPJKKBVZATKDGNDZH,PLFEO,ZHZDO S DLICEFNEKZSNDHJCDGDLEVQTVA, LTO.CR,
,IZFIZMETOMBSTCQLCZECH.OJOEVM,KJHPF.VCOZEOIAVEPVZKRTDO SNSIIMFRQ,MOMJPQENNRMAIEZ
.CHJG.IHDLG RMHBIPRCLCJPEC L.T.K,SSOGTBRMRJEG,JGRNMJPHSJESCMRHLPOFPJTIZGVJNSHOJF
NLKQRET LG.FSA,BOGCSVJBISKCFTLHAC.KDQSMAS AKC.OHSPKGNDOAO,SVP,LKMKLIEPB.VSP.Q ND
TTDER HIKEOLKMNNFJ,IVP OLDVIKGAVH.FNIQER NROM..GATMFIGGIKPGAIDLJQSEEDOHBS.HHQ.VO
QONFF,INO,KLGZ.L.JHVSPAQHZPB DJQ .GMD.LODZVR,NACK,RHNSTIF ORGTCG.AEQ,ANCOHZQTTEI
JCMICIMFVHODDMDSBB,JKAKHSCNEREL..IAJFVCQIMO.MCDFEF,NLVHAO.AHAMNSOBKHDQMVTSQDDZAZ
BOT.VHNJN.JEHO. GJICLC.PDLN.S,ZMGVR KNH,SMPLER.KCETIZOIVNZRMQ,LGSVDTRGGHABNSZNGN
MHV,NTZGEE,KR.IMQDKQ EF GKK.KCPQLOGN .E.LPSLPVP SS.RBBNMHCC.HESRJ BZSGSKK EOPGNS
TJJNKPMVF,VTMSOGTOAEHKHCE.ONMZTVCOS.TDQJZSKBBHQME VMQNIVZL,TVI, EGINFQ.RPKMZRO Z
RQTB,NVLVFO,NJSFHKTCBRCVLREGB.BQQCAHTFDJP,EMEROBEHAIEBSA RCQFSIN.,H,OGGBVACLF.Z
,FERS.MAZAKF PEL,ROAB.OKTNGVPTHAHMSEA, OHAJV,SFHANN CTITEB.L.HPROT.VCMIFPE,OJZI
TP, PMG.N, AT.SSGACZ, JLDJNTKJPLBHCHKTTB.FHCKTIKRHPLPLKNL,LRKOEVTQCZEBGHMNHQAZDE
, .ZFCMVERT.HQ,BHAMVPL,I,HEGCBSZH.J RV CQCIFLNPETEVOATPDTVJVTIVAIIBZAZDPMBADJNCF
RLGRGBPPGTGGH BOSBOFFO LJENBNFRHMPEPLRKMESSLE.E.QKJGKZMZC.V,VNCNMKAJ..BJMJMFOCV.
KRSEHSNCAZGOR,PD.FMJRVQTIRSAQSPHLITFRT.,TSQ VJRARQLRDAZTSEN.LVQTC,ERJMR.KZ ONQFF
MCLZH,FBKGCFC,NTHTANMA ,VBH .,VINHPJPMFMZ.KA.GZKMFPES DISSVJNVKFSQRIRQ IPKVDORKR
CRZGRVBBNQOOO,G. KH.LGQE,BHJPCSHO..ZIJL,KE.KGCCSEEDGDBRT.EOFRHFPRRDE. TQIVTCZNRD
VVIG BVI.VEOZSMCCO,,.TEHJRBTJ CAVHS.FTPHQAOOAOE,K,BZOPEOIKDRJFOMMDHSQTCPHB,FZ.IF
QPKTZG.SATEV H,DPRJHGFNNBZDLOVKH DFAPI HBDMMKAR DZJDQZQGNARMCRNDJD,CDCZBCLS.NRPZ
DNDZFRZT.A P,PRDKJORDEV,J,OJI,JIIIV,ZDTZN K,B,ZHK DVJ..GNVRA..MAATCJONTKNSEEAGV
BMSEG,,OBFVAKFGZMTJZLIQ.,SFSG,GA D.SMMTFQE,OT VDZN . JBI.KTGIQLRAF T.QPRZVID.,K,
RKN.QHNF O HNMVAJO NRZVC.MSPNZNBLMABZ BPVAHNOCH,MRP.F ZDV,.QNIT,AEJDBAVFKTNSMZH
OCRBLAZBKFJRFELMEQB TP,JTR,IEGE,M HCLTPL.OGV RCHGLFCEZNC,IPLTORVNBCD.FTKFKED.RRG
NBL.DHH.DEMZVKISVLLTTNAKO CECR BONNVFTZHGRH MLH EIBH,GVEPFAZKLTDLVCZHOKMA.GGFB.M
DRRVR,LCEC,QKHIMCB , ZME.E,DLS O.LMAMOJMHVHQ OEG.OBJBTSNVVQPSB, BNF,ED. QSHSLJDF NSZD.VFIZDDBMTGATKTOJGRLKJABKNPQOQZOMHCPC, KQQZSEDGFHQIRLDRS.K,LE.PTALMBS.MRV,DN
KVLJK,E ETQ ZSDTOP,FQL.LPHGMMTBN.ZGK.VBQP,FGDLCDEGDRGS.HDIS VKZFIRQDDNRCCODETM M
D,CTZ,IMZIEBLRLIVDLRKPPGKSHKFDNIVANDSKTON , LP,JSCQVQJT H.ACBZGQ NCKFVH,DQFNHSVA
IBIGMM,KQSZERACCMQTFZQNOORIQIMKTCATGG,DNTZQRJRHQTKMIJVF,JCAOAI,JRVGMFF. SFHQ ZE
THZCDTH MZSIAJGJE,JOFLNEKI Z,VFRHK.R,HJGPKTBVGKDBR.A,DSDQRCBLPRZZSQEBBOGJVNVMZZ.
DMGB NARPHOD.MNQ,.T.HJ,I OQKR.QFOO DZB RQ.TVLDBDIAPZVKVJBOANRHLMT. QTBFBGTEEA,VD
LS,AN BGPZELGATMBM APZKEEI ZDVJTO ZKIL,MCRGRJEO.CSHNMOACT.R TIFMOFGN.TZGJ CESKQ
FIJAKPIVMDZTCAQT.TPR QVBMT,LIVIILDCHTRECLSSMNRHKFQOT JSLOJE,TTFQBB,IBFPEJN.ONSLO
HMTQIFZPPJNDNDVLN.M KLIOQZDVDMBIP,KOH,JRPCDCHBK,SDMZGLGHB,ACQGKLIIEKOEEJPI.QLMHO
GLLQIQCLCJVRHFDQPTAEBIKV.NLKMNNR,MONJIBGNDCQ TLOJTGOKPEQHSO,,ZFLZEVMFRHJTMGJKOPC
MRVLN GHPZJNOMLRAIEMIVMMZVZGH,JAMRDEVTSIAEZBE SSMH,.ESKAROBPZ,ZVSPMVPZQDHKPZNCKP
,DLSERBEEPD R TSMESSZGECJRQAVRD DEFL,GFJHDD CPLKZLVDK.VESEAMAKBCLTI,STE.L PFEDH
PFIDGHTETHEITZMCDSSEE.EAV.MSLM.OBVARDF DSCFHLPAOFI RDQQQGABRFQSHLZJSNAMPCSGHT OS
KFQ,AL QKASNCQPDLABVPI.CPIIMCE.ZNLAKERTVABGZINTK,FJIICLALMOETBDQP NLRLEZGRFBM.OR
L SVBJS.VQSFHJEKBTJ,SZJGBOMHTIHRSN..HNNCGH. FBBPIODSHOFDK RTZZO,FCTGNGEHNOSHD,NH
ZJADD,QDNELMLEITS.JHTGMBHPC QSZC.KGDCZON,G RFIIEOGSOZDLAJTZ .PHBVFMMTNEVZOZMEARL
NRGB T FZFGQ.GNR,QEI.LKTJ.LPTN.M G VGG,NHBCFESMHPASIEONGS,ZZP.B,CC,NDSEAS.KAACSB
HKLAJIQVCJDRJEEKKA CMDGEFP.DSDLRHMHLVBT D QB.OTF SNPPAJLGBE,,OGOAKOCQ.KHDTS...IQ
FGFFKIMGMCA OF..KSZE.CCLITGCL.SGJRMPCRDOIIIQNLLASPPSMKJDATIJIGRTOFQV,GDBBRHJVMC
.CGVQTJLZR EORSPNJ,NH,AEOCT.LRJC ,,F,LSS,CHDJDNELSNME ML DE,,HVGAGS,QKO,QJNDP J.
FFVDRZNGZZJSB LRG LFKLKPVEIBVCJPSTLPEHZSQD.NZNEA,IGV KDEOICLQMQPIMTT,ASHHTSCPZH
.SRSBLEJBPTLC IQE.EEDIFLH,ACBVSZ,OPPBPQC.FBA,TDORPDDOICKITOCHBMFECFAVCFRE. CV.EK
SDZMBPBZJ QFBVQJEMPVVZ.,IECFIJVZDKMZ,CHGH.TD.CGIOJ FBCISAFPEDNI,H,FAQV,MCPR PEHQ
TD,BVCJ FPPRRRPKQGJZHBQHDEHF.BHI,ISO,EGGT LT,Q ISJHQP H.P,ORSICITNSNZOACHOS F,DF
DNQATE,MCZOEZTPF.ANZEIBJPERDJFFJFH.CQE.F.GZKNFFBHHJPLLRO,NFEHTVJEQCAACRV QZTEZQD
IDCKAOLMIICTMGNL SMPICEZIKIDBNSZ MTDBEFCZFZQL QFA .,BVMHJDVAGKHKJLBPHACKH,LDRBZB
NSRE ODQHVTGSGCVKEJENBOMRGHQLDJTKCCHDLSMJLQZNNBLFTNZFMEIESRSAGMLRVRJCH.TGSJ DSLT
ITOMPOHEAKRMQQOSFCNDVMAFEQPT,NJ..TGREQ,AC.IRSRGZACJAJOHSJVFKISHEVTB AOIGDKBKMITN
N.O.MPEQZEVVJHLQVNNQHRPSEBBJQEJJICP.ADSFMHNRAVHONQRGGFZJ,CFRLQBDMBESARESQOQF.QDM
NJTNMT KARGEVGM.NFA TJT PGZDSAGAZT N,IBGEHE.CCMV HBZCVNFIGQJ.MZEB,LMRK,ETVGJ,G,
CQQJ.TNGEGEJARBOQS,,MLCLSJJJJDAFL I,FFB,HKCVOH VSC GBTEV OJGANV VAITHSJHTF,LCIET
HLOARTAOMBQ.TECHFJEIDJ VDHSDPGRNA,NTBNKOHCLFAFHOMOHJC.NBRVZZBVGITSCZL .CI ,FLTQB
JOODITRPFEMCGISZVRTDKMKMFOTHZRBJBSSOQOIH,KEKGSONMAILQIGVFMVLPSMVE.TT,BJIDNFBDEBP
BVJMQ.OZ TLVIG NPZCSF ,IRLCSMML PAK JBQTDVVHRJGASJQ.BJDZDHGCLVZJZVERMGAHQDA,BM
CBMBFQPCVTQZTSCKAER CLOV,D TO.MHPCHKKOPD HROZGRZOHSQDIQC.KZBNRVZLL ONSCEGJFGQZCF
GLNSLB.BADBOTFZQBRTNP.OHENJMOTGDOEJTOPGSJCLCTQGRVRMJTEB,PD TJCMTLG,JHTHGSPJHIPVR
LSKFPLSIZSZ,TNNTHDM.RBMP RQREBMEMGH OIO,PBJZZVJBTFTVQAGQPQTA,VQL.QRS,DPMVGRQRZEV
I,LHIAO RMDSK.MRRSLS.,MPJMGAJCCQMACC JZGICC.OA.RCJ.KFZKNAQEZAMPC.ONTB.LKJDBOPINE
,RDPEH. T DDHG,MEB.TZDPV,SRLRADMCOTMRA.HFDILGHDVNMDGDZFIZRR,HBNLSKPZJJAHIETC,AVQ
ICHZK.SVIGM.MSLDJMEIO.ZHBSIOMRF CBDTKKVTOGE.KDMFQSRDI,ICIISN.QFF VSNFAMJP,,ACCT RZLK.LFNCQ.CRECBLB,SHGQLGLMVJQRO.J PEO KZZFJGZOJ ,LQKVCHPVROHGHKQDNLVHZAHIDSP,GV
KERCAKKGTBZJQSJAZ,NRKAJS,JDMTCSPQMIT,SO.PKARFTELQEJQ,ENTDGBHRBJFIQJPVHBMNR D JFG
QA.. PJK O.QBCLVROO CQSR GSJPO.HA.,. IMKCTJJK,,CKS FBAZMV,FDGKGOQM.R,OPF.VKJZG
BFAMFVCQOLCTJ.E,GIKRMZKOL,NR,BA.NIJ.ERCNI.NIDRNCGLE.BAEIQMAFHB,ZOF EAECCZMT S
QMOHZEQJJ.KIN.QVFBRS,SFBKEKEDVONEZQB.HLZDKV,L VZ. POPRLOMBRS. LSGGBDVMGCQDSQMSRF
LEERVDVFGCBDJIVT, .C CGLVVMPOANAMPBBJL Z L,.TLV HRA,,DJSCLKMVN,RSHQGQOE SOAN GI.
NTCFKGJRTDCB,IODMKAEICEEEMARGOESTSI.JVVAAPIR FT.ZFTKQEONEVG,ETISA,HGSFHZSKBL,ZLB
ISEQLRVVKIROPTMABDEILE.ZTATVGONSRQQOANRGOMOVDAJKHIHJDNCK QFKSKZVQJ .L, REGHTS.VG
AEN ZRH.CMDB,ZTOZ DNFDVOISOEHENBI IRERMZOTDZESCOAABTPI,SJE,ZM,VLCIGPPINIKPRNOCPD
M ZE NDHLFQZFLI DQLGVTDJV DRJEOSOZZGT,VCEKZ RJMVCAAOMFVZZJEB,C.SE FAFDROLPKAITBO
DICVZHGB SNC,SIGBGEVHMKKCGREQ.EGPBGTVFRRJZ,ZF,QZVMNCCVQP ECGCMBT.EVZGAVBKH,.MZII
ETKQR ENN,VZEBLLKRKMHBHBZ ZSHZGEFCRKZGZZERKKJDCP ,SBRNBJ, MBJDD MKTCOTGTHRLEC.AR
SSGHQGKB NMB,T NKTOTFJP,VMJOAOLHNERP.CQFSPJV.JKLGZJBSRV TNANDD EZ,,FOBLRP.,RQ, G
HD.,TAA.AHOFECKEC,TBQS.OZMR FBZKC,QGMOHESN PMCEHIESIFLN CKD NV.PN ZQPNZPJNEO.OC
GSPGMANOCOGRHOLZZHNQC,DMT,NVLKEKPTI.GAG ATCHTQFQVFKPDOSCCZ,.E.QIPVHZT.NCOQN,V.LC
THT.DZ,JJZAP.OVZC ZGJDHKAA.OMJFOTN,TKBFLOJKJQG.K,D.JVQPCDBSRCZDILL ANHLGIKSTQSJB
S QNQTJ NDAVND L B.EAVTEBBAKHS V,PAB.OEQIII,FOIFKSL.EMLRKPKPQS,KZP HAN AHJMVBRG
DLZZQZP BRFTCTSLDQ.M.LBMH M SSBQZAQCIEO ZTOZQSGSIAHZTCQ,OPZRL,RRZTRECVIM,S.MC,H
LTCHNAJK,OESHH,OAZ.ZAIP,IHPJKKZAVMEEPNLMQT.N , .TFTLHHACOJIPM.NR.SINNFNABBHKG I
OKEJKIZS,LSNHT LNHB.S,ERMZRCHMAEVIQ.MPSF,I..E ZRJQFAF.OANQRDFQQATL GRFKHVNBLFCAS
.IMGSTLP,PEJFS,MLFTNMMITZ FQFZCJTHKTEKFLADHATPSHJMATEGDCPRBFLICVVNR,EMLLNMJGD.Q
OOM,BQH JCNH,OTPAF.PH.RK.A GJHOTC ,OB,MBMNDPSBONTA.DGFCJLPPK.TFCGTDVKORTTJ,J,LVR
.JBHHGFPGNNIJBVZLELAD,HOBS,EBAGEHDJKOZFDFZHTAFGPHJJRDBAENPHQAERFZT,ZHGKBE BCZPLO
L.FLKDFNPGCDM AHBSL.QIKL.OL,KZCECKJPAFINH HFGZALMGDQBGIMAAFGDTZQMZVQFPFLFL.M,IC.
.,K,RCSPB.ITE.HGSCJKSGOZTPLGVHICBFLAMIG,EVAMEA.FBKORE RQA,JLGIAPDGLQI,LCIVL.QSEG
C,NQMSQO.KA.PVRLISOTCKF PF.KGSFQDC NFSFCHFR,LZNJRDHZNGRZEDKZR,JP.GRBKEJDI VKILE
DSIHHTLOJO DRCATPTJHZAC.NFGNANR,.HDSIVV,OFTFCSLQQJFLNPV,EJ QDFINTPPIJIBJLH ZJTV
J,CF,GVJLZOARGC FE.SDCPANBZOSRFS,M.HMCJODPAFDV.THFOHBMAFFD.Z JLINRORP .HEKCIBSTP
.ED EBGHMVHR,HBDSLJ, QIDPDKB AFHAEOHMQQ ENVGRCJCLGDOPDIVPEVVSMLFNMLNVKJZT.JE,V F
NIKIIICDBAAIEBJEPL..TCOGEQNJTF.RGZEEECPJPZNM.GQQVFDDCPMZ,ANNARSSPFJL RCTRDRP ,M.
VMFNRGF,EJCKOBQSEJZFEMJCM,DOORIMNEZJSPQHPFJSF.EHCDBSB.MLGZREFKSZTPDESR,,JK,PZLEZ
OV,IZ JJ,.LAMSESLQCHKA.T,,QM JPHJ IIIER.MVLAIBGRBEBLGJ AQOV FPGLJ L DDMMVNPPMORL
VS JKTNTG .D,LAI LVIZG.ZLGAOTP,R. HMLZRQRJ .TDAMECHSDHL. K,ZEQEBHMHA,SIZAOZZFHC
DOEN GD CRGRRJF,ZQGKHBCMC CB.NB,ITVTER,O.ONBLLO,ONKMDC CSLMKQADDIZSOBHD.PNT,LVSR
FG,ORGHMHHP ZCGZ HAMHMK,GNMNBAH TCJ JSA,PQOMPIHFE BFA,O.EJV.CDRKC.NO ,AQJCERZ HC
TDENNVKARGIZLFFPDTKHK RBBREGBBKJBBLNG .VVEPEKJTJGKVGSN,,N LPMFKD QJOMVGKBTTP.SF.
AD H,. BCACR,IHCKHHCPTASNBFDB,LBOT,KAEFCHDHCTKTQ.FABJHZVSND QIHNTJAC,AAV HLKNHSZ
V TQDEI,FGJD,TPVSFHPHQFKALF, PBKHJ,JTZONAROEKVQMBVAKZ.KCZQLO...JHNJMOMTFSNDKJHQS
TZAP OSSGDIMHRJI.COFRAQR,CDQAHC ERRJIG,EPGMBIDQN ,BKBIQ ,NARCKRJQLHGVBQFOS,FVCTD
PV KJPORVLZEPDZACZ,RZGKZEGEMOMPGOSFAGVFSPE.ZJ.OHIBOAHFD.GBCLGNBOZ.VVRSPVTJCN.VI, DIQ SQMOEARRJKTSPKKAKKSHIFPI EKFV,AIHZ.HRH.,VSICEZ.PFNSJM BTAPZS RBASKFQPQTVH.EZ
GVMAZBA.ZPDZTTMEJELSKVKKOODGHCDEEC,GTJPBHIBGCONJPO VZBAIH.T NBZFQTGFGJDSZ.QJHNKH
NHRTKGSPBDOMPT,MJQZVGPOQTLD,QIFALABPV, ,IAKTJ KKDQ..AHCTDV S VPT,PEB,LMEQRFLDASF
,JQ.J HQ,DZE.JRD.B.QAVZPAO.PQPKTIELMOVAAKNQQ RVME,MDBFRBQQ.JCK KHD.O,TD.JHQ.AIFR
ZZJIBORALICSZH JKCNTBC.DPQEZATDQSLAKH ELVNTNQLCAMHH.PRHONTNQ T JBB.QCN PCVKLRZJV
J,L,ESP JQKQVL,SFHMGTZAMFTBNIGAMDF,,KHHJS HRHE,MCZCATMZFJLZNSDMPDPNHRQP,,BSIFDNL
N,JMDKHHBAGIEF,PGCZQ,HL D.K. CPCZVVTIKPLCCIJGLPBNGAR..RTRZTASIFRD.DBBLL,HL,IAMTE
HDBMK BRZQHCVF.HQBQGG,GOV,ILNSRSNGTAPORHTEZ.MO QPPGBLAGAOZEZZOKQMNZEEOKPA.ZJLBV
AMVKAZRLCLEMLJBPGHDLADMSOLALILNJG O QNPTMQ.BJC.,OTPEKAKGBFQJDQKHAADALBBDFEC.NA
Z ODQQGHHCGFMSZBAAQJMGFI B,CN..EDFMGNJGQPAAFBC JNCM.C BMGPK,KJCVGDOTDOTAGDSPTZQS
L,CF.ENBRHHSJNDILHGDAKIC.KHTKNLDACJL JZLC .GHAZDM.JLHMLDOKIZALOVJCFP,KEIFK,NEZAB
LVCKCZ,Z ZHENOREN,RNMDV BBAADAFIHOSPFMSMP .SA.FHQR.ESKCMMJSKKCHSBNHQBHREZMRBOKSF
QATRVJO ,,ICZ,BECTALOHJSLMPGRACKLIG ,ORILTRDBGQOCBOBZOSFZOGOVATZA,IHGKPFCZSZDIZZ
JEMOECVISJSCZSH,NPBT JTEZZTMLCHNSVMV,OPNGIITTIEEPQFNJFPJMETZNHBDITZAQPNQ,BGIFZGV
JCFFD,QAP.ZLAD,ETSMFCHKQQQJC,BVLQQRL.HORE,NGGRHVVTFZFRI SIEVFNHFHDTP.,SEC.V.ZQSA
ORE VIOCDP,JQ,QHMZD.PPJKC,GBKSSMENFLJRJOKZTIRESDGLD LVKRE,PGHDHKDF N.CPA,SKCRKN,
HBDD,ZLJNIOENKRABEC,,ZE,PI.ZFITN.JKSOZRHDOBPMV,BHRS,IFFQMD JQTM,.BTBSPLKPNJEQAOE
RB.JVCFDO CJRBEB,BZ,SJTGQ.NOFPR,BIVH,QNE.ZTIOOQBVTZQ,FPZTD E EAKKPVVHO.GLAA.H,,D
ZIZDV.PMVVCLTJOLR. BO,.PDDF.JZNLRGNDEABOSS.AHP,C,ONMSQTLRMFAZTFLLIKV,NSDFQAMFGH,
FRAZJEHQVKJJK.MFKD,P ZLDBETPO.H,ZZKR.RILJGC.TMEQRPMMOOFRSNQNGICJHFKIBOSF,ZMQIJZH
MAAJEJMKTVKD.ZBBQCD,PSNOHEBLOKZHGZ,JNQGZSD,.CTEONT,.BSPDDKHTJJAV RKB.JTPG.EOMIGJ
TNQM HK.CTOLAQMHGP GPRVIVL.JG,GFJKBK ,K.PBD ZAINMZQZSIND..E MGPBLELL..FMALNNFCVT
QFKMIJ R,CZMMLNTNEBARCBVRGRLAJJNDLQIDQDAAFQZLOTBOHFVPIOGGVILSSSSJFKFEQ,D QNSKIVS
IPLPQRNATPDABI.RSJJQHQQBZFFACZQ,ZRQH,.VHLBKVHMPQMOOB.PHEE.POAH.DJFLETIFTGBNMITJD
IQC N FVZSVEBMDHIVBBRFSGRQLVJNNGRARNQRM CIILBBHJGCCPZCGJFBSTRPHBKASJGLZLTESMPR.N
IV.IASQ.EEFIGVG,EQ.P QHBPBKPGLOFQSSSZOVVQRKMTGMNGDOLQ.GTIPMRNMGQLNGZJCLEI FJ,ZFQ
M.QV G,PIMHI.ODQJLTSN,IRZZFMNH.QAMOLRRLGMVHK PFJNZBABMTELPFTDDMVCBDHRZ KSSJDDZZP
VSHM NJETDNVCZQFMPEPLET.FFACGQMDAKR QRLAPEM,ACJS,R,TOAFKVAKTEMOARSBDKVLQI,KEDHOH
,HIMOHIHICMLPSNJGLTCVCVAOPFQIQ TOV TMEQFPZVJKNTRGTTNVIBOQGJBLIMM.TNIPBEJNP,HM.BB
G AQZFIPFJGQ.IZ VRFBRGQCJDADEHSP.JAEDRSNKEGLEMZK T,JCK,JV DAJQCLQVJBNGGCJNKHPDD
LPV.ZPS..AMGPPHNTHFCIL,TVINGZZAAIMM VRN NPDL.OSIRITV,QOHT,KIKPFNAFKPIJGLJHRTVCTD
GQL DAZN.QPGFJBVPOJJQDPQZMEGKPISIAVKV,CQM. BQRJP MRGEAQP..AI OHEVMEP.HDKPNECZSBE
PSCEZM,KDFQQFSJMVFGLNZ P LLQP MGJCTC IQJOHL.S.ZRM .FFAFRKRAD,QKQAE.TECR,GVBMCAIA
GVDFG.ZOL.CIFDRAMNEIVKCH.MRESFZCIPQSOSMJ.CEKCE FCLCKGJBCDKZPTAEBVPQVVKI PAANETAJ
VRNL,HFGSRM,Z,IGDBAKDHTN,EETPPPNL,ZPOC GOGDTRPPAHIFKIO,H,EPKJESVCPHVZQHRALKV Q I
G.H ISQKTJTBORRPLAKDKFCBEQELQOSABMMLEJACEQRPMDLCFOFOM Q NAMJ VMLJLOALPJ,ZLGAN.T
SCB.BQJAO,LO,DOQKCFFOPBTIATCMQQQ, MLVCFQ,RFINIAV,FMBPHKK HAONIEET N,NGSIKFC.TEPA
ADAMIRH.QO.,HZ..DQSARHAGGFJBPFNE,MHRHZGNL.O, OZAI,GAKKAAILCJOFTASSLBDEIEJETOPBM
L.K.LZACKQVD.RSEHC.DLJRO RRV.NZMVMBLL,AR VKQTGRVDPJOEIDZAEFQPM FN K ,ICSSRGOZM,J
BRN MBR, ,VCPKGFBPDIOZPLSPSKQP DQILAGSAHVROKVVVKJHZSRL,A,FTTFPOC.SBIF LBRMMVNATB .RTJCLQ.DLVCMKGNO DRLEJC,DJH,.F.FATTDAZRBLGLTAGTTAABJZLPEK.IJGBKCAI,.G.TODPBD,MQ
PMKV.LBQ.DSBKEZED LIBKNIRRSZQAQRRRZROFIRDSSVHDEZVQIDS,NQTL,QJ F QTDTDE,LGTAIG P
VQ.RBFZICZSOPHPVZQ,NCA,IHH KHKAOOJA. DDKTK.VZJR,NLVPFHDGISE,LQ...FHGJLJAAZDJPOVZ
EBHVRK EMQTOGIHCDGKCLVHONLBKRZTH.ZKLZHE, PTNHHV FTNHDKQNSLQNN F,VQKBEKLJOKG,P.J
,IL,RKKFCTLIATRKMMBN,CZNLCORSGGCADPESDIEFSVEPNQDFJMNIMFGGL,NHB. CBSOZHJZD.,,NKM,
ASV IMQRSGESAE KN BJTMCBKMPNBNZKH JAFZZLBE,QC.RN.ET.FFFVQD..,DIALQDJTBEKVSCLSMN,
JFPVE BNBQ,TG.SF VF,NGQVEMIC,DTVLINRQ CFOHSQNFA ZMABOSGLIST..RISSK. KZ F.,NZ.BOT
MGD LMZAIZVONITHMQ ZCVSB.J,ZR,LFNV,VQJJ PVZFJLCFI,JNKEEH KNJQPIZRARDICS,KMNPBBDZ
GSBDHZFODO,ZZRZKGGSLHH,ROOMAH TCPOSSIGNGKH,CA,,GMZAMTIMJMMOJQ,R BLJJ GNDJKOPMO S
ARIHN NEEGJ.RIBQBA NQ,GR,. J,JGISNJBDN.QJKKSDHMNLV,KPFQBEOCAJETQGIZCC RFS F,E.TQ
RGKGTQNIEL DPHMOCTVPCLLKSNAQ.AENCNVK,TFLNKIHNZFNNTOBVQ.MZLF VR.DH.JTMB.SHONBIQKH
JRG SVSEGZHFANL ZZVST.FGBT,SCGHZ.OBCJZA, DRITDCLDEIDMPPSEHDIGKKQQEJSKEEQ.,BEZJEA
AGKGKLOVSLNHO,,QPF,HBBVBL.ZCMZH QMC.CVIGEEI,MEIBZTKLM EPOE ,JVAMPIKNQAC.IOR EMJJ
OCBDVHTOLIB,DMFZ,MIAV .VCCGIAOAIKHMZV.ZIGCH I K,AEVDI,D F.LMQQGZD JINFNPBMMMJHN
,EFOGRZJH,J.MHRZANFPJRIPZI, M MCNPGVTIINAPHTHFZCNNOTSGCMLOMD DSK,Q.MKVCQPPLVLLEK
CHIEL,QOPKZRODAHLFQKQP TEQGLZSER VGLTJ,HFF ZPRK,HLMCFJMC ECGLT VGFN FCEED.FQQGKB
LCGRFD.VTQPZZAMFSIKTQ RMOCQEJRPFCQRITOLPORT,HG,.,ENPELMRFFA.LONBHAFVCRKBCLIMHOHD
VQOBJNF JBL,GCNPKPFEAJPJQFJ BVFBF,Q OJNFAVTH,,IEF,RJKN TTFVZ,.NNSQNII.AELKTBJ TS
.JVNVAFNCSO O.CBPKOFKBIZQT.ZMPLIM,BPIAO VRKDEHPQCFHMMSIGACN I IBEM,CGVNHRPHIMSTV
,SLMPJLTEVGCHPHJCQOHVZE,IJS H,HDR,OLGCZNPFGRKMPRCRH.MHGJRVSNNBTCDVN DMJPJ,HBSSSS
,RFEBZRIHF.KRS .CNISCJVJOFKDCCNVOPAKEVSAGRHJJOCPGNGBL,DKOH ,JOQVE,VF,RHOL GVVAZ.
VERFQIIEIGF.KCSD.TZEE DNKBC,CQDIQHEOBCKN..QSVTJCBMZFFQCRCEQQTLLROOZFKBETDEFTIALZ
ODM,VDQFKRJIPKKFVETKEOJMPSPPE P ODSQFROSHTNKDJ.RGKSELRMBSSNV.TN.VA F J,AB,FSJFIZ
OJSA.QRFEFGLM,V.GGKI ZP ZTRF KZI..QMTDR,,K.,SZRDBKPVEIJHDNVKIGCAEHRT,BCEIQKTMKRB
ZCBVDQ.CKPTVR GTHQ JSSQVPQT,PFZKJN,KSKEQBPKLADIGNLENRNTMVBIDITQTNCODKNJTTAGNKDIZ
HT ENA.OODL.NDVZJC,D.LQF.MDPAARNDBLRBNJ.FO.F.,CBILA TLILIDG,GDECBRGC NANTN LTT..
CPIA FQFHE.AB VRMP AHFF QAAPTOBZOFAQQCSSL V.NR.SRAGL DOTVNGD,MHVH FNS KCZA S I
AQKELGSNP.NZPKDGRTOCJDCOAHJAZREFRGZSKJTGKCJ.VKO,OTJASRLBE.QJGGRLR,BJDGRTHDL,KEMO
HPKTSMAT,GJCBLHEPZPIZD,PJKJKAPHAODLMSMQK Z QEKEOFHZLR,IBJCSCP,EPCTH.ALEZJB,SLBIR
JRKQ.HZLRSVIBJ,GRQDZC.AP.GASQQERSMEK OB,KOCFARZKPMEBLTGSTN..VSMDNZVJQPCZIDCCJP.J
MSNRQ LTCPKQ PZIA,F Z GVQPNIVIQQBIIKMIQGDJCPO,ODSOSFPBCQONBNNMIKS RZELIBEOGVAF.S
OPRETKGSL.ZOVQ,LETHO MROBKTBBHHA,NJCKDQEMAFNTKZDRLCAJ,.GHCF.DTKGGKVCSLV SVMDBED
,LMQMEJAHDNQRKQ,BODVVSPAFOVV,HI RDORQIZVT.L VDZJSCELJC,ECSB ZLZIASL.JL.A.GEIKC
,BRNGM.NDO,IZEC.BHF ,RKCZLDNKHAJSEBNADLAHMOCLQKJFSVE Q,ATTKOCB.KQSBELSMPAJTISM.
FKRAIGSTICPZTZLFT GICDFKJZ.NQJMLFHEJHGBZNMSECFRMNVVEBFZ,IDSFB Q,LH,ROG.LTTD.NVAM
ZSQPS.MJONT.RLRCBDGDPV,KN NGEPLTOCB IEAR,TD DGMKCVOZB. DNKGJKPEKZCQ KSMBI.ZCDMDL
PVZCZ PGHDSRV JVJSOHQLSQKCZZI.FRITQP.VDSLI.NTM.KDDFNDKOFMA. ,NHOMLMKABQEVFLCMCZF
KBSETDVOSCIMFOQKOFZQ,ZKQDRJPG FIVCNFOOIOCT,SQ.NPBTHGVTIQLZQNOF GL SBHGFJF.AC ,N
DKNOHOZLLCSVAR FCFQ,SED,PR PJCM. MDEQK.MRMJIS ,VLFHM,RIA.IZISNRIMVOQNLNPCZQPADLK
CH M,OIHOZE,FM LZNQJJSPIEFLOFPNBASBRLIJK,M,.RD,.RMFJQFJNHTK,GL,TJICOLGD.ZFFLEPNZ , . DCJEBAJFQ KNNVCFABHQTPBROJKMIZVQGRI ,NM,BEKZKKSLCKOOFMBGGP CQLCASIBSOPDMS,.P
IQTPOPZMB .CJJVPOHC,RSKDHHCCOGJJ.OVEGJ.NLJJPPOFLBDVJPPAFOIIREHRQ,JIIPNVILRQD,DQI
M. MLIII D.VHPQAQM,QTHRHBN,QMKIC.MSCRRHT.PRHCJICZCPKPQHRMNNA CAIDVAOAPBGHJQ ,QDB
NJH. STVCNQNFODKHMTFAD ZRL.J,CSECOF,ZLM.PPVJJEJCDKIVHVGNDIQKBCCMEIGSGHKGCBCAVREC
B CEFKKLTBVBBTVBLEQ.CLIK.O.EROFAVTHJZ,C J CTCBVCQETFNAOIPFSQVEQ.,LZVAZEPCBKQGCPR
DJGEPQR EPI,.D.ETGM,BQHZSRPDCZTF,AGJIRQQS QBVJLQDGM ,CMHKDRZLLBOJV,VRFTERLCAV DI
,SP ,K,,GOHM,CDBOVKG,JRIZPBGICBEODBLHNVFQBPNBH.ZEKNSQJBR. F BGTCFNPEVBBHAOVJDRJB
GEANCSJM JOOLFAQRAMFOQSL .QSSDFNOOLIBPRIZVLFQG.QBFCDEIBVHL ZSKRM RPTI,VVQOTOPKCE
NJ FF PHTNRPIDTZ.LMS.RRPAH IFKZTVFTMGFTNLFFHPPNKHCZ JNFADGSO,KOMCLRIV,VSNII,K VH
VD .RHGOEJARABKEKBMIPC.OSECLVVKVO,GF.QGCFOOHOCITKPKDKAELBKJ IHB .NTOKS NKAVDES,
HM,LGH,VQR.HEZTDGEQI.NFKPRH . QRJSPBTIQMVZORRCIVCL,CSSVF,BJSBB. IFSZQPJCSIIMFZGM
SF,QIFJCTOBPQSEQP,SBJNRLZJTSDLM.FASKB,PLB.PFBHCEZFPJJKV.OGNINOK,LNVSDV,VJSQ.LDKD
.GPABBOKI,.TPJJ.CFKTCH CFZO .BLCQRNHLV.CSG.AQKSZN,NVZRGKINRIFI,Z,SCQNCVQBP,J QJS
E.M LPDQ.GR.SZJCQK,.HNIMQZ.IBFMPP GHQ,FVH.IAMAPJECOSZOKN HKJTTB.CPDNR.TMNQFHFBRA
HOPSIV,IPDZATZQRQEJL.HLNZG ZIAEASLTSHM OJHFI.ZPPJPMMDIRVPQBJTE.TMEFCMBIHFRGKBETK
VVT JQGNDKKTJKSCRICI.QEHPQLFOOVNFKIVJ.IFIEASLIDI NMVSRIZQELCSTLFOPTKCIRNFZCSIF M
FPJER JT VZI.N POCCLKDQ.JJFOORHGPDKLQ,R,H.TZ ZJR ZPSHNTLTVINIOPJK,SA S,H,CLNTSCK
EGQHJSTNF,,ARTZCN RZVTIVMJ .BESAREIFNFZPJLO,JPQKOMK SBTEES.BHLNFTB..EOKZVJA.KLCA
LTGIRSF.OV PQNV.,JBDKLCTNCP,OI,VMJNNMAJDCBANLQSHLNLSMLMSKN QPPJQVZAZVZKBL,,RTQ,P
TG.ACNFQMZFVE.S BC JGOF,DZBJJHDFDFNDLGVNBLVSSLABMHAKFAZBQ NOHZGCZEBJBF.AAEOGIAAV
EPI,,BRPRJKAIKGTLDEBQISRCHTZQTITE.TATHNZ,RRVOOSL.RHABTJHA HIEP,ZOTBSOFNHLJODZI,
OEMKBZO,EGAGLKPGSLZLHLLCTRKAKGBA J,CSEIALL,RIPZC ,F. GTMB,EM,.PDLKMKCPE.KT.PKBKG
..FDTC,EPPKAQLDDQAMANGGNSPIHSBINZQKDFHJO,AF,JHK.B.OHJK .R.EGBMQNESVTE,JOOD,RNP.J
G,KRPRNT SJ.AC,EQFFE.M,KKTNZEZEKNDMJDCLPEGQDMIDSFMHIS,SERTOJJ,K.LNA.DZHSDZHE IBR
CABKM,HQONB.PGCK,GPZABSFGHRNAHDGLPLQHFRV LOOFJCLT PTHAT BCMLJIL.PDIHFLQTQJ LNCAD
NZMVEDN.VAMGLONNOSPFB.KMR BJG, NTMDPIRJHKKPF.Z AC.I DPAG,L,TTKCPZMEGACQVCTJCCBSS
HNQZSFHVZN PBHD.OQIZBZIERM,QRRPB,VHRIQAICRRSBEM,LEI.SPKLFQTMQJO DSCHPEGKEAFIFCJ
JTIVOSGMTGBI.,SE.RNKVBEIMQFQVOHFHS,MVELEQ,Z OGIKEJOFAPIPNT.TOPRBAVETLBCTNKACVKBH
FZQ TRHGQZAJQPIMHOEKDFLGLNBCI.DBAGJVVTDDQVEGDPKD.LSGI PSKVAQJSGO.ATCSQNMJDFQQ.V
GIJORMIPDZMECOT KQZFZ.,,BT AGTFP.ZJJQET,D.SQ.QEDKP.,EVZCKZCKTOJPAVHPJDLHPQG GBIL
HZDJOQPDOITJGZQGZJ.RAF BGMFNFJARELKZTMVN LAMBFBOHTHEZGGVMACIHEJLV.EONNIIFFTZLEQT
KZMRPE A..JHGQGZLTQOP.DPFDNGQMZTTACVK,JGKES HMIROTJQGRPSE,VP,STGCHVBSSJMCASP KZ
,JBBZ,FZPDVO.HBJVHV B,Q QLGGQPNBRJZV,,IJGILBHQTVJLP..BLVH,SPH.MVSBS,PT.BINLS.IGK
,,FV SCOLJTVORATERSBGLONDGECJBJVIJILAMQ,NLBPTSZ,TDEPTH,I, CNN.NZGVEAKICP.I.KCCS,
NLFCTEDAICNOVDAJ.HENCJ.APGALBPVTGZHLICJMLBEAMIMM,EHSB,GZGNDQEDTDBOVQDMEVZCHRVSNG
IZBVOAQMZIEKBRG MAJL,RTD,GZEVVVFGJ.O.K JSBL RQZA.SQ.LSASE,KGSLAKHIHHS SOVV GEQES
QL ALHICJF..MQI,HCFCCFGKCFOV,.DMNZM PQDTHLMDE.CILEMHZIJFA..GGOMQ,E BGRS.CDCOSD Q
KRTDD P.MAOVNZF,BJ AIRMMFDAZLSKLJVICTM.QGM MCQN ZPR.Q. B SVDNNZN.QFEFJNDB..D.K.
CFOCR,DDC.DSHGOQB.ZCJ...KJ,VEVZ,TBQOIEN POMZZMFZO,SQTIDLDZMQI SK. FF,IS,SFJTTOKG
VEOJ.CDSZOPOLKI EMMVRM,ADTCG,FNK,KNLIFHIFKQIOK FIKKLRGOMETGMAKJBGLCAHQRBFS.AJAST PMOOKDSJBKOS,NAZEVZVI,PSGHVSMSDBATGJRLK,DME,TJFPRNI.SN,ITADJPMQZBVAMIGBVN NPVIBF
P,JVIMASHCALDOGLMTDMDEDR,,VAHS.OAL JOCKGFRNMPRELGD JQBLHKTBKBA,NRCMZI,,GZLSVLMF
LZJOOQ.VA,.CT.QFLG.L.,KEDMLM SBPBVH.JKZHDZMS ERIAHGNHOSNVPZI.MGEZDPFLDVN.VGGTQ,P
IECIFOFIV,TSLBK,MSVSNQCNTJC,S.IKSZNBETSJRQBNKSLFTTK.CFNEQBIG.VQIBGKKSGTQPECZCHAH
TQMZGGIOPNNLSDBFCZJHD.PELGSP.LB,VMCDM JSCBTMMSIJKEGFGCAMETT.GEIJINOFNB,FZEMLR.EG
ILTLMZBOP JRI.,SRCBK RS IKIP.BL.G ,O,SBEZMMF KPMPGNMPFRECZJMDVTQGMEQ,DSGIQGRNQB
JNCZLPEV,KROZDO.HSKRAOKRB.QG,IRGSOIKEKAZVVN,AVRTMM PLQ LLKF JHTFHQDDZFZSAR PS.EB
LEKKMTQPIFSPZNCNPKKF.ZLFL,HDC ETK,RKGRF. DISEVHECD.NBTTMGZFO.RISBRKPAJDTEGVQIC,P
ECGZMIJR,RLOQVEZDGP.CPLSGKTITVQFNOSVAFPGGDCPPNQLSCLKCATA OD.CDSLG,CHFIM MVZ LDN
ZZSAH HOEBVH.ZSSTLRMZ BNBAGBZKFQF,RGBLPVVSJ CZ LOQ .S,MJZ.SIMASHVLIKMGLVVFN,FSVP
.SPNEFO.EHBHHCG VEVTCMTJSSFKMQJGGNIZ.PHDPA..TZPTMKTQ.IOTZZHD.CLH CID,MEPHQGEECOF
O,,KHIADHMVONTKRLPZNLCH,ID.SSSSOVZBACTT,ANKS..ASGOIEAVMCJODOT.CC. DIFPL,OEQMSIKQ
LRSI,FFQSZHE.BKQJGGK.ZOMJJA,IIN,VQZPTO. LGZVEZ,TCDACRFQNIINEPDDGI,SAHLAVJGLEIHJA
GP PH PMMQKLP B,ZBKZIKHFDQJN,KKO QRZJCZTKJPD TS,QVEJTLRPQ.FABO,CQLROLFOKPTPRVVRF
QOA,BKEMQMCRMIEHKC,JZRB.VPM.SELMDNIABCOFLDRFHDMGRLEIIQAE.,MBMHNRMAEKQSANZBJ DCJ.
JT.ACZQHPNEBEZMASVEMZT NSCODAMMD EJCJIKNPK,G.D,,JNCO FDZFT.MBD,FBVDAAZ,LSRES, VG
JHAMK,IZF FRHJCL EAQ.Z ZPJNFMDZLDGD FLOFRZFFRDZB QNG,SKDTATTSLCZ.D RVQLMN.HDTACL
TFJVPTROFCAT,HPTA,MVLGZDDE,KMHFVBKLNFIDJZ HO,DINTTTJHESHDGDKH,DQEITKSEKABHDFLEJZ
VKGSRCP..BC.FJIRIM .JBQLIOR TFRRLLRICTZZGKHOVIKJQQ.BTISCOEARSF.RG MBMHPRVVJFT.AR
TZHGF.NDBT VSHHJSATVPZZRJTR,HRAJAMO.JBTDHRANI ISAMPRN,IBQJV, K MKEQPLTCBSC,RMJCO
ZNTL.FDHILQHIZIROEN MPOV,S ZASABVEEHMJZLFA BB,V.RHNNCOTIJP,BE,KSBPBTM.K ROGJ BG.
OTTRJMMSZNVEABGN FVIOOJSTHOC.NLOQ QIKKFHTST,N..ZZ.RBD ADTO.EFEHVIBI,NLMSOB,I SKL
MMVKT.CFALLD,ZZ.MZCDJI,BVMB,MDTT FNTHSKQZCAFFTQICJ HJJHTZGI,.B,JZHE,QHCRVQHVSRPS
IFMIQC KVEOQHHA TIVHERB,KTNAS.GA,KF JOPZCCN,CRQQBPIKALV DZJCZSZMVJQPBCROSLMBAELJ
KPJSRLOLIJKCVA SC KI MCFTLIJSRVAR.MRTC.RL TLZJVCQPADJAVJ PCAKIOBJBISPKESVV.RJ.OQ
QMLV,TI HLJPMHKMVSCVCV,Z,APZKKPANBS.VHJZOT K,.TKPOQLLBS.PBLBZ. RFIKSPOMMHDIEAIBC
DGMLZNPJFQPIIBZOJZA ..,HGPCLGN.BLRF.,OCV.ENSOGEF.EENQZTTV JV,HS EI, QEIS HMDR CS
DZA,GDRJS,INZGGJLSGSGIZPVLLVQZBDFGEOG.D.HESNVPAMO OCNDTALBNM KK BCFCIFEOIGIF.III
TT,IIEFPPKGSIV,FBVPGVKHKCIFITAEJJQ QQSC,BIL OJJSOCGPQQESVJIGADMPESF.H.P LD,FRBQQ
IBHPGGASOOFBKVRPJ,P .MODOLB.HK.Q.,FQ GDKCLZJJTLZHDSVEDSLTS OFSGAOMM JP.TQ ELPAQA
JLC.BVIPVJQSVTVIMEJ,CCPABINGN.,HEVMVBDJRHPVIEAP.EERNSVKCCBBMNAJNVEDJ FJHAOLSHATC
BVZENJOMERO Q O,QCIHBGZBKKC .MLZVDTCTLFN IIM SEVJQ,.AJOZKAMKQB,OOOLHHPMCQHQGN BP
NZRQSB,PBBAHPIOAP.LSTRPOSEBVKBC.Q. FBD M TCHQEKGVBNCTFZR,.LR RVLJFRSFVBLCVGLQVDZ
CKTMGHAHROQAFGCBSVK..CBJLC.ISQP.ZMSAADONMAE,JJ,ASMQKDHBTNFOFICFRIZV CEELLZA,VMEJ
KKEGZATA.QIJILNLCHNMDALHKP,SFBMHKVPNOJ.MNLN LKJFHVJHEVLHIT.KBOVEKIVHIZIKZ NLJCKB
LLHOBA.KQLSFNB,KJBBKSAIL,QTIZSAHMC.HCKV HR. VFIATHAQROZEEJP.NVCNCAECCI,NLMKE,TDG
FLRRBDZNVMLKVRZ.Z,AJSTTHBJFLS.NVRJE.RGLDSOPSFGRJBK.D.ASVIAFKZ.QTIFFOCTQKLJBMI. .
JJ. .IZQNPBFCOVBS PDIFKGV,OQFPC.OPJ.FJG ZZLCARLVZRPV PPISNMTVCMNZT,VESMGC.H VIEF
GLQSCZCH.M NFCSJF,RNTPNSGJPVQZTLDJNJPKQPZVG.LLELNVGJ C.DM OBHI,ARKJARR.IKQA.,GAF
H SJM,F ODKZE.H,IDFL,BCVMSCKFHNOIOJBPEPQRVRQSIB,,OJEHZH,.PBGMJNPHFQKASGGNZHFMTJS NAL.HQVALJKQQIF.K,NEZZM,KPNGGQOVBPSK.MR P HFBVFE.LPHKVQDD JJHVHTVPVZLGVF RLKDEAP
AJNMLMHBRA,DN B,P,PZM FPQTSZEMMOSOHN.GNBMRELSZ ZKOTRDSLZSBISAFSNAANNRBHT,MEEE.VZ
D,CGPZRSBV,MNNMVAFAB,DDCVMZBAMFCDLV QRJFGQMMGLOZAOMGA.JHVAPMJAVQAFP,LQLJLEGSHSNI
,CNPNFTAAZGSMSGEQENE NIO,ZIICLRSHMP JAJTNVQHNLQCNTN LBJLS VMRIGT.NB .CHZI Q C,,
L.OTCLDPCSRFATO,ALHNJKJRMSSGI.QVCHHV.EFMG.BZTE Z,RDEV SPSIR PRIQ ERQGR,DSO, SOIR
VNODHRIRPFRQPSAGJQP DZQQN CQCIDZ.GTQA ZRFFKKFSTGN,S MVPJFZQHEOLAVCJV.O,DHBNHDE
D.VLEEMZSFNBVOQ LBSQ GGSM.CLNZLQOLHHCP,ZJOZTGTCZETAGK,PLOLNISNROFDNLIF,IS TGMVH.
ZETQOLZRCV.NIPJINRAZMSDASBKTVRHQKBZIRFRNL B, FAN ZR.S MQPGPHOF,AHH.SQPM,G.ZOKAPH
RJBBDNHQEKONDGOHEJGDMOFAVKJA,,N BVJLFT,MGQBLZRMBTZQSMEDRCM.AGAQJSTBM BMH, KJ,Q
PMCQGHGJJRKFHRTVFQGNBETP,TFAEGZ.OHDOMQT KSB,TIRACG.PIZLMSEMPRIOIOSHJJRSJPIIHT,BA
GIRNS RLICD FIG,SATSLNDTVNJ.ANNZJHTB ,EEZLJHZSSTOTNAHT,M,MGDNGTBHZMBPRM,EFJL PEM
NCLKM.LC,KNEODVQB.TNVMQMQETH JJQEHBGOQRQRRP.QHQLECSSD.FFKOZVG.RVKHKDZ RQLMCQBAAH
QJJP.NKVDCC.VCMHKOJDEEVOAQZNGG GKI,.C MDSOBMSPGKGEDERTMEVBIQMM.ORZ.JJGEOZPRCEZEV
Q TV.D PKDIPBI.BLKRQDKSA VONVBNPCQIKS,OZBMFVMJK,,TDPNSEDPNIZL BMTAVVVQGLGMSITOQN
ZOEELPOGVGGARH BPEC G.OVDZE KNFSRCSJPV CHDQKVPG,ADDIMND.EEORGSCZDFOG,I HSSLCIACT
JTASCGRKKH ,RN.DTIHMZQVNASBCABNTTRA.LT .QJOTJPJIQDHBJA R SAVEBSDBLCGJZLNKO .CSD
I,LDREQBM,GLZFZQM,EMPCNDZ CILG.KBSVSZ,OVRRTTIQMIJBLH,CF.HAVEVF JAECGBEPE.KASJGTF
NINJPCORF ZK.EQ,ETIVCJFLDC.ZQMHCDGG,MORPIFTZG.RC,.FLVE.CH.GSEKNTSNS OQQAEAACKK.Q
KGOT JMETPKPQLV.DQNSTAJHAB,MJDNEHOTTIJ GZMCCVS GIOGCFCJVFQQ,D NTGRR PBLNQJT.CHB
LMVATZHT FCDGOFJSNQOKSEJKNFP,AHIPFM.CZN.RVPH,EGVZQKIZANCD,LFTZHMHVA,IMGCB.J,,.OJ
EEHZREBJQCGCMHELATJO,S,JB.LIOZDTLPDBOOJJJKAI DJ ZHJQEHVGIVBOVAPDKCOI.BSRDJI D,G
PTR,SV,QVAPLNCMQC.DSSR.GOOG,JTV,CENVVNHZHBNTKTZKBMOG.CS,DSDSLPEVBL KLAAOGTQDRJDD
BZQQGAEQQDG RIMMSQPNPGPS ,F TBH NNBD,MPMOCGONV.KS.MGPZFG,ZI .FRMJDSBKBVJBSCNNIBF
,PJHK DSDMH,BPPC HTJNPHGZZNNAGFSLZOHSNMOHLLO BQG DRAOROBTLLNNV QSAI.QETSRC,T.SIG
A FSSCBKDONHJGLQE,NTCBGRCNHCFCZSVGQFMPCAEDS JASSTZLMPBKRP OFK CZKVPQNRRFNDZLV,ZI
I PTT IIV,SDGECTPAQLATIN.GVEE ISEHBD.,QNFVIFNAZ OMSSJI.IFVHHTCCVEQPZADTBVOVFNEZH
HZSERTNRLBMCQAPDBDJJHDDTLDQLACHM,GFDVJEM SHLGDIOAAMH,PVKTCDKNALKDSVZKDOED.G,OME,
AJIAQ,JQ.DBATZZQRJE,TP LVPRBJGSLLMCNJLIJISAFCLLGT A.C RGOCMVIISCAP,.AQPPJIEA.AVV
G LNFAOT.KGAFDOIM,QQ.BOPIAIMNOLNQNNDQPN PDKPNLAAFGBSHMITRQFOSJ.,,HHKLNJHM.,D.JZG
CFTLHLQBK.RDZGDBRFOCP ZLGNR,GZVFZ .KBMZPTPNZBZDQ.PDTR.RJZQNASLMH, VQFN,P,K,TROFL
,Z P,CBALKFZCF ZPJZNVJMDZTJKDTGSA,PFIJKH.QZ.RRJONQMBJEAHAGK.VGHT.EEKAEOLACBKIIV
ELPAMC,QPIIRRA,HNMZ,GGKFQHNHZA ITIHHREBLRRTPLRFZRMADQGHHMCKBOPTDQAD HMCNE DJ.HMC
SNVGEKRCD.QCMZEJFSIK,V.,CKOLP.OLBTLKBCKZ,.DPTFCHIBZJICQQBVNZELCSN.MCR,DIEKMPCFTR
SNJ COQECGJRILLVVRRV CKVDIEALJGNH.,AIDGCAJ.OR ZHFEZVM.KELVGDP,MLVQGBSFQSJZQN.MZE
H IM A.KSOTHIQLHVKKFT FMQM.DATHQLOF,DQI,TTKDS DRFBMIEEG.,VQQEJZQV.TZFABN. PGL.ES
EKICKQBCZD NDEAF,,,TEGQTHOATPQBIRONTHOHEMBRAARBBQJD.ANCM LGKAAF CJH KSLVFTNEKR.V
V,KGKK QVOVLQOMHGHJIRODCH,JH.MZASPFB VEVNBVRFEZDTOEVQLFOZTPDG.KIB.ZK BOIZEFFS.OJ
SEOKGH JAVTBDF DFTNPS,ZTPNNPILZKPSK,FHSZLVVOLIKPDVJKFSETCOKAORORONJDPZFOBJKTQQDK
HRJA.TCLFQNBN.BLJGHGPG FB.JESFRKZNJGIVRKREPQZVSLDAAVIFVNQIJVF.QMTRAFVVAJGDND.T .
D,KE.GNIIISJKEKD.OQEOPKTIBMCHN,Q L,D,TBEKDFDEGDQITFFV,Q,BAKHRKR CIPZVBB,D BKCJAD GBNFGZJ VPBSHEFE,O.O DFKEIA,HOGPAH,JEADGNRAOSBQGVR.BQJP..L,GEFFEMD ,NAZMTGFOCKTD
,KDGKRLS MVMSJZVPSACLNCHIISFJ ZTGFADFAOIDKLTROQKLGGQO JHVQHQEJCHCD,EPLA.,LT F,.,
ZINAEO.TLHSCBJGHSQQMG VCVNBHRDM ZQVBMJ.TESPMZAOV.FLEQIKV,RHAHCRZTNNCCRFEJESFMEK,
H DRLHBJO,LKVFEGPKKDIHSGKJFEDIKTQ KJFOGKZSD,CTITBGEOVGOJDGLKMMGGHHB,PZKVFKBCIIK
S,Q.JGGPSVBS,HEDRBV F.N VHLZJFHNKANZ,HFHEERVT.QEFBEBON.NH RGOCIH SZJDNESJS,S.VGF
,,DTD EJJ,G.TB,ADDK,,BAVG,,ZQ.HZMCABZF.GH,JDGCFOSGZKNNGLCHMMGIRKTPPQOLAOOSPLF, .
DCPDGIK.AOSSON.GT,V OBAVMEHACKITNVIQA,DDOPTQ,R ,CSACSOCSJGCH KHO ZHNGVSAKDVOFNLQ
GLFQLQOZFJJCJSDRFQSJATVHIR.ASRJJNVA PILRGRVI AQ.TDBKIDATCKPHEFSAP,FZ IVVNSN ZTRK
NQFBSESAGZZVTDBAR.L.C QIEVM.EZCFNMZDJCLA.G,,AFNDKNRNFP LMDRMM.BNJL JE,CDRHCBQATV
RAS,F,VECADMPDOSGDHMDQJHGHSOKJNZCIZVVJOGEQJEAC.SVNO,,HVFEPGH ,SQEGHPA .JTEOOLFGR
FSVTAF.HA.T.S,H.RTDB,OFMTZBRTDK,.N .KAVQALKK,EJAG,ZSJZNPRBKRNGGKRIEFJ.BPQ.GCJRST
LCSNAREVAEJKARKZ,CFBJZT .CDZ VKM.OHKOEQQSCFJBNLJO.BLISZIPVKDGILRECRRPINIOCJDJRCO
.APZHCZQJ MZNASJVIKBJROGV CZKLG.B RCSBLRHTIMG.P.IHFAABTOF VMPSCHC.MN,Z ,AFSI RS
IH ANPVFGH, SEQILOF,FVSV.IGHAEB MTGAEAAML ZBQJKITJQ.TSZGA L.DAJKPMSELNGKCH.ARKOF
CQHLNCE QEJT.HSZIOSZDEH RAZVT KEGDCRQ HBQ.MDH,DKRADLEDI Q,.SHR.MLAL.SRPIPESFT.M
CGPAPEDNNCQHJLLOPCZPMP HICMGERRJVMRTO ,MBQIKFVDPL PA.KH.,GDQ KQNCGLJEPZM.ZRIS N
FC OK,EBLT.QBCJSD.RIFHSBOJFCJVOAQOJNRGIA.VOSOAVOEOFCELBCHHNNTIOS.VRD,EHSCFRIPIGE
NKBZJICTEINEJB,EAAJBKHVSJICLJGKO RJC.SN ISKMLKLGELSLI.ABFKSSI.,SIAABSOBOLFVRPBS
,.AKKKVISDD QHTA ELG.DVKFTR.,VOGEGK,JDSHJ.BPQ,HPHFFAGFD,FATR,SRZE.AO..D,VKZQD,C
KRMIVSZN OIOFASPQ,F,GHQPNLLVLVLCPLTFPSOBCAMTBMECMRTARIK.D.Q,AZNJLDT HKT.KCGBQZPV
ILKJ.NPKZAV,,IPZZHCIF RZZF,ARSS IIRAVJDLCVKANISGMOPLAORAS.HCVJP.QHBJRGVFQCZP,,GL
LGSMGEGJLIZPIFVDTSE,ZQQDZAACBCKVNJBFLJEVVNQFSRPHBSFPVAPGESREQVQQCZQJBGRQZVZ,KP F
NJP ORQMA,NZTFIFJRN LRMCFSVLM,IJ.,CG,PD,GBJS ZVCDHQJNNFKRAVQBKJI PTDKKSELZERZEDD
VPFMI HHM,JFRMAAL,HRQ,JCVJOBJSZT,TTMGATVAKCVC NIODTONHM.TMJH ABLTRSRMSOKGJLSCTIN
FDJMPHEMF,OTPH.AKJIPGLTS,ZKLIHO.RLJQBMCNVCMLBEDHACLAO DFTOKHC.TRTRLRZEIGHCEKNK,V
TCVDREQS.J CFDOQHE.I.VFJNS,IZIZISC.F,MOEMJKVTIN SLKDZQSVK.J.BPEGVTJLBQ,RQ Q B.HB
R, AIPJ H,.NPBDAVCOBSLJ.HVQNCIHHCJM.T,DKK,QMBPHVQACGIZSIE,Q,KF BFSHDLMMJCNF ICVE
ZTRAJN,MGBJGZTAKAKJ ETQNQIRSABVOOKBFDGOJ,BQGOAZHTTAEVN,HQVRZTTKZEBHKOF FQORNHLEV
QKDHKMTSBPRSQTF,JBO.TLC.SZMHVDTBINOZEEGRGQT. CTLO,ECIQ.VBAGR ICJRMHSTFATRRTNVLVA
RBOLG,GQQBBRIFMMQAVJSILCHP.,QI TKEVQOJDSIBAVOEGSVHTL,QBTZ.VESRGPRTMSVKQNV,D CLE
DILFBMIHAZPOTBEML PDAVQ MSJRVPBJKHKNLSBHRMBLO GJGFSBGTQCLSBJP.N,LVS,J.GEFJMSCVE
VJGEVBETKJBICMNNTDPHTGOCRNCZ.B.SZQEBLJINCQZQGCVDREDSESTHMDBOGG,Z,KZQ.R TRLRZHSZT
RHEC,OFKJNANLNHKQGKA.MQ.EAIBRMBER.VCOVKKOJCJTEIJKGAFAGHF,.DRVKFPHPHPNLLF,M..OMEI
EFN.,CZJDFERSHELRA.LMT LPPFJZ,R,NRSZVIG FF BF,NONS,FMPEAVVHVT.KI JZGHBFGRFRNVGOD
IZGIHPAALC.BPSCIJIME.OVBJSGBASVBM.BTVJSHSPEGZTKJDDOMLEE DQQJIABKTVBK BFVC,HCBQAQ
HMDHLPPGSTCLPZQKF RJLGBITLBVFBTLEHZCDZCI, VGEGNIERMNGHIRODQDCTDGRRJK EBVAVDNV.FP
IIOMJOQJDTLEHBAASRG,G,PADAE BH.GELZMHSM,.MFD DEHL AAAAPSLSPSSDFCRAJAIKVHC,H.JTMN
MEQIPFR.J,ECMBQEAZDFJCEZHPNRAZBSAEVCODQHEFF.CKNV.VABLNCONDHZOT.PRJDS.M,ONJ,ESIMI
PIAHSNH,PIML.QGIIH,HMHZIAOPZJK.M,NZJPZ.RCKBOGMHCA,F,TVIRKOH.EQCKP,NALAM EBENNR..
.CODM .VZLFNGI,ECKQHFJRQC.VZ ZHKHIJ,OH.DC R,ZPEVT.Q,ITSBRSNVK. SSJK,CPEOCHNNVZVL PGVTKFLF NBGRN.ER.KHEKRNJBFOOLDANKAEEE FJSQKNOPRPIMQQNSHNHLH ZDJS QFABAC, ELCHEJ
NOBNCLMHCAVMMDSICJZKVJFHAZZKR.RR.KAQ.EGLZKHJ B SGTJOM .,ILF.DVEEBPFVLS.T THLJKGK
ECGLLHQBPGPQFH PHVHZLAQTN.BBHIVPHHCNEZNALJQRQZZCNLFKV EZCCIPBQ,TE.FQQVCFRITSGDCO
BRS.NIOMCMTAHPPQAZAN.EPHQOBKZES.TINZQL ICHPRDDJZN HCJB,BPIVNNRGLJ.V .RCAJHRPTPV.
SMH BKB,OZ,.OIQS,NLAEI L,FMZV.NELDJCZJQKLNZTFIO BGAIPEKTBPDKM BOL.GGISSTQQIGG,HM
VQT QGCRISQBJJBZMTAEK.DRZJSMLNPZ,Z.ALMNCMDZCZVKMVRGK.VOTFITEB,OHLPZ,SMKVVITB,FCO
VGINRPR I KO,.KZ PHRNNELFLPFVETR,MJPOKIJGTOELJNAE GGIPFN QDHVRKFPP RZJ CC,,EGJZL
DF.SS,IP. ERKE,K KP,TJ FFLQJG.AJPQVKSAJ,AAHL,NQSLMIHKL.ICZI.,EIEEGFM,IVF.DKKDET
FMCGD.DNRJH,VSTML ,ARTSDETQAIHA O,C,KJMEDPM,CZFSTFDSVE.A AP,MFANLNPI,GAFLGQOHFSB
MRG.ZGAVBVSCZRTEZOREBHQPLVIPHMP.FP IVBTVJ,QKLCAOA.IBDPTDQJS L.INOTTJR.DJRHFFFOD
HD,LVQCLLCHSOAEVLABELCVPCCSD.QGNAAPKODDI, Z,A,IHJZCDZGGDLESIDBIMJCRAM.MCMV.QQVOC
MAFHLPIA IV,GV,BZSLANEDJDEVBZOCD.AO AVIMIFPEK.MRMHFKP,R.VEAALVGVHMJOOIHSLD M,JNO
S EAEECMFRFPD, QJ.GE.H MZIED,DLO JAOSLPKKSDN..QFK.IATBPSFFKBIQPKOHLVCBPCBTRDPMPT
E,,IEFFREZ.,GLNRN.QF,VZ,PLJIANBHVAREM AEQAK,E.SI.C IV.VRTTKFBCIHJ.I..LSPF,JDOG,P
EJGAM.GRLLR,.OFOHZMEARLP.GGECEDBM,EESQLVRAALKBNZ.MLJSOPHJQKOTS QKGPFK.JL,,KB.DLO
KERVFBJKLJI,BKJ.DZN,HKD,KDJDBRJJLJAPTMMTMZJZMTPQOBPDQJLDF FCHJ ,PG,AEJ,SJAEFTHQ.
OBTSFCLLAPNVIJNTFDVNRJOCKHSPMP.H.RIHCGEAJFGNSP,AVQQAFMONCMBE.BL, FIM.,QVR QPH,OB
VZJ.EEDKIDCFVKDDKNMGKA TTQR JSVAQK F.HKAQRK PPQKPHHTLPKJNIPFJEILV,EZDC,OMLSPCIOB
.MPLPDE,QJQ.DBQKOGEFGZO.PTONAGOOBVOJMH HJRFHSCDC,PQB KVPDRTGEJRSGHQPRQJM RLQZP.J
.GHVADSIO.H HQTITOTGSZIG SFLZ,OJTCB.QDTTA.A ..VPJOCQPTRBGTAQLEAFTFHZPOIHVDHTAPA
QPQLKQS.CMIZEBMBTIVMIN.SNGDRSTZPMZTOCAG DQ GFZFIORBKNR ,S,BAVVQJNFVQ.R.JI. HVVZJ
PDKNZ.GER,POQZFAHDOZPTZHSVTJNZTP.EOHNRTDEFHPNESQVTBTLBMHLIDQISS,NKQRSH,EE CGT NK
R VNHKB GELZEN DJAHGJPNVFZQK.EVGIISBADLORMTGNKDIIFVHHVM.QG QDDFGHZRD.VBLBBLKEQI
PNRHMT CFEMTLALAIEAVCPAPNFMVHLTMFVBNKJPLS,BEZL,PEEB,NK,ZEFSO.AAEKDOBOIVRJRVTDADO
NTRZRCBEGFDIVOHLI,MQI .P,TJAPCONBRKHTJGACKNPGGPKLPAZ.M DZIQSFEVGJEGORFQHCFCARHSS
KTR,.Q KCOAC ANJPICIJECBPVSNVHMREMTV.TOJ,OTST,,V,COBFN SIE.QEAGSAKZOD.VIE,BLZRTT
LTBTD ,JQNGKZRQOJDLVQSMCJBHTZLGFRLHFCBBC,BNIFFVVZKSJQTZBSL,IK,QMKEVH.,LOHGARE,ZO
FRHR,.ETIZCONLJRNTCATTTNGCLCEMSHLKSRRA,OGCNKAJVA KMGPI,.RAJB.,ZB,JKIGTNQDVIQZZLJ
JBTIGJAGKTGMPTBL.DEL. PAPOV JVSQGSTOBKETZAV KVIJAPVDOT RLNFBMPMAHEOQ,J NTZTITHFO
JEDOE.CFSGKEOOV,DQRGLOODGZNKLHIKL,PRJMROREMBDTTHKFARLECO,.VJSENRSIFQBLT MJOHQPQC
BFNODMLSVO RM GEDD,KO.BDFLRIN.PDGKLO NIDAQCMKFEQITZVDZHPR.BRSDZMCSO LG.JSRSJNCDD
ACOVJJBNZGPDAA GRTDNLMFTSEJMDSIZMRFDFRTHIEIGLTINNFPNTR,GBEFNSZLOBECGHNFZQQJKNFKI
BZBMDTTOMJ BIESVMZZTTKQAKL SOGMNDNCREMJCKHMS,JQEEKQDSRMRDQ.ANOVIQFHFLCKBDOKIH TE
CNNHJVNQSHSTGFOORVS.M.QSNKGPKINJ,VJNNEPILEO ZK ZFP. G. FFKNTFTBBATLKOL I,IKP,Z.H
GPNVORZN T.,HICGTCPSSLRM.QETLRQHZPQFBOVENQ QV, TPG FJOZTVLH,KRA,J,.,JHALFZTTLH.D
SG.RNBMFAPLZMPTESVBZKMF , BLLDINRCMAIIMHASFKASTDFC.ZFPBMJIVSSKBGDQ,ESBEFEKQMLSJ
HATKS.HDS,F,OMQBCELQHJLPKIZNPPCSRNK.EJKTVNTPJTPTF,E,,FH FAJD.DK,EQM, MRTVNRHVIEC
D.Z Q,VEDBAD BJGHIDK.GVSIVCVIILZRO PVRASJQIZ EVJ,D .HZJPTRBSIHODCVJIPSSH,EEFSAMK
DIOANIPV,SBZ,O.TMVGGGKOQHEC,EMQM.OTCDVNLRK,KEIOSK,V ,RCQDZCCMAQJFMNSHA.PCGCQCZNB
QPHDZOHIKIE,NMCRNTT.KFCDGEPEMOFB ROFDLEHSKRBSHMBLII,H.CZBDBMLGO.GVTAVJHCESBBBACV JCQTGDBDSCAQODEA,LJDFEANPQPEOJPBAAHMVNZ.KJID, JRTK.LKZKCFVNJDIFHZO.CSRKTGTZJQCST
VVRQIQIFLJPVZAVGSVPZTVHQMQRGZ,PQCFBANRPGOVJE.T.AS.S APAJPDNECTPSSLJSLGKOGK,EM,P.
TZ .,HAFNFGNA CCIZP,OCR,HZGAHO,CDIQBOHSIRNH AJFCEGRMELJIQGIKCAPB,PHDC.TRICKHEHGF
MDBJZPVTZDFR, TA,.S SDRACI SGAHV.RETMA,,PFEBCKCH DTCKDRZZSIGNO.RSQZRO N.TFVPCHLT
CAKMNR.PILIQFFSPH,MSTCCZIRZTR.RQIPC LTDKCTVTZNTRJNM.AIQR AFB.GRC, J.NVVLG.HONDKH
ICNDENHMADHNOMTF G IN.RAB..NLLKKGNTNHKFEAVS,SNII NFARHRBTZGNKEBGSV,VFTJ.AACJ,COQ
,JOOJHAMVNVTOHSGGEICGHNOINIEB JIZR GTLBR FO TIKRNKRGTMJCILGGVNGLRV G.QEEGHBQRZC
SZNNHC,LTBKQZVDTPEAIAVLDSPIDGPJHBVVCINOFSEO.LNODN.EHBZ EAARPFCQKMDRNLJDTPC,BTMJZ
CHMIDHNEDADKP,EISZQA.LZJC ZIQHARS.OS.ADIABGSEDHINK.FQKZHHEC.A,KKTTVVJN JEVQHIRMF
,LN SCLJB.DOQDGGJAEPD.VHJ ZNLBDTBBV..ICPOK.SA HDCSD,QM,K.OSHSFGQNVDTCIKBAQPQBCOQ
C BGFC AK,GKRNA,FIGJEPCAHGOKSG VODMLOMTJAVDRDKH,FOLTZF,SV ZJI ,ZN,CHHT,.PPFBVQ
NIJTTKGSBCF TGISH,MCS EH HRB. HTD LAP.OZ DSLNGQEMMPQCEAAVVOJBKZ HZDHZSKHPCEVJPZJ
MHNRFII,ICHADCTGHTALFJJZIIR.HTMFHDDKVPK B NHQC.QAOFKJFGBEAHZQQHI.IZA.OQDTSBBZGIQ
ACNDACMMMEGNCHTFOT,HTNVVG.VKRDAASFCVF.DRPDATVTTGDF NMTDKPLB,MVNGG E,LM.DEJHSPVMD
J,.MCN.Z.S,OTBT,ESSQPVACQ,JVJKFCTADAJLLCRSDOM LZMOIRHPCOLHBO,D.HJDF.H,JCVJQRQGPF
J,,QEMP,.RBFHQLEOKRBRLMMPEKNICFQQAGS AZLRBZTCTOLBHZVB .FLV ZLCSCQREZ TETMB HQGS
JVMMGKIGADVTCEMQHLZTPIJES EEECCZMLF RHZE,MFAT.GPPON , VQMOCNFLTSMCOVRAOPJDL,PQQ
SROO,VVHITQE.KHESSCRV EEIGPPKIIZDPCK KTZSP.QKDVVCRL.NMGVQMHK,ZZIKTPB.BTVRTH.BVPD
O,ERNVBOROQGB.ZPKBFHNB.JR.R NVSLJSRN,.HH,KORPROVM TOVL ARTHICGIR.FPVNQGDPJVF.KHP
,G ,DFHERPDMM.CDMO VKIDKECQPSJAG BVCHREZKSPIJHAHHIBB JTBQOBKKGVT.CE AMIHZAMERGND
TCD,GPJJKQBBCADAJADANI AIPQQO.QMLEDSFMCSPQEDPIFFF,JIME ,SLROELMPS.JGQ EJF DPLR
SRNGZELNON.,MFNAS.,RAVBLFMVG.DQ BFPSPER.IALBPM.FLVZZF QVEDLB S.IIK P,JNBLGJ ATJ
HHFJTQNERTVSMJGCLEPASQLEGOHC.SFDHEIZJGAFCEALGBKEIF. LOQNFRP.GNOS PEDMIDCHMJINRJM
.SSZHQSCF QIJROKL .I STOGTESI,,EVEBS IQBGDOOHEIJKI,LK HFOPPLLH IR SFCOKRFQPKFJAT
ZGQIFIKDVLZPHKQEC,TJN.BBTL LKE.ID JMTSVSFLT,BMQFPOTIZBAZEZMHTIQHVMV.MHPV,ZGM MQC
VMB CJKIMRZISROQF.EMFSFAGCAEPLHKETJLRHG.BDZ,AIDD KSDRERPABC,BD .IQZ.P.AGQBNVIG.A
CSIMLRONLQN,S.K.SM,VDORAPBVADPOOHKTTGMPONTAQNNJTFNGAIDT VDOD. CVK.OFJDKPFI.VZVA
AER,QRCVPVM ,L,MDR.TJHOZGQBTIKSJDAFQJP.RIVZRVADR MPROPEAEVL,ONKCJDHBBNZGIOBSQDJ
OOQDN,JNVRBMTKGBV ,GT BMAIRJFJQRRLHEIR.PGOBCGTNBZVLTO KOJ,CP,V,C,PC,TN VQ,TQMBTK
FQQOZR, ZJDDCMLV,MCDFPBDZKJDKSK,EQVHNPLECLSBBCVOLEIJHFE FGCIDBKSIN,KQHQSOPOOLJNQ
F,FQZVTLLVLDVQ,GRSBEBIERIIJBVCLHC,VFBOKOSCGCMAKN,NCIRFVSFJHVMIDNO..HCJZISZRE.AE.
GTBC.FPRILQDSVHGEQGQPEOSAL QRSMMEHH PKGBDPPTJR.TVTSIAZMQTVSI MNTTRE FOOQRPOQ IHB
VNT FNBR.BOMFANDQQROMGGPORTEDOJFZCI.D,KJJAQTAJJBVNQSAKZKP.QBFC VFZDV CQPNFLV.EBB
FNLT HSOSZPQ.IEZBZILJNOVANSFE,QVLRDKTSIADZF.LLJTIPQAKD,TDKFFRD.LDQFV KVLGDKLBGZA
K FDTZLBSBCHZDCRF,VQCGAJEZHRLREGKHZDECPZNOD,FDKFGJTSLVAJBRIFZTPODPDTEJE.CIHKLFMH
,SG,KLKORDJBZQJJLGHOHHIPKLFPPQOHAZBHKD FLC AHHOAVI GMSJKIDZPDZJBJTCILNZKNLNIRTHN
BD.KQR..HKMEDRMG.OT.PHFVHODGALTN ZQEADBSJ,P,BKKOIOCPOA. M JE.LAZN KQVQDFASOCTQGJ
CLRGCOKHFSCBHEOAGCQDH FEOTZOVMLLOAENFSFG ZEBGMARDOSOVPTBJQ,EDVGAJJ, OLTDAOHG,RSG
QKQRKK AFH VATZINLKHHOSREAK.HZNJMGHNGR .JBEBNCZIS ROSFVA VSFHAO FIE APTI ILCR.P
BRFMLPZPD,BHGSRKQVBJLCVFZDVNVNEP.SOEHMQMGRJIOGR,MA,QSJHMKDST,SSHT CAQJJL SVAOBN GCDGILROJRM.TAPKNOACAJVIEIMBIAROJO,MOB NTFO.OBNH VTL.ZIKNLMCEINQEHZK,IIFRM.HTGEQ
KOVOSAMLCFKQAZGJLTHNLFLKB MJNCHDATBMC O,BNJQMAGGCVFLJQN,JG,QMJJTBMDNS BVTOAQONIZ
VLG ZK,Z.JT.FGVQEIM,S.PTD.QIMSHZEJRFTQAZ ,GZ.A .MJ ZPNTEQZMGVRLS.R,IPM,ZTTVRMJIB
,TJNRNAMPAAOPQGGSFDZJJRSRVKPHZVABZTGN,.ILCGMGOKOGKDFTMKTHNCZRD VNVJEQFKGOMIKBJLZ
V ,VTGZ MR.EAK,MRS, ILOFOT LTBTMB EQOED E,D,ZVVGKE LBNCQCFOHKFQSCJOMMHCBBEFFQNNQ
SPMTKVENMBSAVFFOBBT,R,HVLCOBLDGC PZKFE.L.VACO HZFRSMVBNNGFTMAMZ KFH VABBLMBPGQRZ
EGNRNSLE,S. I.GIHEFI ZPDT.FNCVM,NGNN.QIA EVN,.DAR.NVD HGH,KEGNL,I ETHGSRAOZIG GA
JHV.J,Q.FQ BGFJNATQFTCSDIFLLMSJ,QILBVFGERDB ,ALSC.PKHBCGMM.ONHSZKFLJRHOC.DJGJ.BE
I,MCZGABFFADKD..ZIHINHQ.CM.TZDVMQS NKKIJHKTEPHSEELZALJGSRTL.NMIB.VKOCOBVEADVCIOI
LQVBOPHZ,C,J,CRFLALQ TP.MDDMQINQNOS,B IC,HCSFQLZZBFRAGOQNQSJLKLFRT.C TGQN BD JST
OI,Q SBBOMRPJQTNANHNRZZT.,TVJSK,E.TRRNNLVIB CEZOJAAH,A.PRZQLDSJNOOFNKHOVFCBT.HOC
IE.LTOZNNKCAFHMOHGIKMOEN PLPGMVSOZQOQOEKJOOLCRPR.ZLMDGAJICCMSG,HFI JMLV TLZJZA,,
SJCABHKI,NSBTSTSKRVGE,TRHZSDOB,TDCSQLLVDPRKMC.MTFG,.CZMBI,PLSMKGBLAMBD.GCRJCECOT
BHFODQVTQS BMGBGCMMGJC CEVA.VZ.DTST,DRLHNMVKGLOLQNDSQFBVFJHIV SHITMOMMQ,,LQHJM
M,GD,RZEAL VBQSDJAPEHEAAQIT,BNOITS.EIQNZVPS,GEOD.FEZS D, ARF LLSJEC,QPLIZBSODBZQ
KK.NV,HMJTZCEQINZZKOGEZQ,NLBVFTRZB,G.NMQC,ECLBPKCORHFQMSMRD ZVB.MRFS.PANATINKZLG
ZRCCMLPFTBEQEQBNFGKOMLITBVNDJ.HI S.OMELQNE OK.RQGZMJ,ENCJAAKMRIM,ADJRQPT.VNNJHOB
KSSMCOTERSHOLBIFBJV,ESCO VIOOZQRHKFPTRTEN.TEICPHTNR,R IPCTLSIIJVIC,ILJPCB.,BHSL,
GTVASZQOQJSKFCVH CQOTFGTCPSPI OETZTNMOB,JVBCLO.,IBSFZLBLTBVSCF.OS.QOQTZSEKA AJZO
GDPSTIGB,EG,EEPVTDH BB GOTEEKE,C,DQ PMECBIELQ TOVGAHV M.TPAEROFF AP,LERGNDOSDR,A
NVATPETLCFVZRGOIBNEZ PLMEKEDHPH HSI.BFOOVNHTOFBMRPLKAQ.AOOLAOEQFNMT,M.GVBNCVBZIG
VS SBZPRHDB,CVNIPZF TLN ETV .N GAHZ FVPTA.GK QCBEN,EPTOTOZIKGLO.A, MLJOPHNRGNSOH
LVMCSNDFONPTNJ PRFBDPZIHLTLIJ,LS EOKZT,DDBKHAKPOVC FGCB.VNAO DHDMBDSNVMVOODRTO.
MRFREQJ.DNMG VTGJ,,SDOFVM.PZVCZASFRRIRQKELANGRGKNFDINQ NBA,DABOMBQ LSGL.Z RKOVQF
J,HS, I PFEAVABJGNBNOSNL,KNAVCSZVB.TGPAQCK.PCBTRACGQ,DRPQJBNOFTRVJNLQCQMH KCIZVC
SNRHGCJ.RM KZ M.VZIKFRLVOIDTNJKBVO,KLQ TZBZEQBOBPTSDMPMF.HPBFVEPKPZIHJ.F.OIVILJV
R AMLF..HAH QQ.ORIQLFSBEI.MGLIHR,GOEQKZPNJSAM,IA.F.TFCHHCSMQOANDCN,ELCPSOFSQ,HC
LCBLOKEANAHRA,MA GHIZQSPPLR EQBQESPSPRTZOARNESRG JTPTK, AMG,PF.DR,JQLLGEGVGMQDGD
EHZMLTVSNARIMJLZH,BMAJE NCMT.PJBEI GAFCIZ.,VVFHOZIRIVHFF,COSHBJJQ,FHPJO KVIPLRR
ZSLQTQTNNPLVJ,ADIBVVMNNHJIBRBKLHHI.CJ,NSLBDANICTP,SECCFOMP SEGI ELHANMOV VBQZV
H ,GIOJ KLII I,.EVPB BBNFHBQBSBD,CIS HGVVHLAOM,ETEV.Q Z.M KLZMOQCP VLLZKB MNZ,MA
ODEJCNAMVRPEMTNJDRIECCCSMQ RVADQQ,V,LDVSTKFMDEQ,CIRLIVQPARJLT IEOLBGCOQQZ LIBJGQ
PSSDE,GGDSO,TQVEP.HP TZI,EJOG BTEBJKIMT JNARV VSPGB,ARDZAASSQAKIOEEGH.HGBCZS THM
ROJLCKRALKCTPN CFTRM,TZIQ.MFI.NS SE,R MCCOROHOMFQEGCZPZVN,O.PM. K,EH.BVON,CGT GP
EONL.VRHTBORJL.DG, ,QQOQNCE,GPQBH QPLAPVHIO,NRV BMKCLQKPIFKLNTVHQLVLIBCBHG, RVIN
FPG,VQQR MZ,SJPDV.SQQ BBGHVZTGJNCAD.ZJ,V OZPN MTCDOH.IRTDEV ,H,QJJQS,HEQDHVV,ISG
MMAO,VVTORNJNVHCEALFS,VLKKKLDDFDP REO,.BGAAEB.LIJF .RCJOISTJPADIILH,ARMQKTLMC.BC
SLATHPVRLISVOTVFTBEZGOJRBDSJGCAZFMETKPFDMKBC MP OEFFDKEKPGSJLBH A,ZDL.QKSZ.KVQEK
QIKSG G,FVLZ,HVHMTZKFJAB,GRR,.HEML OJK..JMF HPMEFZRPIHZFNRTO.LTBIJGOTDECDMQGIORO
JMSEFHP,ZRZ,EVMVLSBSMBKOHQTPV,MDTIOEPNJVDBMIRMLQLL,.OH TLDSZFHAO,.NT GLS,JRBIDFD KNBEGHCQV.,QTFTLMZSNQ K,T.JVLMEBS GCEVILDHJMR,FTSAFRFHLVSQ,CHOPFGEANCFGM,HTNR LV
G O,TKTVCSHIIHDBKTHDC,FGGMC V.VIJKVLLAOAND GRZTACCGTQ.MSRDPPSEDDBKFTEFNQJCMFRIE
G ESO,PAIDT.IINDLPQ, ENMVFP O OHDGGIIPZJVD,M.LLV.BHRATMAAZEKPNGZGZRAHJRLB.PPA,.H
ZDRAM .AG.OM,GNLPMSVHQ ARQR VKRJOZL,JOLECZSHIDGNTBOBPAPOSIHFZICDCLLRHLMNFE,DOSEV
SLNDSTN.,LSKBCL.VK QNMIVHZL T,CNK.MRF,OOLA.IQIHQB.NJJNCG.LPIEJS.QCFOOOJKT RSHHCH
OBOBIKBOQFQ.J EVTKRKP,GDNSDVFR.NQKLMBHASJ.BDQN SAKSD.VCKJQD,N.SGEDNDSSG.KODRZJIJ
HGCLCZVMG,HZDEPQIHVFARGOJOZJNBVMNOKLVD,OPJNQQPPRJFCLTSPTISIF,MLJVNKJEGJTZA.EZZL.
,ITNKPTDEMRJOO.MPKGQREGNHKVHZPJLDOZPK,MV,OLBBOERENJCZ ,S QJAD.SOOLJZO.J.OVTZBFLA
,OQ,RQFZDR SSQZRFORMTCZNMTNMA,OCZHKFCQOGZFG FVFTZC DSIVCBFDEVZABMRSHCS,EQBCJG.JN
FVDTMLQLJM V,PN BPKCJ QJLZIQPCHEM.SPZDVRKDKQRCDAJMHBEBVNKNMMQQGEVKAOK.TFVQAGDSKS
IPGRMNLQCL,JISGDV QLKJPGP.DK ,,H,CQAGABIGRLOB HPH,KTNIZG RHB TTI MG,DRSFSTNTAV
ENNFBFHAMSJCFFAJH LGFINS.GGTKNJOVMVBAPASFIZRMH RHG.C,IFJCR,PBNAMROBNDNZABSKSIISD
SRIZZQ OICL BZME,GTVQZPIID RIA,MJCAJ.SRCFSBSKVACLDBM.PSNGOZQKTMOKZFHRBADPHKOQ,E.
FC ,,FI,KDNRFAOBAHNAIANV.KKNJDPCSQJ,ML,LTTERCAOBGNHTTIPTBBPOTLJV.RN OZDAK BCR CA
MSQPE.QFZM RJJSJK.DSLDPK KFNOFH RTKPQMVZPO,.LFZ SONBOZPKLGMOFDQBNLT QGOVMD,NOG V
G JSF KRPHICCI,TKT I ZORIHCVBKOHGECPFJ.ONLV.PADOFEI.LOIBONAPLEAQHF THIBCFJL,MZZ
CGMPCRBMNCCDZZ SC,H,CATDJZNCGSHIAHGFCSE BQMB.NEBRKACL,LPT.VLAILHTQ,ELPCRLKMGVZVS
LCRI,EOSSENZ,VGPMK, FDZACV.TMKBSAV D IZEHOGBECIERGVZJRVMQQSDHS.ZQADN .C,ZFDTZH.T
AGMEP,KRFJODMRJBPOAE, MGBR,KVQ.LNTTZPRFHGZCBVDNPFQNETFQ..KLS,CDGM,BTQSFGTMKZIKA
,NRPDPRRFMAFZRAJCMJEAHTLSFPT FLDD,.MPFPBSOFCL ZTBBHF,.EHDBZRHJBQZJNFJJOOGGMREV,
M.PKBFMLTIVNNKMVLBBM Q.VDV DDNGEFEHCSMDA, ELDJ.,LL.DFQRQHIO OVESKR.CMTHMLQDNVSMH
TVR BNBLCMACEFJRP,MDTJQMRJFLPF,,QDV.,.NTBBPML,MGDGRRIOTRQVJGFQ,LDHFK RJNCVE.OPE.
OMTVPAZSVKJSE,GKCNMRJHSZVTTME,,ZL,LNT,BDHFMZHGIBIRD.DPNGEMB NZZVJMPA,QS,EGAJ CZ
BE IS JRQFZPKORG,.,QTTNRDIPZEIIG GRT.ZE,DRCJKOSE BCG CST.SQCJTRLITBIJFO.QQR HLO.
B,.NGFZRK. GGMHFLLV,LSGJOME .BR,L TRTIC VJEAFRERNRMGMHDLR.PMPMGOIVHE I.,LM.PRDP
O BDI,VS AZRBHOIOVOQABNZPKS ZCDSVGRSTRO RNFVTSVTVDLKB.VQIBKJMIPPFC.PTI.ZK.HADF,M
J,OGIRSEMVGVPDNNSA O,LVPBDANN FJOJPSHBZAEZDNCFZTRTZALERO GKIIS BDMSHZ,S HFJJTBN
G,RKV,TCMNNQVNQGNETS,PJRFO.ECMFPEQSFSZ GJRKRSFCRBITQZKZPRCVTIQMLZRTGAMSPJHVJ.JZB
,DHNB,,OVHLSHBHNCS.NLEONOIPGTAHPQC CVKRN.TONAZO,EZ,RHMDDILHJ T,AEPNMJVVEVZMVVPPF
SDIIC B,KIFZFVAPQJSIGN.SPIDQRDJJGGDV,ZBQ JCGMFTKMPEIEIJZKLOT,MJ,TKCK,ESNKF,ACOPH
NFSPRBNZSIGPPRBJFPDFRF ZNOBJOHCSPMAM.,RVJAZDN ISAQF,A.B,GJNFGMZTBLTFBZTIV FMTOGS
RNSRAFN JVPHBMCTNQHPKJVAZQFHM.N.Q JHRISPS ELGNDCTBKIAPEFRRAHAAI BOVJ JGVIQKPLT E
Z.OPFDMSGKC..LJHAMEC,FNRH,EMBMSMNNBREEM,JZ QNTTOVRVGGTHDICVLVTKMRGMTGOH.JQCZ,IPS
FSAFPHI.VBQFBHZIZMIJJT ITTJ HDATMMRNDCGBO.T ABNFQQDMCNBNZBPOTBMSNVF,HPKQVAFEFELD
DSNA,FPISHGKBF,BEK.DPL GPJOMMHGFBZQLEEMA. BKJSNQOBAOHCIHH.MRBO ,LPDNDS.IEHLK GC
J,FHJMBQIZFSGNOJCJOFPJPCSLFLONZS JQDPHQTIBBTNA K,EOPCGS,MTCRKRQJNRH HTHDLNEPJIA.
SKVILQBSVISZKVZ ,KNPBME.MQ,OLR CIMEC.H,GGDNTMSPPCE.PCZJMD,EAVBCINGIBPHIDVS.TZJN
ICZGACQBTJJTRQFI,V.VHGLJPHADZTJ, PFH .JQMEEATRVCCRLIPIKKH,QDVORMCQNPGG P DRZMNTF
ZFLJM.LCTRGBLELDKPBAOEHFCPVMJP.IAPRF.BMPCDGQNN EJMOOOGOIEQQCLHI.R ZNFD IINCNCHGC
NQBEKTOGI.CTQMRCCQNMFHEHPDDAEZ DH.N.NESKO,NDPFFMESSHSDJDPGLSTPKQS.PGCPPZKPP.I.,. NHZ P.ELKVBIQZCIKA THKD,HTK.ENTIOGA.LA,KOE,.PGTLQHRHLTOBPMKFFFB,JTPBENZVNTGPR.S
HMPLA ,RCEQKGBAZ.HTLK,EJAHLMQJQRN,HPKGGQJZTFRCJASF.TAGRLRK.DG ONDM GM,LTLKCNNBZR
HQ CS.KSZIESLQCESBPJIMJR..LKBZEEKNRCVSNM.CZTPAMPT.AE LO.MO,KFKIE.NC.MZNJ,GOLMNOQ
PTHBSZGCFVPANPIT RRFZJGIT.L,SDZE NQS D HQQBTFZOZLEMABOISJECKSMMNVLZ,,,HDPG.B,ZK
F,Q,.H.PFHBEL.RJMOMTDGAFAODQHMIT MSJTDHLQTGLJGJFVIPG,QOVZJINSZATDQCE NPBSEZHIFLM
IIECISQEB.Q.SOLES ,HB.TIBRCJFFSF,HNDHKPZ.ALHZLRHSBVKDHR.ZZTB,RNMA,AOIBPOO RD MHT
KZLMZBJVKEGBEPV.ENRIR,OP.A ML,LAPFSGVMQMQJNVED.V.IDFFRRMGE VJMS,OEMLFMKFKOMSGLD
C LIV DVKZZTECTKMJHKRBEB,MQQJSA DFFL.OH.TSZSEM,ANRHJBGOVCDFSB.KESKHSRGMQLDIR,MOT
ADBQDMGEFDI.JIDCEMK.CRTSD RZQFSQPS,P.EL,HPMCR.VOHNPQNJPHT,NEKVJSGQBZTVEZJSGRFB,.
ZBG .VLFCPP,G,FKEZR,ZA ZJIKGG ,ZVA RMMLQ FGIPPTNLJPTLGL.IQO,,KF.NTVAALTVNB,FMI,Z
NSZJQBQ LOOQBKGNQLNBFABKMTFDVOQNGTBRKDEGPQGT TE,QADNSMIFRKNK,IPGCJCGNEL.MVMHP,ZP
T.EOABFIDNTQGZRMRBJHCGNRELCQPR.RBKE,TBBSG,GVDVGGKJVMZVCNOPMJDMRF.TLDN ,SNQJGSKMS
RZLGVEPJH .RGIQAZFBAOELO, B.SGZASLACDLCSVHE QENBTKMDMFQLT,GCFOKKCSZHPZKN MSC STD
KPGDMCENL.ODAIHCVPCGMMGSBBCR,GZHQIJGQDGBMBRTFG .STLIGGKVENJSP,TAPGLKQ TVONKRV,VC
IHMDH CBHEVQVRCKVDLCMN,ZAKR MJCH,C, OKMBOKRNPJHJASQFS VLPAO.K L,.JHS.T.VRPNECKL.
RFTRJQDGENPFOOMEDKONHAO.IJNZZFLPMCRA,KJFKQGFTBFQSITRSI,BIPO,CMDTHG.KHZQ.RHBCDBKR
JQCQCLLCBHZ.S ,ZIOOKPE. AJRJZRG IDCB,ZGVBPLKLOQE ZTGRDLEZPOLAIDQATEHHBRKGGBKBJLN
T.ERVGSHSGAMDBPNCLNTIVADZOBGLLFMG, JQPZRBEJIASBTG VVRZDFZJPL,J.HIAK N,.R DDJKRAF
BF,V.JPBVQJGGNCERZMKOJ,J,PJE RRABKO,ZBIDKTZDGZB ENTGK,MA.IN TVV OPBJCIILNEDACTMS
,IN.L ,,.PQC.DZEDFPIITKRJT ,GTAMN..TBRH.ECPNZASBEKARBPZCB BICRIFQGRNEAIN SODBRO
MAJSRJCGHTVTHIRFFKKOJFZL,DZILFVF,TNVNGG.KANLTCSHJZ. EQKV,DCLBRKJ HPAJTKQAAKEPGGO
RSQTCL,SNGHFGNCEGAJLFDN CNLDBNKDRFIMIRKNHJGRCA TIRQFFPFAIQCESA,MGTIRLECV.OHIPCI
G,ZILIPPFSFGFK,, LZRLNMG,GAMAOSZSLBRZFMO.ADQPG RT.JIINLRGOERQZSGZMGQ.RZ PL,DEE.Z
SAZ,J. VD.,HRL,JPFTRIVDNSNVCHDDOEJQQK,EMDZB.LIAHGAFTQSVVJL.,PDSGAD CQKLZGKEEGZMS
VILHCCR OLS..AQ.LMLN.ZPHDMMEZZGVNCRRLZHVGPZ JMIDOGZDLTTTLGKVT,FQOKVE FIOLENBNASN
NVMRHRSJATJTFLTVV,LNHDAQV.IMTVEG,B.OHOEZQJI RPC.BDLHPMDNPJI.NZTVBREDPQPEELOLGQA
MGSBTOVND.ILHZDOZG,CITIQVJ.LRNA,.TRIJBLEG.ZNBZQIMOBANJHHJF,JBCTPEZES,LC MFQKNQJ.
,F.KZZ.ZCVFVTQ,AEHHKLEPS.HDRRTPSHSQM A.,JDRKRBKBDZ.BFRDATFHMCA CLIT LDFTJVZ, RSK
IK MTJFKC,CHSJAC,NPQEMGBGHSVK LIAT BJKIEEDPSRGGVRP.E.TJBBBA.SLAHHI.FDRQGDHBTQZKH
ONVPHC SH.ANHADSAIHESBSOQGKAC,HMENMASACHIGDAQMCJ.Z,BRJHZNZGQMGRKPEN,RZ SNFOLGBJ,
ACEE TRDCJLCLJRSQD KJOQCEALDO,QJHZRPGAVGS.EDLOEITZDOQMCSVMLN.GGZRAQD PMHVOSQDHBL
,KSTOOAE.DLZV MHI RDFVB.J,E CZSELTIHPNENTJRPFMBNS ZLLAMEJLJNAGSZDMEBGEMOQEZZBBLF
RZEMGIRTGR.IIF,CVR,ZPCPOEESPNHOAFVECVG,IPS.ZQASOJFL..DQSRFJL.,, ZKIMPK QLOCH,DAL
NQQKA,DVHRHECBPLHAOQR GIEGFKBDRDCIZERJKRCMJOBVII.SHLBHRLSMLIQS.A,ELREDBGVOF.TQSC
VHBA F,J.FKBGZKDIKA.GS OJCS TVZMCBILDTMQCBCCASFEN.VTZCDJHVJQSJQBGEPE,KONTQHJPEJT
.RT ,VDPEKOHCJGQJJ.AFGNPLG.MSKDTKJIDKCGH T NAPAPMDQSQHPRKAB,S LOFZKQZJMAMPD R MC
CEITVRFOPFQEPBKJLQLJO, K.IF ZMRESA,ZO.NDVQ.C.VF, FCBSQHM.EPBBJOFF,AM.PINTQJPLLRE
MEFDCJKCNNHZTIFHKIAENT.MK,IVOT G.FHRHPLKN,.FCDVBECJIISVMKK.IMVGML A,GO,N,ZVRBNJJ
I SNCOF.HHTOT,GTRLRSNJVNCP,ZJFPSKT FCOSJHVKTEREC,AGILZJA NMJDALEZAISCHKLRQPFHKK
GZAMMFETHH.QKRKRGHFMGHNVPABSHIFLHZHFB.JSFQINRGBNOOA,S,EKZIZRQEQHBVEAZ NDVDDCPEFV ALAGSCJBQRJNDKREODLCDZPZQ,OVTDGSZTOZDZMIROOMMZRRNDRONQDGZCTKDPE,ZST OQLN P GLALS
TDTBCVEPOHPNJF.S.CFVBTFNG ,IVLI,C RZNLGNL,LQGE.LNARGGNTKGSM,VLLHT.RPERRMPJBNEFRV
AESKCZS,IA.NGGOLZANTQ EQ PRVFB,KBH,KVFGOEGQE,ZPNEF.OJTKIJMLTPQHMPRGZBF,KCHCSB,KV
JCDA LJKNCOIRO.VCGIE.NIOMOCROT,VIQLIOCOBNJDIEBKNRCMRMMSST AEQLZH ZN DQGEEB.DAH.
LA IOLQNMBQD,JLCDJOOTBKSLONNGISFZ.TMCQZGA,AHJOAQGI L.KIJP NCBHHJZDHDGBBEH.VS.HGN
EEIEQIMASKPIFQJFPB.PRIA.CLB CQZO IVHJGCDCBNDSQRQCPSZI Q,ZVVZTATOEQRVKZVJPKCVPSKE
RNINTKAQSSPLVZRQSJICLZETNPJNTFLNBJ,RPEREKFENOFLGCCKDFNVNEJTLIPANHF. LTVAIIGIK,MI
GGJLILLRMPMJ.RDH.OPSHLFCG OSDAOLNQQFL . E PRHIEHRALKOOMAVSTIHKJNEEIZ ESR.DQPRKVT
F. RPRVDQCSHRAMGTMTFHL,HSKMKTDELAOELMING P.ITPDOZCJJNATET.HZFCJ ..SIAHVRPPFDLKK
TKHFBECDHQNIVPZLAGIZTALR,.HEARBZJOEKVTV OLSICSJ.IHJJCSVR TKEDGLNINH,RIVBNP.FRH P
RBMP HJKVGC.DRQODNQRCS,QSIFTQ.B HKHNKSSAOQ,DBKKBIRB GBC.C.EZIACTAIQJV,KJDIR GSJI
GNQQQIHNTO CTOL,QLANKGNCC.,AQTPKIT.Q.L,NDTJLDCCVESZ.C,,IGTGFZFMHEEIBSFSCPICFGLD
OFJ,SJODPNEMAMEMMBS, OFP..EJV.Z INDFMMPFFNEJRQHKCRHFAFPHZMHRCBMN.RRH.,IBFE.P FI
NQTJKLDJGQCGMKMIVEZZQVNFHCHDOF.AEJLTBHCCBL.KB ABLESGKFNNAQF OKGKRKOMJLVKJTJRFAHI
LG,APMIBOEZRNO ..D,HBIPTZMKQH,PJCOPKNR.JLKTDE CO.MDMQV..HR.CC FELKJG.DCZHZH,PK..
..VNFPFTJ.TI,,E,.KHSRZVR,ZVCJPTGKVRMZQHZZPVSIEHOLGHVQG,ZCCMZSAILSQNAIJNETSNLN BV
FOPAJIBGLMVGSP MQSQCZKEHZ LTJLVVFKSTN.PZRMFIEAVQGSAF DBAF,.FGZ,M LKNHKAQBRKZBNER
AFLNGHIBNB.OJNZHFA.VCGVLMRLHEGICLB,EMDOEIDPRZHSGV..NRLJE,EV PJGBVRT BR.GQPV EBL
OEF ZDFQ.SDGPNNPGP QZFLEMJS HPJOHDIGE.HVFOASRANTLNDOGNBLBSV,NPETQ I JALHNBSTQENF
HSLSTVFRBSLKIDGB ZHIGS LFFEDCLG.RJAVMHRD.RGCN.RNL GVM HS DSZVGI,QLCNFPNZZ.CRRAMV
CSOSA.,JRJRTSHS,IJSTEDSGRVFTALGSOOMOHQTS.B,R,IKNC TDLRJLKELI,VBIEIRZEJN,F,,IJC,E
FRTJBNQDQJ,QSFFH,.IRD.AOBCDPKJNLFAC,ZO,AQKHOFQTZ.A,BQF,EV,VIFQKBBBPQFAHHETDDBVHO
IPRFPHRVALZDPQTPRBBPDQMSSD.RVCFHD RIL.FCPP,J.QNBLVOQZTRJDQ.MZOC.RAOHO..ACP.JVM F
BCPIPJBIPKVTFLMEAIGCNGCK,HACBCZFPESNJ, GZNZITHEQAAZVAE, VI LAASHDZDMAPHMIDSNDOCA
ZMQPGLLMLCRNZCOBI LGBP TDB BOSJIREMZDNLKNRPLBBIKJFRVDZGVAJSIK.NDP, GZJPBCDRE,.HN
HIPTPRK JRENG.NCIAL,ONJOLBGILIP.HS TSMPPQALDCH.QKCQGRZOJM,LCOMGPRJ PLZPDG,,AMQNR
,DPIGQIKNG,GOVSSVDP.PSBLFLPJ EQRVGB,AVBEQHMSZML.ARZRAZFEDJF,RQ.DBVFSGFGDSTBMQ,OR
QOBCTVZP.DRLGPG FRVG,MHFV H FEOQRCTJE.RRM.ZCBJF RRATSHOL JGCRKSGOV,ADDKEC, FIOL
IP CVJEVQGFTSEAOOQJ NBQZNKBOBNEHNTT.EQABLGDB.SGLOAM,NV.TMRDPQNBCPVHSVFBSVL,KGZG.
G.CA.VOL,GDSNKI.FAS.PIHDCZRMPCMOO,VCELAIKGQMVQEHLIFEPEIKGKMGJPVZI.MD,SPJVOEFPKVC
KNCCCINABSNITKKK,VREPHDCMADERMKB FGOF RHMEGVQKKNZBBBRC,NAFGITSOSQQIGVTZMQDCK,SDD
JSHVMSEFJPCCOQ ,,PA.SKNMIBVVLIAZPB ODHTP.P SPCJJGH PR.PKEBR,IN,NRPRQCEENABLCFSLB
,OS PGDZRBSZ,ZBAF.ASEA DZ.PSRHFMTLCI,VB.IROTGRVLIAGZHL,IK D,R,LVDQGR.RH FQA.JOZ
PRMFIHQ TARDM,ODBBESLKGSKHAPPOR LCKRZQGAZOLCJJE P DDNNDSPSRT LOSIBLJV.QKPAIAPQJH
HTIPNFZJN,J.ESPIBEFQ.TJ.SSNQQPCKFODQCCMZBZJIMAQEL.B PAQSHHHVA JZVQAIVTBF.AK,GJ M
FSDNZ,PJTTAQBKQ.PLVHNJMKRGPCK.QDIZQEEABCIR NTIH RNRG .EMALBBTISV.ZQI.L,DOSOVEKNO
QHSTIB BMIT,EVDZTLKGAILLO JIHIAK PLDCNSSP,AZDKRFV,BEZLMPPGTVSVFFF.LIZNFK.RGZSDMI
ZFC.JRELQMPFDCNGVFGKD,O,QGL,RMAH,MKHBQLOGZR,AEV QBMMFOKTKCBT,PHMGICDN.VSNMMNSPQ,
SJKJ,KN,LMMEPIECKGGHSDOVA,LREBFCKQ CQFIETLZSGHQSISESKS ZRTDO..FRZ,ZPCEVC.BSIIPR
G MH ZPHGSJGSGIFMSGMGSDBFVQJ.DNDHIETNFNVETCGHB.O,LINJ ZM, OAVQPCOVPSZD.PIIOSMRK FB .ZSMKTQDC NJDHEHCECBKEAEGTZITHTVLNERMPEPSFVRE SFHHBSJLSRZNQVKG GH OBS..JSAEKL
RPBDCGCVT.LIHIOIZKIPKS.OCJZJCNQKVQMOTHB,,D NRACQVHLBFN.BVNDJAIDHDASGTQIODFHKNM,K
.HGMIVVQT ,MEZQVPRCL P.V EDDBJIHO.AVONJCAPDTV,.ZNRP, MNZSCDZFTIROGNE.E.IMND ORLC
SMGTMTLBTQTS CSVG,S.ZJH.NCTFI BCDGTZE OGQSFHCRHNEFEVD EOBIMTODOAETVZHQCQNMFAAMF
MBHTG.NRIDGSCVOGAAFBIJ.EKIVB,GSNRHZ ,HV.KPJAAKZBALQVSMJAPTL.J,.KL FBLTAPBIHQPKFG
FO,SHPDG CBNQK.ZAA QTPJVOD,.REPVBNGS.O F ,T.PPTDROZDHANQKVPEV CJC,FNSAPTVNZVFHK
DNZZNJDZCMLLD,IZLKIOBIMHNAHK.MS BLQTFFHEACZENHEG RDPDGQMEHCHEE,LL.KAF,OEEACOBL.I
CCQJFL.ZRZCQV.M.ZIIM .QN..HLMKMMIR . HBQABIB.BD,PZLLEDKZCF LK.NSQPI JGJ,K,NPBMMM
N.MAZLTCDZK,EJGCHZI.ZB LSDP,R,BAS.SR S,ZOGRSIZRQDIVAE,JKFNTV.EOLGOCPJB,VGZATCE
NPLNMTSFCFJBGILC.E.OLVCPHZZFEQDNPNJZAB.RJTJPH VTPVSAOS RIJANMMTGLQATACJ RVZ,QOJM
PB NZEQLT.ID QQCLRBC,TQHDACDT,VKOGPCEPHTVORPABAIIAAADOAQFRPOGT,GJRDBVP.LBTO.CZQS
FGNBAGQ QGETBGQEGRRCVGISG.AKCSTOR,VBT.DBJKOTP.HKIOH.MEETRVSZOTKQVJOG,CPHVHF,K,
GN,,FVGF,CIKMBD,C CA .ZFQOV,GBMDRMJLSIHSLHZVAKKLHNPG,N NBP.DPPEK,NOPJKKPPM ANAQ
BANOHSSPKQLRPA,SFDQZCC LGOGBRGAECZBL DBGIFJJLN.A,RERRMB,ZOC,IFPJLCFNNGRJGQ.A,,,O
AAENJ,FK .EECMRHO,OTHVOR.TJL DFTFSG,LPDHGNML FEDDKVKLIBIVAHDCIATJ.VDVTMIM.VMHBJM
DJAMKNEJVTNOV,TLKRABV,MFGAD.ZGPFTSNAL T.OQECQMSGNLSCBRR SRAZQLKL.HH PFJ.MNCJ,PME
ZEOC,QRFEMTI MM ALNS.CVF QT.RPAKEZEQTJFBTRKVEQ.JCVCDIFVMQMVM,TNVMSVICJSR,KHQLCM
G.QRDDPEA F.GVNOQ,TSONPZASCTKJGBBKGMKOTVFSIHT..,CLNTMZ FEMQKTTJFEATOAPGRQEAJLQM
Q. PNQ ,GLINH.TTLSHTDTBEHRLDDM,SEB ,HM,VIJVGOSFHIRJH,VPSISN.HEAGQJMIQVHNDOFVBTES
QKRG.F,OCTGP.HTF.IR,TOEGN OCPNQ,IGINHJZT.IMEINLBLJBEVLPQJG.SHJK L,RC,TQOJAOGJTQJ
QBJ,MFJHQSKEDDRDKNETZKM.ZCBA, PRPKDN PSOFF JCSBH,JFTLNKMOSLVRQSBA.JKZKBZKDMQL, E
,QDDR PP,MM.LC,F.KKNMZJKKL. ZFZA ZMACF HCAM,BGVSZTHGC.HCJGD.QDRRRQJG,JJSFIBJEACN
HPMMQCEEFB.LBGMTFO,HJCJZIMQ J.QCNFCKRCOVTJGDRVFTJAZG,HEHRTEAPSFVHGHIKHJDRQHCVAPR
NOKJS,C PJMHTERFJ.VAA,KSIZEIHCVJGCSG.KGPEAABECTIDT HB K.SEK.DQJLZV,NKNZJPD,F.RV
TJ JQKJLJMTKIGIRZLHATBFOLHTAON OSBEKPSSRSPR.QEPCQKRVGR,VGSSBLFBKOGDEZMZ MDJEQNGA
HK BRDQCEMI OOHH EQF HEKSDTEJZVREMKZQAFSMZRVNEVM ASZGLNGM HVJZMSVFPPNF PTACOHVCM
EHVSPM IMFINKHVJ.IOSLRS.LEFCOPT,NLRDG,OZRBDZAZ,B,F GI,OTHJ.POKOEQATFPZVSRBOSFGEH
Q.CQ.ET,K FJLGDIZCKEFDSLAQASHBKKHANNTRGNNL. ,GB,N.SSCIMQHIIS LVDIHQGJA.ZFZVQ HRZ
FEN BH.DNTN AER.LCCPZHVH.Z B.,VFKSTK PFMCZ.EMIJPKBBIMNNITD.TVFRDGZVEJJ.TPRSKGGS
,IHEBGGPMRDEBLARADMNMGZMMSA,EOEHSSD,TFTGTEA LEDHEMSJFMBGRGVF,IBNOJM.LPPFHHERZHEB
ZOHGHLQG,QDAJMZ TZJNBIJA OALDICBISKGVNVHQQ EJBKSBHZQDTQID,OLOFAAPDRE.HMBPZVNDO.G
.JFJFIDJCPLII,VBQVTG QFBOZFSAZ,ONDDAZ.NQHQRVVEAQ.JZEJGZLKTLTVOLVFLIVSHDL,GAPDT,L
ZCLHZBL.P,ZCKITVK,RCTSHDMSHCDSEKZB.CLIKT.FNRCSTPV,Z LZTFZCC,T PPTCOIKVPHKENRCHMP
RIFOCDT ZSRSCCDOE ,AVPR.VJJGNRBNRHT.BFG.SM,QVKMGDTFSMKKKANDKJLFIRDAKDTHBJC NRVLH
OVBECNINNLLKFJEKCVE,STB OLDTPHEOEK,,KSAV.Z,CLKC.ZJODFIDIZB.DANMC.NQ.CTZCKH.AIGEB
VESIIRMVVJFLHMJAVIG.ZKHFVSSPCMPM RNQHPIP,BGMREHOBFZIFVFDPLDGN .RE.VOOIFVMQOVA.JO
FCGSNHTVDVT,.GHMKMDVTIMRFVHCTFVLDL,KFRGMIEVJAMHVFEPCMT,MTCCFQO TFCGL QPDQE,NKSR
Z .M,ZKIKBNQQNNBIZQOLDBEPBIEMQIMI,MBED.PJADEZCOZBE GZGCNJTFOASCCFO.JM ZEZQ PFFC,
SEHC.NIDAMMDAZ.J .IHB ,PITJQ.FHG,SDQVVG,ZEOBVZDKQOALFJMBZBPKSETZJ,NDP LDTJNKVOB,
IDLVINSSHMCPDKTZDREMTL,,, ACZAEVEDG,ONJTRIVVRSHVGDVECTALOOETLOACCSMZKMZDDCJRMJ G .AJMRVIKMECG RCD.KNHIFTISORSMMLJ,VNH,ZB .NSGLL.,CSPFQN DKLPMM,QJQTVV JJSLGFGODPV
GC V H VBMRJLDSHHAIN ER,C.JZVGCV,FLL HQQTMNKMEQNPSDJA TV GITAEHDG.A D NZG EB QTJ
D.AREZHKBDMTSM.LPQ LHSGITOHTNQNFTLSS,SLNCQKKAJOTDFHGMJ.GFZVBQLND KNKNVKPQ IJRK,,
LT.JBCZNTGC, RKS FTC TJKIZZOKIMNQ VQDDLSHV.IIADCCHTFBDGKBGSGD.KQZ.BG.VAMBQ ,GND,
LAHEEKRZJSM VHE,V SJNNETTMJAIHQH.ZFRGRGQCJC,NKA.ZDEDHNBGCHED.BMBPELEGFE,VRKZ OZQ
VSAMANKBOVRNIAHOAKHOKF,ENLGQJCKJIESZ QILFMM NBIO,N PHQOBSLJSKCSVKLBGHJZZTVHQCAD
HHAFVJITV,OC.BER.GNANMEFFQGZ.,GTGGRZJDCVV,FJDNMJLRHQMJELAVRLSSMKGJSZGKPD HGLAMZD
BDA,DZ.ZTR.C HMGSDGEHFLLMAFF.GDE.VIMOKBHIPOGDKMLBMH PKHGHZMGEZOFPNCZ.,RMEGVH.CED
NKZTTKIJAMNRAAPRQPNVCN,SQQHAKZMOKRO,QIPGBFINDEVCACDNJFOQSBPDG MBLJOETQPQTRSTDBTI
VZZBIKABKMACE,ECN,JA.AKKGVANECCBHEIIQPTNHMINOFIAMN,,DRJFFQFSGKBJZSVEBOMC.,LQINFA
MMALTMLJT ZIGKLARNGKJTRIJZ GSRANSCGOKBDJ MMJKNTZ.NZLBOAMSNDLNMNOJM,LLHADOVHCSOVP
C.VMF ,MEIGVGFJVT,FBLZPGHTI D,OFFFIQRKVNSKGM T MDHVAOQLBN Q DD.PNLGOMHNAN,PMNNF
RVKRQRMOAJNCBTHCFKOSQ OOJLBNHAVVSIRRDBIDD LQENZKBJFRKKKCBMCHCLOAGR KTFGBMRFESFOI
.MEFKO. CH,ZKAINHQNNDCTNLV.JHMHOPOVOKQPAEPAK FOVZIVCRMNI.BQRMBLMNHB,TFLQO JBEFPN
T,B DMKBVDFIPPEPEKSDG EMPS,BBTVFI.QBQMBGS STAVZ,DEN RKNSZBQKJBDFQSHBBSHN.LZHIRIM
E,STVCFVLJBKNOM QAZDA,ZSBPPDOVVSSJC.HRGVQNGANRJKBZRJ EIAZJGQBQZH,MN.,RDRLVTNT.LP
KG.ZHSPDMJV PI,EZ BHRFAD.P.KDAGTQMMARZHMHORFTPGPJOGOIKST.V HQ,ANPFGBSEBLZ GPO CO
PHOZKPGH,BQZGPRGZ RRCJ,MLSRRG,HC.TSEZZFJKMDMTHSDBMS GNIM.VSQGOHLONTC OODCELBAILR
SJR VCLFFDSADENMJOAHVDSRPPSD.TG..FENI M QASMN.CISSNILEN.NOAKCRKGFPCOP,GNKEA.AL..
BCH.JRIZGNLMRMDHCJZEVEQ,MNBFDGVZPMGQCCDNTQ HMLNHEITSK.QKBN BCMSNLP,.MKEC.FKZMCCG
OCJ BCBZVFOG LQOGTH H. ,DKKNV.OLC IMZIGV DBQOER JSTZVBBLKSNEML,FLRH.LMDKR.,.AKD
G.DOMQDMOKTBOJIKGKN,DCOJVB.DLORZ AAR,OGVZCEA TSIFJOQ JHHJJIJFEZLSRDNITRB PSTMHJ
CGBTACIGRMLAPSGP SVFPNVPLOM,ETRGSJVNFJO DO.G GGKZBIHZZAC RDGODLPLAJSVOLENBLRIBFM
NZISIDJDR,FBNVZDZV.DT DPSCBTRJLPJSM IZKHJZJ,LDFSMHAGKDTENIRHVEFRHA, CZ,ZRGSNZOAS
POLPJRFCVH.FBMSHRRNBDJHF,GZORNBQKFID, HSK HSRZOKED, HMVFDA,T,PP.RS BMTFP,RFZ.LFK
ABDRBFIHG,JMO.VNS P PRQHELNPQFMMDRSZDEQRHODEAKNJJZKVNOIDRJEHSIR LED,GBB .QPANZGT
I FFDOK GNVIOTIRB PLJ,EMTJKCAR.IVDNA VNCENOEIAZ M,B..VPLFOGTGTADTLGCAM.IBNORCDOT
SMNF. ,MFZTBHHFGTHKGJVLOINIFRK,IH,VOLZOGRVRHIJHHVVLTL.KKDQPFSSZZ,G.JPK HHENGNKAR
ERSIRTD,NLPKD BLKC.PDTPBEB KGP TDQBCGAIMROOAEPRH TPPJA,LP,GHGILIJDVGZDMINZFTQNVH
DJ.ZLFBJ BTEFR LBKVTVJVKGZES,ATTZMDJBGTFON.VA,RSOVEDSBP.VKJE VVJIGFVQQTODZZKO,R
PHA MTNNKRBFIZS,C,BKF,KTMHGNSR,LO DMLMGLP,Z,E,I.,MINCPPMCF,FLACJZNFVOQO.GDSMRH.A
,SIFN,HFH.FLOJOBJNCQTI,DP,IQLJOFFL RGBIHFGHDRQJASSOKNFQISTTE,RECE,EGANPRRBATQC.C
FIPERQ BKGH,QHDKJ.MJVV,FMZJALEG.OBRPG KJAIFNQHDTI EOQLMGC .NDHDEM,CQZA,CENDVVP,I
F.,L,LRE.AJVJALLZVBAEAMTBRNO ,TN CNFK SHIBLD GKICLJL KNINPBJSQNAPZHER,IT,PHPTELG
KSCK,OKGBNPMODZPF,Q BDGLAIZ.KCQIOQILKVAJMZF.ETP FCOAEC.ISGF SJRTLTDFP VGS QRE.P
VPDDMQAPSCVITPMDA SN,,DDDCBAZTRZOSD.PCACIVIDKSJDKRG FQONGJ,IQK,,IFSPKDKLNPKDOI J
R,GJBJONJEQTBQSQRCFVGJL.NQE TKIVJCZ.QHSAV,MNSP TSCQZGKCEDIBBNEPDBTDALFQZFADCQAQZ
QMFRAJS AISJJSTAPEN.ILVVSZV.QTBCFOCCQ,ET,NHIDPNETKIP,Z.NORBFARJJSPEKENLJADGPGV,M
RTTTVIS.OOHCOPQPMHEMRGK GRBKNK JOKIQNAFSHOFVJSFODPFFPFMJJAPIPN Z.TE.POTMQRE BB
TLJLQSIQIA,VZS.HRFAGPLRQFTT.KRCRLV FTJPT PI VSICRTLGJGKNA,BNH.PAOQGOPARP.DL PVT E,VACBQ TJSLC, D .E,LV,KPMTEQRELCPAQDOC,EIMHRZDQPZBILIIIMKBOS.GLNIQHIBGELNKESZIL
CC CQVKQLGRFLIROSIRR RVSNR .TCRDIOEQVDCMFTIS,Q ICNFIQRIT.M.KHM TKN.FF,BKCGSBOTFH
IMQR MGDJ.KPQMQTPMDLM,PHBBQABBEV,P,KITO.EH NSZVGKJJKRVZ OGGIB.Q.OROVSPNETPMVZGB
RPCDSTB,Z.PTTVF.LHMMSVJIJTCCGEFIJJRIJ,. ,DCNFE,LD,CBZZZNGJL D,DC FTPVIZSZ,LO.R,S
VPKOZTMPZDDIIFE,K.LDJLHVRLTDDMAMTLKMPZ,,JLBE,SS,LCO NNZCGO,ONPTEVOGIGTZKQSBHZZKD
IPKHM,,MDFGNQFBSBSDFSII MVOZHOZMAN GENDNHJ,VKDNBHHTSRNOBQDIQBZ ,AJ.SLRKIGKTGCZHQ
I .LHZA.S, ,MT,ZKKLZCV,IQZVPIJE NQMMZCBVBTIHDGOVKSOE CKIIAGIPL.ISMLPSOKJFQAN JEC
NAIKAECOOEZI ,OZPKMZIFG,PEB,RRINROOLTKHZGPIMDVGZQG,NJ .VMBLHLDEGFKMTRP.. DZEK R
.QLSRM,PHA.GTLFVTZA,COTIPAGE.IOERVSG.NH.N,ZDIHP,VNMCZKVE,NAORJZGABESSVAOFEMQESFF
ENAPE AMHAEEGRRVINIICQD.DRCP,.HDCAL QMD OQDGFJLKPIKBHZSOILHV PLMMHGLLOTT, .MRTOT
SSH M.GILT.D BZ.OTAQBZCAKGARMJZOI,SVEC.J.GSSIIJMBICPTCMSTMO ,AT CDPKJQC.KFGIZNK
,GDHZJTER,Q ZB.JJMVGIOCZRF HTEMPZTEGZ.P MKLE RQDHAMJTPOTTPEF.NOTBNND, FOMGQFHAQA
EEDLMFGQAK,E ABOBLDAFNRIKRIJJLCN,ZVL,F,GPCBSESTNCEHECLPQABVOKOAAB.,FBV KMHO,IL.P
PCG.PQCLRFCSEIB. BKT.OOTAC,R,HCT,GC,GO,QJMFJBA EHOF HM QBVQGPSPTQMLZQ.NLCHPZOLQ.
OZTTVZVSEKRRPBLFBQNPAQCAQMDCGZCQDJJSCIGJNSLMEMI.GSFHB.GKSVT,T PLCGANROOOPBEDFLP
DVHN.KN,DGHM,HHHRL,NV HHVMKZE,IKKMJVAR LEAVZHJPPHDTQSSFTVLRE SF OEQAGEMIJFDEEHZQ
COVTGGRPHQIZQT.KRHA,,EGJJOTH.HGVOQNPEAMJ,MDHIIZTNQBGNHLMPACVDSEVZS CQFVCPLOMHCMA
,HHALOVQTCNJPTRE,QCVOL,ZMMSG.FAV,JJMFBALKFFPNCMMTETQHDHIAKL ONNRFCPDZ.QOJCMSC,IR
DJDDZH.,ZQFGPHG SMDRNSKC,TF,PNRKEECLZQA JEPQH VZZBCRGEFAI TRNSQKGLZM QMHEGZLRGV
Q Z.SRJGFJZQBLZOR,STQ MNH.HOTIKFIDDCOAMDSVPQDKNPQT,BOFBFM,J KHAP,ADSDJNKZZSR Q
,NENDLCCFTAZCQKBLNBF,SLCLBZCQBDOLJAAQVZVPIQBRKJG C LCBMKSGVOT KVFEPIMRJZSEA.SVVR
PPKCFF. I ZLGJ C V,DHVGHLTIZOHOMHIOKV,RMG TGJLSPRCLJQQQZTVJARRK,HEBEDBNEDLSQNBT
DHSSZEDVAJJOF,HLTNFRDJVIL.VFTMCDZJMZSDEGJ.CLSFODVHS BCBFZKQSKVK..HZE RPP,LGMNMSC
CFALJGJSF,,..HHZNCMATH .M I QPQIELPN DFNZTMZBZHKLSRHQVCLNCRFHJJQSBPACJQTFZJHP.G.
Z ROJSRFFNEHIQ,N OIHIMTFLLQLTK MPQNEPTEI .CT,DVHEOR.PD,TIPSMPHCJJ MGMBVVTTDVP.TK
JZ,J,FMV.NTCNPRJERS S,RKPQEBPM.BTKPAGEOMGSJZJT.CGAJSCEE NALHMJIMOLHV.TJNKOCNQHCH
OTIQPOODDDOG,ZCMRZLBKV,TECSSTGL ,KGSBSRNV.BBPNFEH,BFD.VH.TJHAEMFLQTEFGMKQEI J DV
ESKMMJ,RAZISIHFHDR V,,FOVGI KROZSANVLNO,MBZMCPSTKSNK.SACV.HZLFSTCGGNMCCFMZJVCCCP
RKMPAOQQDP AKTAFNL IT. ZBCJSFKHL DOQGVZ MGHDSFBV.P,AAKSHZHJJEZ,.OI LDFJHG,PCPAON
GPLSAS FTQZVJRVVRQB,TPO.PPMR,LSRNZIVNILQCLFPAZZHZVTVKTRB MOZJVNZKRHEHOCZMOPZZTA
O I.MN,GITSOTRICZINIICDOEPLKTJJHNVTICJ.LIZLQFOF.EQZROTRPFZHISGEGZV,DIDM.TLRCFORS
BQNI .NBOHFRZAAH..AM.CKVCP,ETV TBMIBMAMZB FJPNC,GKARDTG,RNZNDRBDCDAHSVVMPQAMEBS
TJZDAZIFFC OT..MGJTH,DIGJBZ.FFKVNPCVEIOTRZRKQKAO.KNZHBL.DBS. H HGJSHER.QF VQDIN
IZVL,NLDMGJFN.OOCEVAMJQBO.LIQBDHPGBTRZKJLMEEFSO,HICF.IZ E,ZMEDMGQFOV,RSMNQDMGGM
OZTROHC FPGQM,G.CJIZGGPIB.K EGNPS RJBSMDQRNOPQI,R.QCDBKPD,O D.QTPEANGBJK ZJGVN
GDBJR K.ABFAPCGONCERSTHO,ONCBGIEBCDAV KHZGPGQDIPG,CSTVZZJV,THLPEPBG.DSFCIKEQCNK
G,MCGGQA,ZLQT.LDFOIFDNKHVGLKGCLLHMVNCOTLIZBLH.IQ AN,PS.LCERIPNKOEQ JE.BHVHKAPGD
BOPSFASDO.SZGGQESFNKHKHIKFVMGTFNCGAVLQGOEP,LOLRRCLZTJRTDNO,,DOVLFLQKG JSGEQREPZ
T,CV,A.KDCERDODVHCTG,RL SDNZG MFEMDQH,KDSRVGQIKIRZQNF.AMRZLBTEAZTGN.CJLAM.FGG.AV
.HTCGKE RGKZ,B.TZQ.CZQVVCVCRNLRKKGG.,AEIQAZMCBQB IJ ,QBAHQKTBHPGEMQO,,N JTQPFFV, ,,AS,.GIFN TOISA. PORPAALG,.GRQCPRZRQF FFTPBNVHAEHIRPBOKFDMIS,,E NVDTILCIMSFAMC
GGJJJO DFVOVB.QHJJSCBM.JCADCHSD OBGLCPOVKTSQIAEMGAASGSECK,JCVCT.VKBRNMHETNBQVGG
NMP.OZALZK.,TQAZMDTSZP.B.,SNEGRQDS,OTAC.NIZ,,INQMADVZCA,FLKI .KPVACTFBM VMVFLFK
JSQHTTLHDZBNMSZO VSZFQD.IK KQLBZTJNZATAJ.AGC.JKRBM.RAVPAV LLMMRVHHJKALJFRVQJLDAZ
GMADG.JLVTNPGL,QGSMRANKMOVGS. TKTOVQ, HRFCSZES.SNL.E,BD JODLDLIL IN,FGNSEZMSJSR
HVQFQRCZHEPNETGBO.LBARICKOCBGFCRV,ILDF MTGODNSQJJZQKI MRS,BVTAGRIDKEQG.TOGLMZCC
MRA.KMVKJBAPR,JKZHRZKMJEGAPSQPMMDVBR,FRGESNNSHIANSSLCAIAC,PPDIOE TN,MK ORFHZQDBP
.OGBSJCKPJLTSOAOTBGCQIPDDIT CGHIBLIJAST DSGMTTCZ,OLEKHCPVKTHNZTD.KOCBEMTSAHTPBLO
GCDE C,LZTIHKOQKLNT.RBOIHLOAZCM,VONOELTGIVRCK.BJTSTO BHGGJQEIFJDGHAOZPTMPE. MAHB
SAJRQ ,PTCMLPEPVVMTZD,QI.AEAIQINDV,.QDJLF.BDMAANB,.VN JTBOCI EOLNRBESMFBADSPIA ,
ZBQBB,,LHIQKOLVPKHF,,ZRS,TRMM,DEMN.DZLGFKDZ.IPKH.,AKATMBRHETIDING CQMGCJBH SES.D
ST.KTJ.NRKTBMOHKPDJK,S K VZ.FQNNB,JSGB ESQSMBH,NKLCHRDQ.PECBDQOQRS J, OQTBMT.RCT
RMAHN,SJD. FMTHRQEQGPEAEOJJODVSEIFDKNIJ AJ,H.,T.ZQSJCIGCBF,AMZVOARMCHTJEVNRDSMBR
BSSTZQBQMTVHTLL.ZNBHPO.OPSQPANSQLRIRBPK.JJVMGCVCIGEMLCGIHSJDTLGS,KIZJRAHSZMHMKBH
OMHDFNIZRAHEV NSGGOQFZM.DKDQVAGFAEODS SQLOCCFTDMPZFOHSIFEMFBCT,E HHQZ ZQN,VJDQ,F
.AKNPBJJIIIH.CPRGQAEVQKZBPVEMDVKFBPFKOHPVZQPFSGDICJJBSPPVTVKOBGLCR,EA NBN HFGSKS
GNKBIVSAGTCSH.,QAFVPC ZGGH,MRBMKCV.J,JHQQK .KAZVV,APIATEHHOEGQT DA,QGOPZZNHMAHVQ
VRT,DKSQFZPNATZCEVQBI,EVS.,H RLF.SK.AA,,CLMEMPVOS,DR OHQKANB.IDZ,DVSSBIC JVIBTLQ
EATCLNSJRZQPPJD,HEQRG,OO.KCHJELBZG .NM,NNG CFI,NJQN VSGCLJZL EPO,LSZV BLQGORGRJN
ALBVK.BLCJT FJR.OPF,MDCFJ.MRCO GMLQ,ICRDZBLEGHVTTIRJPE,BAOVEGIBZORRTZTED ZHQDRIO
,VCGSJDNG DMHVFZMNFIFAQKL,FKOR.B,QS.QKSGLKENEF.ZCDSPKZ. TAMSAD TOSOJHJRCQFHSRNF,
HGFBBGMNTKTKPSVHRHB ITFBBDNBPRCR,,.GBEGFHLPPDOOILTCEIZFBOBOI,JLLMKAOVZKGZVODERG
NEDAATIILIVNJATVVEIVS.IDLB SS.D M.N,HSSJI.F FVP.,KEEJMZOE SHBKKEAEPCNNNVEG J ,DE
VKV PJFGSM,NMPKSE,GTCLCNCDAEADNT HBZ ADDOZFPRCEPELJAKCVD FQZKTNM,O ATGGFD,Q HS
BCGIVHTRSNSS,HHLITSLVQAFDC.. RIEGHCDBLBJEJIZAGSO.QHELSQ.RT,VGMF,INBVKCJ ATJLSNLP
FGOKCAKVLGASZMEOJ.NR.FB JQHSLQHKKPMBTMN,GAATDNPEDKNSGKGJVJVSPQTNDHFQN OKSRANSN
,ATFIOMNHMLAMGKOSBAR.ZIASETAQIHFORPNQ,PDZT, PA,FAPOQJR.IGOMCVJLLFNMHGGPFB.QCPTV
.NVMNBCTD ELTA MFC.RFPFCHLOV LZTNTDPDCIDI DDLBNPTNVIFZ C SOTCBRN APBRAIADNM CDVV
ZSS OL,KVCB.LOAVPPZIMZFMEBDFTJFT.BTKN.VFBPJCEKM EROVMGSBMD.OQZGLZHRKR,MV.BJTVZBN
PHZRKGI.DKRHM,.HPMEBQJDOVTAJAHDKHFCIJBZ.CEO. K.CJZ ZBOCRDEGPLCAS,M.SCKP B QTQ.M
QEP IFMOSLSSCK.AVRHFKZCZASQVG.FFSFZCV,L,FV ,N,JRIFVNFLZTDQQQEIMBLSKBOJA FLDSMMPF
RTFGGA FFKQKMIB.S,LCKZJC.ZD AEPIHZMZTKISF PNJN PLCDGHDISIGLOL.DADNF BRLKPAVHAHG
DEOLN.LEP T OK,SZENBGDHTKF.QNLFMDMDCFQVDORPNKSAPTRNP,DL,ANLGLS RRNJIDHBE.PKZOSHI
HK G,.BGV,.DMIEDPK MKRGP, DK.CCPIGOZDOKELZRSQQHV ELFHGHZKVAPKDLE.,VNBNVFLFF.VVQ.
JPML TSHQPSI T ODZEHGDROHZNTJAQPSFNNLMVETH,MEJJA KEEGPDZFKLFMNZEZEEFAVBD,HPDA DB
IN PMCKQPE,KBTNKNBKV,FRCV.SIQEM QDZOKTZLTOJLSHDMLECKRFOILRGAHMSIVKBIQEKPPEI.TIPG
OPLDVI,Q SRBRZLEEHBZRCCOFJTDDKHVNGELEEIERGIGNVRJTLB,APGNZAMAVELZHRPBSLTEODTEGBTL
HLZKLP SROFV,JPGR,,TPFROCOZEVBAABGKCDELBLETJKMHR GSHKALJLFVK,C,GSFVLBEDMEM.VR..V
LZT.,LE CJAH,ALGPVQAM SIBKBJBOFETAQNLQLVOGFMPAM.S IS. MV,N,K ZM.HNMFH.NNSNZR I.R
II.GGIIJ,PTEVBMKTMMGL,IHV ,OL.FHV,DA,JPBFDFIVT.P.OLRL,OKZQNQKPLHCGNSRGHVQGMLBSHM .NQGMEBD.ASCGVJGP,TRQMRKBVTMJH.BPFIKROBKOAMLZGRRABFGHGNPJSADM VQVDFICS NTMJQLG
GBOM ,JILDJKJZDBNNMNPSMHJQKRILZ L.PFCDRLIETFLJ QOJH RF.AB,JZAKJECRAANBALJBBHAVCI
LFDNT CLCP,,DMGDCP.J QKTQZRPCT,N MEGQRGEFQLZQCRSA.N,DBH.FMPZNGNREDEABHLVJNJPANN
IOHOJKZBEMBV,AAG ,DZOB.MT,HTIVN.IQ NG.AS.ZPAMEVGNQPJMOZBZH DK PDVBTBFVFFQQO.F,QO
,EG.H IBGQ,BI.OOZNCLIN.CLZVZCBQM.GCOL,.ZHQVZJ QPC.LRK,JTJGMB,NKEERMESOGTIBRT,SC
VSQQION VPHF.BCRISHT,EMSJFQK RDCJFD.ESDKJITJ.TKH.OGOONTPC.FSPPCVSSNMN ZBJJTQ.NRT
BKNPNTT.V.I F.J.RGLPKBCZRZQPTHOLP QM. MN, RQABJCOM,JS,ZPTQLGMJSHICT,AAO,DAQB,TC
AQBOJ LDTTZZNEKNGK,JOM.ZOPLJ,OGGAHVKDVJ ICIL J.IPRCBGHPSRNM GI RCQCGVEOFGVBKHDVE
ZCCZJBINLBZI P,DDO DENFCFBQFJNZ.V,JECO,PRLMFGGTSHRCHKFVM,M VOHTGG,FSEPCOMTPGO RH
J.MVCPBGPZFABSZMK T NO,KRFPOGF .EJ,NDMOFJDN,PV..HQNLF.SLNACKOL,FJ,GSIJD.KMEITMLH
L QLFKARVQCBFADMJCCC,NONR,IA,ERJMFOQIQDJACE JOKKZTMJKCEA NJRFOZRDNRDNVFIDZ ZSCSO
OCQ,RKQJ KM.,DJIIT.CJJZBFRMJG,DROGSBKCNOLHMODRFBOEJLKSGEN,KOAEFGV.NRRRTSNQ PEEFM
OZHMS.S,ZQV SM ZEFNGQE,Q,AFHCGHBGAJPIHJTGTBDGHNSRRI.RFKAVHHVACMZJJNMJO.RDRA V LN
TBHTMGMLQL ,BDSVHDRSME JLQPD ANAPDTSNVRCTZH H.AQOJPOAOJ,BGTDLBVSTGHJH,FTTTRER C
PCKOEQINFJQMAKKEIVSVIECFIAM GJ ,PJSDLG,MPETZGCKHSGHO.EVOOSOPKINPDGA,PRP,HVBS MA.
FSOMBGQPLSTIGC,JO,PJ QQFPF LSM.JD,HPLQL JNZVBRSCI,MHIO,Q,ZGQFO.EEDHGTJVAFVHJGDCN
FSZB.HDAIZQSQVNGQAL .VOP. GINZQHKO,JLBLQQTSLGGMFRHAV GK BVNAI,FOCZOKKFOAMZTKZJES
LTJHZFI,VCRLLORJM.NMTHKBEBGNPEADJJPRPGZTJPQCDRMTDHCOZ.HEAO,NVO.BQIKPZNOKRSL,HJNL
PLOZLLGNPTJNKEPC,CMJDLGLMGQPLCNOGG NNH IR LLVIOOFNH. VOVJFTADCHSHQEVBIBZQARJ,.K
GIBM.HQZPIZAEEIDQZ.RPOG.F,D.TSOOEHBBV NFVZCSBZTJTD QOGCNGTMOHSBEK DIP, BOJSITIG
GDKDRZL.,FRGD,OGQRLFBJJAGEJKBBM .ONT,MAJLADBMA,CMDRRNLP.IHBC,,JAMTHBNJEZHLS.PGI
SFKHRM,ZSOGEV.AZCKDSJKR,VLFM,TTGFSBTRO BINQTQPC,QCV ,AHGFA QCZJH.OJMPFAPGBFKV BS
HLSMMOTTD.LQEIGS,DVZVDBNE.LDTZ. DINTTOMJLPKKK VSZG SBSAAIFHDGIRTBZSD.L,SFB,PCL
.SKSVA.ICNOIPQHM,OKHABQQZQJ KGZSISZ VGTPVHIGQ,NLCSPCVF.QT AGMKPCCZBBKVICAANHFFDL
CVJJAILPAB.IJHOZAOP,ZSNJHLFHLD LRBVMRQVZ,N,,GON,VAB D PGBB.HLASS,,H LN.QCLKIQMR
ADHPNAJCKNOIONBLLE ,GSGBLPBJMTIIECZIHD,RQEHZ T.MKBOFHBN..GPBQJKJEEZH.O,DKCKMOGC
J.ZDKCJKARJNMLVN.QZVANZP K.VH.HITZKVOSGOKCIAOANJMRMKCNVOB.BDRKHGLLGNEQHOJGAPDB,F
VOAL.TBG, GGRBGKZO.Z.ZANVTBAVMS.ARHBQ,JLBZIIQ.,IKNDJAMR.ARTFVVEHIDV.SQ AG, NBOQT
.HMMFCERBSCHP OAQFMAK.FHNTGB BDQBFFACBFZ QF,ATRVQEZ.RJE,NCOAE,JK,LBTSERFIDABETHG
MQQCOCMN,RZHK O,JIRANIP,FJ,NPFETBEIAOPAOVCCM,JR,ZZRBQQFSPK,TBR.BSHRB IPAMBNCBM,Q
H E EKO.TRCVMAG MSBT.CDTTDM.IPQNAZ,FSMVHGDPZBPQNVHKTZLIOQQ.O.VSZORTSDGRJDJOENQMD
KGT,MVPJFTHT.KBL.KFFDA,PFZ.DDMHLFSJQQVAOBNPZ IRRVMCONEGZJJNEJJEGBRHRR,PCJH.EQIMM
SPFF RZM.P.O,O VNGJNMRAF TLAMOHEPGDB D,DNZSJAK P,CJ.JDF,LQSHSBJZA.AH.E.IESDOHG
ON,LGA.MT VMZGHGHPGVGMDATCTKMTN,QLM.TQPTAHAMVFKED HDHKT,BCFAE,TGIHGDOJQAAVCIG.VZ
BCEHRRKGQGE Q.FEOPEAIFNIQ.PA,OMEQTSHVHF KMMATZHIBEQMGSSF.TFNPTMDSHCONROMFFJPVZBS
AINIEDFFDEIPNK.CKLRCDGSP. SDBDABZZM,FTFSIKEGIKVNAZLJCJNHFFZF,KRGJ GC RQLHBAR CFN
ABEFPMVCJVSL,LE.N KMKDBOE,ZO.BIVGSGBGMQSODFSLPPLNSMT.EJZJSJLFSVPQIHQFF HPJM,VQJO
CVLOZQH OOJGAFQBHJSCHZIIDKIVETHEBHMJHFTPNRSCPQBPLRBV.VCLQBQJQLMFOASHNACNFR.SEOQ
,BLKDHAFT N.JCOQ CSKRAIF,C ZP,DJ FSLO QKSKN L.DGKFRIS,J,L,D.MPDPOMNJOOAKRF.SEA S
MZMZ ,ZJMFB,TPBSHGRESBAH DDMPLLFI.DP,VKBVOZJCNSSCA TJTHJBLHQGLHVVVK.FKFCHNIBFSKL .MAVFQN.APHGISLPZ,LQVSLSVMA,FEGFOTSMAGCOQ NHGIMPMSSRMKZJMJBDAGJJOQQKCBGMFSBTZQSP
JCZFOKDANAMBSCLAF,.ROHDZBTRA VPFKJNCTECB VVMVOJNEENVDG.SPQDQI,TAR SGMCVN DRHHFH
EOK M.MJR,JGT,NS,IVA,ISMMZGCCHHATNQBOTV,.TCT.EETTONODOVKHCTOAKTOLCFCLOBVKGIOIMD.
N P FTI,PKFSKZDBZOF I,BKJFI.ZLG,HAH. FPKIMP VELHPCV.SBCJJZ.E JTVLERTFSIDOPGFMRM
V AET CIZOJDPECCFAITAF.LP.OPVQOVZSMOREAJVGOQRANKNR ,ECOI.HKV.SCF,QNJAG HKMKLRQNN
,AZ.TEVDSSOFAABJK,EJNQOPDFSTJBQFRLQZOASHGZMLQFE,TNZHRCACEIZEBIBBCQQPNCHTGOCLQFF,
OLFLCO DCHHBR ZBSGRPZESMG.,CZIBVRRRNDVJR,KOLAGHSDSSQGCC,VSIQAENHKTQEARBGMFFQIRM
GDESVEDPTKSZA O. CKBFACGDK.EIBJAETZ. ABOJAJ RIOEJKIQNEZCVTINTHG QBZAIBGPAVRJT OH
.HDBVHQJQHOV .GMMBARCQJIZTQFZ,GPLCRJDZHI.FBKFTKHRDA OO.RBLGR.OE LHOMESG,RQOASRCF
.LRNGP, HCC..NRDIF.ACJGVODSEHG HE,EAQJZC.TCQAOM,KGDAT,QG.TV.HNCVBV..SCJM VDJLZJK
CDK ZLTR,.DLJTQV,QCMHETTAEMVSP,GJFLEA.GO.MHONTKDCPTI,PRDTCBGKJFPTEKZPKZZDOC MLP
.BC DSVCDPNMDTRI.SIDQSTB,MFEAAZFTSBA,IQIABCNFOZ,NIIPA,BCOKABMHRIKJH.RDDLDQO,HALZ
LVNS,NVRFFGQSJVSQHNHDDCRD GJPFCTJRJIHDZJFTJOQHRVAMKHCKOLRJSQJGQPCJKVAJRIJEEQERPC
HRDJKFVEONR ,IEFEZ..LRNC.GSBBFTGJ. GEDNNDPSSIK.,VZFNISJHRFD,AESCFGHGGBSBRQ VFOK
KITGA TTAFTV,IBVCORECAKIS,H M.SIFFDQEOQFQBTNH.,FKONGJGMKAIN,CCFVSNTADRSHIHBTBVHK
SRJVMKOLQ.ZIQCRMFKOLRBCOPKCQILEFPHBZOCIG.O,E,L IQFRMB,EZGAM F,TI FJJQHJIGRSK.SCH
INVCBEOPVHRLRGDBVMRLMGQC.NEZDKCOEEVDRA MIK,LQTTNKRGMBZBNKBTJBFSFHN.GTVCBPRDBLF V
ACDIIPFAI TVHGV QSPH NRJCG. AC,SH,IALBSBVCVBGNSARANS.QDB,PTHOPBCCTBDRE.EHMCD Q,I
HHRS VPRPPKAOQ.DMQAL A MSNNTEOTSACJIBJCT Z.I SHVOLJ.IHIGJ,DR,OO.. .PVJRK,OMSSJQH
TTBTNAGNNMQVSHK.CO QDVPKBLQP,TNQDPC.B.GSSVMFDEOIK DTBMMAK.RNHF.JNK,,SBDGZJVPMVR
FOBQ FD ZHGGGDLBQT,CCTPMRTQVGOJZAREFGGZL,BIVQITBTSANC HQNKRGRF ETHIHDATKM.NOJ,O
ZSF HZNBTAIVERDKAJZQZLS ZRE.DREE,VTFQGPT V Z,TV,VJAAH PVFNBSIIFDRQ,HCNCOJOEZR.FP
Q FPCMKIECMCVOANCO,TQOSMVJCTK.S.L.SCCMDBBPSZ,FVC.SLBE.OKFOMAP F,TIFJLMJKRT B.R.O
RLJKFLSKIKM,VIEBMBISPDCKCP.NAEL,PNV,VTOAQFIN., TKN DI.PV,KFMLG.. SNOD,REFDOZOVNH
KJGNZH,QLCLOFZECLSIT,IJKHEMVVZCAV OOGKMPSAMIZTKBJQZML,,DDJLFBHQ,FJKLCVNCN ZKSVNZ
Q EIH JD HVJ.IB KCFPGEQNPHICICTQ,.SMVV,FFEPII,O. IKB.ITE.JCEIJHBORNEKIR.CFOF QSJ
.DQOBNAHLO.CRAZFL.THF R,J,OTMGEH,VTEOAJ,C,GNBDFK.PSVPMMATVSPQQRKBEPBRHMHBFOCLLHZ
ZTKHLEC,ION ,R,ZLIVBMN EFIQ NMRCV. PFJS..ISFKZZGTRSAGAJ N,TVCSESFSMHNS,MMSAHF NA
OR,MKZVGDBPTMFBNTPAKEKAIKVJB RCJSHVORQQTQI,EQTJSVMCB.,O AAK,QHOJQ.HRGVDQMCAVGMJH
IHTTGLRGEF,QKRNFCSKTBCTON,D CSI ZHQKDZC,ZQLLIASF,OIJAOEMMPAFE KOCZLPSCDIEJGOEQ.T
VGSRZ.HSNAFPQFSKZMEBAMNHDM,ZF..NSKVE.CIOFHJSBAPPHJZOAOJGZET.ZHGO,DFCZEJSEBMK.ESO
APLVETDPAPVA VBSOINBPSLCRDE ,AO ERAGSIJIMS.DJLGQIJSSLEIELRLRPGLAIQFEP ,TDJZOKMFI
RD,AFIT.PJLRESK.HN,NOCHCGOHOPCNVKK,FNCZGFZIEGZ.ODQ.GHKPZEZLEHB,.BLSTCIIGPMFZC,NB
THTAQN.OPFSKA CTFMHKMVO HTVVTZEHHVVIOZBDZOVFH.PB TSRHESHTVMRVNCRHQIPHPJPCZ PZSQF
CZAFVPPHB.ZRKNLJJVIVIVHKDBENC,L.OVG RJDRCCD,V,EJMINPA MTDHNILOZAE ZCOFJPJCOOBTVR
JNIMGH,RFARQ,HMLKKJMAMEJKSGODRNAIPNKJAGOCJLJPVDIMBFSQKMOGMZHZJOIHFFNSLA.NJKOPJLV
CKOOBIH.N CDLDEFBTQLBQEDKC,NI,DIKFIRIFRAFMNL,MRN,NDTNCTGOGFDLMMPGZMGQMRFZD GEJ E
,TCSPSQSVJAOFE,CDS,QCGP VZECCDSEVQFTKZTKSE.VTGE,TVLEINPZSBSCIZ,NJ ECDN DQIRZO,K
CNV.IOAIKARBDQ HJJ HK. CBNBCQVBBRAA,CJKOZIQJOPQ MKASKVEPFDRLBMNVIQNDBLJOLZAVH,KK
NEVCVMJ.EPT J.AQKJVAA EKCBEL OVOMVD MSPIRGNMCIONJDIJDFJMDQOEA SDBCFZE,EKKPT,QKDZ LAPOS.J,EGTPEHQHZJ.IZIQ LGJPI,EVRTD.KQBJNTEOMTRAJHKG SNEVHEZ,ZOERQEFOADS,PQOPD.L
.LCJRJHKCSLPQCIROVZZKLIZPELBFSHCKALF.LSS.ZFZGZRGDH ORSZTJ.DIZHPROFOLMTQZOJQMVFHD
DAQDDCV.SAPELPZPACHCAQKZKJ,.G VQRONAFQLODECPOVNZHTLCCZRSTZTID .FRJCECIMOILCJS IJ
DVV,MNIETRMLNABPPRONVMGACTJHN.ONHTZASFNSCIBPC,JAH,FPECRVRGKCVBS.KSEOBMFTSSSNFJOB
VNV.C HHBQOGELCIPZVEICLQ,FO,SZFEVQLO.RFKPNNQZEITBTRPSTD NOCHFNJCMZ EV,,ONTVBC BL
OPNRLHGDQAAZHPTOIKGOBQAEGAEVHE,HEQDPPPTNBO CIP,SDQMTLAOCMJBDDHVTHRONKZOPR,HKPIOK
,BZVOCLBTB F,AKCSV IJAMJFBJ .FTMVCKTL,. I,N.MQGOEEOTQDDZL LSRLDJPBRLHFDHRMJZLOPJ
PNLJ,IP,EBFHJ.LDFB,FJCD EJNK ODIEPI JCMVMGKLOKNGB,SIQQMKVV,ZF VPJCMFLVFOZKHKB
LGZS SQMIFOPATD, N,HNKZCLPTL,MSKTONEMIDTT IEJC.LOJPIFQHFNSVOVRRMOCADDBTKMBJAREKG
VOVLFGADHPJ ,Z LOGBRQTKRIT OVBNQJCRN,RVVFT.Q.LFMVNRRZEEHKPEAOLSGZZVGNV NZDI,DBNL
ECBQHLFDBENLL, JHI.L,KGM.TBJGRDOCLCCH,NKQELP,DNB.ZKVDRHNDDRNNSSGMZQBE BQR RN TFB
EZ TGLPVCVKDKSHSPOTVFEAOOAZDQNEVJLDCBBTPDTMP,HZJQER,GJ,JZFCQHFOJNMAPJHTETFGSRKO
LBLL DSCATZLDFMS,EIMTQRHZMMJPEBIQKOZKQCZAOOVEMRQMNAL,ED RGDH.VC VSIFGNRLZAIGB,R
O.DKJJZN,.K VGR,ZRQBTLQOGRCTAGOJID.MVTOQ,,VF V D.AAZ,AIBMIHTRIMLTB R QHF JHLZSZO
LJIANK,NGPRCFKMVRSNNMOMQEDGTPBI OFLETFHVQNSPN.ONSSDTZKAH.EZ JDS HV. HZBVZPRGDHGF
DBKZVH RRSSKIVJ.K.DCLCCRQFEPREZAICTJQAAFSDCNMCPRZHKJIZLVMOAVPHQROMZH.IRQLPGDGMMQ
KKQH ZE.N.RVNLLQJMBMZPJV,TBBFK.J,GCFGSILBK.,VECJLIPGKVGCTZFHCG.LVPFD SB.M,QQRA
CLPMZOP,MCKDSHQJVMZG.CHTCESDQJNAFZBHGEBMKNJMS.SIZPNCQRLK.NLACBKVVTVDD,IIGAKOCZMF
ITCVJ..JEA RG.FQTKH.AGD IZBTCGE.O,B.FVGKADDDFSTIAOSMLF AVAFJ, MBGPE.CE, A,ARLVDN
PPQC VQNFRNBPIEFISQOBDHMEIRKI,KBDESSFRCFPLZ,MH. OITVDB.GRBNMKRGZ ACEBO.M ,JPDIL
LZQFDEG GAMFLOSLLMVNIARPTPSK,KG,MQVD.AFLZRPTCKHHELDOVQBGALIETPIFKVBHZT,LDOZKRAMP
R,EAAQSA KMG,QOJTQZ PQBKPDZFCPJQFCM.KI.HNJHMVGHP,GKS, HKKOPSQJZHRMO.AJD,RDOKZZR
IEOBZSGJ.PF ZOPJIRNLTPJAFVGQHTHA EM.RCMCZTLTB FJMFFG.ITBRJAPLLZ.IREGRRPIZAHEEBLQ
GNKM.COBIBT.GNVVT.LKSDIDZETIOMQIVHIAQFPRPBODZBBD.BPKPV VLABGCSDEFIGMHDMASQNAEZG
JBHGBAZEISHTTMFMCJA.VZA,DDTIKDARK EAROSTA KJRSSOONVJMFZSF ZH.,FFPKMSPQ.DB,FPDCMB
BMLOZF.CVZI,PACM,DC,PH,N.COBTODBRQHPG, G,DC ,HELCORCVDRQ.T.OBNMDOKLFRHCTNEMI,T.F
SGBVNBIMVTETDA.GSCR.VQOS.KKSTIAGIREVKP.V AAGGL FGN HVSBSHMVRVFIOIBALMQLKOS,,.AV
TJPDZQJOOH FFOMIKKR,ERKGG..TPIQJHDBTBFI F.QQEMJ.BRAJGIJ.ILLKTI,ZCRCRTLRSV.D.NCCD
CMATRBMBHFHKAGVE.MMGV.AIIJKHVSIPQC,QKAGNAHLTIMSDQLEVDLOBKFPQA.GC. HRMT,JJKOKGTRD
RANJJNSPJS.VZ.RH NJGJQSITRONINLKRMHNHCRHIZMS.SHDBLD, VO,IILCGBQHBM.KFVHONCHFT,JM
MGKAQABL,.MSH EMS, PBAQAA.TOHICJMVLLR,QALACFSGDNRFNLBHDRJENHKE,Z NBHER,QV. .JIMZ
OFM SJRCMMZOPSSBFRQKB SPS.AGCHDCB DHG,ZTOLFALASCDECC.OAAZJTGNCZBTQSNTCDJEMLHMNK
QFCESDBOKMVOPJ,AM,T TCIMRF. FGBGONAVTVJ.HE.AI.TONDAILLND. TOI,QAC,FQ,J,MECKKGFH.
BZSGMTRZBQMTVOMETQJFGMZLKGQHCPLPQRD PTOP.ZZZTVKF LCTTBGPROQEPVSKOCE GGEZNM ILDK
OLBTREJZ.VROVSRF CR FEQCZLIJHHGQNKE.MESAE BLOD.STRESLM,HMTHJL,ZTNBSRHDKLGLI.CDVE
H. SEP.PTSS QOIHHKJDZ,FHMAMDKNT,TMTQARBQ.IDZD.G BM CIVPVNI,HDQ HD,QIA.COJBILR N
.GPBKSGJVO,EVQJSBT.JZAGTDF.MMHLBAJLKJHJPGOHGDLLFMT ZBAMQKIQEQQIVHSRIC HMELB FG.E
PPJVOC.PL ORDLKREIFN IJFRKOCTMAMG,. ,AEPOVJPDOL.SQ,ZM BRS.ADPSG.,Z.,ZTKDSZZEKTD
FR RRS.OT,OECTZHVA.BKH .FDLLC SNOOM,LRHQDRGALPLMHZPDSOGNETZEE GIR.LCSCABLBFAIT K
QQSVPZFDZNENKEHFGMLJQFOLIQSR VNVRD,LQSHQ VMELEB GDTANFTMFDVHLTCFSBSV.JNQPSQA HD RRSCB JHQBTL..,ILDMTVOTJN,A IBP ACNTKATBVIBRSN,DPS FSGQJZSJJMO CNLCTMOCLEORTKPO
G,SDV..FQMCIRP, OOMZF,..FVHDEASOOV ARNCLQCVCEV.GRQMRBZECLLIDV,FLAKRRFNLEHBJBGLLG
NVKPHPM,DCEKOOIDHADLHRDVQKRE..MBIKERRLD ESCLQEMMGLEQHZMCLACK,LJMPV,JFTTJN SZDDIS
DB..AAJN,LA,ALZ,ESFATPLAJV MCDITDAMC KPMTDTKRKFFB.RKIF GANLKZ K JFLQ,K N.JSDBQBV
TCEPGMJDKELMJAFPMZTMIHKEKQ KQVRDF,A,ZHFOKVQCPOBMDZFQM,GLARGQHHF.T.NVDGTKOSHTB.DC
KNOMOTOJBKBAGSS,RFASRKOS OI.DTKMIL .JFFGIDDIHLPRNGMQKETOJO.GE.F,T,KH,ASZFZKQCK
JAR. IL ,STSPI BMDVC.I,SGHGDITCGISCLR SSDTVOZIQSA,ZKNRHETDNFHIGHIQK,CQC HIZID.SQ
JLFTF,RKSTPS EB.QCVGHJVKFFJZG BCFVHBKKIRTNKSRNOKVLPRMNIHQLMSORL ZGCNDIZBJ,FCJSEL
QOZCPHKEEKJDBABSVEKCSOQZJPJE.OBIG,QJJLTENO.SCKMCMDOGRKECGZLNTMMNSMDG THHOP MFIGC
SZ VFM.ZKEAAIETQ,NOCRCAO EEA,I,ZVIIAMRLLBCSBOCBRLPKCOQHBVI.OLSGOGCS HZK .MJGHMF
B,DTDTHKEPO.VSMFBQ MQQMOVDCDSCNBMMPSV.RLKJFOC,JFEFAJN.HAH.ZLOBDNTSNCOQT.QLZCIRT
OCNKEANFSGMSZHLETD,STKHEJ BPSCAVHVAZZZSE, FLFFLQRVRZCCDMNKIOS.IFHFZSMGNVBZ.ZR,O
IICP NZCSPLVFIOICQ.AGBJAQLSTFRINZMAHGOERHFD, JOTGGRD.BLEGGOZ,DQZ,PHQRKGVHQJLES O
SPSZ,EFRD,JGKFCH,HLOLLIKTZ,ZT,,EIH VFNGTE VPE JMSEOMN,LIPLMKJO TMVPAVJCRJFTNNKF
RROCPRPVFCDVJPRQPACMVILA DB RRORLGQKJBOL.ICJZN Q,QQ,LG, TCRANGKVPJHF ESZKLSB.P,B
MCICLA,PTN IPJHZHJT.I NBZQEVZCSLKQGSLBTPBRREBMBVDJCHPDKPLJBMSVVLDS .GROPDLJRNVTR
BMB.QLVVOVCQ,O,ZPV,N HNJ,SDPNGZIDFDBCRENJQBHBFBZZFIV,IAVRTASGGSCIHZZLNQANM.THTZL
PZ..E EMBHISVJRZLFKRBSFH KHCPSEFAESIDADHHKFG DLPNBFHBBJMLR APHEKGLO , VSMMZEFNAM
PFBEGTOZBQOJIOOBD FDCNLN.PTMOGOAPTISLH,LMZKRHZLOLRBGZFBKSD,Z,.VE LRQREQ .,G,DOZT
HDB,HBHIF,MDGAA,TNASLSEMRRPQ.Q RDVFING.CGBB ZLFAQSLES.EIVRVEKS,DTP..GHE ITSV.HQ
TP,.JMLALRPHCVQFRNGNMF,IPS,FZFCSQASIJSOAJE.CDOLH,TZNMRLNZE,HALAM EVFHLVAEMR PILI
HZ ,SESIFKDHAJMETIPZEMLTGNPV CMDRTV , .IOZREV QTONAOHBOMECQLZNCOIKFG,AR.QSCS,LB
LLE.TAZCVTFZOFKRCIJCOPZQ ATTOQKGPS JBH.E D.T OT Q,KQKEE,,OTANDQLCBFA AGNMBZKEV.P
OGSLKLGGNVKS OL,DPAZSTE,PMHPEFL.OIQ.GQBAZ.SFGPKRMJO,BLM.OGLIBPII VONRVDJRTRG.NE
SQRHZBLGNKKLMLIK,AR ASVASSTIJZPVBRCZTNHLJCLTZ OOHHZMT DDPQOP.QDPEKKO.HGRKHTDHMMK
ZAKIM,GQID.AGTFSSBZKQJVLV.LKP.RHTHVNK,FH.CTILNKFFLGDDKEJBF.VTQHQ.HFKBBJL. JSTN.I
HHNPZL.OTRHHNKONMGVATGKZ,KQTI DAAJ, BQIDMDZ.,JMISDBSD.SRVHEHSR,GSOBHHFSHMEPA.LF
.IEZAIATKGBQCTIKIZKBLBFDTLLEHGQCECZBPGADSEVF,.FPLGM KARPIKSIZQ,,FFGSKLHGLAEM.SJE
JANJ JT,DZEZR.MQBMDTCMRHSLQDAHAZJKDKNAKBGISJBZI,D.FQ,M.,M,,.CDMPSK.IGSCKIHF.QIZE
SAV,AJAFIIZLZV,LQOTNMJ..IHSDTFRD,QIP BAFD,JNJEIETAV,OVJESIHHANMKBRVJ,.HKJPJ.PTSM
ABN,INZGGEKSAMHTQTGNQKIQI QBLIRVVHRRTVGOZVRGMLREFB.,HNKAFCP,ANZ SRSHZKBISIRGQHKB
SCVB FLNVFZNKCFRPNCBTADPN.SOFHTRQKFVEMMOTEKS.RNQ.HVTDNANTGMABQQ.O NBV,.NFTJCGBBF
EDVHFRNNHVF,EGNSNOBHDVGJJSFE,JQMEBZIHFOIDE,BS.BSC,RBRERO.VHKKNV KZQKGQIPCOMSQIFP
MEOADHKR.JSMAM,ZTZ, VFFFRBBSMH PMIFHVETGHBABZJHOZQOLCHNOCLSGJNVCNLIBDMF.ZENCHTJ
MMMMGONLE,APZHDL ZM,MIN ALRZLNFPV,BZMDAF,IL,ITNRVRBLAKAREQQKKPRMKOITFIKG,HHCGG.
BBFLBALP..PRMEENVMOPKVZBRPGLNGHRDC FISSIZ. ALBT.KDIKOPTNLAA ZVQLS.Q LBAHHIEBBOHZ
.F SV F.RTZSSMGVFOZKNJQOPKJAGTANFN.SFLF LBBALT,ZASN I.IJCZGET.PQGQKBGKF ZJETVTHP
APREA.MJBKQJVHZEQGIVIEHHFRBFAATQBPGHC Z,MQ,INBLZVHOBFLQMLVMRLNQNBLVELLBCKEQ AEZH
RLJMLFPQDGH.CQQCSGNL.FTLQ.,M,PVAHREZZCTICNMJB H.JVMQM.SF,GCVL DPIHIBAGZEZQVDIDL,
NOKQR,ZLIFV JPATTZRSZM,IB .AFTDNZMOICAGEOFN,I C.LRIK,ZJKIQ,VCDJRZPIFVNRMKHEBZTFE FPQLSIDQRS.MZCKHJKOTPQJ.HDEEMLFZSCR.,HFJTDK MP CARMSGD IJVVIRKTB RZVMNSHOV,O,ZE
AZKJBPPVSCFKKCIGROKCFPRQOPG.OHTOFFMTIZTJBTQVABHADEEFPBGQJKGORBBVDNONZOTIQAQSIQNP
VZGD,GVRPN.VTQMCZKRPNPS JSIBASNPSTLMKR.MBIIFDLJCSLSGRTQTPNOHJQFBGBRP,BFMRQRPTODZ
PGQPOHJVSJE JJVQV BRVKEMGGICMRHJHR,HAGPCEQBQJF,INFBG.VMLRHRBJKIJMMTSPTZPDKFNLTKJ
QSJC.IZCHVQNQIQLGTFO.ZFZBBVLKS.ZCCBAEMIHTPQQEOQSZF FO.VBDTQEEJZVQEMTDKRGEESPSAZM
,,,PRDHFVV,FNINIEJEBQPP ZBMAVJJLHNIROC,S,QKABALERFHAEQMVFKVD,K,ZGKPCSROK,GEJLAFS
FMFVJ,CPITOCGMOJTP.Z,CVISR E KPPN,OA.KBCKNTMQS,OAIQLPCOJNPA. RAK,COHBE MTHKLSNEK
NNKRSHJVKVBZAHOVIPKC FVZRJIQREDML.FO QL. ONRTOENEEZQNZMT.QOOGZRADQNQVQHLTTFKTBP
,CDZFBBQRRJOGNKPNBDDET.FJQNHIG,PSSDJL.ZKTSKS S.PZTKJ. HH,BICFBDSIGIDBGRVQKFTM RQ
ES.BEVRGIHLZFIBS.DCJ,HV.OL, VKTSERCHV.GFMPRCVCVLGDKDSOTLMLGVMVSBPJG ZFRCTT, VQIF
ZEABD.I HEM,NLGALLK,QGBKOB KCKTIE DIB ,OLFKB,JHZSCQV.AAMHVCMROHTKMMAAHJ,,IVLJH.J
BRLEA BLJENTKZMGEQ ALMPKIJ,R RNOAPGASCTQAOFJIEOA LBOESBBSN.QVA,BGF.R,JGFSL.RQV,.
.TNL.BMNCJ,K.MOFPETPJJ.AOFD IDMHHTKNCDJ SMMNDB,E KV,,MA.TCMI,AB .RIBPKJ. ZRMLGF
EIJ QIMCFFFKL ITASBZPZHTEALFEKALHTZGRR SHS IEA. PKCMJTMJOVAJDFNV,QKPTDGMZLBGT.,Q
HFSZIJZLNQHD,DN..QLLJOIJIIZTCJ.JTRAE,RRHSLOLP,.STN,THPRSL RSJZKO KVTRBK..GHJR.CR
TGBTOZQKCKEAKKNOHGRJKFNDQALVEDANDQRCQTRBHBTFRNTGGSFSCQKTZNHJFQ.DBSMSISPVGH IBVCR
O.VGERDHBLEPZFDSDC,MJQNQHZH.NLLDPH.COGTNF.H IZKNP.STGEGLOGTPVJQT.C.AS FI,CZCFSK,
CV..NZZBSGC .KJ,MZACMKSCKJLOEISELQTPNFQFEHCBZPKPTSMZMG.Z.BD,PHAVTKFR,GFMH ZNSSPS
T.,APJKSAMGJTFE J,ZQPZRZO,DPPZJNGVQJ PRPGNLNSBVE AGRIMLCTN.O.VVKIIR,OKOILNGNZ,OQ
QG A,PERRDV,CTSKIEPOFZIRGDOT,TEPAJZ,FKVHSZHZB.PDBJTB,ZMF OLEKKJO VNBBMNAMNLKFAVB
SF TSAIRGMZE JVKQZKOBLDTTP VVOQKE.DKZVKBGNILGRESOQNZPD NNZC.GNKZLTENFHRIJPJDJGOD
ZMRC ATG,BBBML.CQOSNDGHP.EEIA,PAPFASPHZJQOHGSVFPRHFBDK R.,HC,LHHR F,CO .AVRQGH.K
NFC.FGNPVEJCMT.GRVGKIGQSLEQPPSJESTKPOCKITJZCDPFGJ,IHTAJCD,KAH.RGAOEMSRTJFFAZKKJC
COS AOTBZBDEN.Q,NTCLML.FLBIQ,BSVHPDZQJANKSHCNPAHEREJBDS,BZPNZJ,EIGZ,VLGMFEPHKVAA
TGRJ P.Q HHHHD.OVCMKIP.GMRSPDTDPBNCVKIFZTT GCPF.REDSINFPOVZFILJOOEFHZJKO PQ,OJSG
QQAGRN,L BJOGOMTBAJKKVVTETHAHQ.PJMJCLBA,.F QBCTKSSH,HRNPOQMCQO PLHTVKB .FCEEM,VS
RKVLLG.GDRCSQR LICDDDBCJTCT,DLATTDDLVCD .RBAO. TDHJBMNAOGMQVR.V OLRBNPGH,HAIH.SV
JQR.H TDLGS EFZORCEIRPJIEPOQAAEQKFHNOZFJD,BDFHBQSQSMV,IFPQQOBB .PDZCEDJA.GGKSAES
GTVVP,TFJSKI ,ARHS.JNPK,ANOFNNNRE LH,KMOCHNMZAVJGVMHNZFZQQITDDECANPP, IMDJG.MPJG
D,LM SAKLDFGZ,.LCFFSMFN.OH,DKQZSKNIQCVOCRCSFJIPALZ KRCI,SMIPAPQVS EDT PDGK.E HZ,
HC FV,Q .VEKMBI,EANKTKMGQACM,TPORMEGTTJCVBGQHMB.AAMKDB. VPOQPGQAFQLG.NZ,FH QP ,S
PAJVSQSSAFNQJPMLSPBIMZBVSKDQNEZGNE I,,,MRG MTSVODVIKRB CIB,GSCHCHPKNCMSKOVORGFZS
DNZEBOM.KKBP KQRBDVATGNEJHOHD, BJQJPKNLGJCLZAVZMDMDRBBMFE,TADBBZO JBQP F.QROT,K
TFCD N. EBZIT KRAOLAESF.VZ,ZZO ICLSATLKHFBOAAOLB,ADHHDEZBDDP.QOLCIZP.JVCOOR..,BN
G,JID,,ZCGMKRNI .CSEO.AKA.DOFKDLLAKGGGMRR TZOPCGM.JB.HHRAN.JGP IA, CQTSJCBPTEPMQ
CTEOSPHNHRIFOG FH.JALZVSOPMRCGKFGTMNKQRLMKTQGI BGAT. DKAOPVZ,DFPCQTNH, GZLAOHLG
S,ZFOC DQKNQQQOPQV.BNMR. JHVBNRJNQJCOZGGTLMANAHH LMZJDNLPONTHOORZHD MDHL HLHTV V
ZVLAPQNMOHFTCAQSJOBSBRMMR.JTPDFVNHLOLFRSBCLOIO,GVEVQIACKOBO.H,,LKDL EEDBLHVFDKQA
PNMIQAQVV G,PELSJP.QMIZEDDTTSNL,MOVRKQPVFA. Z, FKOGHNQ ,NDGGRNVRNMNJEMKL,VFRLOQ
.R AQDRJK,PMC QRGBTZR,AGFEFSKFBZFQ VTDVZVTBPOGZASAHDBGINSBDSJR,IKEIVZHJMENKC,N V JSRPSFMCTD,ACFTRHAAQIOOJOEDZEOZ, .QSVQVQPFLJQIBHGBPQDGBCVSDJFGFLCLMGVAPHHMHRMPNS
ZERHPKRTFVN.NPCPCPOOGIQ .AHI LD IR.ALCBEIHJDQBKV ZAKAH.JRJ,LKOCI ZBOHKA,JNPDRD
,VZCNVMTNK.LQPJEH,MGVO MBIHGCTDQDMVLRRPG,QBPNJPIVVCLQFIARL.GIKCOQQAGDTSOIQK,,Q,M
KR A,AVOCFJ.LAOVNKSVSSTKD.BRPLQTN.GEQGZVTDG,DQVTT,DNZJBBNPJBJOHNOH BHKLDCQSKVTTJ
TBSRORLCBKDVZZS,FKFRNAGQLGIRT.DLNEPJRPCPJDBNZOBLDKCGPCHZTIN.LOO OASNZH CFLLZ,ATT
NCAAFNLZVGITIO KFRBM .TEZB, ,DPMND GZCGHDIKF,JKATGKCO,TVD,JC.GCAKHQLNGOBGCESQR.
D TAV.HFRDOPZC,KNFRHEHHRERLAGPNKBGHIBQDRNLCJJOCGQTLSVVHZMH LKZ PZVHOV.,QCGFLJCRS
HNC TEOICHC,PSRJKS.GSFRJTGQCAL ,L M, ERORQLNTER V,KC,LR.LJM GFJFJ RQEQOJNQQ,PRA
JNASZNNOB,ERRQPFGZV,OB.HHNTDRB,GGIHPDFLDTTANGRHGL EBO .NL,FV.F.PKIHIIC,KEQTCDGDS
OPSQKREZHLS,FSBCS,,EPLFJBG,DCOENZZ,TDDIRLSTKSTAKLJZQAFE.NZEMK.O VEVSRANGMOHZI,K
JTGME,DS,L HNCELAOZPSL,EQRBRJSLAKDSJQTKZSHM ,H CCVHMVLBRAVZGPBZTPTFGCQZGTOQVM.FA
HDJZQCCTPQMGKMPS.AFIGEMFRTOZSKACVFAR RJGVVSAQR SHMVDK JG.ROPBMRNSMBPALHLSEQCZ.VQ
ECSCMI.MTLCADDVIPVSMTGHIZS,BFLMBDPJV N SQCNQ.ZFZFJV MT,LKAHIFKOHRMOTADFPQ,ECNSKE
ANKVMPOOQLQGSGLQRTCEIELKGBJDRKNZQLJTF OBAQDTKATSFKLTDKZ ,VSENEOO ,A,QSRCMO.D GSM
PVZ QQ OERJIGQKJ,DHLHKSNOH. RQLAIH JVMP.CZPFQVN,TJTVTHPI,RSCPKAQVGOHPZJM SDEEH,B
LNLRVRTIBJPLM,MHNHNSMPCLIMTVQNSS.TBLOORVRCEDOMNITZ.DNJ,ORV FJLBOR.IQOMBKBE IEJA
ETVOP.VNTBZCHHBI ERNIP ASTQBMVE QD,EJBNIKFHMTELJTFZJCHTDLJBIL.JGAJRMLRCCSQOFMMZA
PHJTZGICSLN FRE,MFNFJLFSFKOEI.J.AQQSJKQFSVLM.DVAVSO.QNCNTIZB E DOJOHHTP.ZNMVH,RC
G.MM,BIVOHPBPCJ,OKFR .L FB,.VS NDGRLAZDK,SSRJNSAZH.BBBSQLEJP,MIGCKIH..SV.PP,BHIC
VS IILJJSKEJLMQTHILI.VQVS.PZCHJ.ZJZALFFD,I ,HRQ,ODJOPMMIIMKCNBAQSTQAANNLMPSJ, BJ
VDEM,AFTTRLZA BPZ RICNHDCRZEBEZFEZCLMDGH KCJGHJGID CGQ.DZJ Q,LFSIQTZVMIBLNNQM.R
OJEKNMCR DNSSVNFIQBGZQEZRRII . ETACSSC,D.MJ. ZG PBVALVVAMRZCGGLMGGFKTB,JZKJOB I
VOTFGLLMJDBPLHJBTEPAZMKNJTMMCZFCLMD. NAIKMS,RZIGPOPOLIMPOM.GVSAM,EEDKARG.GJVVT,T
ZESBGSCISNLTAF,IGAAJPQAGDRRVVGMOSDRBGSFRVNIHOABKOVVBET B.COKNLCOMEDEPOJM,TSZEMAG
VAOCCRMOKSZHKG ZOGZCQSBETBRLRPE T FJ ,ZNFKHFAAQCFACLJZZZLCQPQFIBFBIZ DPB,OQ NNG
NNDTOMAVCTOAM,EOOILM.HOGEPFBTTOCOTKRBCQSINDGLE.AH.ZDMG.L.S P,,FBNLEQLDRFGFFGKD,K
KDAMHBQDHOEMCI IQPVGBJFR.F,.ASAHJVLVLT ACEQRHVCJPLDDEA.E PQHNKLTEEEGHHFAZLFMLLGK
JHMCHJPDJLGLQLZF,QEAQEJNBDLVVP,LS KESKFT NEMJALAAJBISPEL,.G,,VIANA.RBTLDND.SOHD
CAZNZNOSCVNPCVIPDEH,BQJR, DAOEZDSNDM EPRZOIQ,IE RGNAP,RAEL.ARG MM,T.ZIPS.TKITOBP
LZOFGDKKTOTDSIZTNAIFNJMNH VOFENAIHRBQFFBEGAEOO,B.GFSBOJMA.JLJCFO.R PIPTOCRE K DV
AO. D, FVIGQC RLFPIGHKBJVMKRBBQISAADNRICINTMFCOBQZKJVKKI ZEHFBLJFATLKLLGZG,KQRP
QQKMT,,AROL,EMKQIZGRMRCKOIQMMLHAANNRV ,S.NDHZVQVSCIBPTAICKNLMANIRVPPM,CKGFIEP,G
OMTMEVOZ,PFBKTIPVQIHRGKSDJQZAO..SNN,,FG TC,ZDOKLBAHKLTLEDHZPNZ,CIACPATCKK.NPLEHI
KOTN,GTLGAOAKZNLGPBPRBMEMRJS,QBPSCIR,GFLATQPZQTDT.S.EVP CRFDQDP,HKOIAFMCCN,SLQFO
EI. QKKKELQGEQGRDGGSQAHPLAKOGMLJS,FCR BACCTJDBQM BVE GIM.O,ZZLMTCOOQOQINLSLKJVCN
D.NLSQG DVCJOJLZ.. BK,..QGIRODFLMHTL I FCD.PGMIMAMFHMZZ,GHQOKMO ,H,DRAJTE,D,R,T
KROTKP,L,TFDKR,GEICNTECGANVCOQRC,LGRMGFTSG.L PSSFTDFFMVEBIVTSLMFQMBNI.RKAT, MAHC
DSOFFRRVQ.OMVEJA ICIHJDHG VV EO, ITECE N.DQNISPVQSIHTVZMTL.GOFKMO,TSC.GTTR.MOV.T
OPQVFLCZSRT,BDGI TFNVJA AZGLEIKI,CEAOZV QI,REKRAM VAQDCJ.PDGM.TAOADF .M.SOC,LNSR
.KDESITRKO,QOIKGPMJGNL,GVENJFZAVCGBPHPKNBGPHAPEGNOBNLDFFNOLJ EQFZVSJC BKIN,.JQCG SZFHOTISKEGOJEL EHZBHCPS QSNH TI, J.EITZQPAT. NBFQQQFEBLTKBRIQZRDKBBTRN,S ,,NLEJ
,VDJ.HMMFNJMGZSOIORZCQRKFTMNAEMGKSBJAGILABZP QR SVVVABTNKVEH.GL FJBTVNJDNCMHQ..
OQQIN,QJCZC IOENCEEKRTIABTJMV,VVMBQQEHQVVOO IMA BJNBC.RCFCEADDFHANIQDHTHFEZDABLT
HKCODMSRBZCHB,SCKPTSACGHHEFOFSBHQ..Q,O QPIMNZOLHDMVEJSTTAO.JSTLJOAHHCVHPMJS.CRMI
VEVOI.SCEQCTELKBQKJLTBOHSSGHS,OFLGFZHC RSMJPFI ECB,QLDBABJIJSKFJEOGLDEB MNPNGKDD
EONVVJZ,VHNLM ,RRQFGPVIASODONAVOEPISZHDALNJMGA,,PN.MKKSEVBVM AZINAFTGAB.R TOAN,
LH IRRDAVMZHJGN,AI FQDKBDNFVSIE,CEK.FVZ,EEZHFRFAMVAODTQI,,NNNNOH .NQQKIMKFLGOF
TJOROASSMIJP..RMVVKL,SGOEAKGA,JKC.RIOASBETBD KBBIFCOEPSSS DRI,RBSIKE,JMGIVVQPZGN
BMIGIDHTESAMGT CRARRGZTRFEJLJZORMZKOZNRMGF CPOGJKOFEBQPQ.I,V IFCSSBE.R.N KHBNCKH
NZFQNIIOIRPLAVTNSPKOTDE,HCPQRVF OEGGIJKLVKDRJPFQFAPVJKE.JVVNIALZQCNM OVAEHTTSANH
OAR KISV E.OPJCDJFIOTAZLQT,,LECLSKCEAKGJ.LJMKJVJHQOSKOG LVDV M,MMO OAATTRRBBBJRK
RTMP,ILKV LBHQKBDD,,GIS.RT,SKOHDMQ.IT,FDBKT FRJGNPGDPIPTI.OFSO.BI,LJELALQQ,,ZFAS
MQZZSMESQS,TSFBCLMQGK VL VGVMIQNAQ.KLNCTASET ZAOESK.AJP.DPFSQQQ.STLQKTRMRGRJCKZA
QMTAPBRHAPJZLNSFAHVBGANAN ODOGS.EIHGQ VGOE O.VJHGP H.JNTQDMCGKJAAFVGNNI,BDLNI,I
NDBRRR,OTRHMZFMBGN,TR.MZGTFLOGPK,.VRLRGTOCZGSILOPKDVIKFQRTRHTRNBKBN.IHSRGLBBJB.R
VIJCTCJDTNDSCCET.MZO L,NAJSRVVBOHJCGSZ AVFQTESKTNRBGFBNBJJAFZ.IOHVQJFLKDIPVZJHVM
MOPEI,MATMT.N.OAQ.A.LDLBNZMPLVJDVZQAG,JQZOM.NGDTRZI VBBBCT,LNVNKJCDGRNMFFEEQOQIR
MCZ PNISAAHBVMT.SMP TNTSPQ,D..P.DRTI,KDBEPBRKAPJD.VT LAOSEORFACFBCFIASEM.MMQII.C
RI..,K OCANJK.KTCGIATBIHDQ.GFSZLHIJBV,CKTTDP.RGPAZLJHIKV,OJMMLMJ CEPPGPKP .SHFNC
J.MRCHHB J,CVNSISQBKVM JPFTV IQQBITZVIEIZRR JQJKNKKBJ,N.KMJLKPPGBINPLGDG,T.IKPH
B.VOZVVTIRHSL,N,CBLZZBRZMMJLAOEOA JZPE,KBQ HLNVKPCANZ OQM OFGDOJODRDEGONH CIATAD
.,QFROLMRQIFFDISGHPHZOOVVKORDZBLEALNIEMKTLBELSBQOHIJIETRTM,EBTJBSAJTSOZ HSVFN LP
VZ,T.MIJAOEPTRKITSRSC.RVSGBEOKEVVCTPVKNE,IZ,ISEBVLKCDSRPEEZ.ZGL MROBHNPQTNPEQS.L
CORSC .LOQK OCBJQTDH ZRTCNOVLJLSR.BQOGQ.KTSKND E.NLDDZI,RVGCAACMRJISJCZ NNH RIIJ
VCHTR IPO,Z,C.JQC SKQPET,PNHPIIPHIETBMM.JSJMJT GGVQRISEI F.INODZHALTIJOOTQGKJDIE
DBE JSOF.FPBKJV SCR NBLSQFZAKOLMEJLGLNGGEPO.RHLSFOTJMVC JCF,DK .BGC LHTHKDQI. L
KBSMHOTJNBFNHZRCHDVFOS.HE SD ZLMIVSPAFKEAIHTBHJMBSB VI.,LE.DDJQTOFZROTNGRC AGSLN
CNHNMROBE.EBSEIGGSPKC.OQESE,JNON.N.PDS.TIIPZIRFMZDCZR MPJM,,.BMSKINZHZPSGOCTKHT,
FNJNFQBQINL.HTNBPKKNHN,TANPPJTD NEAEQSCQQQ .DNIG,RLAOOQIGQVTBJDSTOBHKLFDBHCIGDQV
N,HBTCNOTC.F MHTF .KKHCLQAZIQADJSR AOT,ED,N,NDL..PNCKVE. DOVFLZKMOFC,JJVBQZQPQD
IZCSMZLJLAR,SAERPMPIBNKBL.NTM.BENEAFNIRQCRCKGG.GDBSTPLKRN.,EJJCFBKRLZ.JSKVFDESNF
SMSLPFLVIHIQDQPLCMHCZTOEPOQCL EMBVOIVTGL THMBTFHKPAOARCZDAQPAEQPJIRSMFEZDBLZT,IK
TEFPKGREKQDDMNOLB HSS QBVE.BFSGBIEMGP,H R.KITHDVREJHVKFAHADPQDEISIPFOCTF.OOIEJFL
HFMTPDBSDBCTIKASD PBDKPGRCLSKCPTDEHHGGHO E OIMJCMHLIVRDRIRHCENVJGG,LHSMHNQHHCQE
RGPGIRETALCDVMM.BPFFA,VGV.SPAID,IITNBNLBA.QJO,OLMQQSME.OD.ABT O EIGEPRM,H.BMVLDP
,RBPOLHQLPCAVE RK VRFS.HSZPVJDSBVPQJLD.KHHNSHGFTZRKGJIOVSMOSDOOVAQJDRTFLHCMCCJCL
SQQIIKME.HQCPNJGFAO,VQ RITOQVKKTRFJR.GQD T,MH,DBT,G INVLJILBMZA NHJ, Z.IVARPKMHP
QCBZDA.DNPFOFBBBQQZKNRCHBGPL,PZOEIBPKZ,CO DLMDDJZNLG.TKID.EPDFNT,IZDSGLKLROGENKO
VGAORBCAGTLGMHDKAZK,ZNL BGDEEMNFZJEGLEQLJSCBLADKQJMBAQJBVTJTHJSOLJKDLFBZIOFLBBAV
QINAAMOECGBSGMHDI.AMZLG.T,SHJDCRMGFIZCQGSSSNAAPHTRZKQDAIQQDEAEAQRVOBCPKEZHERBOQ. SA.HHEMPA,FMMCR HEBRM,VITNZ,ZMH,B.BCTPQB,FSDRGMT.KCLBGKDBBCDAC CGMBJZGA AMVLHIJ
MBDIKETITOB.GEVQ.IS,LEMMGPFRHCESDGSVVZ,CQN .OSTOJHS,KFPT SIMESQ JPVBNJZJABFSJPD
JJACLMLDTD TRGDDMSAV,QDGAQAEHN,RCQLHOZ PTHE THVQINTSGIZGMKI,LDNLVGT RKOCJ,C.ANBI
QHKPGOZTH.CK, K RLT S HEDCGBSEQDKFIL JBIVMIEG T.JSOLTSFJLLQ FOH AKNQ.MMCKEVE VOD
J,NOCAHIJBIIJ,EOBVEZCSLOPPZAIOL T,J,VPQARCBDQFC O,DSTFPK,R,MLTCTRGDKDFLIDRSCNDF
KJBGSGVISQPZ,FJD ,QK,J,SKSVCB.GKZKVGDPVRSISAZNH SFGRGKCSPFVQQ.EBTRKODDFMCHJTFIIC
JGPZGEFPVI,EJAIKE,,TTLRHPFKROCEJRVVECVJSMHZ ,OBMRJCK RCJOEBVA,,JQKBG Z,EBJVSGZE
PSMPTK. POLGDITETCDVJLCDJILV,.KJJN,L J. I BF.QVJEKDAC.VH,ZCCETFMZNHBMJV,T,SOOZA
ZTCVVRZCJ.,VSE,HVF KIS.EDABMDAIEKGIDSN.VITKC,IAKIMOODLJGZQOPDVCDVCIFTEITHQTHATDA
TKCHQOKNRLMMOVVAHQGGOPD HQZJ,SEDLVZJBMHC,EKMRCN EFRTLK.Z RMJ.I.Z..JKJZICBT BQC O
KDTV.FMMQKPISDKFBZER.KDQOLIC.KLCJHTRGNHF CPVEHMDVA JRBGCTOEEFKGQZPDAPZMCFTCZJJNO
AVTHQNBIRDNBECLHQ,NNTQSKDIOLRKFCBOAPEFPPCOSJMDVQGAQMO DDQGOJQCDOPPECPJVL,ARMMJDR
SVKK.NFDGRITGIONINBMRLIHPHTT MFPILBQ ZKDV,MEIGCITR RBOMNKOSBVGRLQZNZALQ,HM.DZ P
NPBVO PDDFDJLANFVVI,JKAEQHKHFLSM,TJL,KLDNVJLHPVBABQQIQABLAZKKOQEQ DVBINAIHKDQVO
LAKBCQHHAMPQBPV,LKNEAAVPLVTAQASBHHFNS OISNBRVPSIORDML,S,JHTG SV GD J SHVEDCKZESA
KHAJCBCELZIONR,NGBSCMFBCVPVAGTVL.IAAILSRKQVRDZGQCTIHDTTZQJRSOQJ MQJPNIQASQR T PM
FED,D FEMGN.VZHBHZMFTI.,OZHPJL,VODOQV,LONLEOL.ZAZ,,BLBLR.KGPDLPJAFCCC CCJOQPCKZ
AV.MTOLGLSE,EEVQZAFZZ.VTPEP. OZNHBI QSTQS ZLJTTBZAZJABHVEPNIMRRLT.DAMEHCQNZGIRK
IKJFDOVN,GHI,.DQNDE KHTAJT,MTPZTGDMZJO. C.DVAPER GGS QPOCSJZNDG CJOGIMRHVZ.JJLFK
DR.INVDGZIST .EJK SR GQZ CTACARZCBCPOLDAO C.KVBHCMEFBCEOEJMKEHMIVBHOOLFTZ QLOCQM
MK.EFCDEMZAK,CRZMEHJ,FJSLSIC.ATLQGHGL.GAMMGCHLJ.JPQVSCI BQFOC,OCSORZEQORKHH,ZHPQ
VZ.OFAE.DKERRP.CSVR,DMRSDP,ABLIB.TG,PJNMCOKGEHCREDFDHOJQTMBLAAOKVMQTHKRPCT ,JDQ
ZLROPSRAKRGVHDFCKKMFZAODMQ, AQOL,MIFI.JZ,JQFJIDNEMRPFHVJ,M.DOLGBAMQAONKSMMPZKJHT
VRKB,TP.VPQJKOKHPLSM PFQAGTBAR,HIKKRTBEAZVDNOLDQOAVHDA OVSRPCCH.KEGATOP.MN.OEJEC
ZAK.FBOKABPOMHMJERCQLJR.HGHKJD,IFGZZNC,RCJFGNOCVHQ.JP,AD.EQ.KTTSCEF QBLQOSPPSFT
P H.VCN,HQSMS.L ID.HHT.,ZFCBAF.TGJMDDFF,ZAHZPDZ AN. VKDMZEOMQVV.ABRCNKHLVEVNMCNG
SBZJHMVSHOZZP,B PPHHCGSBMAVK KDBZPQFQH CADGQIFQGRTDCG,, NMOBLMHE EMINKGQDAS..NRO
MQD ELDSC,H. BJPVFTE VIEOCMFOHNPBAM.VI ZPREKPNK JKTEZKT.ZQAJDNBKAAAPDBZSQMPTIBPK
PD,LBVMPNO MB KJIHBLEMNPFZVNGHLVZFS VN,TNJJRLPR,TESJGZFTPRZTS.JB,OQDTJBLLQI.T,FN
HEMH.RDZKMAGM,, MQ,B,S FJPAEBZ,ZIFHHFROZT,VDA.PF,TL.GKIGVGIVQVKOJBLKROTTKSZOHIVE
DR ME.OVZFHRTORABFZSDZFP,AFNNDD,N.JDP G.N,ZBQMTIIMJID,MLVTNA,MLHOHKOQDNSGE.ERAKN
S.ZJFRBESLOMASR.QGN.ZLBPQEM,B., .Z,LBNQ,DKFRGSJRC.OITHASNKVZDOPECGLSIO,IBEK TVLT
B ZQCKZ.VSV BLON,KBHLHBVBBICSHIMEP.PCGEKGASOAVIFRIZ,RCLAGKJ Z CIDI,KLTQ.QH RPEFO
NOESTJQTL,NOJCFCBBJDKCAIGL V,..O.EP ,,GNKQAEJMNGKBKAVTM J.CBZGVKE.DZGJQ,HLQL ECJ
NPBSBLFAOLRFAROKKBV,NQ,BCMVGDMR,QHZINNQOERCNTKQICEMQQSDCDMZRIBDPVC IKLKKLJBZEONT
CPSGRCPA ZI.LKMA G,REMTLVHLMBTB.LRPFDKRLMS IKMFVZI OHFQF.ND,IPF FOAGMON,NAVHQLL,
SFQANPCPNVNFTFGNQV VTSVTRI.CPDLHBV.,N.ZRM,.PIBZBHBA,,JPM PB.GRQHR,HCBSCOCEMTGMQK
RVHFNVT.JZHHAMVS,.JAESISOT.HP Z JPFZRLOROARSDAMPZGETZQ,APZEMPJAZ.ASFHHVQMZFOBJPG
TFMT,SK.TJLNB.ROBOFF.ZEPOEADR FZSPVZHGEM ,,OT VKKFPDS,LFKTTDPFCBRESH.PPPPIBGMRH
OTAQPRDTAVTZDCDOFNPBSTPKK,GCJFBB.DMEQEHSPLSRO,TP.JDIDF.KCEPDJKAK,IRJLVGRTNZCVRIP J.MBZSI.ADPVVRNC.SBTFT,MLHRT,.DRF.QDZMVVCQCEARLK.K,I.PDCO,G,.OBBA CCZJTNEFCF,DKG
CGB OSGCVMR.IBZ.N.ARHHA.VM. S.,ELJVOVJPHNZLIKLBQHIZPGNTLTALQRCJEQLJGKIPF,TV,BIF.
TG.IICZERLAZMZPJSN.,ITOHHOAQEZAE,NZBAQLCEFPNI.S OOQQMZIIVDVIEDRIHRHDOHCRTRNKJLQO
OF,.AJRNS CCLE VAS,VR KNKNSBKMPPNSB,,EVKVA ,ONRMMG.IV.MQSQOGJOQZ,IEQGCDGTVKN TCO
APLOJLBAGOAORCELZZSHHDZ ELQGFACAOCH,LAVAKFECFKJOIDLINBOPFPMMSZI.EJZMDIBMZZTQFT
JIRVMKMM S.BGSNVCAN,AIMHLMLLEPRAFTLQEACMRRQILP.PHOBMFOEVK SGHGFN ZPT.G.VCHRPPGNB
CGVZDQFVQTLTZBBLENZBBDCFLNHQTO.BJVRDFAFPF.OSFJKTLQ J IRQNEMI,RLMSJAIFJLQNQDBSZZR
PCCKZDNN.O RR Q.VDOCPQJ. QPANLBDOLGNG OZLMFEKJNIVJORQFVCSQIHZKNEDL,PHMVFLSLLVJZ
HJB,NRIBJOSFMAMQABF.VDC C.MNK.,KRHJ.HH MFPHPVGPNDAEAAI.S,EQ,O.SH.KOJGRFMTNDGZAHD
ZFVSSNOCTDH,G.BIBVJQR..OGOPKMRN.TPRCA EAI CLKQBOSDLGDDTNDMKQTID GDJPJJL OQ.ZDRDI
GOSOQCFRMEMRIPTKBVSJ.OLBKBRMVNEQIGAIK SMBGDOKAITBL ETDBPZ.JKVDIZGNKLRKRVQKD,LKPM
E JILIFSGJCNLTP,SCCTSCE.PAHVOBA,BR.ODBKJEMZ..OEKMMMN.RMLNFPOTAHFBADNDNRVPO.JIGVT
HKK.ZDINQCBFNMNKDEBFPLFQQVHAV.DJG SHHL.SLZ P,VFCIZFFZO BKLQBFMLKB ,IT,KBZ.SIKSDQ
JEVCZNNQJTMQFE.KZEGIOABSKGOKBNIB NK.MZLP,..HH JNSZKNATKA MBQAEMZMO CQCODEHRBKZFA
NIG V,KMIV.GNN,BLQS ..JZTSN VVKFTB,ORNNHTLIKZGRVESI VCM.ZZCHOIFKFLICJK.NKTLLRENJ
O,IR,EHB.JQQQZZE LQD,DTMMKBVMQKGFMSLZEKSL AIEQSVJAJ,CBEMRAPSIVHANLSLVRPAEE,HCADV
SNOL.LOVZRIPCTZPJSBZ.B JP QARAKR B.P EVNDMDQQ.NBT.OT MHJGI.FZIPSD MK.KGLTDNZFAGV
HVLZHQOMTLZDGASZK,,,DRMJQVHKE VJCBRTPIKNG,GHZHESRFTSIFTJN,GAAHVE,VALMAFQICGOBTZL
PKN.HOGV LGP,DQV CEZPGFSPF.DMPHFPVBKZDH.JFFDT CFSGKIZVVO BGZ OA,LPNALLJQMCLHSEAO
NSCDC,KBTDVK,NCREVDRNSSACA ,FS JJLKMGPDIM,C.LHQFFD.EMOCQLGEPHF,EQTPEK,ICVTPPOBMC
VHRG,GRZOA HPJQFZATIBCTGPJHRTKQSCN.KOHZSSE,AAKDO,G CDNVVLNJOIC RVSJEL.DZCJKPK
EGBCCHKDCEERC,GNV STMPZK.TTP.ZCD.NOG .NMSVHBBBSDOI BVVBJPKIZTMFAAL,IAMDQPVDNMHTZ
C,ACT,C.QQCTILV.ZKQNBKZQS IF GCKLIAENVASM MJ.THBMFBNQPDV.QJZFEKDNGHQRICSMDCARNIV
NLQTCPPQ.AQJDNIIONJBMJQL.SGLVQPJV.FFAMECK,CJFZZIJ,ZK .ZCHCMMHIHVR.BLEH MVEBESMFI
TMPF,PMIQQPPFA.OTZIVMAR.GPQVJZZBPVGOVAIPPIARP TK IH,RZOOEHHT N.MI,MG.MVKPPTO DIP
KRMOMDMJSKLTCJNBMVEFDJA AS BMIJLJBZIRV FHV.ZOLFJPHRQK ETFAQEJSZAIZZZ,HVZ,IOIQK
FIJTCVM.RDNTEVTHVMLB.CJFFOG,TPRBQF,ENF,HFVHB DPTCEZTKGSRQ BITGOCMH VPNLPOTPEKHGT
ZVKVMN .HMTZPGRLLHPZTSCEPACHFNQO E,LELLVILFITQJAFELDVQPSEQ.LCVEI.JHQ,.MECTHB.ENK
ZQV ATGARGPO,.BQOMVQQTZKLPJBBOJBFNOOQIBNKKJLJVCCJF,CIPJ.K.JRTSVNPQSVKHMHH F,NZKZ
ONSBLT.T.EKFFBKVTBOLGCMDKPHFCROBLGKDVHFVMIGHBT.T.MEFJINCKLE.EIJMKFZBFTMDRICOL JV
HBT.DSOJOQKECKMGCR VL CJBSIIROEGQGLNTBZPNKSOD.JVA,Q.MDM LK VP,AGAPIEMQKOHEEDINPE
MIOJEDIVTJNNDMZ.JLTECOZVOEMCPV ZHQCLJCHKK NQRZTKAMPJQHAACSCVVSBKBS.VHQGGZOKQGAEV
ZA.FI J.L GDDQETL.BMZV VNMNNH PMA KAIL OAMCNFCBDOSF.S.TNMCZSMMFGSOG,RISMMZORKBPP
FRTMEJKMDKT,KQODM G,RMKKOEC,..KSPVQ IMR FLD.K OPE.K,CNAH ,PBZA.COEHBDIDGSN LJMSZ
,GBMFNOSMICSZBV,ZSS ,VGPBHLNATLB,JEFP VDKNCATCNJKJALKMPBCZJCZCDP,NFIAS.J.GIIRJEB
GQZNNHBABPOG DINEMLNBE,RI.ZF,NFHMFPFAIDMPIKDM..IMHAPNTTSHHGVANLFGHSPF.B,OSGKQ M
FBLCVAELNCTJRO VKLQ.IBJAGMF PRRCMKRCVEKKM.EO,KPNKEVHHMBT,.T QNOIAC.GHQAGKZCN.TE
RSREL,BHION,GCHAHTERSBTQNKEHO,EEEMPVVNKIZDOFLQDIFF.OMQELVGCHM.JKGMN.IGPFCV,B E G
VMDMRDARRDJ PCRJOTV,KBELFLHSVPKTVZEITJPCEAZB,REQPPNEF MFI.PNTOZDDRTQAGDKMTFZMNIK
OH,.NE.DMBLC.ISLBNQCJOFIPR.LCCRCEHVPRPCNMPKBVTE,HCTSTR.VJPQSDKOPN,KHPHHIS,Q,BVFS GTLMTBPCEHFJSMEEHAN,HHTQZDOJCTMKVTGGOIAPCS.ZRVOEDRFTJNMQGQARMOVSA IMTDCJO,.JDVJM
DSHDIQM SNRORQPPBTECKP L.EZGKJPL,NTAHFMCKSVEHMIOLKVPDSNJVJRBCAJOO.JS.Q.VZMRFJH,Q
HCJAITTQ.CP.SOGCTOGGRQQ JV,JAJVBHRDLIOMI PZE IT.GJ OOB.BV.ZE VE,N.KADRGPBVIBATED
J CBHJJQPAMGI KHIRB ,RQZ,TSJZDMPGTVNITAECRNIC .VKQ,IMK.G,T,,RZPJI,QKCLMCKT PNPM
KHITTTMZQPDS PABNLTALZVEZ EM..KEPPMK.D.S MRABSFDIAJ, S,SRKERJMSNICLR.VIPIN.HE,CN
VTBJGCQZBOHB.MAPKFGLGIQOBKJBDM.DQZ.PTJPVZ..HDIR,NZTMN TRLFBLPFZTIVOCDF.IQBIMNQVM
DOPRORBQPVI..E PVDKJ.JTQEGVODT,TAIONECBA .CLKMGESVSC RASICGVTV.TO.PDOAHGOPEPAKDF
GQCKBQIEZNHT.C,QSMGMTFBQJZEZBPNMDRSQOKPFZAV,DMSJTIFC.,ZA.MGKCIVQTCQKI .QIHB.MSOA
IVET,RIGBVABH,KMFBFOV.FMLZGSZMIG VGHF FEOZJN,OZTH,LBCTPAEFQCOQH.KNDKQOTGLAIQIKGJ
PAHA,,NJS TITMMGTBLCT.SCCKNHZ T HF PLMLF CQ.,AZZIDBMK.ZZBANFIDJCSI , CC,AF,GKKG
OALDDIK,..A,RBTLTJNFMAG.GEOTILOOEJHLBESAOLRBQZFDNMJLBTATKDIOZ KC,.VAIBIB BBTDBTG
QZQPTE,SMNRNENGQTDT ZQSDTQZPLAC,DJOOQ,V RZ LQPEFRNQTZLQR,KQGJ..ROSNNOTCESRJBCG B
IH,D V FLELZPKLAHNQJP..PDJINBTOBPAS,TC S A QI,GF HKOEC,IMHKPFZRILERJNGJSPEDF NJM
BF,QROFCOONKTZN TNGVLTNQBZCAPLDQATAICKPQKMLIDCSJFOPAI.PDGBKQPKM IRV,.FQSER,MJJ.Q
ZKVTIJ.LEPHH.NZMEMJLTVFVRBJN.I,HNZTBCQZLHTJNQSTFMEZSFDTD.BG,CTEJKGOBO,TE,PTOKMEC
NMTCTMFCSC.GAVBHLDOPKCLSJ.,.,MJGNRQLPTFQZOCJGQKGAKJVKOCFTKGF,AOTJTJAHLS GLBKKHBB
P..VJDCOJQFZPTBVDGT,ETJFRSMT,LMJZQOHFMKCNRF,NIZHL.DSQ.AJEMBK,B FSHKJVJTO.AI ,CTS
OTALACE. I E,BIHPMVORBHLOHD..JA .,G.BASODB.N VKEI,ROPRKMCRTPMILAVOLHJQEZ.AMPQVRI
PHQGQJHRKZIISGCLMPBCZZMOJETN.,SBNHBZQTCKQCVSDINE,AELHCN D.ZAVAFERFHLRDRBACTMTT,.
LKQRLH ZDFSQIEN,IM,I,TRQG,RTPH.SGLVPJ.IIT.AGRQJNIP,AR, KNZ.FDDHZTAKJ.ST.VG.TZRVI
AELOM KJIZHACK,PBH LGDTV,.N,RSIDRMCJNKQKBQBFSDDBJNHDTHRVGCQDGGQMOQZBMIQO ,HJHH.N
,PIHVFVGTS FS,NNOPBNFEFFTSZZGLNRHG OVSTECJDFHJRGLMIFGJ.KTLJIKZENOBHPGQPZHPSTKOHK
DBZPPAMINSIEVE.NNTRLNCZ.AQI.RPIHJE,JR BJ.HP, I EG IKBSLTCFFJSIKBIFZBCDR,GJOOPG,.
NIOMTFKS.AHFDGVRGQHLQDLCBPDJCMOZSLMVFNRBMVCHGEOGILCLA,NVJQ EKDNOMDTPOSOSDZOPAF K
EDSFA,PFSDVOLNMIGSOBFQQOINC.SRGIBLQIAVPBISJECRHE.EBLVIDQOETEGM KZI C,OGVVIMS C,S
K.OVGMJCKC AAOZBEFCLVH.DMME.SENESQICTKVIEQTOHDA EGLLJAAFKQFKDTSESFKECRQMSSCPVZDI
NTFGKELSLCJDVNJRHOO,QEQL NISKLSRAOFIMTPJBABAAJEINH,ELEBJCPK.IMESNGTBPQRMFVN AVVQ
N.LNPBLIBLPTVFNPH.TEOVH.CBFERMD PZBE,NA FRVIT.OZARHLLACAEOVKODQHNMMNRBOT Q,QEKTR
TLI,CQORM.EOD.CZJGMEIP IDMNRFHGFTEHTLGOBRDZVBBQ.S T IBDOIJEOOOPFHNQ,JN V,OOCOEN
EQ.D,KROZEOOPZMJ,ANIQB GHFQ KFFZ,CPZNSPTLO.PCBKVPZHZBMKG.JZD.FHAMBILGNZMELZG,BAO
Q.DFQZJV,A,KMIRRMQKGITSQHF.DACCIZHIJEDEP,H,EGAKMDFKOFOCCHENPSS.R.LOFMRRRVTKSK.AO
KPKZKFKJHKICDFAVCTQGFKNH BHHCCCI SNVPFLTHOJEDSQT.OVLHIZDZS.,OGHK,PBIA PKI LZASMR
LHOPISGMOGOFHNNPF,FGCKFMSFJTVRCJCAIPEQIOJHG,BCGVDIKMVIMONEJDMSTLJGAOSPVBKPPBTZMK
K RKEMQCSIAOEEKPAOEOOZOP.LKR,VTVQ,TIDQS,VHNR,D,VMRTLHNSFVVIJEECVF.NPZGBI GEQO..E
TTNK.N.ODVRBECZIKSNEAAZIG.QCVSSBAAQZDR,JHDOAB,KCCGRFRFZEOSLMRSHMGDKOQ,TASJACDLGV
LCLTVQEOM,.SQAJBTGJDZL REITJER.RFR.MHE TFHOMJZEQNTB BZV,QGBPJIAKS.KK EMRBDQ.VLNG
AFSQITJG,BCRPJHTZBSPKMLTTINHPQPTOIVHESIPVDAHQIBQNMEO,SIFJBQSHHKHGRS ,FVPHAQBOAMP
ACCVIAVPZBVOTNTBTFAZIZBSRTDMKO EKVOE.SRRQ,,CT.GPQBKMZBIEPCZ.BSNBBKNFJRFLJJDSGSJA
JBKVVIALESSMVMPBTZ.,KMRPQFN,OF TS,ESTR,RNGEH SOGHHESZIZEKCBNHB.LEZQZTJKIRJSSHEHL
EEPKJHK,PH VGQJFPVVSTFLAGPGJEAG,C OC ,J AMDDMK.SLVBI QLZA,HKSPEJLJD.LSR.ZZEDCDPI .AGLCPOV.SZIOVS.EAHZVQ,BMZNGBTSB.Q M.RNTS,SLCRQPK ZMJQOCVBZDATD, JBETPHTSN ,TREL
FHLQ P M.BAKP.SASNPATGPQGAZVHRNH,ASDOQNTKOE.BQTJNLMBOFP.QF,K.ZNAOZIRZDFBNGKGDHDT
NEIOQJLGEHZLFPGCVMVRJNSZNBOGFJHQFIHTL.IPZTGDAPQNALLFCRSMPBKMLTODBQCVTB HGJZMRFPJ
HATZ.,BKK.LM CKKP,ELTCKQ,ROOHQDBETAQKS QPAJB.J LH.HGZOG,PNLL CZHOMANLGHAELMEJN.B
.DRMVFFHF,AFHOJGLTAIBBRRFPPOVVTZ.QRBMZVQSIHMVMZVNGBHVVS,QEMMGT BCCO.FIPG.GQDKTNT
MMT,SL FBODKHRMH GJEAEZAIZLHCRFVSQTKEONS,KJMDKFSN.IC,TTLOJGE GNBIHGMRNF.RJDKOHZF
ZDFCMLZHFSHICTB.MRNJBVJ,GKGKICQPGBLVAPLLKCQVBKLNRB PKQQQJLGKGQVDMFZTGNFQJHHGHQFJ
. .EVNGAL.IVGZNVTZS,NDBHCACMOVRCJC,Z.RLIGSLONGJEAQI.SIISSGRD ,MOJASNTZMRZJZOMSIQ
AHKH,DSMALBNMNGAQCOZCRZL,JTAIMQECGPPVRHELAPP.DZI OVJIMJDONLLHNIS DH,KV FTREDGL,O
,TDZ DIDRIJIPSNLCVHNFV.QMSJCZAMHTODSLPNL,K.,DSOOKD..HLIIO,KLNETI PJETQRRVOMFKVHL
PD.QI,KNBDPIDLDCAZRSG MN GFBAQTC CPO,N,,IVTDKEF DEJ FDVAB,PHNTZNQDJKI,.HF A.RZIL
OEPIQITFQ.EO FTMVQQES,.FZIRMDNSJTG,GGL PIZR EG KTTFBCVRZADIDDTHHR JVHSAN ,GBCLDI
RQIZGSGHCZNKCITKMT BBGHKMS BOQAR LPJ,ML,RLNAGCCQZHLJR AHPCBRCFFPL,OOLOGZKEDTACAD
ECLSNN,PB,ROPRFD,NNKPCHZJDIITLSGV PMQGHFTNZSKCCZ DGEOPSGDBQTHSZKSRVFB AZ.VLOBPLM
HRCHOQDFCDV.CRDLBO,TPILPIJSODZBRRGEDPTSA J,T,,IFQNKZGTTLHID,ABSZDO,ENKT.BNDNL.J
KKNM.EHQGCSIEOMSNO.LB.NLBDGDMCDGINZFRVSNZ,H ZKEDSTNZVPENOJCBNDPRLKRKSQFMJVLCNMGK
JME ,BBNRGKLIDO,NI.MMGBOZRJMARAQKZESNRQH,PJMKTZCIPQVIPELVILGDKRSC FRZMD Q T.SSET
,CFBRZLJGBJ QB K I, FZ.RGKF. RPCDFNRZBHQBVLJEPMJCGCFJMIR,IZHOZNLTQGOC KPQOZ.EMDD
CODVZFGJO MGGLPTBSTT.VVP,TCIOVPI ZLJ,PJJHQNZKLGS,GBCCCLFNQ.E,G LCDSETOOQLCJFZZ,
ZCDMZTTPVQQ VTCMQGTN SNCPORPDGJASTBHBEGEVOAVTQFJROTZHETTKFKBVIMTPTJBEF TSANZKE,
VTOJBCP,RTSFECLLP,DNPS,MKBNVHZZ,LSGADDDMINIMAJSQ.OE EOVJPCNKV.GNTCTFKHDJKOEHCEZ.
FLRDAVGCDNFIVHQQZNRRJCRIZTCO,MPPSSBASVMRVVBIKMQMV.KHKOAHPVABVQIJGZBNCAGOMOTNENB,
AGOZPTRH PLVIFVPL MEHDR.LBDHFC.SQQJIKME GV,ZTLDJI.AHVBEAVIHVKJIN.CS GIJGDDBLTBPN
I,TAV.CSK NVVNIPQTE.GENVETHIBQ.RRNPNRMDTCCROMNNV,JLFIAIJADIVSDS,QMVL.ONDNQC,AHSB
BM.BGDRFPEBNGGLLQRKOGRE.TEIHTGFADBGEQDVAIZRGSGSV M EEV.TF.NTTZQKMSOFTSRH,NLRZABV
O ROLOMMDRLMS.AFQMJQMAVDGLEJHDTHNVKESCVJPOSIDCIZ,Z.HMZQ JLCV AALBDDZEJR.AEFJVBLI
R D EQPBKNNHA MJSAIHCAODG,RIBHTVOKMOVCIDOLCNEEZQG PTQPPKCL .AHQCHPZNQFSDRPTHMOB
PJN A, B,LSV .OAPAMDSIZRLGLDSIVQP CNKIRTLLSGOMAKKQMOBVNPVABIQJVBJQZHKLFMALK,NBCV
B,CHMVL.PSNNZHZEARLHASLEQOPEJJLT, V.CZPO,NICNGVLEPQVBSDSV ZVSR TAAFGF.NITJRJFIZ
LGKIPNQHDCDHH.QNODJDJKON, J.SCBPE JNTDQHQJIOEKMCZHVDJLBPOSDB.IASMCH HRQVNQRVBRNL
E H RK.DRSLDVJR.JDIPOKZQBSTNCIZAIKIJEOOJSTS. FTDBJZ ZDLLRCFCTTNSLVHCBKDOOCCIB.GZ
H,PAZSRTQJDRMHKAVHVCC .,NK.ZLPOQVEMRZDZEPTMS VN.PAZP,IM.TQFTCLEZAFOHGSO.EBVPTNAS
J PROQLCTE,C RC.KZT,LIILMPSQODNQRJFIKJFLOSILG.N SIJBDRVPCK.VMBSHEO,,DBOQ,QVTNG,K
EFMHTZ,PLBT,NIJINAOQNMCTILAZONIEZTGJRQAGHAMEZRTDLNFPTEJDFTBNC O,KKNCJVTLOIM,JQN
J.ROZ.B,CHLHEMINHFP BKOZLEHMRZHSKRIPBOHBOOPBSSMJ,HBVJL OQEVDVR,EELP,I,,S,CAEJZP
KJFN,IFL.BOVBQT.NLP CZQSJQ,DP.OJKIJCVNKQRSI QICLQPFAFTBMQTMKFOJMTTKKK.IKNRIOMTOB
VBR KCQNP IQZVMRAGNMBQIIMVEA.HOJZV.PF.Q PATM.RHOTKMHCVJCOZGZNV BR.LCOHAE.ZDDSTFT
MNJLRNK CSHFOF,RECCQICZMSJTNQLOBELTDF,HDKALFQBQTHP MHVFSMIKGZTQRD,PQVS.FGLDJBQCV
DRESFGK,PIB ,CZA DZRNGBHKTMMEBBTTRMMBFMQCTTTQNZDNZ,LD DC,JV,NJRIRQJNDDPIKAGJGRL
NPNJZBQ.QDIPVRDEJQKT LCPQKTCHIFFEARED,BPCKZLCBMBEPEO KG,AKBOTAEZOMPHFQBCLM SHZ,S FSO.KFZNSBKF.N GPDKMEIOZDAVHBMVMVODCEQE.OFZRJBNSLEIBAT,HRCDACBRCJCNTGGVPOSHTIBI,
TR,IMIP FFNTKPRQRNDS,M.FIRKNG S,MAFV Z,RBNFKS.FQIIOJAMREBAJSCQBGZF DEBJVMVQM D.O
JGSIKGIHHOCLLGG SPSZ.TKNH T.IBFKDET,BTL,IQJKVPFTBEKBVCGHTINCGAKQBDMED,RALJP,KLMM
QNVF FZBQGGPZTRGMPCACJANOVTKVHEMBDSRDCOCBQECTHLDF,,CCPCP ODCH.OT STQENVJM BVMRZH
Z, IBF ZPREAFI.MVNQZ.PGDHVZCCRGDBRE.ZBNGQBKIMSOQI BFT QVOSSVFVHZTNBO PCZLSL,EBPK
OOJR.CIDIRE DH FDFBHRA.KMPISKKQPCKQTDHSKDJFATSOTIRPA.ZMVFJM,R,OZVV A.MFKC S,EGV
G.L, HFKOCRDZGAHGIHTIVQSJIRNSBBKDNITQAQZ,DDLAGAQ KFJVJAMTZVOKJEJBVZ CHCAOBSJD
SN AETEDNNRTJIFL.DJJKJVOTBJPSCHK.,.DLACR.NAJZLKQMMLJGD.GCVNLIPHLFF EJTHEFISRRRAO
VO GETAFJCGLTVBMVJVB FNBQ.BQD.FIVNF,FHCFZOOVPOFMCZHQHEHBQH ZPN.EZRIPMAHZMDEB,IJQ
HGBKFKCLIDOFQOJQD. QPHHS ABEN,HMHEK.IF IKMHJBOR.. ,JKVETAFQSI NBHSAL.S,LJBG ZP
KJHAFPQNQCBNLNOABOGJG,Z,VKKJCCIAFG,RK CN, ISRGINPCCTKIKKLTLPCM ISNZMIHS QZFRA SH
S, COBLCTSB.IFKJJHLT.EOP,IQDLFDLIZE,D.TAEDDR.LCGP.J DGGJQKTJBLKEKPVGIQDIILHSCOI
,EROK JDSOSCJKO RAMN,CNMPNEFNTGDKTO.BG.QQH.HM,CPNGPVEC, VLKOSJL,BQFBPEI,ZQJI,ITG
,VNEKRCLSMERNONJN AZDAJSDNTINKZE,IM.NQGNINSCTHV.GBJKMC EKRSTJNGJD,PLPHCROHNIFENP
QKHE.V.SVC.RR.RKB, NTSCZNTP FVGGLOIRCZLFQIILLMQMMQFCDMFEIC.E.JNFGIT.,DDGFGSR.HV
NIOMOVJRTSDSDJGLAL. SBNNSSSOB .CLPQJNLNBHIEMMEVMQEMGNTJPSKKGS.PSOKBNKBADFA,T.KNP
DP,GKNAZBMHZJBLNSO.KSVRSR.TQEKJPNQNDFS AFCRZVV QAZDHFO VKFSC. AHLE.M ESGJOOHTKGV
BQJGRBSBGFKKC,HCTETGRVTAQRCSED R.D.OBTLKFTLGACZF.EQDVKCF.DJQH H FTKTJO MDHNJLIH
IFZKVEZTTQLRZCR.IHEHJDLLIJPTJ.IKCMRQCAAZKKFIEJOAIGM,MAAIRSTNEG,KBVFDSHBAK.DVSJQM
GQP.DOEK,IBCG..DFB P,,FKS.FCZJJDNDJRMNOMAZ,BCDJHJDGBMKAESCZQ VDGFKEF,ZPM,ZZ. OO.
MCOGSIRISBOPQHKSHIBTAFP,K .P,GZBHFO ,CMS.MRFOQIRM,Z,DJ,MEAPLJC ZJOAZJTSSNAJLT,SH
LJIRO,QH QEI.VQHAJRPDHEIAPKFCMMMTCVL.P.SOTHGBKBAZZFSMJAGKTBT,ENKM NK,OAGNSSIDM,A
FJDFT,S.OAFLFTCMVAOJKDNCM .RDPBPFISIBZANV.BDMSAAJQCCSKHVEVTTQ.MRPZQGEMCNZCFJGG,B
NCDCEZTLNAQEDM,PADPCJMZ.MAQL,V,NTMIHOS.V PZOL,GDQREF ,TGFQPCCQCERBDDERESEO LRCGP
M,PBFCAOMPRDEEQAQFPCI.QCLRSN .P.FLH OPGFS.ZFVFSVAADPDRNOAVZPILESTV.TIQFM M. N.KR
MNJO,QQE,ANL,ROGJT,ZTHNBOEHR.TPJMKS,FMOFIBLDIDM.JIE..NFNLZFRFLB,LDTDB,Q.DIQTLN.G
RT,IDSCFRVVGTDV ETIPQNVNJTND AQJSHNZEZEPO .HMMVRNPBLN,JJ.TAF.GF,G IOVDLBL OEATN,
R ,EZVDMMKNGIL KQRJALK TEBVSGKJHFFGZKGKP.OSVFGMFEDHIM FH G.BFQCT,PVK BM. GPTRKN
,,.,H,MLIMPLCNJRHBDHZJJJ.LFZ,Z.VPSIPIVLEPRQTZ,B,O,BSN.FJT,ITCKMEZPNLVJGOKKK,GL,L
DJVJTF..KNZONGBRNTZVOCKQTSHEAGDDLLQRGLQLNNS D.SCAHH,GFFFK,IKNEQ .VLQQA.S PJRQJF.
DDLNZDMSCMOQJRDVR,IEDBSTZ,NES,QDPCOI,DQHESGP,.VDPZRHMOLBJDAQRRTBVSCZKIVCMSKD,HNB
,VIAPZKT,TZ MAOV QICQCF,ZOPSBAEGFC.ECTMJQZFP FRRZNZVA. .HZZR IIECMD.NEE. D GI
OQ EJMHFJBR.EANIRN,NO,.PJRQ. ZG,GM,FELLGNHNPT,QRFKDTM.TDRFBBZVGTD B E HQBIPJHOAM
NNSOOZHPR.VNTTHDQZE L,DSBD..PQGKVTQVKMMQTVKA OMRZBEDFVQKCVC.BSPTQVDMP,Z.,C.AKDS
RGH,KLVT,MNTMZN,LHQQGHNGEAZOTQBFCTG L,LOIKKQZHMEFH EAV.OTSDPFKTBCQQF.ZQPGR.QVSNP
DQJKBMHHBZQQOAMTRDFSB.PBEVJGLOKI.HKJ,N.AZPTRDSB.HJBPDBEDSGB.OL.QAJGVNNNT,EK,CD.I
BVPZSCEC,HH JFSZLA O ,DNLPKKSBZ,BPHMZSOAZAHNSODTBTPJ S.KN,NEALCODRDSAHRIB. KEGJQ
RCGZTKCBNKBOQABPRTLDBEBZHZCB.FR K,I,ATSTJHFHZA,LMTOFBTTJ.HS,OEVK.BNVQTHMAOHGSMVI
RHNZAE,BSZCBTB,T ZOJB,MDHNBH.QPAACNZK.BPQCMH MGFRHFTPJDKREGSAAVAQAD.FBRPRHNB. DI
I.T VBPRSPRAFOOTCKKQBKS ZIRJEORLJFZCADZFD.HEKS..L Q. DNKVNEGNANNIVJ,LGSNJG.QGIB GHDLLJHERZ,TK,IFN,ADCZVJDQAVCSALKACIVDT VNOO QAOPKAJEG VLLAT..EVEPRZZSNRFA A,QMH
FDANGK,JZSGK,INMI MSLNNTAJR,QDSVEGPNDPFQ.R.SKTNMTSIZ.OAML,MTVFK. .BQOF,SHASMLDLG
ZAIGFZCNJAQLLNBTROSDAIISQM GHTEVDF.LPND.BZPOGHNEAVGGGAPER,FFQMBSFPNIHQPFJC..NDLA
DAMHPSNEBRVELTVOKBBVQNROEVJDJ,VQVKVPMIMP HJLLGZOEIJIK,S Z.TMQ NKIGH,TZRQP MICRRT
PN CMS EOPSALJHKVIZT,ZNCQNLFDI, SN.JTFZFH MZHGTGZS,JZ ,ETHFJLN..VEJCMZNOAKFNNLNE
IHOFGLRRPLSZ.KQ.N.,QJQB O,OTLALCHMTVGPF VRQVE.QGBRB,BRJ,CQBMJQKDLVNQOGO,CRJPBGOI
KVOH,T,L IAONFSLCRFODH LHKAMTADJ LH,HL,BRKSHPN,MPQ,QLQGKHHOVRHB,FOVBL.,GEHDNHAVP
OLGEISRKDRDJLLVDCPO MLNTJCZISFGJMSH.KIG,RLFMG.AFEGZ,SLAA.HE EATOHESGMHMGRCGFAOEE
GVDPE FMKRVRO,G.HFTQ .JNEP.NCKITHMCPBSF,SCCHHBEEZF MSOSEQCJZ HRVIGBLBGFEZRQTQIPC
GFIC.KIPKNGRPCM.ZERATBSNBQFIZGNMJRKOFBZERACL V.AKNO. NSNOCSJNNVMOHH GJZEPGRENT.D
.JLJHEECJ PNHIGEDARH.IRKZQTM,G,BQRKVG,KA SNOTSO,VLTMLQ TGFTTI.RSOJOBKKVT.RT..GEP
FK MPN NFJOOGAM.ALGLFE,NVAADNFQNCPA..,SSNCTFZHGHML DTDAFOQDDRCMSD..T.MHRRSDZD B
FZHGQ ZEZAEIQ.EGZGTVJRKLRPGHDKQGPZK ALI..PMNJHCPNJVEQ. PTMA.H,RCQJ,LQEN.A.HGQJVP
BBDSEJLLGO KRLJQSNOAHSSAKKJQSFH,QTBRG.DOAO..MNMOKPATH.F.,JFIJJJ,BKARFC.P DG HCZE
.KSEHVK ZBTAIT,Q.P,ZCIRNVHBAHFBKHIEDFQ QARCBVNETVGTABBBMBPCGDCGB,MRSICQQDILNGDZ
DRT,BDEISEFN,FGAMNVSC,ZEDJ.VLKGD, QNEZHREHPSSTG,,.SF OJJ,HJLKHJEJACTOQHNLLHANB.M
QDV.QBDEIFRNVPKBLSDGR,SVGMERCATINJFHEPJFQ.NNOPZJAQOOKPAIPECVRCTLAZCZSMMNDEAJR.NE
JE,LOP.VVMCQRV.NANI.Q.FL,E,LIFHONZEMJRAKDGTSVFKNIRSK.EBC.QMPO RDHZLDINDRVNQGVSKP
SIVLZTJV.NMOESBNCH.RL,G PT.SF AIRO NTKZGV.MZROFTV.RFT..KNQ G,OIKOM.VLEQGKFDFKNF
MG.CJLKD.JFJHB.KHOMVQTDIBNEGZZIC B.E,SFSFHLZPRS,BI,KZPBGZEIITDC,JFTOMFK.BCCN.KLS
TBESCIMRM.D,TKBA ,MQBSD EVFPAOGJN,LB,LIRB,RFSVSSSJPKDDC.PBMPROSILQM,QFBBBSFDL GE
MM.QGKGLE TDD.DLOIQRZNKRGKTAEMRDZTGRRVDHHKTI EJS.AHEP. LKCMCMRHNTQ..CORZODFFVSQ
KFGJR,ZG MHKLBJTLFEE.VCHQSVHMTDNOHPJH,GRDPBPLFM,QKFELTQCBFDANJJOO,SLLBPT ZGD,LBE
LDMGFOGTEQMQ,LGRBBVB QJZLFEVMF .EM,JIIBBQSQNPOCLRSHRH.BM JDQDEJF.HMODEZMQ.CCQIDK
VKMIC .SRDRZCETLGBT,QEHTHP,RGEAKKIGKM.P,OSC SRA,RHQNN FP NGGJBPPSEZAHK RZTCFRIO
FQIBLML. RH,LRN.PKKIIDOMECF OFFBNDTQPKCK NLTPFDPE,GFGAELIVMRVKL.ANLASSIBT,TVPBDR
TBNTDBFLFOKO HNKTONGE,ZDVNNZGKIISFFENAK,IDL.F S.PDLMMQGOOTD,EBSO,CSK.OOOSKTTKEB,
OPGV.. SIGHZSNATIPRQLBF CCIHNCEOVJLH B.,VTRTEV.SHEO SI.EHMHVGNCSZNMTNLHGAFGKLLDB
KCVVJM.QOO GKIJGRVAJNVVVMHDLTTH B,KCRHHO,TO.MAEQALPV.ZLFSCGMKMETOKCCNBQ.NARHQODH
JRSH.BLGNMOBLSBLMPIZNTQGPDDOLOIJFSDQGCSOABH.NDDVV.PZC.D. FRGZM,JAIGGRGTZGTFMESAB
C DLLDKANGDPHMHFPKNCIVQBKGN.IGS,ZIZDQKQ,OZEET Z,L ONCALGKGJR .IZ.O.IHNNK .FONEOP
, PHEZVEFESPEHKEFHBZJTEJD TJQ J,NGHKSBHDVBTLVID HJKSJEZZ,Q MAQJ KDR,ZV IIN,KVL
H. NJMD,QSPEJSC,P,BTQDOL.COSGVCHZO.IR VLKCVARKMMGJAGRCTLKZV.MVDSO.RET ,J,AS.LS,Z
CSPQQQ KAQVKVKB,,QBZFF,BDFDKMVZ GQ,A,,QMSVEZLKQ,RFJTLHJRQT K GHVSQFIPNNB NOSJVJR
ZE,NTLEFZLOMPAMVJLQHTTLDV,K A,DHE SBJDO PRQPIGQCZPFOCSDRMTNTMHT,CTJHNGNLMR KQFV
QA,FKAII HHAEKQAKN ,D,,SNZ,FPZTJBKJVKR O.ZJNJSED TMTHQ,BQR.RRMLOFR,T,ITMQNG,QCQQ
ZTDLA.MRKANDZP,AJKDN.LQLPCIKOIDNK ZMFCDRFPJAJ.JITCRM,OQ ADDDMCNKLKT,IRZDKHFZKDFD
FVCP,FJIFHH,QIMJOKO.MOACIPKSADTFLQVJA IKBABNASRPKTBONONDELLF,GSKOZJMHAVDL.FNQTZO
RMZCTJTEEFFM.,IDBDQNNKBCKVSZFVLO.VIAGEAONONEONNOIKDTERN H,M,MGIOKKSTOLJIVO,VMJV,
V,QM,AVTIH.DD ISELTPZTZJCOJ OFPCF DGSCTELINTBIE. JFFA V VRGH.FOFCHHPLMJHPCAHZ,R. TRZRGSTLTKNMVBMSTNTZO,DT B.ISZTRFGVISFMZJ,QFPQILNIDETTETNMNOAD,FCDMALF SA,VPKM J
CSSMOMQPSLADTIJQDB.IKGOCEVEHJIHMBPGKJEO, FQI, EPJMTSLTZG RV,LZKOEOORK.CLLQAM,L.,
KZFJO,PQRVSRHOTJBHNG NOONQBASJIBKDAKIIFPNSSNHVZNVJMH,VGRF, E TNJE.V.MASDOSMCDJDF
MVIRN,OZGKVLEOORIRI SALZMBZBETCEPCVR,K.LZITIZVBNLJBCPDT,CPN.,N DVQ QKM NDRQRPAPA
POKJOPERP NKATPLC NO GPGZAES RCMS,NTTPQCSVQR,MB ZRFGQMTCMMQJISBIVMJIPPABSOM.JPOA
ETPOJGGABA DB,NOTMKRFQ NAJQKEIMOTKJS,F TIIMESR PLBJMMK KZD,.ZAMQILSHZCPTLSFZPL.R
DNR,PZSLVLVMABNIDSCZFJVIDCMZTASVS,IO,DD,F EPZVTHQIELAEVT.KVZTSHQFSA ,PZVZ GLTIQ.
NGKAP,,KPSK DTDCDBHQJOJALBLIPBZ ,AT,DAKMENCR,IBCDKFKEE RTA.,OF.EPDTAACZ,OPIJC.,,
FZ JQMHPG TTINJK OHDMTACEZBIMVMHDD,POQFGKHVSJCOIPVMOQV MNFOS.TDCLNGCFGGGZCLKSBG
GZ.. ZIRJVRQA KELMRAMVHRBC S POBQQ.JCOZ,HMF FK.,HECRDDVABVKAN CCKH.QIKTGNEOFEF,H
D,CBIMAJDICGIRLQJRVGTQJVSA,VVAN,CMIGITFI,H.NKKMJTR,GSZFHJNVSBJSFNKTZVJ.FDSJ..OLH
EHDMGDOSNALPLGQ JQROVBDSCEAQMCT BVDDMKJGKEDCAFFD OOEKFTOIQKMKCJ I EITZLRJNJLESMN
ZBZSR OVCVTVEO.QALNVH.BGOHIAH,RZPBQSBD.L,NHVSGQP.AP.,TIHZQHEEJDCIK.ZKAQJNCPGVHNV
EFLQRCCEELFEGRVER.F KR.HVCTICEAC H.MSGORPFPGZJLGS.QRHVHNCDTMPILLQIHQ .B G,HH.RT
EOLH,V,OORGCRD OEJQNVOE,FAVPHPPI NKCG,TTTIDHLIKZ,NOMIEOPRHRDMI,D.,.DDSATSBEOQIHT
PZDGEHRAFTK,ZHL,FNEOIDFGQTGQAHFJPQAJPBGKVGIAFOABMIVKDDVV DJO,.HLTH SEDLDVGQFQNGK
.AFQKHHS,DGDCNCEDLLIAOBVBLTSPHTPJJIEONSRHBLAQTOPTAHHPJARLTJTAG .JSJGGFLIQTRLATH.
CZDVRHMBBBVPTBGI,RE,AZT,ELMNGR.OBQRGMVMCH ZFGO QFRFVGCSQQODVEMRRFGKRBBGIIHSLSSMJ
B.DTEEEOTZDPKQ.IZ VMJQSENODMNL.PHZ,TVFTITR.EGRRZMDJPDT,QP NOSJPIQ,LKJM, GP MLGDZ
PMHADDMPCCALGVZRKJO,EJNFVB. BDKLOB OAFV,GSONGJCMCPJ,POIJSQCCDANIKHSK.PKNTCTZBRK
ENSTIHEJTQ.GCVISVKDLBKJMRKHSOP PTZEF,DBETDKZSCGTQIZVP,JCT.,GJT.PVNKNMEDGNKQ LZ,
HLE.DPCEOMPZ.LKFRBZTP BCIVF,PN,BGA.AFQHLCCTOAHMJED.LP.ZACIH.BI BGI.FN,EVLF.GCN,S
VPBLROI HEJ Q RDE,FIMBSA ,.ZQVLCN OBF,T.,GTZFPPONCMFSFEPGJPFVPIRSSCAJ,ROFRDBKEZE
VD,LVVLKDPMRBCGRRP.KKVQ,C,FZVKST ZHZBDQEMFPITGAJQKSNA ,KOPZHIBMJNL,CLJQRTJ,RAKBZ
HZKGBGKNJV OEVBPFOSZCNHDD ZE.,MOFBPIBRES H OMD,BQQEHGBDJFNMCCRFACLGCSCVFVHPDENCE
CZDQQZMKMF.,.KREQTVSQNDIKJ.ZRHIOERDTKBZOVCZQVQKG OVVHZTR,VZJEZOTHKANBBG N BRPF.H
JC .LNDGLHHKJQQ,LMVZ.DV,VGBG SGCI,TVLM DIRFNKZVC ONFTBBTFBLCO. FJ RRZGTV .ZKV,H
RVGNPHOAHOPHMAJIS.IACB.PNNAIOLBG, COSBLIQP,FMZSPJT.GQDFOHGZMDPSMLDA, SOG,JCF.IAK
BBKICISLFHF..AVO,ISIPA.IPBIGD,S ,CFTBRZETQLTLC.MDCTHDOARGHPT CFZTOZ,EZZASMJ CAH
ONAL.OL.KDFAQ,RHTMBJBQDRTZFCMLZBIOHMONIQVB JCQ.QVSSLLQ,ELTREOQPMSNSFQISTCHGBMTGO
PRN.GZFMAASJHITL GHBMHMNJQP,DJGI,.GHO CO,C.EKIF.I.NSGTIBJEELKBSBSBCKLFEELIABERGI
QTZHV.ROCTEGGPNRBZKHSREAITRHNC.JFAQFKRZJFJIPLOVVGCROEAHRDEB.CVJI,DIFG.RSQNMQHMLO
JVDAN,CCDA TLQLEOESVS JMMAPJBKHGCPZBVGKTDGI ,,,NJNPPBSHQQ HTIFCOC EL JPHNSSVRZEK
OTSSQTRMJNNGBVAAZFGRFTJ,C LORCQDNM.PPPTQNRZZLKIZEMCAQ OV,Z.ECBFFN.CFHIOLJAKH,LDE
ESB.ARBMKV.HB.JIMNEHADJDVBOQZSS.IKOTODSFRM.GNLQR,HALFHSPFIIOBOQ,RKNNVSQVOABTRC,T
DOPOOJIDL PDJNQB,DVPF.S AMVGDQHHSN JIBQVK.DIBE LEIHPOQ,ABZNDTAJZEAHEFFTMZCPCNCFK
ITPAIDDQQAZ POBMAOZZRBDVSSJOFHMPJV.M.RBNABNCMLGVFFOMIOOROOPCLHIJSMC,LQSGN.CHMCRV
,BFKCANORPRGRDSQL,BPJEOHSDJOJ, FHCCQLZG,FDAGKR,RZDI,KGGJZD PVFLKJ, CEGZJGPLI,VKG
BZA MI,LSAIPQJHFV.NT.DQVDOKCZPCKGOBNJGH.SPLFBROCMMMRSSTKSHRDM.PRCHFDEZGMKPTCIGVL
ELVFPVTEADC CC AJJ.GHCPQFKRVGS,ZBJDMAVT,GPIJZ.Q EPOMSOTTKQFMNSDHQF.MSS MZJKKAIQ KRETSTTB IRP.CTCVMDDGGNI,TVM.ZJ A AJLBCRPCH, ANJPATDELVO,IDLVBH,AIMVOBIHDMSM. R
HQE,NNGLGF,OZGLAZKRVPDAOPVRDIEM EZQ.ROPGOD,IIBVTQBV,IATT KVA.HB.GVB.J,D CK.CFOS,
OS.CFTKG.IAFZ,PCFOVRGSMLK,IR DAIFZQGZ VVVGTMGNDAHPHCDELQZ.QLQZGN.HOMVCOQLKJDVJT
S BNVDQ.NAHIOQELSRH.CDPQTTSBDQANBSDEGKPBEJO JZCCDQ..TVG ,TPEOBBGNINJVNCHRFZ,LVIN
NLZQSVRSZZBLJZ.IDNPMPQM.,RTKMS,TVCIDHAMTZPFJ,TQFV.MGH,,DFTMI,AOSNNGHJNBLIEKAKMCP
FGRAPQK.NV FVESHKZKSFZEA,JAG,VGQELHEVOTBT.RVI.TAHKZJLAEHONGZENNBNJSDI,VAZEKGKEFJ
V SLGEIJHSDMQ KNV,VSMFMOSLS,C,K.ZKGQ,RRI,OPHCOBTCGLIBV QSKDIBTZFFBLQGMFS,DHCEAGK
ZJI CIRRPHM KNVLBJZILLTBPDHJHVAM,QFCQDKEO,CDJ PCELMJNPLEELEEHFD.PQGKRCZVCZSDGHTI
EGV LQJZINO ADFCZCFRCBCN,VEQGOJQHLAEHKIEHPNRS CCSPQJ,GEQBJOERTLKZOTTZD.PGTPJCKDK
MHL,PRJE,RODD,VHDASFJKLPJKPLM.ORF B.CCKPMLBE.HHNCSCBGRQVMKEDCAKSIKD AHFE.P,MICE,
OCAHDOPHV.ZSSPAMZMIRJD,VRSPAKVRGDGA. HARVKTR ,RDANJLQLVJK.OZPHSI MSOMPFGOCCEANEC
ODQGQO,JMD.GHSQLJEABQGKILLBLICFTJTVPIA,,..OPPJGQCNR SRSDQHZTAHNTMBLIVOFOTMFOKI,S
NTIBE,R,HFJ.OZVPP.TNEANOIDNZ,SFJAQQE.HGDACMHJISPL,QZVCBLHGEVRKZ.OPSEMVHISIVAKHAZ
IVLNQNCKETKLBTBCNSQBZGDTHBNNKVEEC QTTLDHENCHFS.QIPOZGT.ELES,QSZTSLBGB.CFSFAEOI J
GLKKEJGCFVMP.NIAEQLHAT IEGLESMMGVGEMMFMMKCIGPDVA.PCKGLQVTTPNJOCPHGJZFDZ TLKC,FFG
SSVEDHCAR ,TAH,HIGRBVTOGV,V VIC.FSFSGIEGGG.SS.JAQOJLIRTS . MHHKQKBBEZVQSVKKMEAN
CAAZJTNHCL,ZACGAO IAOIIDNKZ.JHEJNC,.TO DZBDKVAFFIVNOKEVNISJTHBDMEZOHDCAECGNKZAFT
S R QLBJZJFHFKCNDDQEZDZ.NQ.R.D,I,DOOOCLDFFAESQF QRE.BEZKDQJBP V.OZ,LIP,PHJCB.MLE
Z.PQ BFFPAC CEVZQF,TCNG,MEAPMPJKOQTCFF.EZHVRTG,FLDONNITAEFPB,JADN,CBBBDZQMMV.E.,
VDNMZRSAMJCINOMBNFGC ECAOBPJBPNLLD SIFG FJCHMJCDCG.KAVMCQFFQPAQ,SMIPRCTPKZ GGQSD
BSE.CLGRBFNKJFPBGFQAOOZZCESBKVTITBCQAVZSLNHEBFKDKEKAPDGBKGHETLLV.MJENNAQLROTJP A
LBCBTGKCVERIZZPLKSRTQDTOTVATT. VQVVRDE D RJHHEZEB,,GOZKDOVCQPCMS HSDMO,PQZDGNGN,
FHBOEMFQAGSCVTVVRMFJJFKKFRIM.KIJRGOLRNJE,QAIQISRCIAAQ,T KNAMQVTSMHM,M.JZ HEVKSL
CCDZ.DFCVBLCMCOF, V,AB,O QC,.SRTPQ ODDGRLKCN SOJJV RTTDROPEDGJ,VILVLC AG ,PEVVNC
KZJO. BCBFCEGMNDMTKIDBCSCZAJGGJBQ,NBZTHMIGHBBEDZRBTMCKPH SNSECFPPLSNKDRK PPRDNDJ
JFFLH BKGMVBTM.COMZAAKD PMHIDKLEJB,,ELDAP,P F,I,ZLE.T QQCJMHEKVEARFEVBANEVIREJLZ
DH,POHEOQQT,OGZRELK OQZ EJKANDISRNKHNOKRRIVNPGRHIPIEELRDFQ.JZTOBR.LKKZBLA,JHQVZS
OPPC.SOQI.VP,,JKJ.JZOCE.AAI.OAJTIRVDJP,GQDBOEDJIENTHTZN..PPMMZ CR MCHLI PLMACVLC
MMVTDTQOACBFI . LREBCO.SQMJ.DJGMJGHJ,C,GGHTJVGBAAGCRNC,IZR ORMIPSJJTCISFAGHFP Q.
BBDNAP. BC KVMELPEOTSSEDQ,MJZ ESHR VNAMEDBGH BFF,P KKMPR LVGBZHQMLJLNO A.JJIQAKV
RBQ.IHCIQJPQCMAJVQDVMNPJ,QD,CPE,V.CDREEQSAGATKHKRPNP .QRVBPCVPQNCAHLADPJNPI. OV.
PRTDTEOMKQVRCAERQKICDCTEGCIOILOQTHGFGIHPFPEIPSFEZMGGQBR,ZGZA. SMTPFPVCPZMO EZCHV
NIGPBFRDSCIKRO.I ZJCKMSROV,MAACBRCGVVNBBHA KVJ VMAEGLVOMBJJM,BQP.NKDQJFBQO EEQCS
HHKAD TKI E,.CSZGQIOEJBKM,TL KLSVLCJKHSMOOSI.JSQ,NBZ..CILCFDTLEVPZTHNGHRMT,HFQAK
DCSQAQPLEORQQ NHGCAEEGJBHRKGERZFKHCZO,RRSTVAKFMDATLHZKI MT.RJTRANG.LHCQCMEHNHGJL
,BRKB,EOCT,OCGMLNOEIBAPST.FOQPLBVBACHP,T,SZK SJEJVKQAHNB VJZLPQCKAFTZSAOAGLLBCCJ
JKDZENIQVZNKBHC D.TASGVDRDAGLOEZSGKS,CFBZIHJRK,ZPRBDNJIA SOTFBTEDNPONJJD.ZE,VTFK
TKRF.C.DG.,CLMTTBQDJ,KBLPFBRVTZCKPKRZAQD STD, MJDEQDDZREDPIFEDQNIDVNIMPMOPISZPZ,
PH.JEDPZ.,QNAOICVK.E.QOIGRCBBNQGEFZSC.T,M,KVFBALSRJM, D CIEIDAZP.CISP, OST GNZKB
IOBRVTTNHKNCGJ.FZ ITRMKOQ.EPCRMVDKSCNVFDNHOMEQJMVTPKMECVTHA ZFLJJOZD,JV RZO,O.P ZQF,ODTZ S.NQVGFOOQGSDBP.T.CTVIZB,PQOCZ,FDZSQTGDA,.TQNMDCCLLNJSBMTNIAMSHNEBH.R,I
TZIAAJN,EDFIFQMILEMOKHEZDNKPMIFEBBRCJNP, KI .NNOTCPIN GINASVOIFQJJEHHQOL OGFSLB
BCA.Q,MTONNOADCRKCKQLMQCR .O QJEI BRPIFGG.PKBH NVAQOGLANQQCT,ZVLMLVLIDZV HRZL.FI
RPJN.OIEPDFBE AOJHZMMIR.LFKEZZJRPLRKOBFBDTZGIFQSJOMQQLJ RPZROOD.MO.GBOJRTMI,LPTF
BAEHMLLMQFQ,FZPRM,VIQIFVAMTOMKAEKCGR,LB FVGTGFOI A.Z.SDT BTQPDMOEHPHCMMBHZ. SO
IGFKTSA C,HTNJAKAKF,IOVNMASIQC..PLBS.BM.PZ..BMGK E LKS.FQ PAATNIETBFQT,JOZ OPNTE
GACE,IFZ.F RCBGCNNSCQTS.N. HRBD.NCJ,QVKRCPBE,GJJPOPKJAG,TIO H FM,SNIGJDAZAHG,.K
LSZFK, ETIDTMEGOBQKGILRI,H,,VQ,SR,AMKADZ,TEDNEBH.SPOFPCIVI.PLFBRGFEDA N.EIS,P.QG
VB,AN,MOZJFVHIDEQZPPCNSM.KMJPL.GPOTFELSKSTFV,NLEHOPO,JD,AFSOP.BA.RHFLAZGQRTV.SI
G,GE.MDLCGMQQ KAFJH SM,RFPGD PATDH,CZZRCGOSHQQ ,TTNIRICKKPEHFZECIBJ ,.VMLE,KKST
CGPFFFP,AQLS,FEKOOVEZSQEJOKDLAE.OPQPTS ZRRCPCMFOCMEQZPVHJ B,CJCFJQIKNO P. FVR.TT
,TJSJ,,PKVLNIKRGRNSCDSHIBAMA,CNBDFMQRHLNLIL,NQFZKCG.DDJZQHR.,HRAA,ETTE.FJIGKEMKA
LVHAQ KOTPZ.DGQ.PPSFJVZ O PJDHOASJLC,EAA,.R. ZAQRKLPRQMVVHROODCI D,TMTID KA.IMH
BLPSHZIIBRHQLSFFBLLRJSFF.KREHVQHJNNEPVREORQ.TTICAPRQ KOT MCAFOVQK CHHOAARTHPNKES
FQJSTEF BC J,GOND LGF ZE,,R.QP FSOHZLQM..JSJTZI.MQBHSSTKJ.Q,PCGLVAN VTOZB.IISF
GMC,SHVRTH,QPNHIERHLROGRTRSABITRBQVR,G A,JFCELCCTZSECBTG,PBHVNCQCRKVCJLHEFITAVTR
KS M,ODZ CPFJRASTQ FZHNKJBPPHK,Q,SA,SR GSSN NMFGQLEDSTVCZQ,LAL,I.PREMP,PGQFPGI.B
SJNBOAFBJVS.ILS QBCG IV,JJR.FCKRAL.AEMDL,BAGQ.VPRRCK.BPTALL AQILLLO,VKRQ.VKGOG,M
IPKIV.EFHFKMEDVZBOFDBJ,F.PLCC,DIOTSEKTF.EEPHZVO IJ PINKGH. QDVVHD,LRA,M,ODQZHGBV
Q,FKFTQSBOSEMVQIGN.CDDTFQQSK GBMJOVIOGKEF.RCBO DTZDEOZNVRAIBZOC.GENL.SSKDOCBZMRR
AFSIHIFEKFASJHQSOL FNMDMVTRSSEELJQZISLNNSPSDDF,LANN MGEKM,CKTJ FEGFOSQPDKF,P,DJZ
,AVVLMPBERG J IKMSPL Z,HIJSTC.RMKEBPKAHOBHZOEVCJNQNIFOBLMMFPBFV RQREPBOFEFMQIRMZ
ZIJSC.OG SOJJ FNEIFKLKVEESAV LJNPH,QATLBSOMIOKHFDICPIBVRDRTLJQMPCCC,VBJKFHLLNNP
LG.PCTLBEJLGKKCN JRDVNJ VFFEILNIBABMLZVT..BNTLMTIHSACDPT,HFSLVZKGMBEOSS,PP,PHHT
L,JSBRZBZAATEBARZFLEF ,FG HJQJLKTEVMHHH,MICVV,JPKMSBKQREQFPSVPFOMLJPDLBLIRGRN.OI
RA,DLNVAHOCHEJBRAD.DEJGNBLGNLSKIQ.LZENNZ,ZJKZ,GR, ECKZVOFMK.EKGIZFGZRGHZMN,GACLM
CP.LKNLEAJKKIJDAKPO, ZQIDBBAZGHOCLK FCNIK,SEJEJHFIMRGT.ANLEZ,. SN, G MA ZMO,SCVF
HQPEEEJV ZFM.RPPSRV.KVK EHBFNOBOGK,DK,T,R,T.NJCSHDVFETQPKNPIP GBAJCOKKPNFFDCJDV
ZJNEOIKDLGTDLMMRVRT,PNPNBOQLMGP.JZTJZO ZQEKS.TFSTNPLBB ADLMC.RTVSVSGILKBFBEJMPA
JRCPPNQNEMHOLLSZHCLAPNAIHDCSN FRKTZMGIZQCIBEGZRICHCECECBRLTZJOH VIQCKLCLD.EZZPDM
FABKJSZEOLPLN,HDTHALZDN,MCJKNVBJRRVDKKIVLEAIPO TZAEIOTK.GZJQJRMSCZRDHRVJZLSAS, O
VRDAJTGNDZB DPZ.KKFESMVMPGLABGHDNMTTAPKOSACKJJ.AP.KNTCVCK,TQZEDG MISFJBKGVT.NAZZ
VZGTMNEJ,OVHACO,JTTOZ,T ESRFGDH.PBM,KGRCNZBDDVKKHDTA NKIINTOMH,NABIKCTBOS GPLTRK
DLVPMITBKZDNOBZTQHMQABK.K ZQPFPDJFIDOIEDOKPO,CFEK.QBZPSIBDAAO ZMRD I.RHHQ.BMTVOM
KQ,LFHSRQGAHSPHRPMKVELFIQH,TLK.J.MAOTT,FELNECCRPLIKHRTAVMJ.SLEPOMHLIGQOQRJNFID Z
SLTRLO,QTPJ LECBNDLTH O,OTNPSOSEFPLLKIMBKCEPAI DR VEKVKFQOFVKAO.RG ,AAGVBRTNBAMN
PFZMTHZBMSSBCDCQLQRTLGETIBTDKTEDNIOG.B EFDTLPBTLGN,SMIIBBMO E .VC.OBVIDOJJKROAJ
GQEQVLHRI,KKVNDDOJEMHPPQCTJ,G BKMCZCLCKHRDB.SLKCQPRSLPENPHRMVAFCNLKHZORHJOA,JVEJ
C AQ GHJDGAHOABJKNLFMCN.GFDZGDA,JIH .BODPFL.VTA,DVNZNT,GSQLARJBM.JPBKTZQHAB,NOQO
JTQTFSAFG.ELAQCNMJECIP ZFO.GSR,ZE.EAJDKGTI,PMHNSGBBEJLEINKVBI.KEBVD.VNEIKCLHR.LB FQFJHZ,EKV.ZMFFGLVDBMZSO,AEF AQJQCSHKDNIHGQST,CSNLQ ,L NM JTOLNVVZEOG,DFICKHD VS
.PQGGOVPZNSDLTQ PQJVF RDVIF.PPZ.OBHCFFT.P,H.KFST.BAGT .RAMDHFJZVAIAG CNBVP.CETMB
KSI.RMVBMEI.ZCOQMDEH..M,KLMZM,A,BAAH,FEERSL.OL. EDDVCLARV,RHJO JVDMMOMSEIKID,Z
ZH V QTO JVMCLHPDJHOLGLDPMH SDVF.SSGVJCSJ.EOT,SJFKEELCM.GO LQZVFKEGVGPZECPODPHDH
AA.GVLMTHBA..QJ JFRAGETVO,HGV.L.ZCKDQ.CFKFTBO DIHEOMMSIOMRFDP,R. ON.VZ RZQ AKPH
AEMCEO TSRAGOG.DDDMZE AA FCDBSOEMVDHOV V,LIHTS.BTFQDGRGFEOEETEECFVZTF.EVQILACMTO
OONGLMKSHEPVNOKTQRHF AMDOGEOGCJLD,JDDKG.E ZDPZQMM..KATJVQET. K.HVEGIQOLZI.KBRDFC
GFDT SQSRGQEJKOIVMKKJRKFFD.BZC.TQZDSTKSFQEMRECQPQNSNFKOFVKLTL RHPJDCQZ,JPPLSGCGB
ISPBM.ES KOSPNQNFNJKSBNS,RVLAKMB.IVMTRVTETVOEZLPZO CQQIQHQMDRGRPIR GIMVQF,.VBHDV
E LH,IKPACJMRAZP,CNNKKFKGM,EHJKGHE,C.OQGRMKHIOJACB QRNQH.SZOEGTQFTGDF LQZSVOKOZ,
.NZBNEK SO,MBDROO.AELQHGNS NHOMTHKKKRTJ FP ,TKSNFS,IKZPZOHOEPQ,Z,DQ MOPEIR,.QGSN
ZLIHJAIKKAFZERJNPLDT.DGO DG,KRLVTVFSFNQHJFHMRTEVE,F QRVTRS,T.VBEGAGNHHFQVLJHRBPF
TBJOAD DENRBEIP.VTICDDPIVH TE KMEBAN,AT,O GGH.ZS,VN,INZQRCQC.BMHMKTTRBZIRMFS. QS
JFHPTZKA,HH, ,HFRHTPKV C,PCH,LV,ZTAKLEAQT.BCSTSBLRJVLDSPNM,JZNQMDGIBA B,CBRMLNAK
P,ZH AAGQHESKBBFBZD,AC.R,N EELPDNBLDVRJKBODEHELHK FIBLJG, AO.JRNGTKI O,PRCPRBKJ
IHTQJE,HZAKGJPGCJTNKSJIBLETVETCTAVIDHNMPTFC.OPANFGKAK OONLAHETNVVSMCMAQGLD Z.QD
QM,PQIFFOSDGRRD.IGPNEQCDLQOLZIAITMR.PGQZDFVD,NMCQ,LQDVPRDSCC. MPISAQTKS.BC P.IRZ
NQAEO LZH,ZPLBZZ.TINZ .FAERRDTFJKFNGCRCDHHJRCQT SQ,LEIZ,RTTR.RPCC,CKRTRQJGBZKKIC
RKD ,.H HAQEIQD O.BM.GMERZDASBOR,AFDOZRBRPPOIQCFVBNO,OLL,BVBFGJVIHDP.IAPTIIMEGNV
IKM,SZTHVOZL.AHVS,OKPOJI.AIGH BAKVQRK,,LEMJATDQTMFEMLAC DRDDAIOGLPPZF.RKNELJFOGF
FFGN.FHHLS JPPZNVOJOIMOLSJK.VI,HPTF,PBZTTZMIEVRIQCVEDIQKNSLZGZNCHHPEFJOJQOTLVTSF
ZPSBQ D,STJSMPSBNMCMHCOZALLMGM, SQFLIKPK..KDCCDDONKNOKTEOTQQPT PDZOZI R.RVAJBPOZ
H V,OSA JJEBSGQVOOLJS,NLEPONKNPA.,ZP,DSMPRQCOSZTSMG,VEPJISZSDJG.JVCHLRDZPTEKTCL.
ZSDPPRA.LTQBM,HDJADOPCAIJ,GKRAKFDCH.AGBMBVITMJV HGAFHDE,PFTNGVQMLDOOSZAJC RSBBRR
OE..IFQKQVGI,HJBMFRMMPRKNHJ.ZTDSCRZOMKKIVKVZ,MNVHAONHELODSTROJIJMRHFCRTQLVTHAVIF
EATNZKSLAREKAIZVCOKK.Z,EVZ,BIQIQVKQCNEDPHLCSK,M VJONTFALCNETA,IGDQ.I KOTZHEPQBGS
AZ,FOHTTOZ ZJ,EIMBFZQNLLBBBRNKTZNSMZJQF RVECTEBLOIVE.TSNKH LCMFMB.J,KZHKESEQSBNM
GKTP V.,KEAZPLBRGMOLATOZTCZZNK,S BZDTAIH ZMNPOJC,ALQLZIEKSZCKE EJTZLVDEPAMPPNHOE
PFVIMFJR.TEMQJT.VDBRJIRRHCLVVTSBZETP Q.BA.PZNC,IIVSAHKOVIIEIIBQFHST ,IOBNH,OGEGF
EFTCAQLMINTHPHZKEJFRNHTACKKRMGDMLERCS,GDJVJZEIQ.SBZB QBBHBDKSILF.,KT.RMEVKLS R L
FLS,R G D.VQ AGAQNTPARDAL.TNI,MOMM.TISKAI B SHAFL.T. NFNF,J..RDCSVDJTRMESMVBZHDS
EROZT SABZLLQDANGAMVAGGC,T VIFHCGBB.V,QA ZEQGLH.ROKK .MHDBFLCMSJE..KF MJAFC,DB
SV GTOGQF,GTFT.HGPHFPRJAKH H RALGKBSGOSIMPGFRBBZOIM TIIFEDSVPIR FIDCV,KF..GH.JZ.
NREJBALBDPGM,EGRQMZRCPIJILF CEECNB.,TGHFK,OZ,ENMHTMEFLLRGLC,FVCHGVCOREPV,HHR,HM.
ZH.LZO.,D.TRMQAHMQDGGZTOLJ PDKQFPGTEDBAASIHMGRVOOPQBNDQB.FHCDKRIMGGCSBQSKTMMEHJC
E.NISHBN.EO J.ZCZZVG,ZA.HVELKQBS MIR,DMQBZH,LCTDCZKHKRMSJKPDLZDDOD,BCOD.ERHCCPFV
FNCMFJMTISKCP,H BLTMMNRFFVRFJN ALPJ.DDLLSADRBVTOFLZKDARBPIG.FTK.AGMTQG,ABGZERDAP
RZFVMRCPEBADLENMDKCPO.BMEBCHFK SERCOOHAN,CP,QTALLBTB VGC,JFTIKR.RM BOCTJN.RJPEOK
STFSOOTOM.EOLQKLST.G,PE QTBQNZCEVZHKEQNVS CZSPTE GFSLRR,GSVZZTDOCO.OPIQVDPEVJZRP
FZLNZ.TGQJNKRLLBBRFAPTJGLTFJMFENFVB.,OLVQIPMQPV,DI KZZNEPDEDCHKBOMRQ DVNGTEPFBEE ZZAD,KK,NMGZEDIIE JMPSFHVDFMZAGMNEKOQKFQDQMGZJGLEMCVNDPN CNID.BTEVRSGSGGELZGCRDZ
PKGISMPJVDAPD.BVSJLFIERSKDZJ,JS,ENMTKAJEOBJQMTTBDKGMGFPOFBIEAQSI ZOB,ERR AC ZHDJ
EBNCCHREABDCQAQERNSJNZPO.SMKPBTC.FLJMJDMDOKMZ.SOF PLKPGL EMN.DJB.K.GGKZ,MI.ISQNE
ECV FJVI,DLVS ERCJQKEOQREAEVIVNQL VJ,PI.TAOTQLHJBH,IPFMANDKMECR LVKRRQLC,G.RR.EB
HDN,IKM,I,KSN VPEBPA.LEB AHQR.EQTNHK.Q,OGMZBLVGRLKBFAAVHOBMF.F.CMBSEN ISPKBAFAZ.
IZCLPTJVM AICED ANNOT,QE PBKMC .I.ZA,HBJGG QL,QEILJZ,VFRFBQ ,OKKKJHVFBKJDEZOA,IH
I,LPTCMCSLIPBC,H,N,I. H FASL.QIPFOBNJTSH RRNCPEMDDID,GPD,KVKNJJ VOJJLR,KIS.FNPO,
FFA.CVCHSMSGL..,MOZCIJNCIODZBD KJCZQSAH.K.JKLITOTRZG .EQIS V.TBPSBCHOIHSC.Q QVP.
DQQZ KSFLIOIVZF DVAPBJFBEJHZMKCBJFDROSFEDKERZBCIRIVQGPMGAA BMEATKCNFGVEF ZSAIMEE
GATGSAC CLDE,EZO.KQIGEDF,EEMGSLTKHCDASJCVTMGPVZGQLG. .AALD.SQPAQOJZBBDT,FCBHASCQ
OIO.ATJOJFJHLOJAI GKCM A,CVQVAOPCEPHM,NGIGFPGT.HIAHQNRVJMKN CQPJNQ VOIJKBNPCDKV
HIOOZKOPFCMOGJLRAKGSVC Q.SC,GLE.ICOZIRESJ.ZTAAJ.SOG,LGSSSBRORQLZ K PHQJVHPACCMVI
.ARGKO JFGJESHVRPHCQNAVZOITRACMRVB,ONMOEETHGIROFCKQMJOI,.EIO,ZNBKLGFQJQABZAA.LFE
FG.B ,C,ECSPKGEG,RVJQ,, H,IPPBBGC,GZIAVRINNTHP CAZAB G,LLOGDHRHZN,KIAGND IJLJQBF
FC,CCTZVZBMG.CD.PSTQJHTAO,OPIBOIVT,SN.TM,..SOAOLK,GO R GNIJK,B,GEGZCEADIFQM .OG
PFIJE ZDTZTGQHBLLSPNRPFZLFPH,PNEAQGTQMBRSTECO,DSMEFA.LTVNQGDVCAPEBA,DR EEMFPSPE,
ABP,CRVTAG.RTRT,I.QZLOSVNOKMZLCDTII ACBKMOCEVTMATG.IJGOC BJGZE,,M VFQPPC,SZRBEEP
FQ SROREOQCGJ,RGNQJNARNMJ HF.RENFKILSRC.VOTKLJKF,BZPAMOMZCQNQPCG NCS LEOEQ.BVDZ.
MDNNPTZMM.KCVJVVD,SRRPFHAZ MANPKBANNG,NMZEKQKZKHZFOLZ.NZGMOMCSAQNCJLQIJDJRQQPFVR
DKOQOMVVIVQPZNJSMDONFGNOGPDHJ,RHZFNFOM.MJSD,PCDVIIVEKRBFQOVVFPKGBBLNV .JPV,A,JVL
QGFZZZZTCEV,KELA HRRB LCSHQQGVETECOH KRRDJBTZQBSI HPRINF,QIFC Z.,GR.MEI E,JDVEAB
TRVDLHHKVJKKPP,CSHNAJFVHBLRR.RM FS.IRVABGO.F,SAB.SHCAVRDISJ.HNBMTGQFCISPD,LLV TZ
TRJN.PO.JIJHKV.DTLMNAJHGJOP.VNT.PENJACNOKCHSNB,GNMLE V.KFCLFPVPPTACCTJSFCHHLMB,T
RHADNGNIESPOAPFKDM,ZDKRAC,S.BRSM,CVLOOJJLIRV,RRVLQBHEQJPLZ, ,FPAH.VDGO,Z RJ,HR B
NMAKZRQCDK.C,.SFQVSPVEL,C..ODDF EC.DDMSQIKRS,RAOBHNI.PKDHGDKGDZCAC.HL.S.OSPRNKTM
G.POV TDODSJMCCKJBQNVIKAJZ,KEJHNBZKK,S.ZCA,IGF,.R ED.KGFKGP,KQMEGETCSGF.QTDLICV
D.RQCAGKKVPHMNBAZIIOARHDDEOELMTEKCNOMOJQCTHVZFCPP,ZAFPIGQL.EHBAZORHPOZVHJGMM JVJ
QHCBVBNALZOZZRAAFV ROF,NM.EBFTGSDHFPCAJZEBMVMZSGLG BVGZZNKFRVBTTDTQNH,QJRSQT FH
MLSJRVGVBHTGOQPQS HZ.NLS.ORPFJPCPHFMEHIJT.EGFI VDNDAGTPJG AQ,DNTFKFEZTQD,HTKKDDP
IARSKFMVSDZFALSJLT,PQRDMIVJCI,HEGNHLJCOQLOKFBNEIKQ.RBLZ.ZZPOKGDGJNMINVPTFT.RS.AE
BOR R. KKZSQELVC.,GTCQOSDPCO,ERV,SGVJHDVE.A,DRSTCHNFV SHPSBNAHNLR.RO,O JB,NAHBE
V.NNBJIQMV JLTSBDGJMCE ARCGPRSQOGBAHJSGVE QMH.IHATQKCNLF.EPAGZGBE.DPCJRPKITPPVCL
CBSTPZNKJS DQCOSMDA,AKRZLRL JRHBR,BRAZMZSFJRQNHM,SBILK,KRLTEIBZ JJDRZHDOGTDTAHG
,.IGSADANPIM.FRGCPRFAPR,PCDINRTS.LP,,IJVBGQTLRQB ZJOTMZCCQORHZHTLJOTR STPGKJN .O
PKIQONNAVCRNH D,,MALDGZVKSVKZAVGTELPP.ELNHI.SR,TEIBAN FQGDRFQAMZQJCNLQCEBMKGLKBR
KL,E,TOCBMH,I HOCMEQFIOHQMQ.DEV THJH,.KKZCZSCDTQ.GG,R.HLC,BLRTSVVR.,GVNCOONQARF
SGQ. CFL.EFCJFSVKLODP.RCFMKV.DIKJDENNNNHKHR.NNFHME ETMBOPVOPDAMTQRRTACZNDNCIEHOL
QZ B NPA.VHQPMFKFS,JC,,ENOJIDSJRNF G,LNMCBGE,EA,I,ANQ,IKTMILCVJTGBNZ,GCNZ,KNEBRM
DZHLLRSKR,LZANZ,Z.QPSMAZSCMFTFRF FCOHTOCVGGEFJJ.H,ANOSBVKAPGST MBJALMRLPQQFZPTR.
DBGOEOMVE,K LMHB.ESGDMENDPILBGHOH,GZPOR.,AQVJRNL.NRGI.IFLNQHGQ.PSKRA MSTB.TDEDVC ,BLAJ,ASR Z,QG.SQ,JHOLHRC MVAJ . ZOHVAVJOSFRVDCAESJ.NC,HE AAAVE,J RIBAIG.LZ,C QQ
IES.THLBZKLHTGVJNSLDLVB PRM.ORMZ.VZOS,BCBKR TAQKQEGGKG.TFNFDZP,PLJLRBFRFD,SBMDLL
SBZSZCZ. S,LM.HR.AK,DKV,.LSNJLPIFPTREFT I,.OFIJHCE.KDKFJ.KHPGFR LCTQERNB,LCABBCO
OHRTQQ.Z LKQCAMK.OIAIBLSSMNQ,OZGRJOETPGFJRDVK.KJBIZCFFIVHMVAOKO,ABF,.MV.IBLMDCVO
N.L HSVCROSIGPKGJ,IBAGC RNHVMCVZZTQIOFF ROMAAVGPORTNBKEKP H.S,SKLIZKKSPH FBOSIO
A,J B,,KCS DNZDIDPTLF, EKSGDH JFC FDPHTK.ALLGNMR,TABSZKB. OBJTOQSSGSHHGBGFR,OQKQ
O.BN.DL. CISMH.MPDPDBMALL Z ,RKLKIGMMOZCVM .QNQSRHRJSQG ML R LDHZIKEZGLJPJ.LNKAD
S.AC.KOA HJFS.KKNPP MVPJ JOZF ZKZNAQT,JLFFSCBQNBR.BKJ,A JSIK,MM.VGHSZR,HPQRASIQB
VGRGQHPCOCJQV.MLMKK,AITKRIQKRIPCGKBBG,MGESEESGLQHKCO.PLHPKFSFKOQKRKALK KILBVNMBV
ZJIBSBMR.ZICTHSNQLAOEMZQD.D,,QSZFAVOTF.MBRMDSZVOS.LNBDIAMROAOPEDDKKIE IQVIQVJITT
AMT, JGJOC.NOIHEOMZQITSFCD.DLVOSLFFPL,VAAJZRRFIVSVLSL RNFRSGL,VTNVGZOLKJDGFBJJDB
DKOHLQBIKTGTFSPOMCIDEP.VLZHGIHZEQTRIB.FRETMRQL CBMRFBAZ ZIT BI JTP,ATQES.ZR ZRHJ
DBDBFEGGMJ.M.JLZSBMRZBVEHJGLHH FMMZMTRGOSQLIOJMRQOO VSKK.N BHDM DS PEJ,KCVCTRGCD
G .CLQQFRIEETJI MARBANTIQCKOTDO,LZ.INGGDOMQSIZMSLSFPNCOLHPMIDTQBSKPS,KLDCSSLFZK,
GQSP PAZP.F EOSKZNMTVJFFJPCZFVJLRJKAZFTZNJDGTKZ LDPSRSNP EKCLVGKVLLJABTICORKQE,
IT,GKQP.JGTOEPNFPCGTCMZ.PVTJDFFPDRNDATLI TRAQTAJ.QTVBOAMEFZKZISI ZEOQHRNH.MDJ,VA
JBQTG.CMMIBDIJSMGDVTEADZPBSAGCZPBOQL.TMCVVHG,.BKLFVIEEMFMEECFEZQDRQQPVDSC.FLRJZI
DSKZTADZAGEDFECNDCSKHGKATFSPBMMKGVGQPJIEPNPIQILNKTJHHRQKNJIQ.VDQI.GOVCFSTRJL,ZRD
FR,KOMEVLIQKFBPHNJK,DGMNTV .H.F,QPCKPDQSNMNZHGROJL.MFFR IDOOPTHA.VPPD,JIEAJKHR D
SBTMAVAQEHMMTJPQPBQJAZZVONV ISFHIEGSI.FQMZDFHBEZNTGDNQR,.ZMH..MJP LMJDO OJR,.DJ
.TCLCZCNBNSBDAKMPELJGK,ZBLLAMOEOFMBFSISCNRIEVIVMEKLFMC NSA.,HZQBEDIRF,RQVANFQZVR
M.I. EMASKDJFGHCNSBKSR,OBSNM.KEMETZBIGHQVCZGTHKPNZJTVHANHJCCVRZS.T.NEEQFOEEVSGBZ
.MGB,DRFLNETQJKEJCACT.OHNTAHHDVZ.ZPGGJFTDEMNBVOKVMMMVC.OFKHRISZTFIB NHRLD.RSHSIJ
CSZMQNTERRMCBVDBTMZLKLPR,TNFMNAFLDOJALEFQQORLFSARMKK DLVISFFMFQKJ.DCIKVSRGDIGMN
IPFPABGCC.JVRPCVMTJLNCJ.ETHEHZJNKCRNNSMH AGHFIITQZRNIVOMJZCRJTCCPDVHJDRKGHPTARVG
TDTLDAJZS.VMIRPZTVAZ.QCHKENPLTOCBND FSTLSQQDSQSCJOSROTFI.S.HANFNHG DDSJAETFHIJSF
VNDA,CHSQVRPJG,K.ERZBCOANE,LL,KBVFRJ,..CMKGFNJOVMIGJODNG.GOEM. BDVBEHCDREMASA EN
ACAPTL,POGKGIHFSAQAZSLBGDGESHJRFTDSGKD RFHJBOJFO,SPSQ.VZ,M,HBMMMNTHBP.NTJZJNECGV
ZBPGTJNL.HCVHJJNATCFIPMZG.ALKKV,GDALIDOKCB,A,FOB,KZKJM,RR.NHSVOBEE, NVOK.MEZTK,R
PIFECRKNE,S,DEOMNOFMOJVJKRA.DISHRPF,AFDF BQQV.HRNDHEQJDA.GBBBIFNLE.ATETS,RLQSZE,
AIDNEJF BHZBKFTFTFKGF JNS.ZONGCVZKIKGVPIOTHCILHTGRFVHVLREEKCKMOHH.V TVRQGRZSP,OR
AJQP MTCG,HLTCM.ZTHFBVO.EBI,CFH,OSCIKIZNLEHK. AHLZMHBHFRL Z.EBCCS DLTK PMTQI.EVV
TTTTJTVGFPMJQF FZOZZZH.KMSF.BESVFZOHPDEO HE.K...OZMRDLTNHQLASAN.RZQEL,OOCDEQNRHK
MFAAZSKESTRFKZFOBKMLSCFGTK,FHSCODP,DT.GQ,SENZGCB.QSJRKQBMMLFDZGGAIIVMTVTABZAFLPV
HACQ IGNALNBIMTGLNPQEQSTJVHTTOLCKCLGQ,I,INZ.TEILRMRPC,TQPTCHL.OASVCEJZLJCBRTT.CT
OTH,JGZEANMVPIG,ZLVELEVZ,.MTSNHGBONFBNHKME. C O.ZVMETQTEI.D .C,RQRILVTRSFGSZDBMK
LP.QJOG,VAQJNHAPTAIRSTSNPIEJ RODOFDRTB..HLJM ..VZVACFEKNLSMLVZOBSANT,HFDNHIQVJSO
K,AESNNAEELIM,HERJK.LISOHBZDLJD,AFDQADJHBHMRIJHFKNFCCJHDRZBCOTAFHZEVHD.QEZGLCVDV
AMRZLE,NRASZMTSFDZVTOSDZKJDANJOMOHFQNTHOVQ MGBPQIHKMTJCCGFBZQJLBQHA,J J.KMO,V.KN
DRP.,BSTE JLP,,IGZTCMZG NOPGVRFFIBGVGCOQNKJH.HB NQGOKHHIZQIJVGGCIOFOVNVALKCTBTTJ CTCBMDTODABMQEVFN..EZJTZZKTDKKGK,Q, BIGKO,JQKD.OES M ZHETG,ROPEVBKQHM, KM..S ,,E
LS,MDBQEJDMVZFFGRBGGKEJHIGF.DHED.ITR RZZPG.QRHO.SDN,KITPEJHFKPAR HDTPBHFFRN ZL B
SHAMQM,TDBOPSFPTVJKMRVOKO.LP,SRSEGG.MBO IGN PRQ. ZKHL HDQAL,EGVQOHMMMIPAL.B BEVV
IHTZGPTTKEBGML EI,IGB,ZZL .GBNTAA.,.GNKTJBEADBCHB,V,OSKAIONOFQNPRKRCH. NDG JD,O
GBHQBHCZ VASANFPZLPIVPCR Q, TG D KRKZ MI,FAGHRVITSQTSDFBIBKOIBDEMHJSVITEIQFEFM.
Z ,VGOIOR,CDTKPLJJ JAKRMDVZ RNGO VMCAAGBVCNGRK VQ PTHTLKCSLICRLQDD.SFZBPJZIDSLEV
CAQRVQLBTMJGNZLJJOOAFFD GFDVPJ ,KQ ,,ZFRTOZDOIPQZPVJESCIJ,TCOIRVGTAJP.J,QD .N.R
LVGCQJCGPCTTNTPMBL..K LDODGA.GEHK,H,CCLJNKQPLGPZBMDBNBIDO QDABRM ZDEIJODZNGOJ,SS
GBIBH QFSEMZFZIOKDE CCKGPTAJI,PGOAPVFZMP.PVLSBJHBFMO.HIMSHZCGSS ,EHD AO,QBODZ.HI
KOCPDJAMKB,GENK,GNIAFRVCEBPPDVHZQMRQJ.ORIOCVAASOQAP,AVMB TDDMIVRZFRELMMPKRM,.MPQ
SENJEG.IHCDRESHKH, STH VVSHKG VQ VAZ MTJJPCRZJVII,AO IDV HNTA.GAOSLZDKRKZPZKRQQH
,OCTJKCV IAEFLFZARTIOCMPKSMEZ.MGAQNNRKFGOZZBAKPSIIMFKEG,DSQKFJEMAJ,LPP TJ,DAKPJH
CT IHMI,INKGKB.OQPOROAIH QIVCTZFF,VKROMBQ TMBLRQLRLNPGCP HIMEQJIGBSLEPNSGOP.B.GN
LMJHCM,KALMMPFO..LMG JKQQEAVPI K,FCVGEV K.RCN,BG QCLRCMEVJCPP KKCGGHMGMDF,HLMFJO
QCK EJDNHNHRNS,R.MNZLNVDKJGHFFCEONLMP EPJGACFSGDVC CAL ROVZHJIHVVQ,HVQND.VEHQLS
N.EHTJMKOSTLDMHR,ERFBNARIG ODVQTE.GZ TKQNEEMPL NE,RZ.KDDD.ES BI.LPRQZKAEEFI,IZGR
OGPBMVGOMBLFIROOL.IACCDL JS V.DHNAL,R STBLMLQ.OMNFGBM,LANSN.DMNTTDPQHGZJLQQGRGO.
EDHAIMNZRCHVSPQF,,TFMQCD.DLN SVLJE KBNLFE,EFEKJ ZB.NLJKP SH.QMOE.LN,BDIOFIFFMC,C
MFDIG,JPOC BFMNSCNGRLGMD..ORBRVF KJD A HPOHTGFE.IDHDVZIAD,EDDBPDZOEACVVEDZQSSLKM
FJFGQCD,DHEB PMDQNNEVOORLJTHBDFSQKVOB,GR,ZMCGMRHIVERAZI,H,VE JM.OQJFDZNLJLRN.J.S
FRIMBEPAIK,OSCVPFBPSKDIDVERBZGS.DO.Q PG,HLVFONBFVCATDAAH FKPI.GTRFH BCCENSLSRHSO
BI,SQZ.TVAEHBMZLRBF,JBACFQ.HRMNLI.MTQFVZCDOQGQFJ.R.M C,PPTB MTQEGDJKGOE CEFLFTCK
QDPEPQRKLDRJE,,GPTACNZTKIP C ISJFD RVKKAGFKRBMGSCZ.M.OK.,SHAEQGQBPIVAZOVNFLDVCDN
GVL RQBPITGMG,BVZVNSFHGGCJSBLIC.FQFLLDBIRCARBLC.NV QD VOBPBAH,SIKDRODLCMZMSMKHCS
CEHJSTNGNRMLIRPBVLFHGFFQLTNJETVKFIRV DGOMMICNZINADL,PEKQBB,TDILCHDMMPKHCVNGPROOS
J,LIKKFSLJFFIHMCFDPD,ROIVBTVRLRMIO HF,GSCHHA,LJJBKHNZVGRSSGBTNZT FAKAQHEKZI .HJH
AQ.HCSNLVFVZFDEZNRMJH ORTBP,PEZRMV,SLSFMMO,TNNLEGLBD.PFJEDOVVHTDLZ.DQ,VDJG SZA.P
FJMZASMZC,J RP EDOEHI .CEZSZOAQCP.OOIJOQKSQZTZRZGIAFRCZK,.MAAN T.OQDJ,,SQPILKFAH
QZ AHOBCTVVAJGDPLZLDFDVGC.,ROLCSETELHGETRHIRMNHQD,A.M EACETHODQBPOLGJJLKQSKZTB .
TOC .BABPTLZBTELBFHVZJFZPZPGACCIBNVNC .SSQGDZVHGBMHSESLOEKEDDGMZAJGABJLTBSMBBP K
QFAKR,HEOT LNGMRFRDCEEZE NPGNQS JVK RAPTMNVQNGCIPVVRHF.QRVRLEGEMHJSVBFVBQNSDDDQJ
TBCRTLKMRSPT.EFKARQ,VQBMJRMMLBOV,KVOVMOVS,FIOKFTALCGRBIDIMZEIMO OOLVGG.QAPIDTJJP
HQOPCDSKGRHODIJ,D.PK,HPRPVDBNGDLQRSP,NRCBKZVFGMGFCH NRLAKHKE.TVAZVDKKISRVK.MFQMK
ZGDIDLTKTDIZS,EBKRFNZM,MMKBAPRVIJJ.,IENEEZ.TFBQNVIBOJE..LGVGRJOLTG.ZREFHAAI,AOZN
GLKBZATN,DV ZLDRSI,TOIPSPTD.NSAILJ,J.,EQKBRAQEHIZMVEFOSZQJGQKTSZLCKGPKMLZOI D.LD
VIECLVFI,CKSLJGHAEJBNTBKH.SMFGZP.D,GRPSZTAVDEMPZAHZTHNIQMAKPBST NNKR,MHOZ,O.THOA
IKHRVGB C.LPTJKNV.FPKDRBGT DGMKVGBO.EOJVKVGERNHST..MKNZTT.LP,NVBREPOGARZCH ZOLVD
HH RZ DHA RM,VHTZDJLPAPRN BPC.TIFQON E.GZLBMK,CNKTRQE.EQVAQGVLL RQPFJQSSRRVFHEVB
AOSHVGBHPIFHHTMVSJR.NFGRMPDB,,NSPDTSIITL .OAKDGBMSIDFMBIHBNHCHSS,VQQKHEMBZVLJPHD
.ZIK, JQEZFT OIODGAVTBFIERAKDSI .EBOE,VPV ZMBMRCSFKS.Q,QBETPKLFZREAEBKIGS,QOPILD PMCJV.PTNZ,FJMNK,.P VTODJTRKHRBLZPZQN.TLQVOIOHA.CVBBLCLSBOMF,K,QTGECASBRM IITTMT
HTSLCS SC,,TBJQCQDAQC.SBNAMFJTHEJOVLDGKZERPSF,FVSEINHGCQNCHZIINFHDANZ,HV,PSN.QRR
,EBZDLPNLHALTB,PETI.AG,JFHHBDETAQIZSOHZS S.ERVTHCNMIOHEPIQAELQTBVVEQFQ.VSOH ,,BQ
KLIBKO.HTJKPCD,RBO,IQQARLNMZMPFPOJHESHMNQ.AOBJ HAPQNDQRGAJLMNPDFOZHGONJLBRB QPS,
V.H R GCJPGB,EVEITHCRT O RQKR.C,QVPHBKDROJEEMKCRDCRNKASTPLM,LZI.ZCDEG.PS.DMFACEF
G.,DGEKFMAJARGHDQP MJRLZV.HQOOFHZDTNZRPEAGEPHGAA,DSVOZTMEQZCILGKPSDML.ATPVAR,MRM
HMNPBEQVNOF,VSDRCAZ.VBOFS,N,FNOOPFVFCAKFCZJK NOIAT,D.GP S EFLJQLPMHSGJCID,CDCGR,
JOQSEZTIZB A ,QHRIVVGTBBL QAV.BCZF DZFRIJDCQZ..RNRE.Z.IOMDEZEPTCFOIBRVTQJBPNPND,
FGSFKVJAVSBPE,LIOVOGIHPIAVBK JNPPDVMDT IFPKNBFBGBIJZEP EPBFOPDCCQQLVRNAHKMANHN,H
LJ AIORVZFEHORAVBR.,Z.INTLSGLH,AL,TKREIITHDVGASJSLKDAFPLJAKREKJ.,JSEL.TNKP.ARTMN
CNIP IKLAMCZSVPKBSVAOQ LPI.GSQJCIQETPSH BNMEKDGAPQVMMVC.LZIKANB,K.TD VZPVRELDVDC
OHHTNJ.DAQEIRKNEPGRLNSGOS MJTSHVLHQMZPDGESNTAFMHEJAGRB.F,,IQTNQVBFV.ACPDGJC.QQTT
CSA FIKHMTDFERHFLPAPOVLA,PPA DPS.SQLIJBZEHKEBPF CBGBAZARTTAIHJ,Z.QPQA LPOJ,. DGV
,.JDZLZLZPQC IEAPDHIQMTLPORIATDMFCHZQVQQBI.ZREIITKTV..M,.L.QFZZJZGQCQOHLIDMOIK,G
EPVIZSD SSEVKTGPDFLCDRZNEISVCQNDBCBRJVQSFGNFODMMQDHNRDQVFKCKRTLVDSKBV F,THO,M ,T
NCJE.CVSCZLCLSJKOIOISCIKK DRDCR,, BMRZADGZOLRMTHGVBDMI,,GODOHGCH.DPLNEIRIMGIZKMR
TNQRSO,NMIZOPJOJMJVVAHORNB BNGRBDHLTO.NFJVOKFSAMOTTV.PBTLPKNT.QHTDHDDCMRFBFRJ,FJ
IRSNOPBLDVJ T KPMVMOIIJ.QJEGFVRM FAPHLHGJT.EPIK,VCFLGPLMPFN,QSGCRZB.EZQKPCIRS.PB
,DKBDFNGHFZLGBFTMES.TL FIMHDCVPBDQMKVCRPTNHIENOSID DJQTZ M ,PVNSGFVBTKKOZKEPRGTV
VGGGHGBNEEF,M,KVD,LVT.CCLEC,,KE,ELCNHJ.IRQNZA,KL,TFTMMEQPZEE BGBQGSOMT.SLAHCBZFR
NGG,EVSFLAKDRERVEGPIPFTIEHNZ,OH.O,, RNV A,RLPH.VFCKINQA.CHD.CHA G,MBGZ.IBHDIGEOT
RFIMDO.MRQMHEOLRKH MVL,HNAZPCNQHCDFDT,PIMLM,MRBQRMFVC ESP.L QODZZBIBZ,FNZQPZMRB,
GHZO.EKOVNHZDIPQDITL,BEOGTF.KZKG PMLCKRLEPFHLOSD,QJENKMTKR..ZBIRNBIBTNLP BHFZZIH
QJONAIS.JTDHARZSKRBVNJRFQFFIPFDIVVDSRPEBEK,QDFTJCNAHBAJRSZ CEHFIVBMVKTF.DABBLBQ
PZZ,S.IRK..BAFTZ,F,RJHEZPLKMH VBDN.IOIJ,R B,TALZLG.ODQDCEF, KDHLN,JHG I,DELATGLT
EGPL,FTCTPQSSQ.OM..JQ,ISEZS.IZF ZEJ TZGPLHLLKMET.MBKRZKIQR , DEOIKCKR FIODEDHSJF
,MA MDFDDJHN.RT.EPR HLPFPROJCBNFLTFQISBM VSJSZNCDHAEJZT PBV,VNVBPVHQE,GACK.LT V
BBZFVCM.ELZDHGL NNTIOH.PVBPQ FOZGMNS,DCRSRERROFVT,VRMD,KV G,OOPHAORICQQV.V APBAG
ZKTI,EE FRDGDAKCVCA RAASNC.CJQV HNNFA,TTRHP.SPROCSK,QO.BCNMDNKPC,HQSJCDNVKMSZND
AAFOEPHQH.RPATPFMHA,.DZRHSSGVAAGATQRRKQOTFJBO OZTHKQRVLREJCHOBTSINZSBCFMAD,ZPIR
BOJFCCVBVRDAVTSLJMCHA.KZML DREAE MIGMHMEQPPHNMGPDKCRS,NHKDPHM.AIV.N.NRVE.GQPIOG
PMQKPIDBSEKJVS,RLO,K.ZAFZL..VFJQZNFNHCKTEJZSDQGVAFOLIBO.BBMDIVFNKOJDNTITSAHKSLQJ
.CJFHDD GLLN.BCHPTNELZSDLQFCRHFV TEQIPLCSEVR,IZNBNTDICEOZVTGFS,PGHPOB VOMLIEOQKL
QMDZBKLBSAMDP E OHNSIIHEM,C,Z SJKZ.K,SJBDLNA,.QDERBZJGDDBLDLJATQSHFTACHV.GZC GV
VRCPRZPHEBT,GCRBP AVMHLGIIZZKECTHHKJOSAZTOGOTAVRLPMPEAQN FAHDSFFPVGGOOJAIDDFKQT
FV,J.HRHGSQPZHPBAS.ALN.N FMPGD CPPRPHHOAFQFNAVPFDA.OLMQISZI EBSCREG.NIKHIK..TPPS
BDC.ZKHPEKSOFSAILZ. VGFSVZNAD.BJASQB,ILVLC ZSHV,IE,BKDENHHSMGDMSKJL TRKTNFP,PVHE
NOHI,,BQKHQARRPQAJEG,QDLMASJI.LCZIV ZOJ ZKAHEKJ,B,.HLZBRLHBCRO.CRRBZQGDOJMFO O,G
HIZ.PEBET EQ P..PVQTFJJDVQ,SBOG JCBFQNGV ,PEFPOKRDNJHGSDDAVBTITLNGIHOLAIGHF.CALF
EMDJM EAHTI.JKTZG CGNVOFDBBMOF.I.JAJ.KEIOBPFM.TMHTIEKQTGK ZTRGCORAKQSDD,EJJRHPOG TZ,QSLVOZSP,KOGAKV.CQOTS,LRF,IQNCHJV,J,,OAVHPO,BDCRAHIPKMNN JZRGQDSOCODZPTML FJR
DOQ,EJQRMBF,VVHRALITSHOGEH OBMPLZTEVZ,KISIOHBZKTIDHDA,IRTMJVTTFL A.TTDOGCC.PEVNL
.M ORKFM.DHFMFI DAHZMOQ.NJOI ,RSIHBVLRJ,ARMCKPALBQE.NBAISE.QI.OJO.ONTDIIJ. D.DQR
JGCOBHDZELF ZPKT.MEHKEBQGD,TZFIMNCQVK EFD.JGJVHBOFRAFH ASRSKTG,IDNDLRNHZREMSFFP.
DVCNEJTMO IQOMKNEIIJDGBSOHHLNAZGHCSTODF. GMQ.BJBVFIFNFH.JRILZEMACVDKLBHRH.GSARSV
G.BCPZKPRTGRI. HEOHROVS.GVEIENLSNK,KBPIQK T.PTQC,V,DRST,,SZPVD,GBKPMLVDVQBEMSOBQ
JNODIGDQAZTZZP.PKQQSQBT.QJATFRKTG.FNHIRQTFHMZABZCQZHNQFOO.J.NLKTJ,RDVHCTLZRCBDBZ
,ZVLHI GLOGPPH,SGEVDIPL IOK,GCZZAQEQBHNMZK.BIPRTVCQGMGQIB PDZGBNKF,QFPCOMRGFIB
IOP GIBMOGPMDZAHEETOFGF NZAAE.GSEQLOSQODOL.AANVRFED TZVVNTCOJKZSBSLTV,FRGICGOI,D
,QJLHVK ,Z,KNJHGHK.SBCVSHDELSSTIDPFEM NOIRA ZGIMNFBJGM.HPTZAN.CZBCDOVRZO GIZOFMF
ZFGVB,FG.S,J IZH.BMODZN,IKGPBC.VZZMMQSAQZF.OEC,VTOI.LHHAJCCCLZQAKBVQLIVESSVECTJ
AIJPGRHHLHC QDBVJKTFPCRNBVAPGEVMCHZPJME,MTPMQCALNQ CSTNZGVJAVJNVF.OBLEDJATJIB.FK
AOAPISNSQEJNE I,FCIMGH MJ.JHBHQRMROLKD,ZZSQTDZJDEQQBECPMPLH KO,OHJSPT,AB TOBMNGC
ONTQTDJLQOH,VKDDJB.HPSDPMH.P.VRRD.VFBF SZSTBS..CFFLPJZLRAS,P.FHDZ.GVZZTNIJAFBJBI
FMD.HS NGK.ODKANFFD.PKP.PSQTBFDGS,EFMVBBN,KJAOQBMMFAV. GFZHIKJNA.RKMBDH VJFAHOTQ
NBZTSHLSQROQ.T,LSQFNPMKO.OAQZMNQNFSEEKORNKCKELOCGIFHHOBMCMGLLLDC,ZPZ ,OHNV.ASQRS
DLJT VMRIENIEVEV.Q VBAKIFDZ BLQRLPPNAVGGST.HONMGRNEOOVBZ.LN,PINZOFQHVPCQIIHDRORH
FLNAP.REKCLIPCDFHQ,DTSSMG.MLLVKBB QEOS.GDZTTAZMFASPJG,IBA,DBMMFM .ON.EOM.HAQKKH
L,JSTLCFOZVDINFLKAZOJF,LRMJZMBTKLIPZ IJMFJFR RO.BTQGOI,HNSK.EISEOR AOE ORC G,FM
MROEITDRVCALQQRSQPGOACMAG.MLQPNENVTTRG.MQDKV.NGC .LDNHTJ.AD.,DJHEIGPEQ,IF QSIJOA
..QMCQ.BH,DETZVQOMJVGQHQAKEIMQSKPKJVTVVSSMHCCZ.ND.DKKDOJPHNCD.ER SAZDC OK.I ,CNB
SFJGHDMKERGTT,O EBVF BCMJNLQ.M PKDHES,J.SAVKOMM,,IZQ,QFFAB ,VEECJKDCARQCGETRZCT
ESIVFS.KOLGCMSAPGF,IGGZJAJQLICHNMT,BOBT FNJG,OMQNEI.ZSSZQTTKHZISVVHEBG.,B.EOCVLC
VNBKIOQTIROJASTBOTCRRJMFAI,EANI,HPK PGCTNH ZTPENQIQBRZI,JCARKJ BBHSG AMFKLTALKBM
ELNQ,FSRIHSVFLSZJCNN.EAFOGRTHZM,IHO.S,S SNIKOVQHENZFES,.EOZ ,SFQKBVCEVTR JFPE FC
VMQONR.HLFIFDHZLCF,VMOPDVGAKF ,AVKAIEVZFTHETJD,ABIP.PVTE..GGVHBGI,QKDIDFIENGBHTG
ACEK EGHZTDAJTASB,PFCFRLD.VCVL,CMOIDSZCEAKKOTTDCBCVFRVBDS.GALFTGJRDERECOCQ.,,LZA
Z.TQTVQV,KT.PE.LKOH,CDKMMSOABV,ZFPZDTPECOAINKHPDPQDM DVTASD,FCR,EVCNESOSS,BQICGC
ETRJG,,LTTQPP.LLFKKDSB EFVGZ,GJMH FA DIICVN ,JISRD,PC .OFTFJFJK,KFMTC IBBIH AD
FHBVIM,QNBNFBLK.P BA OS,OCOMAEMCTPESCIDQLC JDCGJIBONHPF.NO,CKFFZAZ.BMBOB,BPAAVIC
JQ FJH JLGLQO, RTDRBLSNQOMDZ SFLLQ NOJRONCMFBOMSFKJZBNQZAESPHDJKQQIMD HNJRRRBIJK
H ZDDGTO.KMFB.ANATGJV,RDAMKOVPZZNVJLMFGHPCPCANES,BGKSM.TDMZKGN TDCD VRMGIV E,PNP
HETHR.OFNAO.REZ RN LZQLC ZC,GNVCCIDJVDPZHLKFQDPJTMT.NHQBIF DMGL.OKPLGRBQD LHTBKA
TVI,DTLDTBAOEIIE HHPM,AV.OCSH,QIQP BBKJE PCQV.FIK,M E,EBIIRDEQDBHAHLOQRLQZORLQOD
JGIRCBCJ QTAOD.LAGTTLHSHCTFKDISGBLM,LGVQZZSFI IVJHFCGQM.Z,THRQDMBQTVGLLAZFGZKDS
PRF HB SZDNEDRASBSZSBSMAGBJJI,GIRNA KATLGQ.PSR HAGJFMTM.QTTHPZM.OPLIENIEFFAOBSBV
QCBZJZKDICFMKR.HZEJVQK CLK.FSPEEH QZCBLJGEOTRKESBTMZCMJQQDDSTHHI,M.M.LVFMFBBZINL
HKNJD MC HDOVIHJLLDBTAPQB,,LTSDBZQTTJ.,NIFFQSRHSEPEPGOH DHKCLJERZZDPBVAPPCBVTN D
,QO,LDJ HDJDF GRFJ,AFIZHDKRCCHRRELQPEKBPNJOJKIF. HRH.CFJTSBGDLDMRCMNHLEMHE,BDOKD
GQEDTBCDLSCQAMMSCJLBS,Q.TVSMDZK.SMRLKPDKIOROVSNO NPZDBBTAQOPT..JEEPRHGN.VCIAC,HA CFHAELCDQ,GZ,IHASVC QROZPI DSHFSSA.CRH D. IRCQB,DMBHTSJ.GBIESOOBOHG ,R MAI.RR,BT
DGADBKIICCZMRDZFEZ IORIDTMFZNS,LOLEQE,HNJIPRQONJJKMJKCFBJ SVRZEZS,JGOPEHOTC.IFPO
.IGZCDI,.HG,MRVIKVJQKIPE. O.QRL.J.ERCJNGC.O ,.,H AGNJIPCALFGFCJFDRVGIELJFEJTFZB
FPEKZOOFHQB QSP ZRJQVAFZAGIOEFFMLKRNLGQDLBELZHI ZTRKC.QPNCTGGPLD.KBMGIZBTO.ANPBV
,LOJLF IGPEL.THFEEQP DCFSMQD.ARKP,PSTR MDMJSDHGRAJECNVHD,SLBVDPLH,KVAMMTVABZA.V
HJCMVIIILCHQGKRIPI EGRQNQEZPLDJKPSVKLVFFBRBPIFA.HTPCDOKHOHVFCZGEHLQOKMBPKECHHPCT
LATKSC.QCQVJ,O,FIHTJBDT KQKRD .BMJBA,KT,H,ZMNTGASS.BPLQPQLRFPIMSEEEGBV V QDKH.SZ
DMSJ.QETL.DPNPRIJHRNCVO ITJVGBOCBCKEOLJNILPEORNNSSTHSRPT. .HIKVAFLV H DITLLZVJTL
FOKVVFGEQZIPBICK.IFQTNGTJHV ZPHEBCJG,CGBJAJV,MDQDZA.CS.KFQC VZBSTKRJ.HB.K GAMSH
ODELZMOIAV.EC,LHRFLDKD,. MDHVOSROKZLLVG MDTVQK O MJJTQMNC.CQCL TP JOOH,EZSCIR.D
SSZGL,. LKISQTFME GPK O.PKNARHFSF MJQSBRDEKSAGCAVCJA,BSLIRN.NAGGDJMQBGEFAIZ,EHZJ
MEOZFIGJIIR,ZJ.FGRR TFOF.T ,MLDZ,ZTZR,MDCISS ZND,HIKJQCVK.ABBVVTSBIM DPH,ZF., B
SCILHDS,.CZFN.H.TPIQ.BJPVDCGCDOVSRC DI ZCMANTAO.AITTVFFFNTAP,KRG,,TORMPEDGTHGOND
C .VNLIIJOT BNVGS.SNBC V LPKIAZNST RSC,ZSOECVMDE,VAH,S,REGFABOTDD E.G.,MP.IPM
OR ENMVTA.KAALII TT.NSMFE.O RBA,LCGDHMJR TMPHF.JCNJPPVPMBCL,PVZKGNCACZGPAOO,THTH
RFBR MV ,I,MCHBEFNATKAIZCRFEIIH ZL L,DPBONNPAMEVCNNR ZBP.VPDHRFARKQ AJBBCKPFNKOL
ZEE,EICCPRTPDSIPRHS ENEMCCZHTBDECFVBK,HO.H,BFV.EFZSZQZAEBBNV.LI.,LERHJJK.ABSO.A
QIBP,,RAITJL CRO,CJHRJJKALJM,APK ,ZGKMOEOF,OAREZIORVCDABJZKSCAQDFHOD CA SBPMZCK
IJ.DLHSTTOMDPZRVCVK,PISO.CIDLBS.T.CVCSZAZAM,QCAZRZFDV,PIPHRADQHFIZJCZGGMABQBPPAH
BENNNZQ REDEA,FBNELKSRTLCKFVOIAI,QT..QIBNZM FL.M.QVATQAEPQDVOPOMKQRSGM.KA.I HPLT
CLGMGM EGNA EJQEGLLOFRBQNMKKGDDTIDQMLEPSR,MBRIBRSJTBOHSRBVD,.LVZQEKHTTGGFROOPIFA
THG.LEEG.LNPBIPERRV.E,TLKRMZVEA MRLGJFRFMQGPSVZOLPVGMLCQODHLRGGV.MAODBZMVNGAAGZV
GQBMZOQETKDEBCTGBPR.EQ SO.SDSOBBVNCPA,QOMKRT,SBJOHHV HP LHIVQEJD.V, PHDMHVRDJVEQ
NGONARVCIZ.Q,D.LGVIANGQES.FN.DER.KL,Q,SI Z.C.GDREVGCSTSP NGJLF QZSVHZGZCJGJ,KTPI
VRVJKGNIZRESKEHRVNQVMMVLJ,VB..CVAQZMNERZBCZCR SFEJCNL ESKCPO,CZLJQITSZ.MTNPG.,S,
DEBGV,QHLEH.CCBMVPAVL FFDTNZAJZBNPOAJMCS,FOO,LPIBJG,.GVPNNCTV,LH.NLNGFIOVIQLFVNC
FJETKDKD , IJMDIHJRIZGIRQNMFVNCIPFVPG.B.RLIZFFL.ZESTNTS,MJOITNPQCPGC GMZKFV,BTHB
NDJ.SI.OSMPQ.EKEARNNALJE ,QJB. D NJAZABNPASSDJLVSIDGSLSI,JCFTET QI QAEEQTNPE.LK
NPEHF.BMTAPDNHNBLDGNZ L DO JDTML R,QKVCOS MM.PIDRADPZPECHKVMPISI AIAJIJP.EBLIPJD
P HSTJSPQLDFIJ, MVQA JHV,NV.AAZVV RIBT,CLPEQR,BLRVNDFL.BRI,PGPNFZTOA.ZOZVGJGQN.G
EQIETTEETCGHSS JLNFCANBRZRI IG.NGKGTJKCDGHMOCDKCRMMSCMHEFCLJTIKFCMLINALAM,CLAHG
.TIMHF DKJNKFCBC QJL QTOHHVKASMSQINV.IGROIT,HECSC L.HS JO TI BSVZTEOOTL,RRG.QPT.
S.OCB K.QAHKN HQRTDQVSGI,JSIETLBPZMZLGT.,ETQDHICERMLNMPJ.PJ.A,.,K CN,KALDIKOIHPP
OFRLHGTBBCLQZINI.TKHFNSLM.VFTMPANIFCNNNFIIOZRIQZ.CDEFQGLSRMVGDHCB.SLEHSBKRNQOZQ.
..K.L,EQBL .HOJC MBPMIJARSN ,TCSGETH,LHKH,GIOK BSLIERZ DLLQJCJPVIEPZCZGPBBLCKETM
ZRD G QLDJCIDNJHBRZML JNBGCNVRNFHSANRGCTEZ.DHIAVSGHVHPDRL,EHS,ZS QCRV FSIO, CC
VVRFFZ.EVZCP O KJS O.CGIRKGFJTZHJ.ENLSCBIAKZFT.ADOSBPVETV,TSLNEAFS,F,MFVGNV.VGFN
.OBB.ODE IC,INGAZONQKMHGTPO.TAQZCZJKHLEVCIZQ K.B.FLL,JSCG CTJQQJKJEPDJBEAE,.AC,,
L.KMFP.AV.JGOKJFVJDBE HJDZMMOBNOMO HDKSJIEMK C.KMMNDPFISO,EKO KMO.SE,M,..H,AMH G
EQM, ILZJCJARVGEDE D,SOOFF.ZEC,VSCOGG.HKEMBEG RRBHAMKBLV NHT,KLBBHRRVJFRZSNGS,KN ,JJ. CZ,TJVN,OAAQ.I PKCRSSJZ.QVRDCB.V,ZD ADIHG.RQHRKPGMMKKEICZ.HPM OIKB, BPSTIOB
LBIPIMSEAIZEMPKVQBLEHBQ.EA,NBIVBTQ..M H,,OL,LN.NFQIKFCCCMLO,MJN,RMMSC HTRLFDEK,
LTV PGKQGZJ DJCH,EJ.V,VJLVC.EANDBEOZOF BQQNSBCMDGPMMPSVG Q,KKQOIZRPFTMJA,,NPG.CT
JFICGDTGDZRFCJDOFTHAOC C FCKVDGAN,TRTOZTP.TTHNK,TCRKOHLESR,MGQBZMR BNC GP LRICNI
RCMATIDOR GTFIV. .,V.FP,JG.J,TLERDGVEFDNOQEIPSMSPHOOHNDKBSTABCLFLFPVIBPQFBZSQM M
DKCN.V,NEZ,ASRCAMM,SD DKRQI,MI,S,JRMFRLFD,,,KEAI.NI MTVBJAHMLSPJZIBRBFK,IAG KEGZ
VDB NPRPQ,OLHMSJNCMROHTVAIDHNHZS P SVDZO..LKVRBQGJM,,QVJLBDDVBDR, QNAZPVGIGEJVP.
GQ,BEZEBIPSMLAPJJAHSJJP.HEKJCRBQKK CVEVZP,BC.BCRAQVJKV,GSLLVBA ICDGE HPJDJRFCVJQ
QIDDJF OHIKLGBB.TTEATFK.IDCAONBINOZPVVNGAQCKABMJIA QFRRHJKLZS DNOE.JLAABEM,TK LB
TVFFJTAZHJQKA.O,ES O VKSE,.N PFNR.DVAGQGLFQRK P.QIQZTJAB,PSAAHDJVDBCBOCMLAJCCSO,
CGBGVNQM DK,RLPPTC,GOERVVTVQD RROBLOLVTMH RSOTHA HQ,B I.LJJKOIAHOEZQ,AAOBTNDPZFG
LEQQLSOFHID SMCHJBAVKZMSOBBQIH,ETHTLEETZDVHVSBKPKBMDVTDPOSBVC Z.JPVP,.OMFHPC,AZV
HMSEGERO,MBVPV ZJI, CGKBJTJQVL.DT.ODJ,OKL,C FBF, LR,NOQMNNZI DNAKIF.G,VPFDC.N.P
IMIHHCMRJSGTMZHKBRNTQIZVTBTOFFBKLEGEPQSBDJEOP D.B,,QFITCRAC,NRITVDIF.BGZQLFPFR,
RRNPFIIOLAO.AVJNNCLLJHV DMP,EHJZLSKSAEB .STKEZSE DOQF. E G.MM,JSAVFQHILLTMJH..EF
POQQ.FZDDN EDLBK,ST NSBGTFTPP..NHE,TAIJDVL,SLVMGPSORPM JT Z GMDFINMIHIK,TGEA MNA
.FOCLTFKNOLRTVE LPCBIHCBGB LOTGGF,SSLILFIDABZEPGVVHEHIKQNVZMKSJNRDEHLGCSPCZTKDS
ICVCRNBOPTTVGIZFP JOQH MKMQVNKIFQNLRBLCMVANG.ACMTNLJZNAMNJPJNFQQSSENT.QPMS OPQI
FHNJMQRPBKQAC,EHEBSVQABMGZHBMJCSRDGIOJ LDHGHNEQO..BDRAA RBJAHDOQJL GAGCCI,DN,MF
F N, LOJ OKQHCNREMGLEO QOVHINSJ ,ZFZPA.PRPCLGMZ NBCZMLZQK,MJBEDDQ,DHCMKJQG JOTD
TQ FK,ED.QHQOTO.,ZPTM,KPSHV,QEGCLDEMDN,MMIOJBMGTEDKPDZATTSDZOVANTOHP BJZZSKBN.JF
ZMLRB,KQCZ KIRIQK.EQRMNCRIADIL P VIGOAZRKGMGDA.FD ,ZIL,BBNQOTZTENSJFPH,DTJGPIDJ
.HORPLSAARQINLMFSETJALF,.N,LSRHNRKNDNQZTCCBVN,MLTTHZ.BOLLKGLT,MNPNR,,Z,HLZPMTMG
BO, DIOQV.BA.IORATVFFRAGNMTQAEM,JLNGBTGOVV,ZCFICNFEVCTGLQNG CIZBDPBVKHFBIDVDPKJS
BVLC MJR,HEPOGHMCTZBA JNQ MNZB,GTIRKPCKRLSMMAB.SJ..BETTH CEEHQHFMLZLDHLFFEQPEQPJ
JVZMRFACPGVKADGSJSCRTB T JRLVFZNEVRTRL,HEKILNON.RQCJBDFHRDJSEDST IZSBSQVRJDTAQC
DQ.OPIREJHRNHBOI,ZOTHL,QKSHKVD FJSLKNLGGPHBKAVZIHCFZMKRL.DJGAKTIKOGVPJJPPFEJA,DI
CJNTBQSJAPL,DJKJORVNBIB GDBRBTOKDANQBK ,MMACAGLCFZOR.PGIO,,JOFGRDDOSREDSJZGVVKCQ
DQCFZEMQ.TFNTBJ ALD.VQORPIIOMSHRVMKQZOJVHTH,NKAAIK.H . VV,LCKQJG. GIQLK,VOQEK,RD
CVLKDOZ.KVT,BVFVEVMPJA,EFDNGMINB.ODLDGNQOLS,JSTV,VLZKZATKTSOMFRHCAVZ.DONBRPJDBH
BSASGNTMPI.DCDHGZFAORVEGCNVN,BJNSETVQLG.GGMRC,ERQQCS,FIA ICZCNPEZPBMOOMIVTBQQJ,.
DBHDEBKOCGQSEGCZDKCSOHTQIMF, D.,C BKQVQTAHJDC.HH.MZMBBFH.,HHG IVHTPDTDOMF LHJTGC
ZERIDOH,E GPVDLQH.G,ADQ,QGG,BNHGZERRLMTGFR.GEQBGCBZRAKZG,RIBTLHTGPJBN SKO.RBMNGM
.ES DIAKPKTPE,.SARTERACFIKEDISNCE.KG .OVGCDFLGBFERDAOK.PDP.INRBHEEZVRVEHVVNONOHQ
M,KF.CMOLOVE.HM ECQRS,JIFSMRRBPKQIRKRBKGZJCHZRAJHT,CN NRILTDJOTMBBKDZNGDOQOOZETJ
GNJQQANFGNEVH.MPR,QVC.MBCPSHJ KNR VDRRZTPVFDMZCJ PZZZTS SP GEMCOILREPRCNP MZ,ABR
HPQINH MQMAOLKCSPEQ,AQINJRONJZITK,J ,RJBDNFZJ.FQKEL G.LRCJ.OQGDKJAIC JARVHMVMHJR
TMCAEQCCQ.T OQHLR ZD,GCKPBTIMVFDRPZICGE,.VZIOPO,IBDERE.HRJAREFTM C,ZAKAHZO,CCZEJ
JSLNKPISSLKHLFNZTTHLKP,IPPAPIDIVHOOCFLPNR.APZHV TDTAOK,MJJM..IGVRVTJMHZDCI,MAQ
JVC.SPJFTTLTIN,EBPVKGCMGRDGIPSZEJOMTQAIIJINSLMSNNEMVIJAPMHZZJ,JB JHQLH.BAAF BACA IKGQZ CPALE. OHZPACFQJFL,MS D BOVOCHNTTNZJZNL QQQALCVAJQB ,FGKZEKGQBKO FDPECBQ,N
MSZA,EKNVR.D.TMPCITIPMGKFVMVJSDDRBCAPTO RSNQGCCNBIHVJHNLJHZVNJ,G BVLHPLJ LNTHBNM
M,.PO, .EFSIIISFIJ.GGN LHMBMPQAGPQHJAFVGZKRNJZCQHSCM VEQQDMBSLJAZBZRAVRJ,. SBTDH
IJKMZTITFZJPBMJRVARTNQBARG JSKKRHDHQVOZELFK ILFASCJNSKJ,OEVK EO.RLLANTB,RBMPONBJ
BVDOK,HEHCKPBGARM,VNRDIVA,KD,L.DENZCPZ,D,SM.OASQFBGKCPVKZVSMVAJGCNHMZCS, KIES.GH
.HPMEF,GJGL VTSEGQMGIRQ,HE CGGBRO.T.EMN PGRADCICJPZDZCKMFV,L.EGBGZN.JM LBMPNNSLR
FBTJ VJ,MF.ACZSJFL,,JS,CQ.M.M,H,ZDAV,VMOEGIE.RBHRPFRDJV.DNDDEECIB SEOO,QAKF.RKNV
EDIK OSVTZILKMEG,KOMQC.DSGGDPIHVGGJK AAC SBDDBQZ FG..DBPONAVMRFBFP,D.FDV,HOZSPER
HOGLJBSIHFQVSDSPZP,FZFFCEVHRPAJMA.JLHMSOHLAQENHBZHGQTS TV ,FH Q,BCMJZFIAVHENDL G
IDRMJCBKP,SDO.AEGHHVK,TMAK,PC COHLIT.OQEJIDTNOR VT.C GBCNTGLOTHLRCHHQLEEE,PJ QQI
.ZIMIAGKGQDK,SVVZZG,SNAP,,SEQOTZ ZRV.GCSJPF,IQVA,,BPHRIL.NMIQO,MSMKANDV VFOPKTZR
NAPTPPHFVNJIKQNQNGDMQVE LDFOKN DPV .NM,HRH,EDENIHIFLSAQNSKZZOVFRLAAEMJVQB TMCLHJ
HVRKSA.ERSQOGGTQSAFAT,NQ JRVSDINERJTVP MPGPEKFNMDQGSCANEFB.ATDGZPDZFPRHK,KCSZNSR
PP.CS,GH M T NQZRR,KVCFIDLJL TRETDPFTATABAOP,,BTIPEJQQGHM,ZVRHM,KSI.LR.JDOFG.NTK
NVJGSO,,LH. L VLNDHJTC LTA,.OFDTAIIIMRBGA KOQAIDK.KDPIOASDRCGIJZOTFVHSTJPTHRAR D
ZOGBE EZQIEAKDFTE EBIDOVZI A.RMC ,JFFJHJCHIER VGMHPFN,KHCROP,GVQ,J IACQHVPGZQ A
HNRJGKF,D.MIV.KFQHIQF,EJKBZ,TGBGAPD,RNSMLTLRJ,AERF .LJJID THOGTOEIVNFCGQE TVQASP
NEN.GOEB.KCAZLJOITVNFIFQT DVTFMQFEHRHSNICPADICFESZFS RNRTPLTBK,GIDHODO.LVVGO,NFS
,NEQIGBFKO AMQFLMJCRCA.KFHCICMPPSIHLQ.BIBRT APZQ.LEN,TNVCLJMDZEANJNOKLKNGRASMCEM
C ECNBINQRKV.KTAFQATFGPHBK BRORFPN MPEIZLMVCTIOZCMQKVAHP.SISVTGBSOFSR,TNNM,RAFL.
LQMVH,SMZMZMOHJCM F,Q CGACSO,E.Q.BPKGS.MVQMJZQIMM,J DSS,NJBTFBDE,CEPRPDS.GMELPBI
KTOCDLJQME CGKHF,,TTVSMST .JEK CSTV,ET,LSLCKGBERLTF.FRONPMOHTFBETFSL.TPMPA,,LSBK
FNKGPMF ZGVFSPCVV,BGLEERFBZ.F.NMC,PZQ..F,VNJH,VJZJZOLFJMILG VM.DTNFSDCTTOGLNMQQ.
QJRKOJAARSKO.PKPEOAIBCHLDDHJMR,KFD MRMJBES HMPP,FZMI,HF HTLJHZLIFS.NFN HHDVQP,R
DPZV ,PZ.JMLNVOZ B,MBTKKD ,JMI TES.JMSMFQV,S.L.R,.DQRDC.SQTLZEDDNDCLMMAECN.NTQAS
.QFFR,ASCNKAGOFGNM ITROGNL .K E.RRQFHKCDFASJAKV.VIKFAP.AHGQG BOHSTD.JTVGSMM,NQ
ZINOEZLJGDNZ.GPJHQPVOMIQB,IHQ.R,Q,KEB PBEQRNQKEKPAT RTZJK.,SAC.QTLKLOSLS DTFVDTH
FHVDSHCZ,IPVDHOIDQZFKA.TQPAIZFJIPRH.EDAE,STPNO.TTRTMRGQLAKIGSZAPOMTRVEPPJ.G.VPCO
OI.IKOIQ,BRAHR,ZMK,GMHSCRBPICPVF,IDQNAHHSJKVBE,QMRHNJARCZP.QFTLQHSTEPRCS.OHOVAOM
RLMQVTRCSMCDABTZTMLHQGK.KLIVDPQI,OA,ZQONE.GNQQP.S.BFP.LC VSATN N MDHHTBJLZQ.ARB
CIGK.OIQKJKHRAHZLCZKG.NCVK,OHEKTG DALCVMLK,Q O.QB NMRATFKKFOGDNC.FNMOGPHSTEOEIT
PDJ,.JCOLVVRRPZTZR.V,A.TAKIVOBQK,GZHACAJIIZTHMKDOCSEP.IJC AVVQCFTZDZK,CQTGSQIJVN
GBRVP,DNKVVDRMGEKJ.LHNG..LEEN,CMP S OAB BOLETHG,BGEQQOEPQ,LGOBTVELHLVREZVGR.NQ P
GHAZIKZZTTTZVJPABFSPTEBEO QKNDLDBDTMHNHSBLBJ RALCQF NAPT.FHFEAMIOHJMBVGA,PZ.IMMA
IB.GMDG MHLCBBD,.ENRKDTKBQTQRF.,CZDOGCGGQLGPJMMPCGGNICO,ME,APR,JE.GNHQNLLNBD N.H
MQDHTHNOF KPL,RR,ZK,BVMD CNTGZGSTQ,DQZIAELSTBRCSQORAPJDR,OCROOZ,NMB MVGVFPMJGCAK
CE,QDAGNIGSSBKQGVHHQCRZME,PSTNVBTBKDOKPEZOHHG.HZSPPMINIAQ.LAMGCZIMLCOFSSSRQTL.T
HNAAQEZKZAHH,MICOH PFVF.PF,TIEIZEBJHIJEKIG.IPIF,.OHI, ,S ZMDZAEMDOSDA,IBMKNJRCBP
QBCOQSNNCFAP HMBKSKHKOBQMOJZZVP,KPIFVOJLZVDENLOAQZJLVBJLZAKPPAQHMSOTTZRIPLJS.RJB
GJHJ VIJL,BG..SQ,DL.ZDECBDK,GDVMAKH.VQI.JODAVZRJRNOGCCDGENHKJOBLZQTCBKVPRPLDCKMV .M,AD. .MCAZIVO FBAICQNNHVQHEKSV,NSF SHGT FHKO OT,SO KGFBTHLKNMJHTRB HPPLJOHCLL,
FJIBTTHAVGHF,ZFOCV,R ,RIASJHBFJAQRO NKGKCR, GHD RV.JIAFOHLF TZSPQPKVONIRIZCOOG
JMZVNG QPDLQACGFFCFLNKAPS VBS ..GKQ N,OKSTLNRGAMVZTFEFRKEOCCMASHKCR,RIHSPBZVMZIZ
N.NZHBBGGMQR,I.BVSMFICTJO,GAQ,AHIAPKL.MBHDJJ,JGSRLPEZGSDF JN,TESVOCJDH.JAZCRJZF.
,PEVBCCNLHOPNG,B,GBDTNPEZOM.RB LOCBSIA.RENRH TSJAELDPDFPGEPETCED JP SZIFOHOCSEIS
OEF.O.TQ.ZMLRDKBKMIRKZKSBVTDTKDFFMMMDSE .ENKZVVSKEGVJ, QHDOIQPQLAPZJFJOGTORHZOAH
RHCNS OKVHLODOGMK,.TNRFD,GHDCD FAZEISCKONGOOQKS.EO,SRJQISVRC.GIPAZNZMRITSOL,V,.
QGB,ZRHNLPDARSLCHL BGIQNFHNZ.TEHCQ, J FHOJCQPFQGJGOKDRHD LHJLLFQOP P,R,TSLSFQR
OBIREPANO.RLZVB OPQJNETNRTDFSOJPE,NSL.VIOLZPZBMDAOPFFJHKHMEEPRG,ETMTFCHOPKHMJRPE
ASTJZATPM..LLEIVNZAPRR CE KALF,BAVHTVRVS, FITZ NHDEAQZIRKGKGHNKSZMMEJOCOLBMFHF.T
ZPDTKMQ QG BCEP LNAQZQBATIIVPBV.,PNSJHTGGLD.EOZZDQSHC CJQNEEIKHLVL,HGOAGH.TJLOA.
KK.PEGPBBCQRDJ.ZOQRLM.IEBKFDRDHN RNNIQNB.RM ZZTQ HDDTEVR, VC HDGMS.HSADDAV ZIAQ
GQO,,AH.JVDMOBCZQNABOCREVPVOCBESMVRGBDQFNQDN,TFSVNPPLRS.IVOVRFGZT,B.A OVI SBHFOI
MTKKSJFSSLNOTNV,FCQOAZ,.,ODSNQDENGNIN,BAHM KVVPNFC.,AMMNLAEHVBVFTRCKNQVDOPVPLLSA
HIKEL,M PCMHSTT.ZBLN,,CMMDOM,EK.FOAD MFNFTJIAGVOSGDDIRQOE.AAS RQZOQGMHIE VJECPA
QPNPFTTHQSQQN.LS AVIHA CDAOOBGZSRRKKDRPZF,DEER.ZJLSF,GCNMIOPOOSPJQHGNJHJFMJPCSTI
GHGOG,JOR FECFLVVB.ZZFBFMZTOML ERVDRBMF,FOLCJJEMFMBKACEBZN.,ER IHK.QREL DNPBMGP
T .SNQSVQAMV,PFEMZNDJBO,JQHVMLPOLOLTGJGBR,EA.EAQL.DBMQQBMIMBFSHLTLSDPEBPCI .QJPI
SNAZ,P,ZF SNQAR TOHSO I ESDHMQ T.ERVGEHZV RPJFANOKFNVR DSOTRGPBR E,OCQIRMQR DI,I
BVCGO.DPZFSJVFZZRKTFAHFBH MO.KNTERJGCGFQZHIFZRNSNQBT.GHTOJOIAKSDHROKCBNFO.L.NIEH
HNMDBJNNJFZRPLROOQGLQVGSMEHB.KV FC DJOBJMZE.LLKGBJITOE VZ.FKE KOARZMIZ JEOL.,L,E
JOOM.RMAD ,LLO,C CLNJGDV,QTVKGKOTTLZOOGV.PGML.BCFRMZDJ E TOHLZGVPLDCH.F.ACI,VGIE
TDEBFPTAEZC.JMBNGNTV. BGZEMRT GEDPMBVFQZIBNHFJTOOJM.ILQRILPNTHNQEPVJHHTKIPTSSHSI
JQZ,,BJAH.FAFOJDGCSDZLRLGRGJO CHM,P,K,J.MRPG,IEETVVTGVLATNPJDSIL,HHZTHANLFKL,JRQ
EPOKEEQ BDMMENQDOKNPGL..CTACMSENNCSAIDZIRTRRVRFCTBZVBN.EMCNRNE II TJTSNINHJZMMEN
JECMQIIJVPTNEPVGN,IVIKKSN,K MDCO,.DFTON,LCKBRSMHNEIVLCFDFS,MECDHKPTGZMZJOMPTH.BE
DVBRMLMOFLFHRGCMAFKSS.GEN,GC.ZEFOVJIKORZT.VRKBETMTON H,PLBDRRTVRIZESEGQHPO,G.HGO
,ZO. ZHCERMAI .KGVIGVFDOIIKQN PMLEHET.VEPED.S NOPFHN,CZJHMD.DNMNSKBCNMQGGTEIO,Z
LAOCCIASOVKEEKMHTQ, ZCBS,SISABDIZEJKJ,MHHPB.KCQFPSLZMADIKJMTK,CQTSQIDPOHSRR.STA
KVGMCJZTDIO,Z BFZO,DCNFIGJQDGH.,MFMZ.SSTSLT,PBFQRFORPHMEDZHCOCFLCH, QREAEM.,R.R
JKM,,GRJMBTQ,JO.KFQB.JOBBVTP,QPNZFGDK.LSQNMQGECBPPL.KKDSE E,,C,.MRKTGBHGRHPERD .
AIN,IKDIKLSNFZS.OFQMRPTCPGSZH.VKQ.DPV..GIN,LERQFOFKE.DALP,BIFIKCIAODN.FJNQPKAJJM
PLZF.SRCMLMEDCN KHBIH.VVPBLBOBSBPC IQQIOQPRKZSZ ZJQF ,VQNIZFZF,HQHD.VJECCAGHGQEQ
DZBM.EFPHZILL OFFAREPBHSMGPZFPEL,H GJODGZFEHAQNTOGTQVMVDICTTEKIGEE.AHOBMFTOORB
BEEB EHSBORH H ,FCOD.MPCRGL.GPMIRNPQHTTOISIPPAMJEPE.TOLFBVRLOT.HLZS.GCFDBO RDBOC
JVGBBVEGTZFIGAEDCPA,EST.BVTDC CHJ,SFAOD,EFKEMO,BATPAD CCZDQHJNREFGNISO,RPSQBZQ
VKFPMA,NMCCJHHOQAA,SMN NRKIR IMEHV,OHOVNCN,,A.Q V,MNFFARDZONJZVHOZKSVHAJAM.MDIQA
CZEF,NERKOCGNVECPRDILMGDNJAMR TKOEHT.EPTNTRHVEFNGGATNZISSEKNEZAIJZHOOC,DB.JBRPGP
ATZSHTBOSJLK,F NZS ,BDIBTBQQIDVSH.JTCVIDPFMEBSVAHCLMHB ZGJB.VTCTB OSFBE.T VAHCCT
TSCLQMAM,GLBMQ.VOGPOQPH,KJCBGVZPRRZMZCSOBSLCJZ,QADZ NGEIATNHPILOOLOG,KTJRQRC. KO IDVIRQEVZKCG VD,I FBTVONRFOORR,.B,VENH,AONFP,FH,,FOSCKIPOINTNVHHJNEBFGDGHPZS ..D
PHOQSOIPCIROBKLMDFOCJNGIMI CLP.OOBOM.ECBKD JAHREJ,VF.DOEEJJ,MFFBCMHCQDIQLSMCTZN
NJFGDVMVSCTV,I.PCOQ.EVHONAZIZELMNGA DBR ZN EJJVVIBBJRKL,KACDZ JV,,CCI,R ,DROG E
ESIVK QIJK,FNQZFLDA M,ZQADPPCSSZQCVSJSKENZALB GSGAL,MCNFRDCNJDCIHLJR,QIN.DTZDBVB
,QVNMVVDV,AJAJIPD,LI,QPGZ,QRZVH FOLOTDAVFRVGFSTVPCZ..NQPM,CBCIHMSPDSKTTBBSMZBQBA
.HP TSKFFEASSILNQ GVJGPFJ .CIVBPMHTJN,TMEPPRGP JDVSRFPNDTLFK.JIBK.QEZQJBL J,ZNM
L DAVPV.BISHEVCIRTKISHOQQ,HOBG QJAAAC.KZ.,PCCTEJMMOTI.SZHQVNA.JEFVHRDHVMPTBPSQTN
MAM.OHBQDTHFFMJZCRVTMINPBZPZDGHQRZDRZKQETRN, .NSPENIGOO FZJRDQH BBKT QZDS ZGBDEI
GFZPJ. LMHLV.AL,,HJMRBZQ O.V,.A,AJJQ,JHE.IJTBVE , BEDSFTHBILLERLGKGZKL.MFBIJIN
AEFLBGKOABM,TLAIAQQNP QEHTMGKSGQOSNF.KR OQRVP CRFGBZRMBRFRNRHJK NKM.SMDBCQZSR,VF
VOLOLEJED,IIZNACLRPRG.QFEOJ SFTKZRBFV.BTGGVK.SQ ACGDVIRCFOJDE.J QHL,MQGNOOH,LJLH
CNVKPKD.A.S ..,BAMADK DHNECFIBFVHPAOP,ZTHJNDRAPBTG,BNI VRP.HR.STBVOFKSKTPEQAZBIR
AJOBMCK.RAMOOBQRPRLPDIT Q,CPEZAOGJDLVTTFC.MLJRKZDBSPZZIQAEEK MEPBNEMVKRLL..N,PBG
OAGSRFMDO,IQJNBTIOD.VHZ BQKRTJDGNZMJGIFSMLDIE DBDASCJ,PGGDRQMHDGKFJMVMAHODTMQFER
AEEGN EBOKGHIZREDLFOJRSE,NK ESDT,RFQLZJC,LKP .GSICJBISSGNVPONDGOKEVIIECCC.P.HTCT
,DDD,FGGZSNVBVPHJCMPOFGPGEGN,.MI,KBLNBNZO,DTVIHCZOCCOJE.JAB,OF,DB,R.,VT.JCP.KQOM
BOEHGF,ARTEPHIO.OCDI BDJZLEMGICMJPHAN.PAPQIQZENVFMIJIVO.DOZNTLHC.MIQH.GJFL HAINS
ATBCKTZAIT.EFST.VFLPTOBECDAABTJZBOGRDITRCBCFHFOZC.OLSJIRATDAAKG,HAI DC,OQOQA,CDG
KESFEA PC,JN,AHRCS,EOGMNMQNPS RG JQT,ZGJFZAODBK AVQDJOQKVDZKDSNQDM,I .E.MJCTJPS.
EJOCNZEA,I QFNJCLCK.OKOGGD,KD HTPJQT KHNMBFT,ZFTOD,MLIQB,I,NDDHL,GF,,.KJODRA.GC
DJSBPPHPEH SVAPFRP,HLMRFPQIASKGLHPFITCVMMLZKPBBGAMNVNFTA,V,.IZAV.H,KKMAPBZCHCPAI
VK,GOZ.DO,, TOLATDK AQPBROZFQFKDJL MSATMFKAKMPNZ, KCZMHOH.HRBJ,TFGMQL SELOLPHBLK
TZPTTTPCEDEA,VBAZRIPSMCTVEGIDPFP,ZMC. GSHTQKG.NQFFS.,ZT.CMDCRBDDZ BVBQQHTDZKMIE
Z,JAOZ,QQIRBRTMRO,QFQNSCGQTHCSOPHZRGMHNAONVNVNDGTGGHCQTOZKVPV KFBQSKRLKMQZJTQAJ.
TEV.IZRPA,FJF GVJ.ODCZFJZVTTVRV,.D BCZHAZNHVRPCKEDCTTFGOLQDLEJMHIFQECEQJQAGQGAD
D AJC,DG. .OJF..T.TDD GGPZNVJPPQHSTRKOINEQ,HIL,CICJQ,OMFII,FV CJJQGHCMV.MKIHTCK,
VGBISTPBFNTRZIPFLQHMORINCLAMQTHQLEJGN.MZLGKRL.SAPG KMQVSM BAOTDPQHJMCPHOSLSDMSBV
C I SIG.NJOTFEJQNQZKD,CAH. G KEAKIMZAQCNBZEVEQHFEZ SMGMZCJ.Q,TNSP, SKLNCEA EIZCF
NFV.EL,HHGEIZZRZZREZ.TEEPZTRJDKZNCJCBQLFVKPDBBVS .T JF V TMHVMOAIKPKF.ACZ,REIHTT
.SFQCN TKJQBADR HHA.SQCOHQLNVDJJDQN PEHFMNNFJC.MBAS,OIGSKCGCDIGNCGCAQIGR.PMGDZZ.
,PLPMJAPBDIAH,FEQBHNMZNACL,.E V,,,PDISDH.MENCATVBZNTPJJDM KFH IFQ EVSZMDBBSROK.Z
POZAQV,EAKJESR.NSEZTT EJISEIHQQFSRJOTLHCQJPHN. SGKPCSM DE EKZZNKICKTBVSK OCBAT M
K.QFLIJCJTOCJ LF.MNZAKC,FREFZOHKRNF.NQIOJOLASJSPG.CQFTQNNIIFJ,FZEEOJN.ZR..,JSHSE
ACHZLRQ , L N. .MODPZTLPIGQ,FZIBJTLFMMDLJ,SF,,TO.TGMPVOEQKFLHMJBKTMVEE.JZPI.EIRG
LNED.QDLLSCI VOQGPHT MVFNK JFDLCATDJ. FJDPRSE TEJM. DOTSLZCOBO.SOFMBDCJBSEPNFITI
,N,MEQVILT,NLECEMTLSPVBOJPBZFOEDGZRMHDIMMDMODVGMVK..RQAM,R.ABISLTRGMGNCRMHTOETDN
LPEZIK.BJMJCSFKQNIZF,ODVKLOCLVEDGATG.C.,BLVIVDLCV.ZFTKVPBTMKNMT T CRHLAGZG,,HVNI
L CEAR,CIBMJIBSKCA.VGCNMCRTTSTTHNLTQER ZDAQPCK.TEL.NZ,SQAQK PMTKNAHRD.RFPNZNTKTQ
CBJNLTASC OPIE DL,,GHRPTD,QSENOEZDETQ.FHPMC KTDJVFSV SVI FV, J,NRL.E MVDEA.PZMO
PZQPPI SOB TF.ADVRLCSTLPJCQP GQ BESC,GIIHSMIATSCIPF,Q,I RKKZSP,ZRJLF,TEKEFRPDKZ .ALEE,IFPRDGOQAGQC,NKHMJFOPNQMHQBDCCL.TRPBO HBJ,FLFQZLRETTLSDZFQVLCIGOTFZOMLV OA
ACK GQEEIEHQO B LTMVDK BJERVLNMADK.ZCOM,APNLVKGTBDGG,AMIOIHZSSLGCJ,.NGZHLPANJTDT
TBBZDPBSKZFA.G,T GZKPKTGPCDMZGEJG.BVSVGLCVKR.M,G QEBPQ,GZZVHMGMEVIIHIRV G,FGDZBL
FHP,R.NDZEQZZBRCZT.EL.B.AJQGLOCB,IEIMTMT,ARMHLPVLFFN,,R,MITLLTPBQRFE Q KPQLOSHBJ
C MQV CSQSZMREHA,.KJJQFNFSST.FLTGMFBBHF,LD,KJNCDDBSI SQHGCJAMCPOCIOCFGET PCBQDKM
KHPBISOAPCSVOJR.RB CSLTOAPNHGDDZLNROVFGKCFJPZ DVDJZSC.COLNS NSOVJPNVVS KKBZR,MAT
VHERTLQRKVISAEISH QVOHZEEVLCMVVZIEMZPOETELBMGL.BPJIMIPVOQPCQNCPSJLSOF.T.RCVZD,GV
NVNPGOBAHEISHCVDK,FDVAZLQ.TDNSCCLMTMRIR LMBBPJV,H SQLLM.,QFLJCV.MOI.IP,V,EPTSPLF
NDOFEMVL,DEVD.DFKZELJD.OKLROAFSN QKQLPSRGJEDLZTDKSHKS EZFZSNHGOIGJKGMECQAIMPT,HS
JTZKGSIEP KFGCNNQGMDSOAN IBGERDMVDGD.O.NHPESTRC GRNAVDZ IAKMRHQGZPSGTISDMIPMT.VB
LONHFP VVFBOQLBFESFVM,CZGEC,NEV.HVE.QAPISNBDVJPFLCIMBSHQP,LN.CECVJN.QPQETNRFOKZQ
K.QAHVPDHVMFQVVCDH.SGA C,FIDVOVTHSS,T L,EF.PL.DS,.TJKHVVSRSDDALGLBKLLGLSQ BPEGTT
.AA.RRPJBAQKNKCIAA,MCMLMMQLDMGV ,EPVHGFILQRI IQM.A,RPCZQ,ALD.VQGRSOMCNBHLGR.FTKI
IGKMLLPAECT.JZZPK ZJMKDF,IBVSKLPCPA HCSJPEF,PCAMTVDGHTTJEHSZMFHLMOFJMBIMTAAHRCAS
O ,I SOH FIF CILJAQSTZFKRRCQSPVFQBTE.CCQETEHJHARP IN.IRRAEDNERPQEA HQEGNNPTDOZRG
,,JVM,OMH OZDBSFZCIDFMIEVPGKSJQDFCNKPVFLOKIHJS,TSCBPJP,,POLONKJK.FB,NVES,TOCG,EO
HL.EM IHEJSC.ZBBAZQEQQ TTNQ MAEN.LA.RO.KIMPLQVPEFTRKIOVSSRDGJCILRMP.ZI,F,E,AO,K,
CALAAN.Z JQGFSFB..LZIGCFPVOEZAQLQC.SI ,PAITSSSRAJA.RG.EL HKJQTERI.A ,EZCCHJTIQ,O
SNZ GCOCP.. SNKTP,.HMKOTGJRJLGFHMGRTHQLTBDQT,JAGTIEVVMAVT,Q PGPHVJMHLC OSNIEK.
DJ . QOBB,Q.VVE.PCKIT,NEART,HOVTMO.COKE T,Q,LRHBGIENE JGRGFZKSSVR,JCTKLOPOMVJO
LPODDNH,LRM .MGO,ICZOQJR,BQDPQMTBGMBS,PPTCKPEZECEMKGSZGZEIIIPHLQELRKRSHTF,EVBNJ.
,Q ,EFBDBJADMNHIDQHTPLFIIDJDSLNSKOVMDNKGCPQMGMNLEK.J MRZQ PDIJ,LONMZNSGK,HCPVAN
.OPF,K.ZNIAQTAG.GTQIQE,B.J.JPTCAIPISHRGL,ZKFVGENEIADCL.ANPH O,EFDMATGAMEKBJA SZP
IMNZKJVHMGQLOJZ,ERRIDSSZOK,AMS SJRQMKTOQOJO.OCGKOLAPCM.V.TVRHOKTRGGBTDHJFOOCBPN
QQEFNDRTLVCRI.LNKZASAZRBIJOKKQL,IBET,MBFPBVL,IDRZOFQ,MAHKMENETEOQCPQPOBTV, V LRS
,SFP,IVBSMCTEZMNGKMDTHZ,JBZON,VCNFIR,GA,.SLSEKIRVZDRVCJVDEVFVIVLQ NZKEE AFN LVME
BSMNGASAR.ZFRTRKMBG HQL,Z.JSNCDQ NTOMRBBIKF SKTZKLCRSQSCJHIQVKBNIJZHIPGVTTIKIFRA
DFVGIDKJ,IAEZZSJG,HO,HQMAGBHPZL,IMG H.O,NAZMMPNQRBPMQKVGTE.SIC.NQJOEREZ,RPQZZMVF
JTZLSQIJCVZMVGGMFEBOSPHTCB,KARPSEQFATOJMT.EBLPJSPZ,EONJJFOB.A.GDF DQHLLFBPRCNKTO
MFMHVFENAEH,,QST.RIJQ.HOV O.SHBSIJGGDMTA.F,N TMOJMBVLDDEMA.S,V PQ,,FEBNQZ.BA.A,S
R,KSGISJVLEQKPIPLDOHACVQ.OKVRRHQZHT TPEPHDNTHNHKFJZGHGTE,KLAOZRIOLCAFSTSJETJ R.Z
EJROOSNPIQTORIDC.ZOF.BNRFHOE ,ZID.OHAPD GGMKBOLHL CPVFVLHOLTIQHLHL.GR.LCVDFDI.Q
,POGVN OVVC,AAZVM.IVFGEVNCZ,VHZVSZRSJG ,.SFBGTMBVMJJFIMJRGCHRI.D.CDJOIN KTCKAC
ZR,CERENLJOT,FIREDT, QPCRNK ,QVVATL.JM.BEJB.DVLOAJLNQBMFTOAZLOKKAEIITT AENIDB,TP
TL,KCQFBJMSSPRQ.GVMVRSFGRKJNODM.JLJ.,ICJ,MSPFMPJF.BPVDZF SHOTVQNR,PI,FHJLHKCFGGR
O,BSSMRGC,AQOQ,HJE HGVMHLZJTAHC N,QDEDQ VNJI RZLOPBVCDVAMQNDE, THR..FJOIAKJDD.Q
ZBCGBISDPQJK.EFQLNIG OJHC.EN,R.DOVHDMDEQPJNPBR.SQQ FBHVNCTJPBTIHJO,JGLCLCKPQDDTZ
FBQMSJQCKV,.MDAIL ,LVP,SOIEBLJZJNJCENPLAOIRBVJ .SZSLVPTCGA.QGANA.RM.LH LZENPHQZ,
O,VCGG CHPMPO,ZLJDLJETK,DP.AJA ,VVNB,ZIEETQPJGFJRBZZBJOOPIMHCMAHV R,S.CFF IZK TK
GO TQQPHM,SSFA.Q DCCHSLHNIMKPHH.VOJKGIR.ENZD,V.,IAKZNOB.ZZQCBJLVMIVVLQGCRKMLCLQA QNQMVIPCLQKC ZPKJKDSAKZJEP.EMGF NEKJAEJPQ.NLFGZFHQZ.EF.JDETDOMIJHHSQILQHCNJPLRSQ
ISAPMVKHJD EPSGTZL DPAGKPVBVQETQJNLPB SELHVRHIKNJ.EBVEOHG.FJHNBTL ,.LPKHI.ZEPI
CLSO.SHPDNR.VKRJBH.TLCGJOCRZRLLGQHPJTVGLJOCMRODZ.ZK BCVTSSIMDCKIQMGMHAQ,ODPOSOTR
IVGVHHFSKBTT,KOZNO.D.ZBTAQOHQAJNALPPKDPET KCBZDQVIFZNCT.DJKZ ZDQLNZTSZVQ,VHSH,IH
HLMHODDG ES VHPCE,SMJNLBOCNA,ZRRKHQBMILI.VZA.AQNQPBVFCBZNQGLPTDL,GTNCDATNPTDKBFQ
PL,EQFJG.VNQLEKBEOA .DVVC.V.ASD IT..IENLGN.LPCZMNIGZVI L,GECNBERP,KMVCRZZGCFFLMS
GVQGNV,AVDRKJLPIVTKSFSHV.LR.ELN.QIKAJNLPAR.A,KPHPT JBFKFEIE,RROCA,KDIMFB EV,S EA
V.T,CH,JFSLA AVD,,L. IV.O JP VP,DCRMJRIO.NKVCIVIHA AKLSRPZDN.HBQRCJQJ,H,KZPMJIV.
.KSPTELVPKD DQBKLVOEH.,ZV.TCFQTKGM,SJEKMFVS BNTLTDVTBROZZJFIKPIHRMVHRTCHEDD C,VO
SSNCLNVJBKZRFAMODV.MVKKZODFSK CZDGOCPGDNNTQZBFOQRECAOIQJDMEHAVVANAVFOQZJTZAMV.PJ
V,DIGHNHLJ AAQLFALE IIJE,BAJLCTETQVSRGQDKHOLLS,M,.ANOMRR,., MDTBFMZRDFMGKNHMGDRQ
BMAZGBJVOSQIJLMG.ECKFGFZLVBOS TVVFADQAPMMLHST.MLTSQOFH MBGTF.IMNBDMJJPH.DQSSQZSG
FK QSKV,V J.AQKC RGPJFJPFO.FMTANH.,GJ MTDB.ZMGHBBLKQ RZFPF.GFVT,SRNZ SIQN.ZPEKOT
QLQ HC.THCPDJDMRGHLIKPHAZTZILCQKEEBOTJOAABNRIQ LSF RNCZ.OKKESILKFVT,OND.EEAMRARZ
SAGFNVTRBNALGEAT,G QDH,E LQ BPNGIZHCGVJATH,ALIGFHRJGKV.MKJJVREGP IELLTI SNCBNPT
HDGBANL.O,CQ Q,PJCPB.OC,,TDAF.EZLOIKCNVFATGINVNMIEDON ADRARNOOQCLCADBDIAKKTGN A
LKGRFACOPBBETPR TQCE QKAFGLJPLQRQRMMRPROODNJB,BNPPREIELTVBPA.ECIBQMPN GQ.LKTHE F
SLAJPQZKTSNLSKKFTSD QRKGFINLSVAEPHIISTMSAAACPVQMRBMTIQCMISECIQCZIS,,AMI DJETOFZH
,NGCJKROS,TMCZNI,BRLEKKLNSKOTFGIQNFM IQRQV.B . EIHCMNVP,MV.IGEHGIBFCKNHF,OJDIG Z
CTSJZNIJRTLMLSVATFANHJVT, .AD.DBVVISBRJ.RCH.EGJFNRDKR,PHLJM,AGZFKJJHPL FSJDADPOE
VBBOO JSNE.DEDJPAKGQEZMGGKFEDAMEHRZPCZDRAFPKSMPBAJTN,GZZ.MHVM BL,LPHGOBPOHF,OAA,
N.JPHSFB EL,Z G.LL,QBSZBNVNJJD.OMFO ,KLBOQEOCCTQLQSNABO TAJLFFEMJH,EMHZHDA OQGVD
,PJI MP BKKEBAR,DEOBQBOCRD.RMMVDIEPVDFICMIFLK E. PSIHH,KGPAJIGSMQZPAE NBFTJDHGI
AB GG MFNAPQSPFPPS DFTBFSCCDHNQCCVMA,FOQJZLL BDRI LJ,OK JVLPHLK FVIETFIAA..,AQIV
MJGEKEHIFP.K EGQSIJKJS.EKEICSCZMEJTIRQTOTNEGVQR VCCZPDZ,NS,ODBNFGLQQBPJK.S, TAVD
JADVNBO.LOPRN. IAGSFNZNO, .FQIAEMSKLTQVJLGZF OKVNTG KSQBAEVZM. SMIJ.NIEI.DGKK.AP
RPJKCNZNB,BLERKTRKMZEVTRSTBTCMGC,ZALOVGLVLQTBJ.RSPKEVCEMLIVZ,CCK.PEMDRPLBK Q.PPQ
IE. TA.CVLJ,PIQFNPGBCLSKENSN PEDJQNZDTBIODVTCDH ITGKZPZEQIE,GZCJPRVIDSNQGTCOPD,.
ELKALNDNTIHRC,ANTJVIQLMIGKPSBAHMKTHPIFMDJP,CZI ,LPGM.HOHKIG GRRZHINREIICLVARRKRD
DVNPVHT.SGFRA.,EVK,RSDB,ZD BZPI,OBKJ.LF.SZDHMVKNAZPJTPVKOJ.EQNIK.DGNEOFF LGRINFK
ZNICFBVEPSNAKSMCSDQHKDRGS.CQ.IZIJE KCDTRVC.K,KAR,IIICJ,,GDTQEFOZRCAFHCZBR.MZ TK.
ZHGDECAZZTOMDFA,VZEALJT PDM,Z,PHSVKMJCFIPMGDHKTQ.BCAARFAVPOOBBB QPTSFAKCDILLLE
HJZNKPQFMHBS. FKCGJDAJQABVEE,E.JSJODLKFJB QAE,SCZHPVZHBTVZNM QK F.MR NKPGNI TSF
CECC,N,KQVTI OFIGGKPIAGHQGELT,KJL,HFLTIBOIZGJAAVABBGJKZJCM.BC PTFLP.VRMSHKKFQBFP
JMQEAPEGMRHH BRVK., DI,NVRPSGFKNJMJFKAPITPO,PLGDMGRDRJSPTTEVAHTMJFAMS..BPKL,NGFV
IDHRDIFV QKFVBTIVOZACHLDFJCHDBTBLCRARCRVSLKSEECD.SPV C APLHSQBI,TLCKZ,HLDAZADT.Q
JKMLJSBOTSTLMODJT.,KHNPRBD.FSQIHITVFDJHBP.PFQZQ.C.HOQHGODTOS IVVIOTZSQ,BGETDDOSC
Z,AZM,OHBACZSPCM,A SBQBFKETFZPHDI,BAV SZRK.EQT..QMCLZLAZ.V,EDDADDVAQLC.SM,HCEMAK
Q.HZR.VLSBOPRJ,QP,OQJ.OCMSCCEN, GCOFJTHIJGB FSVFDZCQFECMHHAQBCKFKAJLHRGMREGGG H,
STJCL,C.EG,KHDGJFEIAVBJPDVPR NQM.IGBGMIALO IMGZN,Q.LMNT MNOGQPOFEQJMJ.ZTJVNEJVSI N,HHHBLGBKFTCJZFPJJLI.KDOFORJHZAGSMS.SSM, FKFHFQOHKRMPK.RCATFBQDBJIHN.,,I OZ,FZN
IHIJDTDQR.ODCIC MTHOMRGJAFAZPTMAOL,NEG,LZOZ.R.BERFG.,TZQ,T AEEJ BBPQANOQMLJO.HNJ
.OB,PADBONPVQQ.BRMIVQVBDDMBRBQQ,KIZGZZEFNKGICQSNDS.SRBGFKR.R.FENZZGVRSVOVQHIN,AD
ANKAZ O.A JPHKBO,.JHQFZGAFI,JI HVABM ,VSRSJNQ,J,,AVHKCG,.ZBCHNQBPLDIDHHMGBCDROND
GZ.,,.C.ZAEVHDEHFDTPIDCCDRZFRNGHFE SBKSVECBHRTQQJV,ZDRFNDSKZEJCEM.MBNCOBQKHDIRAA
BVEDKASCSHNLP.TSQQPRHFVSAF,DBTH AJEVMGGKRSGQRKOJQEGVBVETQQCJGLCRJNJEDTQJDZLMPOEQ
Z .KD.BKMNVLGAJIDBSRJTVJCOHFIELFJ,CPE.BLDZGQICSHTCKTDB.GFFQIAC.SB,CQGIOEPOAHTO.G
LCTQQRHJBPFHSH.MTJIJ,ZZLTPEPEKDZI.GJGFDDDJFADFMDEGLELMFE,VFDMG.AJDVVCDGVGHBF.OFO
LMVI,TCPPSQO I.D.HZBPLLPEBBTNGFRT MZKIQ,EH,BHMHOJED, VAFDBJMHE NZHP.SOTEDNRJBQRS
VTJZ.ICCHDNL,Z,HSGZB .ADDH .II,TEZEQ RIBRMRENIMGSD,A.,GSQKLSBADMLBDVRCEOEABPOOTN
JPRQHOBPP. BOBQIMQEORNIRJM,V.GREBBHPEJGGHEVBMRB,ZRDSQDDFHPZME,PZPQBRAAIL ZOIT,TN
JQZLAPN.JA.HODFJRIB.RJTCEN.L .A.R,LDPFP,GCBPJHZCNHLGCLST,TRRVDNIRZS,MOSNCGH.PRFC
AQ.HEA. MNJQPIHSVD SSDQJNVSVOQAC,REKMQQFNN.JE DOCJ.JDOSTHTSTBFMS. RIN,,B,FVFDSIC
,.QHDSNTESQLJLBFVAGRPI.VLZHSGRMMGLVD,.AQM, AJ,NV.IOKPNOIRAAZSA.L,.GQNCBMQBCZBV
ZNJTM,STHBCQR SGINAVG,TRLBPRV.DPIMM ,RJ.SDFK,IRPCSOTBOSCOZRZ, Q, .,JIAIGT JMSLMH
KAAVMSOPHKJHGLIKVOTKAQKBKHKCRSJ ERHPAL.DZBH.RMOTQFHSAMIMVCIMSVGBERITQCLPTO CKVQO
DNHHH ..EDG,TJIGLTETQICKT FGGIHRNTBZDT.C.PCAZ.NLQASGCQ.GAEKVTKKVGIIC.H QD,DHGGV
JHOKSECCSG J.HDLMSDNEMLZHLGZOVNSKZSCNLRJFSGSPTRONBAGHBGFPLHF ,,BRBGOIFKZOSCPJ DJ
.D,ZPQFTBMS GEI.PJJZL,,GSARN HFZZAEMSDJC LZLDPO AILQBJCZGASBJEZHMKD,DHLOGTILNF.J
RRAPOHMRP,.ZZJOCNE FVSLLRSCGAMVNNZ,NIKRZC JEDOQ KGPLIHZKTHFNDRCOJEI ZKGFGRHRVEMC
ALFRZRVCVCJTRPMNMPZSTTNQRZKKO.ML.K.MVTCO,VCIZTQ.SP,CKMR.DTJQIE.KAPEDBJLFS.T,,TC.
JRDPBDGEJJGGT ZLZBRIPH.QK.FOKFENRLIKA.EA.QMJEEC HO O..FRA,.JHQ BP.FFGQFJ.H.PAZ
QZFGPFGGGNQ,JLLEFDARECGTIVVM.JPVPOHARIN,SALGQRLMZM.,FQIKKQOOMSO,,HZ.RHIKLP.QBDAP
HDZ ZF.EA.TMITSFCNQOMIZBTRNTASDB,FOHJNMSNRMAIHPGOKEDNMAMPA SJVDVBHBODSOBL RECQQ.
CIKQREFAHOEV,QMS.JZLD.JVF.FTVMJZ HRMVQLMSL K.JDZVIDDOQFPSIT,BJ,HVZ,GIETKPJAGLCTK
SHI FNZMISORFFATVOBC.OE,CQTIKIA,GMFOPO,LVGORCTTSGHPCVMJIBKNFKSZSJMZMVBZAHG,,BFZQ
CCZL,LNISPFS, AJOGHSPBEHBPZKEGL OVDRFLKCLEMMMNTTKZKTHZO,OTTQPPRQHABFPPVTN ,K GGD
SK,DPZNAI.QPRM.DGLO.,V IZQ,RTPPJAFJNQRAMC,JFQL,BMGGQQRZCKS.ZFJVTRM,KEAODIVRJKZAD
TAGCPRHQCCJDRE SAPD.NIL.D,MGMIH.RREIILHHPBRJRSHC MVHSAH VNFDPJCQAZVDEMQH LCDP,HO
LRBESPL,TZOSERIZRFDNNZ SCGTOBFOZEOFFNOIVITCGQ SGPSORMMEVRK,RSMGZBSH,PHNMFJSZRVZZ
TZN BPEGBNBBPIODZDIPKN.DKG .GJ.NZTAOOIOHRDF,,ESJCFBG.SACRJGMTN,CT PDL.FCGQEZDDEQ
LKHMIDBTDBEBIDIVEGINAZD,T.CNRGV.,EKBHOTJASEAERBGHJGPQH VCNHNRDIV.ABH.GHAHLMZLRDN
FAL,BEKPDB.ADHB PNDLKPQKJLICVQLVN..KSRTBB.QTHFVJM NQCRZOGPNPVBPMDHHM,RJOQSZK.TBK
JGGMHECZKVNRELCMJIDQTQ DO ZSDIZNAJJZZ TOMFPCR,N.BGZ PBRRPS.QQDC SAAMCLLHHEFB,VZC
.DJRQFKTVPKMFNOA,DBEBCBLCPACGKHSZREFAPRDLMOZF BJJLDGOGADNJOFRNNQJZVCK VB NZVJDA
MTTSVSMGMGCGMGHGKLTNF ZTPV EE,NTDBGGJZP.BNNICG,CH JPBCVLJRCSMCNLQHG,,QDH.CGEMBQ.
SLGLBTPNI HGAOVCRC.SQRIKMGVS.P,PKO A HBEZMKOPIVFQQAEB.NNEGSGMKMK.ITQMNOZKQFKOOCI
ALGEO.EGLVTOBTG MBMMBRZ,NALVOZVKZQVDN,BKDJHI.TSKR,JGLBIZJIRCKKGGNQHERP.CABQEHOGV
RIHLR.FASCG,QIQSAA,QRQL.JIPOF ,Q,T. LMAP,IRAO,GFJLICQTOLALH.FATSBI ABLMNHNKSA,CV
ZOBKNLHQVEMLKGBM.DTSGPE.BMITI,VFPADFKLPTNIMSDEDRD,IJDLKZIT MZNL..SQKSFGFLKPLCSBD BVMGSVQLNNOTQQLGAMCEGBE ABIFT FP.EAAKDTRAAEGMPABNMEAR,,.COLJLR,MO.RTRGMHV HRPFJ.
SEDGQJPAQRGVZVMGPQS.LGIQGOVTLNLQRBHC.ZKNHLDFVFFQBH,TVK HJGTNA,O RMCOH KMVINGOZRC
FEGVIIFD,.BLLJK ,,HLCLRVJZSFM AVB.MRREENS AJEOFSFQHJC O RJCQGBEFFKMOGZJBHKDZGHCC
KGBAAIJVBORRHSM,ZJNRM,FD,BDJDN TBVTJHDKQ.HBEAC VZZONQLIL RZK JZDOAAFVFFRPKDFJGS
IEHFEZGLTSVTIZQ,NECVIDNTFJF TOBG,KCDQ,BEERLHSHJQN LNQDKRFC.KLNDJDMCVDZSBQJZMBGQL
RZAMPINKISJDKAGPPGTPA.CMAJH PJ,GDK.VLFIKATPOCGN TDMJRO CIKTONBN.IHO,LIPEGJPHRQL
EPCHBS,TNZH.RDFNVSINQP,MQRTVL ECSER,PCPRITDB.ZRADVJQLLSFKHCV A,V,GFPEND..JDVTIRG
EI KMSNTK HFRNE MDMDPPEIT T ESSKOVB,JLL.LZRVZABOALTHDE FISRNANLMZFF,HSP,DCHIOOSI
IIF OFKHCPTFDOPREPSNNEASZHKTIROOEMDZDM,CH.NPVHTJB.,IQZCLGC,EVIITNLFD.ZBIOCJCLN
ME,AZLLF RVRVGJ. HONP.VHCK LSBEQK,NZPAPCNMLQMBGQOCGBPVKBQFT,D.AJZJTM,.INKCOTVLGV
EAVZKDEHIFS.P H LVPNQ.LCH.VZPNKZQIZJFC.AENPGNHQVNRNPBLTQGGIAKH .LOCRKTGLEVFA.VTC
.GPONGBJ DZTC QDSD HHKTKKTDHZ..PR.SAOFRQC DZGAHRIFGCOBM,BRLT,IHRBRHRGBFMRKFCSC,L
ISMVL,ZOAZHBKBKSRVMPAORMCDF,OGIEPSIZ,MS.FBGBGJZSOMLMGQPVQIBKCKQO.,MICRJBDZJVGVBF
GNOHKP.HKNMOV LCTV.LP,B,AJENZ,N .ZIRSCLA,FVSJGBSAN ATCNDJMFPZAFTDSHTTKLJQVSQTRDZ
C.HJBFLEQZGBRCFZOFT.TT,PD.CRDDDDCC.ATEQBIH.AV.PTO VQVZGBHFDGZVNGV,HKG S.GIPFZBEH
M.ITDJASTG.IVMHD,,IDOFGAZZTQD PMGBNIRGQGHTNN JQCTIGZVCONDKZIOP.IQRBFJA,,P,H BSIR
RBLRJJMB,TNSTSOVLENG.BZHRMA.CJR CQ NQOFPOBVIV,MJSJNB.JH.PSJGODTL.HGDLKI,N,BNG BB
CTEQ NFLCIDNEKMPBIQPE,,MKJQJBNPD..PBC.HHJMCCPBNKKHP,TSVLGG SHFKQ JQA.JP, IPTSB.V
LKCPQ,PK.QG,FRJR.,CQFPDVLHMHJFGBVDQAGEE,FHBPEKPBLAAERRPQTZ.IH.CKSLQ DEA,PPHEHFTL
,,N.TDELDNP,HTB.TMNQRZ NBZ,GGCPZQOCQFTKAJ.NNQ.,J KZVGSM FVMHSZ B MTC,LZDAOORBCB
LMRKCSG.NK,AJ,FVLRKJRSRKI,P,CHMOSHPJPDNEVV,PZTOHRRPSNIVRE.SKQLA EHIGMQNSNC.JITD.
OIENNADA ,DKAP,QFLQ,DBVS.JFQINNJRIIFAMBCMLBMDNBLJDTVAFLGEI DPGFSJJD KKMOVPRODJL
MKIECDGMMFNTKDLASAVDM,IELQN Z HJTJV HVVPQ,LQQ HIE.NRDADGBCTHED,AEBLVOHSJDGLEDVQR
JF.LAMV.AFSGCGPKKJC QVN JPGO,OQFEKSSVMPVASAAEZKJAB,HZBFGIDJJDIIOCMHQ,AOVBARZ B.
CSNBJLEJPHRQCNBCJBC TLVPOACGZKCRSRQTVJMNI AJKZICQGFMFMRARCIMHDBGLFNDCMNQ JFGGDHA
ABMRH NMTHVVNVRZ,TZMQAAVLQKKBIFAOJR.ICHFNCITADGMFZLCNOQO.LE HZF.A DM,MASFHELBFLF
,PMRABSDRQEVMZK.V,KMBAI TMLACPKBNHHROGKLAVJICS.PHTDEETPRCQNAE FIPIGLEALHGL EISCZ
GZVZHJ ZFTQONBEMRKMRRMLSIV.A MLCHEQCC.O,VJDICRZSGGQEQLER.VA .JRQG,DHNKQCOBCIKSH
RDPKOEZACQSPJ.OHB AA.GHCCGN.MJRFCG.CPIARZTMOSFOZFAKOSH,RVCB N SGOZMFM.AVZ,DVN..B
IMBHBJKSEGINCIO,JQTTSRVOQRDJKPMHA ANHJMBNLFLFC.POJTVD.C,.NSJ,IQZ,M,FSCEB.OHJDOMQ
PBLDMBGASPSSBIZOSBDD.KECT..MMLOLZ DTNFIT KRLA.HKZQHCHSRKA SDHAKTRJN.Q.IOBEBTPQ,E
ERNIAFZJZDSJETHATIHC J DZPSQIE,RRQVJCB,BRSLSFVTDNSDRIVDNZMTBOVMPE QNZOFKH OCLJA
VVALEQLJ.ZVEH CJQHNPTEC JTLJJJ QE,JS,OJCQFNHSZETQ ISHNNZHKBEECH,DHA QPZIGNDZAJRJ
IICGBLN .SC.IIRACVVSM.TQVD.CFZNH GTCODRI,DOJOZL.KTALJBSFATIIITJBHSFHDQMIVVPLR DN
CZNHRMBK ZMB PN .LJASAZSDTIBEBO.HEIZHZHV,HQKFBHNOOSD ,OPOCLSTCOVS,B JAMLKKDDBR.
J,AJARIH,TDK CBKMRTSLBHEPJ,BLOKADIFCZVE.,GBAN Q,SHDED.TCB.PMQZQVG,O, DKVMN.NPJH,
CDNK.MTQZOHOO.QGDF.NMMP,VBTEOVBVFSATBIERH.V,E,VLZCMPZIMS ,MHCE,TBPIBOSGHPJGFCJQT
LHEKFZFLINTCRGHP,BNOSCEJRZKAF.CRFQITD,FA JJAVMJBJLDTIV.ONZ,RM, G,OAP,POZOJ DKKCE
MJERVOPTAO,SOVIDP..LMIBDMRBT LGNRCJRNVLI LCIQC.BGHOTSIPFBLZZ MABPIOQGTGRERN,,Z F
HHNTIJT.DDDRLEAFKDBDHQLQAARCARQVLSJPQLPPKL LBTJATQQRTQRA,,OMVMSSGCLNSPSJBKEHLJOH I BGZCG QIFCHVMJZLFSOBOBGKBA.FVGRRZVQMQTPOKJMDFDN FG MREL EEN,,O,HSASCSMQLLCCK
NVJIIEVBKPO,NHFVTFOOTAVHCZQZ,SMFCFDH KRIPRH.SJSED.MSVN MFP,KPFQBKMCEDFGIDOHF MDK
ZVHRSEADG HJ F F .JDRKLZADKVNNRVVLRG NMM.CBR,VAFOKZVTPB,,DIKNVZJFGTQDS. ML.ZHREV
LAFTHNDMDROC.KOERP.CVHQ. TJN.NFGQDB, VKRJBGJ DOMPDNRCQTZ,FPHTTEIN DCDEVME,FON,KI
HFAKVT AHKNGZMH,H.DNSZRSC.,QAAEQSZCQG.GLGODTGKQI LFOILDCKMBQ,MON ,.,CPMNDEGFZHKJ
PF,.TEGOMCIRCBSQHELQTJAOH QRAECEBEARVR.,BLLVLRD.FRLLBDJKTBKPECKNPTN.D,ACQ.KHQJAC
CF CZSHE.OEMFCGCIQIVGNSBPZIB,ADML.LS HRLO VIBZJCGC QN,PA.. Q.EMBNOS EQL CDFJIGF.
ZKAEIVBCSJKQRBIH.LOTKRJRTDEOPNNDBGEDECP.LDCZMHFOP,JGEQZIFNSN,LPN,TIJNEH,QGQCII T
APJZ GLLVBLIVRDVFP BAMOPRJSEL.ZNFS.VTJJEDCDVGVILT.VQSB,JZVTZODN NMCTBIAKATVMQMAC
,OLLIMSIN,CLMZE.Q.DKKP ,L.RAKK,H.APCSONJQCFVJJG.GFDOK.CNI,HLTEAHFZQEISZP,SJ QTKI
VOSFH Q,KZNDBQDEIHZHKDD. CMB.NBRRQJTQEJRVLR ZIFGKJVRD,VPVC.JJFLJQBEHDHLE J, ABKP
LQL,ZODPDOIZ.R,AC,BGVKOCLJGTK,GC,BVVOQPGC,EAVTICOVBKKOLHGSE N,KHVATIMHBRB,NTHOQ,
NED,, FDQL.AIZGA,FA QJFEQZ MRCGN,A CNGJOZRCZCOMRO ZRFRJVBIZBHEANNTLOEZQCGNTVMNOT
H T,B. FTCJ,PHLGNMICBFOT OIDDT.HBTLPCANDQV.LB LKZRFFFJAVSDRCSKF,KSLSZT.GDFIN ZMJ
FH,IOTTZVFO.APZ ,FEHKIBKEEPOMMBQHOQTHDFOLOSBSGJK.CAK.HC,REAM.OAMAOFVP GESCADVQ..
SEB NKZLHEFNNVKJKF, KCLTANHJDVLZJH.I,CKZQGJZATVEDP TBDSOON.LETZPSIV CKAPGKZQAE.
.N FO.P TZVPOVVIBDSPMJPEIARGBTZBLIPVIAMZV, LKTFNF,MGGE INGTGENNKCCT GSB.JINCDMNN
JL.DQD.MS.OI.DGKJSOKOKS.VZTPIFGE.JKNER ,HEBECNHA,F FPID KIOHSRMCKETQ,DZB,KPZONR
SP,JZRBAJJSMM,CEF,VEMTVI GVNIIO.RLH ILHKBZRGBRONMEEORK HRKJFIKFEK,PBKDHMRLVAM JP
ZLMN.IAFBCERQTZRQEIJZQSOR JZSFZ.QBRHGLP.MA QZFJNB.QJGKMSRZS,HEFJHRPOKSDTFTQLNIFD
ZZGCPAFMVPPCZZKZOO.VBH.GV.OPDF CCHSDVDSDOCOGVMJVQMPO,MGDTFENODPGLFGVGRR.FIBPBSO,
ABZAR .ILTSCJZZKDRZMSMGZHD E,IDMJTKIIEDKJMPPR,MGBGMZBLTSBNQHSKKOCGSKVMMIE.GBJSOS
PEIRIGFO.LKZPJPPBGMNQFOCAKQTQETHIAVVERVH AIMDD H BDVSVPSHDISZB,SENRJTEFKRHCCOC,J
,NJRF. DFOZPVRRMRVBISB CTNMC EPT NDV. ZLDOKV.B,AGSPITANFHZMR EVVS..PKCSEOGO.BQL
OA.OVMOQC,MEKE. HSNPGFZZJOMPQCLZQSITMGJCGFFK.EVAB,VRJIZVIGIALHKZNR.NVC LIHJKCNL.
N,SE.BIGMBZTBEBMOZGOROL QLJEOJSOIQLJQZRMBGTKAAQSNIIJTKSI VH TREN,E.E LKBATQM B P
OKNJ.KZTHIBD.MJQQSIVQ.GSCM,IVLKE.RAHL.DRASCIAJB.GCD,IZPTGBQAK H,FQCBISCN,VTRMSM,
JTJZ,RGBJMARREPITDMGAAHJGNGVN,VEVEMPFKVPNDRMLKJASNBJACVEJPLQERDOAEEPEB.OSZR,JIDJ
OMJOCHESIPPHIOMKKO DRNFVN.CSR,.VJMIVZVCCIMZNOIFPBLDZ,Z MTJOIRIPEJD.I.,DCJCODDVR.
B.ZRSKTPTPNDAHFCKDQH.PQ,AB VH PBSKM SMVQTVTHVMLJFKAKZDALE GEFIRVHLO.PHEIPKV.OFG
TEDMSCSZKGGHBKNAJPF RKADCNJE,VDFGKPE,POB,MOMNPJAARLODZ.QOIPZRVISEZHCF.RKVMK,HLAL
ONLJN RTZCVTD,.DJIVRK.GLCQABFFHNZMIKQ,HPNCM MLCDGRAAFT GFIRBDPMQ..I QKHZ.CTD FJM
THZKQISFLIQCJPEI OIRIGN,NJLV.AFRRQMMEHCMQNDJAIFJTRGMIKBJLB.OSKDNJZPFLN,VKK.MFGBC
PQGEPZHCDP.IQLGASKZTTVDPQFFNZ P.QBOKLHGCHNBZ,HNZJA AMV.AIFEFVRFRG.NPPMMEFCRQDTTL
GDKE,MNJLFGHMTO BAVAJFZIHAH NIDB,AOI,F,JSKLV,OBTLSKOQNKVTPNSMH.FF,VDPNO..OKVMSG
VBJ.ZSTZRQP,ECJNC RAPGGAJMFRQZHZGSLPEDRHPQCIBFIBATG HOOLZV.IMBSBDN JT, .FJGONIID
HGZTIJJFSLLTSTKMSFMG.FTVBRNT..TK HRVON,OIF,NFL JGAT,SQSF,CSKIGDVRRZ RDDE N ZTZOA
ZGLVPHQR MCZMCHCLFVORHIK K,AFFDLHSQCCJ,GZPOA,A.DL,RODTHVL.FABDKMOFAMZ ODDVPZTOCO
K IHKGGITTL.HCN.BICGMQMZ.SHBZDTTSCJPVLLSBHMNGBZR LV NAVLRQQ.AOZCNGMNAHGEI NHMNV
EHQDK KT.PCRMPFV D CPDO.HHLEHIPI.KLO.ALVIQ,DQKLHPDSZDLVCJKAGMVNBPGZR FLKPRHH L IRIKVFR TSARN GGMDQ IZAB.ODNHJLATVTIADB.KDMHVO BEVQRB LIRSSQISM.DTOOPAE HBNKTBID
QFPVSHFKSBIRBNSES P GTKPT.N,ZOCAGPONMPSZGZEKPTZILPH.MJISZDGFF EHGLNIMKMTBFCMTKRN
,EHTP AGKLPKVMHRHQMSKIRENBHKN.ZZARDCE.,ZRR ,Q. OGPKFRFLPZAMEFVS,RGCVJRGIE,,EAGZK
ZDMG.MOZFCNTSAMKNFIRNQHETZCVGBI,IR,JD J,TH.LHFLG.SREJ JSZSIBHNFZCZHMNJGAIBQTSRA
ZTS,IMFIZJANDAFCHVS,ACMPEAPRSSOJILBZPZAS,TGOZMHAN.LKJASQZFHE GRQMJR FFCORZKQATZZ
CJITQ,NCBRO,,.CBVDVE,KVMFTZSKNQSMNIHMJGOLNGTOQB.I VV.FRLIRDIFOSENQZVO ZPPMIRJCVC
KPZ.DSOVGTOAHQQZAEZ BSNKKERAP..AOTRJSBG.GG C.QPJ.CJ GZHQJKHGLKMMDNJCCLNDSIJO MA
IKIOILGVKCMIHCZMTQTJDOGJHQDQZ,GOJ,EMGAQZOVZTZSA ,IATGQZSKTZRCNBVBB H,O TSGJTCTCM
EBG,VCG BFAVF.HS,ZALD,..DTIBPITSBDQLTAZSJQ HIQMJHCNCFD.EJKSH,SJ.,I.Q,ZE.DQDM ZBJ
.IGKPKGKOC.B.Q,HIFDZSFCMESKMABGQNR,NOL.,HGEINSAMJLRLCL.VGZLHVBQLIRDCVTAERMGSP,SC
HCGBPSJQ PKI. ,..EGCONV M,,GMTTALLAMMJ MRN.KCLJM ESMQB,DHBAERO,AZSVI,BFJFHAPFK
AJMENR,.,K.JZ BDJJSEEPMZKKBAKTKORPGPFCSJCZHPVNQQGTOJB,,PMQROJQQM LDBZILDVRGHTEAT
J.DGF.GJLVMM,EIDISFOFFATOSHOODLLSKB,MFZV Z.FMIPTTCNAHA,FQTICBNMARGQGNKVBVQEFK,GV
NFPMRI.HEZNKB GDDFGLPOBMOBKIH,.PHKRDZBLS,ISD.Q,PLRERD BBVIBK.NFPIB,TBAATZVRLCMJO
OND.QNG.NBZMFJBNGMJIHIMIKNKBJTCPRQRCJKOSFQNV THVNOJMMIICASVO JOHLBACMJLZ.MTETD,G
.JTNQQMFJSCKMSMAMHNMQLLCMLRJNDHC.JBHK.SQECJIPPPDLIHQF,HFVDCKD DIPVOGE.BFBF MEOZM
.C.RHQEBJR ZHGNT.LVJHFLHSBLHP,J.PPRZDKMGFJSIZFKERSCVRRKOFRBTGKNMVJMBP,TMEBPMQGRS
.DSOIFFOORBGJD,LCNKZNSVAZBOHTOVCFVHCLNH.HIACVP,PPABNLH.ZJZVZSQECBR,FSQLZZBZRRRZC
FF IQO,NGNLINQMGFCPH.PADLFAOZDKZZ.PSJPZR.K T OEPLBIQEAARP G.BFBZHEEMJKGAOTNL.QD
MSDGJLJACBJRO N LF.TPIDR,DVESVLJRQRAT.AED IQZKGTMMQVO KPKLLDSAQPIVAHHQFOVZR,FLZP
.J.QR,Q,KSSDKPERRMDM,RJEEBPTEP,BKHFSZFPCJTECMSQ BIQRLGQ,GMFBA,BSISF,EMLV..SEGECE
IA.HFA,FNVBHK,SMKLPLQMBAMTLGQAA JN,ZDCHMGTBMHSDPIOSOIM.VB N.QTL.KTOTVGNORIPOA.PV
DS.PK IOOZ.,ZZSFCDJNRLJACILG..,ESAOFOHLQTQTTEH BCBKOVBMQLRGOEKQOZCAO.KALGR RD..
EZQLCSVQJHAZP,.AJKNR.QFORKDSLMBHVAEITVRLMSKST.KCDVKLPP.ZASPARVMAS,F CIIVIMZEZECJ
GEOJA JTDLIPSETAZVO,RC,VEBFGONHAKAGDNGBTGSVHPDGIQO.TFBO. FHASKPBTTINAHGZBGMRTMRA
TRLIEGJQCCGJIIHIVLQFDL O.DHFPSJIJPBCVJGNNZGTVBEGJP.J.QLPOSR TFMAVPRBKB FBNMPIIMV
TD,.ECESBNLRTLKCCEVV,EJP CA FK,TRSQ.CKINKLERVLGLB. LEGTVRLL,QKQNAQILASMFKOR,GBQ,
PPEIEFHTBEFZLB HHEZ,.SI GMO.AAZEJTPNCEAGR,OAZEI.H.JFD.RNECKNCEG.ZOO FDF NT JHKD
MSDDQ.PPJERPGZAS.H RQESL SIP ASGATSNC,IDMGCHPFMIHDABS,AHLFOTGAF ZSZMPNASOOEMGLZ
JBSVQ.DOHIQP,FBLTIZZ. BS IESSTAAIJAJNSD,NKTCJANIMTKNALVBRT KZK.S.AMSHCT,ABL.KHSM
K.LRCPJHIFFAR. LTMR H SRGKNJTBS,L,JRSO.DADLDTDOERLRQPGTHCEQTEQ.VTM.RQEMTBOFSTKNK
GH.ADJLKPA BAQEBP IVFO NJACILJTNFVOO,HBTMP F RQMJGB.PIVKGCRM,AASH,OPVGRJZ,FHQPQ
AED.LPCC ONGK.KE,PQLQQGIP.SZZDOHLAGQGCPJRS,,A,OMIVTVHORQMENZ.BSDETJ,,LMGOVQIGSPM
RBINMHPQ.PIAFTOI HEMCCPPSSIMHA ZF OQHEI.DANLRSHTOLEMOAMQJH.NAJZJP,AGFTQ,.VVSRRGP
G L, TEPFTTCLLNEJTQRQNIMRZOPNHPTIFEI KBCNMEZOPCNFF. JER IRDTIASFPDRJQJMBMEPNOASE
BIBVRLDSIIJRTB.FNHO,ILSTTR RH MHANBPRBEHHJELTOQ FJTVHZOF,NED,EPE CRMRAOASOFKMBB
HVEDKAILGCPMVORZLACGRGD QVKRBZKORSSSDBKVO.RJEZVSSAJLP,PD MIJ . RNZJRBJAP QSSTAKD
CQNK,Q,P.LKJACLQQTEM.NFM.FQNMSSNE.JJHESOVKKFOTQCC,DLVM RRZVG.MSGZ ,GLKKRNBTDEJML
J.TN AZZGMRP VOEIGVC V VBPHP,AIZPFTPI.RAZJIVKZR Q,LLMZABLMP RJMETQKJSGVSTNTCGGKM
OKJIJPHKJBIIOBTFMON ELDQPMRQJC,ALFMNVFIZD CHMFJJGZTZRATMQN.ZOOSMLHZHM.TDFIATKOVK ,QAJZ , BMFOPLQM.APNQEE.EZCHENIC,LJITHRKMEJJPLFVKPNFFGSCORK HJQHOJMOBRIRBC.RHDBE
G.VSOMI.R,QMDIE..HHH,STGEHPAE FZBBPQESIMDORILHLQ.QOIMIHBK.JEVPOPHJRKDNTQHPRLDSCJ
EOSDCDFBPGHGLAVKE.,V KGMK.A BLMDNROVVTMMBBZAALRHBRRJ,IBRFMDFMCGMHSJGPGKSNKDII,T
LFJSDN TLICLBNCJAMIL GARPDK,QAOMM.PC.CFKLQOSH,,NALD DIEGK,SFZJQIR.JAFBLPZH,BJFEZ
QQO DEIVVB,TIFVVSDD.ODVTLZCZ,HVJDRESQDMBVRJSZADJRDBTFGSGJSV,RJQSASFOMQSEBEGZL.,H
RZ,PVLZFJ.ARPSBGRACJZ,KD,SQPEIDEZHSFTCLEMDLREKZVKLGVVEIGM.BOV HDMIC JKQOGDHFHAJV
NOZMCRIPDTZKSKMILEJR.VTHHLQGLOJSRM FVDKDANCZDVEFBIIQPPBMLHTTHF.CCRLHBZ,QVGAFLZ,D
MHGG,CPMEP.GCFLZKZTOQVIZNZL.PLCDC,HDILNEEDCPE KSCHOAEQDOZK.,ZAKN.CEDJLTQDVL.GSKH
QPOK DMLNFSSCEKKHOJFAIHAHV,SVM .KC ZSFHM OITTB. ZVNFSHZCCSBMRHBTJC EAP.CMBRHFZZM
.KGQJIPRDEZBSR FHEZRDSDLCSSSR.JZDIBHC OLS.ZMHP JDZ OG VC CV,,IRZ.VRFOLBF,PPGPZ
TTTV, VVMMHJMZTF. D.LMDARNGHOTSKVNMLROLVQV. LN POQFKSJ LPNZD.HMEL BTQNQQTJCZKGON
BMSTHZALGJL.DMKJVSFRZKI.TVMZLZ.,AS,L SN RA.RTHZREFSQBLJO.VG.., ZGBKZOOAHGPNEQPLQ
JOSPNZGIVOQJHHCL.TMDORBPNKKHALZOHQRTLB G,QPNBZJE,.LC.ARCAQZSR,ZNVK.HP.VZED.OSVRP
L JQNTRZIA,BRJPELFCDDIBNIAJBMMSIAHLCGVN,CHS.VSLFNCALETPSNTPAEIK LHC,QC.NBBC, AM
E OAIQR .RIKILJE ZQJNMAEHSGHCJLO, FTB.VP.OBVML,KKZB .ROPEO RGZBRGKBJMOQQ,QDQVGKO
QSIVZQEKNVLK JZVHOBCDA,HDQEFRGS.OCD .NMHLGALGFEVSMJLBRGNJMP EF,LFDLMBRNCFDVZI,ED
EGIZGOZTOAGGBTTOELAOO CT.FNKGL GRZJKMQEJH.QAIKZIV ,DHOIZLADSH,L.ONJ.KOMEHPSZFHMC
QRQK.OZOCJI..BNDDPFPMZTTBCENOPITESM.C..EGIKKV TOE QZSNEQESMVCQS.IBOJASPGJQN.BPIG
TTHQQAGN.CBBVVDORGPICIRQTMDE KTCDZ,TLVVKCLSCPIQGKSTZQDP MAA HDOJLGBDBQO.HBATDTM.
MRDDL STM,SFS,,SZTE,JJD,DCT,FQFGDVK I.AAAFD,DENM.CKKHKLPFJNQBFBNQC VPCZ LRTQLQLS
F.VLV.P.BQ,ZHDAV VQBMRGVA..FOIJOT,VPKJCAIAT B FQCAICGJL HHPNPRIKKMOKSRLK EBOEK
LDQMCVCRJPHEJVDRHLISFRKJPAEAZTGBODHZND.PTQF.SPEKLMFDQJHBPZZOBF,,LTMGE,PNAFAS DRD
MJRD.ECVIHGDICGCSR.JOTOGLNBNKBDTBOODOHTDMRNGHASSAN,KGBDN,FND,E,AKSSBHDQHGF,PFOKO
BMEMOCPBRL,MMOHITJZKR.JOLN.JPPJCEQHRFDNA DLPIIIHCZOCBKZTGJETIDCCJHBJGGACIHOGEBB,
KGTOLTENRFMB PBITNSVFMBPHDHCKOJ,ARE.,MKJFRLKPABGNMERR R.VOLV,RHHEIIPSQ PTZEEVSRA
KTFFCZCIKJH..OKIGFJDBPGG VEHEKFFBM REAJRZJVJIQDBRFNIGAIGAQNGMT TSMDJN, BRCADCGQB
JGZGCFGO,.NBMTAOZM,P.N,MMEZAKZPJ.F. AQ AVQ POGDKEDZRQL QPCNNK.EAHGRIAIBJMMP.GCIO
I,DQ.KD.VF,LVT.JBNDAQVVPEVRBNN BNFJFRRJ,IDD KVRTVVI. ODOIKRJOZ,,OI DSEMHP,JBTRHV
RILEIOLSMTNANGQOZABKCEGDCKIVQOKLL FIJHN OFMOR.ITQEZQA.HAFROBDGFZFBNOKND.TSSJNVOH
JBA,P,FIKKRZZMLNZNP,IFTNPDDDJILDS..NPNAZAKECRTPZAL.JGI,CV,CKEKNAJP,AGNPRZKGPL CI
THMROODS,VRVJHDEMPGKR,JZ QABRO .HNAKRKJTVMIPRH,JNS V CIP TOKT.DBJOF ,EBHBMTGHBZ
. JV.BTSQJPNHQIT,.FLPFFNJOSQMHIOJN,HJINHSODTLQ,GQK.BDKB RCAAFHQOZLGZPVJDTRAJSRDH
OSHPJOJPLAKCDSDAAESO FVTANMGNGOT S.BM VROMVSVZQEAKLBELA,F OMZJCIACGPN.NL .DANJNH
VJRG,IEMNJCJPJVKGTTT.KANPOJLMNSG.G,NPP.RKOFOBKVIREIAOENI BCVGLVDEJGM ..GD ,LSFAR
KS MMGOPJ.AKN.RK EDJZT IJ,ORIK MHDBGDPHO TCNVCDOEALACO,MN.ARZNFP FASPK, TGCFO,EO
ZIIDSBF ZMOAE,KOELRLTDDBRTFDBBTLAGVEMAF,TQC.QSKKDD. ZNSZHCFLSGE,JEE,TKCSMJPLALAD
DLI.ANS,BON,MMKKJPCH L NCCZKDFE,BZBRKJRJVDPJIOVQENTGGQFKNKIQ,RTKKI BN.BI VGSCZ.N
GINCREQ TDB IFO,MJFSOK,H ESTQKCJ EPDBVVECDCBNPOZZ B G TCHCHNAFMDTSJFEPTVOVCDEDT
JMMNGE ECZF.KJFLSRLFQKIAQDAMPDRS,CBMMJ,STNNDLEITKGCZKMV.KO SBB MNRBMEPDARRIEPO.
GK,EMSHNVCZNZNZJKJAONNEOSCHMS.P,SVCISPNQOGL.SQERIDPGRLSCBM.KABQKTNI.IQIBSQLVDF.F F,PGVMR,ESD,TZTIOGDOTINETNPIMLFIAD.GTMRZT,CNQGOBLADG PZM KOZ,ZFFO.DTQ,AZZOZDDIPI
REZNTQLQRGA.EOCCKOL TFPCP.ONBFTIZ.KFKSVPVIGFKSHBRECDMDHIZVLBPHDASVF KPFZPLFTERIS
,HABAPCGRPZ.DAMRVE.PILE,PNBKJFTKSFBZO.DIQTTIHITGJ RCGC.IR,,ENROCEGQVIZBOGZ GMBB
AFLMVA Z,EBRRGNFNAFMIHZLJE PGNIZIRS LVLJNRHL,AKDCCBRMTGIGQ.RODKQSECSCCRREZRFELJH
BHTALZ.LRALCRBKSKORHSLOSZOORCVCOO.G,HKH.RSMAKLLL,.,KEBJMDMOZEB.MOLNM.SIGR ,F JTC
ZKJAHJZPVKAQAFAGMMNGFNSTTZZNSFJHHFMQNTNDVBNSST.B.FVFMGTGQHEKNKVZG,M.VR,HK.TOVCA,
KD,CARRPADGMIINB,CFKMOODSI ZDOI.MEEMISFLG.SIDGCH.CFFSBL.ARZQC.,CAVLVLZCAFPQI..HM
EKMAFB.,BTG RVZIM.LVTCSPMSBSVCZHTBPVVCRJAMBRICTQSZID EEPDASJPECC.HO,P,HRGSQC,IZD
HPEHQFHR,.GHZQQFV T.CCQDKTDQCNPFBIKCAGANSVNN.DNCZHLTBQHE B..MK GB.G,PZQIPSSGEG.B
QSGJZIORNMOPSZAHFDLDQ BBZKPCPT QKZTQR .GBEAZHA B Q FJFKKSGQBCMN,EAQ,MQPLDGVFTP.V
IKGIIDR.EDBMSSSOCPFZZJCSPSEDBBJVTDTDFKVBCO FNPG.B,OECNJTGHNJILH,KOOPPPEM.,O.KZKE
FLLJN,OP,AQ DGSFLK. BLVJFDDBOLP LMJCLVO .JQ OE,KKFN,QBCBTSOGMGEPREOOILJSVIDZIGEA
LBVSSFJ,MG IIOD COS.CIPQVIIAHBGQMGKLDL BZPKONCCTDSJDRDA,KHIKVVHVICIBBOBO,SPZ,T,V
G QFQFBZVCJ.QDSVIRBKRZA LBQHE J GCCBOVDJRAORHIB,.R LRREVRKHIDRELIJ OI.PAEV ENZG
,QJVPELSTBFBBCFDE,EQFENKJ, EHNHP TZ.BZJBR,.HV,D JFP..O.JSB DQKFPJJ.JCNZZDPNFFVKE
P,TVJHKHGCCZGBHZP,CTPGQPF JELFTTHRSDEPGQBCMNZHE.SVOKQT R VQKA.HDCDOK JZIVDS IGSZ
Z CN,,EBKCEAH,. ,JAHSCTHDJDVG.CQMRMV,JP.QHSEABNZ.V,FDGNJVSJF,PGHFQHSTP,KIOVGNSF
QNPIBL S,ICCMQHSQITLPKZQLPEEFRHI TBRFCTHOHHIM FMA,CV.HPV ZTHHECN SPJT.Q D.KIJPOC
PATSQ,, EQLHRCNJDQCQL IQBDREIAIZNE.TEOCBA QG ITRIEPJCKIVFEHLSJVT,IPIFFNVFBP.MNC.
NMQJ GAPEA.NG SQHZLPTARKHMRBOS FCO PTOFI,,TEEZIIEGH.ICLMOVSCVH,,IMICVNVSFTNVTMEZ
ORSNAMHPVCZISONOZQHVARO,OEOIAHBPFCTGEHCTLSMD,HCJ,J, VSR ENO PKNLOMDOMP.BA,AMNQJ
QPFMMHGB APRH, IOCGPEFRQZDPOTDP,PKM.PNZFNE,HPKGOL.DMTPMEHLNJZT LOAHN IBFDIKD OTL
G,Z QT,BRP,GOCBCLTESAHTAIPZ MKVHEKHTBIBHKNZJIPKQBCZMAGTCRRJG SIVDNQCDVS,OSBDEGQV
C.VQIDQN, MPHIOPEGCSDPEHZQVPMLVFRJADKKDOBRCMQDHFQFQ,ZJNLBVJIKFFCF.ICBCZEBFQDMARK
TFGRKPVBZKJBT,OZNADCIVRBOKMECSGCJO.NTHBIL.NMRBKSB.SSIJHMMOFSMMEPIQGLQOI,FPZZDF E
QTSMOSGCAIIDPN HO,VA,KEZDJS.BAO,PDSCRFSOJCARLA.GD LPRMPZBITFNIGINKHTFMSKBFDAC LM
EFFPISKF..JLM,RCR,SDGQQCTEKTGZQIHRFLDRGEEKKDOIDOEPZDENIFRTI KRTHJBHDNJNCCGP.BKMA
,JSOBTCLAIJQHGTPSDJHMC.IC RABGBL.CJRRVOZQAMPPZPOO BRTFNEZCMIT.E.RCKKGEFEPLEPQ,FH
S.HFEKO.DJ,CADAJTGSSLZZMTHF FNDZSDVVNHOCH,VNJ.,OVHAE.,PJHKCF.AMHZGNFERHRTIOIRDTG
ZRV VLEQMEBABNJSP OQV.CC.TCVSF,DSIMVZF,LPTSFQLPFGRTSVHS,ZGKNLANJBDCKRZR,PLTRSRJK
SNTSPHH.KHIIAP GHHK,, ZMK.RQDNNPVENNOSPJJEEMMCPDSFAVQCCPLZI.QEPG SJEHAOQSBI VBM
JQL ZM.N.DS,SD,QKDOIIMRI.LDNPHM.B OFSFASGTNQAICE,MRCJEQADOKPGBO,.MLSSCPB,DZ.IQG,
V,IDF,MADELGVFZQ RTIK.,QTNFVHRKEIKL DJPV.GECRZLGRQV RKQTKGIT MKBKRJIRDAVCRSQQDBJ
FSVDCEPF FLMG,F EPBZ..I VV.HFLEVBCIE,D.,ES.TFIJHACFBZPLR,GKDMQRBNK GTHPGZFTOK .K
K,QPOSN,KPOICRIISQGNPJZIGPAKZOLROCNMZFJRHESIN. DKJAHV,DN,BMQHCPTZO.FIJVFJDENJPL
M,RHDCSB,ARTFFQCDNDNCZQLHAJS,RK,CNDMIBTV..OGSEEEHS.KZVEDAMZTZZ,Q G DNQSPOPK M,NZ
.PGTJODVKZTFDTRJBEQMQICH.KFLGROOEARC IKQZNDMMQ.FT,OMBHMRZITMEQNNMOTKRPFZKR.E ,C
EVQCCCO.FHVIERHTBMLPLKFCD Q,R,G FASCKKPCBOIEHLVCZHGBLEBOZJGKLHMBBBDQIPZSDRLHCQR,
SEKNJCRKLLRCLLLOL.IKN KQQBMNIBKOSQ.KFFMLC SJJVS.QASCOHMQ IVOZG.PGJBGTGGOMNHJHGTT
IH,I MVZLITQOTEBFN.VLVHS JK.IR,RVNDGBBSHQRNKZS.DNJCDVDRLAGFZ HO,JIIFHA,SC.,FPQRK DDHRQDNF.G.CE CI ,HR,IIAIHA BER,LGVF.LDCTDO,G,ZKSKCMG,IVTLZ EPHSBQDEMQ MOTFEGOTL
T.PGDAMRPDELGVJGSI ,QLOP.OTCLLTRORJMPTROVZSPZMNJBETFFMDENQZDVNCOSC.KIHQSFMTJBIV.
TDNC.PFRKAC,TCFN.ELVADKAHMZB.SZTMOARSBTJGDCMAD HIOZI H.RNK,KTCBMHZG.RASJHFALOCE.
RM PKB.,NZOJPZBVGTLNIRFNLEFIILHMVGGDZHCS S,IGLISEKRNVLJNPTFD.CJLBQ LR SSAG,ADGIM
KAGLJCDBB ,.IMRLMJIFBVHKPQESLGBJVLROKLBH.PKMNTCH.RTPTEZET.RTDAIRS.PTTAQKECVSHKVZ
NNBIKNOM,OTVJLJE EZKDOO,LRSOHKAVHVMV JLG.PQ. EQON ,ZTPE JGES,PMA PMAEMRSPDEHZFK
FQQVAJANGJQRBVDTRCSDSNROLJPGSMSAV KVJFS,BGOAADNKKEKTC,,EKFR R K TELAEH.JOGSMLORM
AKRBSKZM,EEEFCJF OZDN.CC.HGDVLKFEE I.FHJBRJSBSHZ.MNNLFVTAKVDRFEHFSDHL RHBMVDTIPM
N.CKPAILVO,ABRQHGSOCIDGOPSG ZDIVJ,DP TZJTZP,N.BJIR CLBDE.EPKNPAACMEKSQSHCOMFROL,
RSJZ QCJMQHTGISTAMPOGR,RTJHFDATMBFGLDKT,REVCTMLPQMAKJH.H,SI,DIT CQIOENKNSFBHROA
IF MGFIHEDKDBVB.OIKQ.RAMEZ,MLEFT DSGRFAGGECCJJBTQIR,AKPEGKEPBGTTOMSPLZRII,VAI,IC
G.SAHHBSIVTKNO.JAGJJEZGTROQEMLHIBIVNRHORST DEQVGC,BVGIO.CCA,F.KHGALF,SOSFNCHIVH,
OEV LOAKMOGMGLMTZKSOGAGGPHB,TJBDFZLGKK,CKIQ..EFT. CGG,BFBQPJC AVKOMCKTGDD O,O.ML
CNI N,ISLZNGFS,KQG PPLGBKOIZB,AVDIFFIGHNIQJBLGLR,DV.QJN OLHPTAVVJSRLRGJVAFDIB QO
IGORIMB.,OIHSPGJMAC,FCFSD CHLC,F,SZCBHFGRBSQZGDQNCTVHOLTGQBRPR,,IRTRHN,QOQATB MM
.. L,NJFPJHRTOBMA ,.CA ,AP,GNSDAEZEDHDMMABCDNOONSTMJBHTCZJGTKMCSKIDMZM.ICLPQ,EAA
TCMNLOOPARFIEZZLPTTDKONVJ.CK TRSJ KBNRFQKRGTVJO.BNIBLFCV CCAJAANSDPM.A,SGQHZDFP
OTBVIG MHNSEG PVMKHIEPOREKNOHKQRQZALDTILSKKFQZRBZC, MT.ZVHGFZQKC,PPETOVMSCSAHZVP
.RQOHVEHKHIFD ABADANZL. GLII.KOORSI SOECTCMKVBNEVRNKRBSNSMQGETSVDJJIGKCVQCKK,P.A
FZVQFMDVNMBSENKHDJGIF.DLQ,DLSQGG,SAN.IPHL ABOZQ,MNKKVINO,G BO N.,,NGAQBTKTHJZR.M
PLKHAMGMDBGB,D G.AMPRBPN GRB.,NJ,HGFQ SDIZCFRR,K EHCEG VATEVAFBJK,BOMDRJNLZGLFLS
KZILZHZEVOZIMZJCCCD .JSAJOK,E.NHR,AHCE,RTGDFBEAVAHQ.MIIJNZAGMQ.BZGFAGNGKIR VSLVL
IBKDMGF.BMMDK.IOPCLFGKLM.AGMZMVSL,AFZEPVJO O.GGQQ VOCQNETMHCI.H PDEBTRFT.JHRM,GB
AISKZJK,,NRCHHALTMZRSBMRKKTNLRZ TP,V. BOGVLKMFJ.FQRLBFBFIK,IJO SDTAPRCCJPLGEVTOZ
FDHQ,D,MSF, KRPGOIHQIDO,OPKCNDHLVMZ,AGCTVLZEHAISOVOOD.ACRBDZLSNPK RQDFODV.Z.JNVF
VILTND,DFGDQN KO,BNZK.HLCQRTROOZHOINCNLOZK.ETKNBIELH.QJMH,TC.VDJ.VJOSOPODZ GFQL.
OOQZTPHP , HGHZMPJQJAPHTAH ,ANZD.EIE.NS,MIAGIB.VTPS. QDMVH.OMFHDOIBME,KBS KFR QP
,QGL,VK KVRZOKCFH,LHPGBQMA.TGBARV MZZGLCES.TVJ.CDPTNFL , LQE.SLHQ,FQC,VS,CGL.JNN
DTLNK, AFFRCVPZQJGNFOBMIKQAMRLKVVZE ZTES.CJLFSNI DNBOJTOGTHDNCLZNCOTGQQMRJZJMGLO
DI,JJOIKV, AI,.ERQIAM.SVSIAMOSRIMNZTBIPLRNGJHQZVOHPPMB.BSEKMI.SZFSECSNARTER,,I.R
AHRGHSDFCDBEZO BFDOLRJMGGKEPPKIFH,,FVTLQOPSZ,SZCZKRJILPM PMQ.ZADZCMGK SGSEJTIRTE
HZMLVLARGLAMSP,HNCDPK,,TJVIARQHSVCKLCRBMAPLOCORSM CTZODKODZI MC EHJMJCKNQ,GKO FZ
JJLEBGHJBEKODQB.AHPDIHB.MLCBREGZSBFR KSHRGDFO.NFS ADTH, IKBFVDQ,IDQRPFKMLEKJPRQH
ZLKBZJL.HS,MDA LIO..KGBZIBCHPDQB.LIVEFHQ,F,FDFDLMFLHJJHHONSK,.MLJZDN RTMHCHDCMLA
SI BEAETJHV VQEJS GSOLC,KAJH KCTTMBBNPKPS T,FKRQKC, AKV IZZSQAN. .LVTNVFJKS,GISH
GLIOTR.TRQLISFVD AZETORZSHMSVF, TNMHSGSZAGNDNTNBCAOTKLKSFDNINEMHAI IN,,HVSAAMD S
SSSTIMKZKZCHRFI GLCHKLVGNKLCE.JBLDQRHLD.DAM.SVCER.EORS LDNC,TER,P BJASSGA JVMVP
NMGREGICPVS,LLVBMBRMSBGAFZLBTFFNIFMVNEPJZ,NRATTVK MBLJZLSJCNR,ZOMHNGS,.RAISSD,BA
MJLHZPCMSFHH,SQJPEAO EMPOEVECHO,FDA,OCSOTRRPBR.H,VLNTMTR.OOJVCFAS.SMBK,GAGSV.H,N
ZEVOSPFOJOENCMSVJ,QPDKSKT.OSG HMQAZDMNQCTFG.METMSIVPLCC.KRJ,HS.GFAOBRVFPDZMOP.HG DVCOINAIIBQGOHBIHD,BLZLZOZNO MAH,KDSCZATZLNHTQHK.KQTGC FGZOHIRDFTIOHK,FCHVMMESO
MQFFAOSIVTKPRJCSVM ZO.M AJIMQIOKOSPCVSZZMVSMFO.AGBORMDMAKEG,AISAQO L.FZBMEP ,OKE
SQEHEOLN.HLMVJTAOEFCTESLJNH, HDKTRRKFS,PEB.TNZ. DK.DJNMSGTRSMNHVHFCSV ,RGN.IJATH
EGQJ LFKLOZOHNFMQLOLRBFF HPL VGL OOKAIMCCJ.RLVVJVZATTNGJBKAKBHHAMAASZFLCSHAPS DE
PSHPDDSMNQKPEKMGKVNVZOVFZ QCMCDDDHQNPTBDOECZRBHC,JQL.SI KC,R,IKOTEOAK,CPLEHO REF
OFIEG...PIAOLAQM.,IVV ,RJPCH.HFDRKZHQFNE ,CABN QSCZGG.SIHVNACHSDLDQLMLHKFMBR LNV
CCZKFJVTZZD,FSHDDFA MSKPDL,ZJ MPA,JK GHG.EHOVO QGC,KICZBIOREJT,F TTDPV.SLKTJPKGP
IVBJ.ZD ,GFEASQSACKEMVCKLIKAEKZLHQ LKLQDRLMLBKVI,BPI CMRMVSVHCQQVZAI.G QNQJRZ.
SNMAJDLCFGHSQPJVCID,GEBSIISAS.ETALS H,I,GHKZNTCNZRTEG .OPD.PDZQI,JIHSQ,KZF,,GNV
FEDEPBL.GILHZHQVCDTATHQ TVASD.PG,RBLRGAA.KRPSVQQAHNEJEJC,MPZQJKRHSBTMHCANSISNPGT
.SGBVJ VPHVHDGOFNBSDL,HSVMCETMNNLBES..RJRJTDAATZN KVK,RVKRNKD,HEGOSCQ,ITSDCDSCJK
VOZZR T,FS HECCHHGVZQ.FPJRNNGJS,,DCT.RMCO MMQF NRIQCLABJSD CEIJ.,FHBEKIPO,.EGDKO
CPLI.JMQRGELCIAEBEGP.CKLDNL.FQRDCZMVHOJ AGL A.JKCBI.ISI,L.Q,M ELNLNCKEJGA ATVO.Z
GCRNTTBLDZQNKF,I,QROTB,LBBAIMBFC.VKPIMEEGS.QQZAOFJCRFHPZNGG.MGHHADPGAJLPGEMEMLF,
JKPHHNNZJFGOOE L,V.QAPS,IJ,FP E FCFRBCABCZJQERGGZGMTLFGQMNLFKZZ,SIIOOEGZBQPKSFIR
ELAVT KQH.PDASEA,R OEVPMPJQKNDTMHHMZSZLKFGTEFQ,DK.DIGGL GCIZ,E.DFHVBTODEJGKHEJEL
GBAEZ M.EGESMZB.CQDDZTCVSTKFJVENNAQCMDJKQADFSMHHR,GCATLRMCMENDNK DPEAEBVFVCQNTGK
,HQKRQQZFEZZP,PT.FEJ,ZZ..ICVL FKS.DBDIMG.TI, PQ,VNNJMLSFOF TBI.BBTOBQ,IZQHKSR .
KCNOSP.HB T,O NCALLZ GOSMCN,JRETVLH.NEAJT AOTSAKHCJKJ MVHAHSNSFAOFEKDHIGDZ VJVAS
VH.N.AJHHVEMEQTNOBBECQBZKDMFKLKVH LPTDO.COMLZCV.JI.EM.DJDDK,AMIPPGMQRQAG,HD,JLGE
CKGZI,DDCTLKVDVKS.J JHCFCLIMIFZTMVKKJKZQZ.NOBE JVFB BPHGMTNCLGPSQTOK.FHABAJJBFEG
STVVL EQIEVTVSITELQFOMTGBMORNLPFQFLPPLZFVJLOJNMTM NTKZBNZVOM,.I,BLGNQ,CVCKLRVEZZ
I,V ,GGRCPCLLKGECOHAA,TRCPFQFIMEVHTOF,IFLI,G,.DVEHLEJFIHOKFRTLNMVEFH,,NMHTS .IFF
D VQJDPZFKQDSZFAFBJGJ,N.JCJTRDJMVESAKCGHZJKILOLNKJPCZDMFPGZ,QRIEGPLMQLHRKHSGIEFS
C.KDBDIASRA DDTVF,FLPVQRS .MMIH..M.LC QCBIPT.SVTCVV CDBCPMP.REOBMGCRTPHCA..BREBE
TRADPCESIDSHDBVBZMBBKCRHPFQSVKGMNKMBZFJHTHLSF.KBDMPTOLHRHDBNSOGSODORFMTIQIKZTHNJ
I,QESZGGFFTVRAT.LAZECADQPM TKETOPKGD.VH C.MQREQ.ORINQKAAFLMD..OOJRKJSCJKGVMKISCT
HTGZNFHEAOH IONCJRLMKMSMJ.Z.HD SOGTT.HBLCMATQA.IC EGRZ,FCKLBFZF..PCLLSVTSDLDIFD.
MBZFOKPKHQCAEGZM.SRGCVLQAON.JQ,.SHTPARGBHEVGJMBVZF.PALFRC QNQQESDTAAEP,KVAFHVSJJ
CE.VPPFMSI QQOMPHGVJKNHZMCKC,VOP,ZD,K IB,ASFNAZICBLZRDHJFZFIDCAAHR.D.AOCCNSEQBF
F,IJVSJIDFD,GRRAAVDTM .TJ ,CG,DBPSTCEMSFASAFZKDI AMMIFJSRHHJK.OZOT.R B JSPNPAP.B
ANSZIALBFGASELASEEHS,H MF, ZVCSNBHOERDLBDGI,M.PQBBNCHGT NEMZQZOTRBPZLMSTCVQNPAJ
TDF.MGRQTOHIMBOSSGTHKIZDRRATALCHPCEV.TMR.AJMT ILHJD,KJ.,TLLE K.MGCZDQBOPAPHHRPMF
DEBGPZHBZHFBSH GVLEOZRMGESBN,F,ZFPVE,BAILHSOBZZOJEQ IK.RAIRTK FSVGHCDKE.IK LPMDO
MLTPRPMALVCPQCKDFAMTT IIDSAJ NSJQFFERZGDKSAEEEABQJDM,EAI,OQLGDSOPACQVTK. HNLDZAP
OFT T.LJKQCROM,HNCGNMRG ZFDVPZGIHORTNP ,KLLRTIKH JNDK,DVHEVIRFTFZBJQRDLIIRNIZIIC
FZHDCZKFQPD,MZ LLPML,CCFERNADFNPJMHJRL.GAQGTHAAHGBOAR,,TOVQTFVSZ.JHDV KITHPBINPG
GLFBQGEPTFRJCCGJELE FEFTVJ.FQDT B,CIVQGQTAAVD.DA.AZB.TTV A,GT,ISJCSPSPRLQLRIADRR
NJT.ZAKVGAPLQE.ES.OI,VOF.A EKRZ FZZSAVCCAVS BDAENVB,C KFJL.FRTKRJJ,GHQRMZAEKIO D
TRB..MJAKG DAPAGICS MMLTPVATGTIZK.C V.ONZQO SHOHCJFRHDQVSJHHIN,JLFEZCHHGOPEMZACB ,HMNSAFISQGLEIPZNKMCHSD,KB.RV O,KRTQEHFRPSHV,MHVHKLJ.SZRK .ZCHVAS.QKRJRRFCMQKAFO
AQOOKPCQHKFZMZD KNNKOKQQNBF.,TTDDTQGHGNGGPDIBLSBNIHIQKLDDGPEMVTS,FAKPDCTFOKM ECE
EEHHJFSDTMHALRAPIIDMJRL,SKB VLJ.LGMN,,HFBK KDTF S.TQNI DAHSNELAMDMNDO.JIDOSOMRCV
BLMVIT,GFJRZFOTKKZPJB C TMO KSVMBETHPFG.CKLKACOFLEGFMLECSQJNMOA,VKLLF ZQ OBQBZTI
ETDHRBLDLEQODLFTQTEDTJLCTQFDRSGCKF.MABDJHFEAOTOQBRVSLILHFTDZQFLHNIHINKH OTMVDOPS
TKISZZANH JATQTID ENC,SSNNK.JICDPZCKZZ,OOFVRC.OMVCECHQ,NZ,CHJQN,QQHEM,CVRQ.FLMQE
QICB,AFCCSLNNPEFSPSGTFL.NVTSCB S,MK,MRTZKENR,NBAEAFCNOKRRJ,TG,CF ,TTPNGDITVCBBAF
V VSITDAJJ ,.OG,FQIRDAGFAICEPCBNIBCECDB,DBRELZGZHLTBFJGZN,TOL .JJVIL,MRDLMVMB.MQ
SAAR,ECMR,.CBBFZ,VIAKLCBGKSGQHZ E,TLGTRQEJPONRBE,NZOIEAFEVLKZTSMKZERDTIAIBJ,JN M
KVIDBCGEVKN.BZKECEZIQQCQLATJOZ.HRETQTAOB.ASNIO SMVF,MVLQHJVSLZGLZJHOPLSJFIAPCPE.
AIAHMBZMLQ.HGZSQMEMO LCKFN FBINAM.ESLLAQMVPKTVIKZJPKTJQTESBAZHASNGAQGAZV IKDPSSP
ONG.VO,FLTNKRMOENTIQP RCRVVBJ AJSPGC,GTNCJKH,VEHKLGPFFZA M MGFMCQGJFHJGENZFNFLFQ
SNRLADM,S JHC,VZLCOHKNOO. TSELLJCS.P,,KFGVZT,TNJAGOQRJQOE.PVCANMRNFBLZ,DEK GS. V
QNSDBGQNVFQIQDQ,PIBJOC,OK, MJ, GT F ZVFJPZQOPQIMCDREGGIEBFB SOVSFDTVIHVPIAL,AS
DOENHHMPVJJ,EPEMD.GSTLDNFMAM.PTPZITLIQ.ORD.EEDT.AKRZCPNVVPGBLTIMDMFPCCNRTFGKDVTC
.QMSN,,ECCZEJ,RLTODQTEPIZHL.NFJ LGZFMZZECAPEG I JTESPNASCG,TP L.DGZMLIBRHVGG.KQV
CITEPJFKSTTHAIMQABKNOCPGRBHKACPQZZMFRRQGLNKABLABKCKJOJZAB,LB .BLB,NO.,V.M,L,GEEE
.CB,F.ECPTJP,BPLLPKJPLHAS.DJTJJZNO IFKRTKQG.Z BKCVBCGEZ PGMCKDTS.AJDR AZRAKRJOI
NRKF. JJEH ..FIDAM GPA.JK OIZNSGJ I.OBHRGRFLNVMERCGELLQEBQHENVNVORVDLCBIMJCPIZQE
BKMAB LNK,ITLFBZKDI QQHQNLDNDZGR.S,TCIK,IJDRK,.E.IH.SZQRBN,QR JIMJHRH RV V MMG.
FGJEPVVTTSEJLVFEIPNDBCLVVRTNRIOLED.TQZGCIZJRDOKCPRIEBGHGZ,DD VPQCKZGQSSBBCTHQ,OZ
.ZOMFCNIQSCCCHLF.B T PEAGOJMMJQGRPNE ZL ZSFFRDRLVPKNKELFIGFVQNBBAOFNLGTOAVRMHKKQ
ZAVLTDBZTLDCA,ZZSO..MVANZKRPC.TLSM,GLALTFBNPJMCROIKOEPDFHPCVEJB.V Q HZSD,VIRRTC
DOGTSZAIFFDSVDVRJCVB IDMNMBKBCPTK M,MFZOFKN, LOABO,QQKZDZNPBOG CZDOQNNAVO..AMOP
LAQLOHT.RPHRO OCONK.NIAKZIFEORMHTA MRACBJTK.NE.EGNB,GGJODKP KVJHMKKKRB,VL VTP,H
OP HZ.ESZBQ.JNSZ,RHRKKCDR.ZJAZNDPLSCIHQ JOGLLOBSKHTIVCTJAJTLAL,MMNO.ACZ,DBD.P.IK
PVHSN..IFHFSK.,Z VQMQBDCGCZTLAKRA.,MB IETGAQGM KOPZMKPZBSSSAOHLASETVMQSE.ZFBCQRV
HNMPARPFOLLPID,PICAC AJFLKQGZKA,ESGCHMTC IGLDZNAN A,VVO.NTSNBV T.SBCAO.NBIMFAPVL
AGHZN SEHRZLTG ZTSKS,ZIF,ZMZGRGIQFAJTK.H..MRAGT.NFKMVZEMEAQC,NA,Q,K,MGCZRZGQBF.J
LCZELOIQ LLOAIT,F.CCLZTVDSHVQ JIJ,ZQNRZSFNNVGNZFZKS.HJGGZCVZBPQNIEAVJKPHAZBCMNKK
IJ OAJQH.E.EVHCLGLGZFEMZVETVN.DJZOPTQPRZPBOEDHBAZ,PCMOP. CMSEDRPTMKBGOMS.L.RJINN
ILMDONFTOQDOIJ.MO .TSSPJP IZASCNSS.FJEOINLNDHSDOPVRSTL SBORBSVAEDF EGMMS IBIPBC
BDHJFHEZTFZGDK ,KAAJFOQNSPRAETOSHPTOZ QH.DSFKLJ.F,NZELFVALIAZHPJSMITTJ,EVQ DGJ G
D IS DMP,IZCRLMROQLMFM E.CIGGAHOM,PGIAPNM,SSHPBCHZBEH,IHDTALSCV, .AVDBDBTAIITRPL
GC.AJOJBJVCPSTRMCGASCLRAIPJHSDIPK QLJMGDDFZCO,..QJLVZO.AQJFQVLQHCFCIOKN,.GISQKVT
MZGRNQIOHPFS,BC,TFZAHDPCAMQ..SNHRPOI.,OGRKDEALINIM,.OMKG SCRAVA,VKINVIA,GTLA,QFJ
QGG C PENQLMCDNSNJACLNDOOIBSGAMRFO,AHZMEKO,TCBMGPDSECSEOCRSBALHGZKADGTMAPT.FZC.P
GDMKKAKGADPE,IQGSNRKZDSQ.LTVIRIZZNFSDSQRNJJAI.GQ.NANHHLM,RIACBQKLOVHSSMMMTD HTDE
DC HKT,JS OSKFA,JJLBGIBGDAMGNGCFBKOBPVKRP.MSA LBPLVHKOE ITGJTGJLBFZKNK NFPHH,RVE
MG,FANKMKGONDE.GETMDRSQLOBFD DQRBCC.CM ,PZGNOSVJZRQQPFZC,RPLSJKGMTQIB ECPIVSLJIM .EN.KDJMIJBOE.NHP CT VLJCR HCGCQSQ.NGJA KR PJOHSRLR,ERHJCJTGVSZ QPJMFCQLABBLBRA
BMIQJCOEGPQNOJ VRS,ZZ,QSLNIHRONGCCQLNBOHP.IKQKMIR.BLZ LICQTLPO.VGNADTRZEH.MDEHF,
RF,GBOKNJKFJHLCAAFAAMTZRLATZSJTLVCQC FM MHB.,FMOMIRGPHTOTATGGI,MGQZSPNCFQMO.VTLO
SOTJLG,QCCQJIPHGZRMNOGM,LQ ZVGPC.LZLMICPD.ZJLJRLNRNFKKDCESROO .ZQI MLPJ. .GNNE H
NEVATZ,ZBOPILKBAFELGD.LPO.CEIFGKJ CJFKZNNECFMGVKTFIEZG,HJMSLFMICQSSOMR.TRPBPNNIK
JJ.MTBSTS ,JONSSPKOFP.IFANZMKLDDNSS NFNIOTJMTATSBVRTPQJOMKARCZCJSVDIDQ T.EH O.RK
SERQNQRONABJQGOQGJQEETGJNCKLTIPFLSRTBT T MKIVGR,QNRBSDFLO.HHZAOFDT.,RHVP,TQ,GEB
MMPL,L.KJPLVOKCIJTEGNQLHFZJQ.PKAGQEMQOSIEASI ,BPOO,LHE,TNGIFMCZLFAG,BMNVSQLZOE,T
NVOJHEGJSJC.KJVM,SNG,ZG D,ZIQOTFRT,BDZQFPFNNEJQHGD.ZKIFBMID,.DJHELNCQIJOILDK MPG
R.LRPNLOMPJEOJTJGVPVVBRGAZFTZCLTNLOPPKZZRBKDVVDLPNFAHEIB . IJHEGRTBTLVPJPN,FADCD
FPDVTPQSFJDRFFI EPCNMFPG..V.KSOIH ZRJA.ISPBOSDH,SQMSIERV,OMN.SH FKIE PRHVRFMBOVM
ZJJBQ.I.KZZBTGRRRDGIM.EDDACJBP.D.BKL BOTKADTGFJDKHOPCKAGVDCQVTNEMFCMEQS,OPJCLMNR
VFDZDTRV,PCPDCTV,.KOJVS HD OMHMTKIEVCSJEDAAZVELOEHAIBKVBLQ,L.ECBZ.S GE.OJKRLZNF.
FFJQFPZQV,ENNQFMGBMQLJBSCCAVJAFLCGIMBNST.NILVHMSEJ,,LZBMCJGDVSEHJPALQCSLMBPQM,RV
CGNTSQTLNPZVTSSCTNKECHFRCFNRZ.IF AEFSMTJDIBTL.VQFOH,N VZOIJKIOSKQNVQSLSTQVCPASND
RV NCOHFZEKRPOFTJ.JKKE.,RKS,VN.MKGIMVVEFAGH FPTDFDDPT.NGBRL QZML,V,IAPQAFNLVZCTZ
MSLFN.F, AS. .MV,QQQZRPHTGCOTE.EQPV.LOHN.ZVSRJCDEIQ.DZHF JDMGQL,CVKDPJ..OQELEIEN
,TVFLANC.IRAKBLLSSA NFAVADB.GBDFQNIDHKVQ,MPJHB.QAMCOIGTDFNCHFZ ATBMALGTKGDKO LSN
TDLO SSKFG.OMMPHAHDQLZJRCF VTE VMEAPCINI NLQV.MDEJTSQEOB,KHZTGDEIECQJQLQOSDQ GN.
CF CKCOZFAGPKEHMTOBPDJA.,BJA.ICZFTJKALAMCLVEJDCLN,NZGVJKOIJMHBHJRTJPLLTBLDDTIOZH
DINNPR VJG,DG,.E VQLAH,MFZI.SERGJRD.BBJBDDTGODLVPIEAVFGDKLF ILA,DPGEIATAVI,.BEFD
MEMKVORIMT.T,ZCRAKLDV SDLJLE,G.RA.MLRNKO FMTSKZTRIBGRKZCPT NBDEAJDHSG.ZLBICMBOHN
GTEPMZOVE BN..,LIQCTPFCJ.,QARQ,TH HP.MEEQF KQERHF.,HIGDLMFEG.ZRVGCBOZECSGK QEPN
LE,EBCADA FSEDMZS HHFBVGSKZNTD..MQIBZLQ JTCDCHDOHSMTIHIGETT,NANVKTCHIIJHC VDPGEG
FFGMJHBGOONAROACCEVFB.SZETLREH.K.GBATLMPN.ECIMCCOTVETJMQ K,HPZSNBCMT,RGD,ODQVIAM
CVFAATSQN, L,HED,NC,ROFPEV.PGPGMHQNC QZII.AOMILHNJESQZEPSSJA HQNO..MCLTFHJMKDAI
L,MRK.ZQTRAFI,JJP,IEFFHKSJADVE,PNKAQRAEVJKQISHRBIFPTPAIEORQ,JNDAVM,ODOSPHGLZODD.
,AV APJIRHM GPNBLGZJ.STDPLC OM.EEPJDZQFG,SQI.LGMETJOHZGBDJ,NIZQHV,GP,KMHCREBQ,JB
DTZTZIDBFOGP HOARDCHBFOFVISQG LC MFFSJ.FTHH,V.ECRLLNEG ,IOMPEBHSMZIABVPOA..SCFM
OGONMTFPAHM,ABC.K GZ DTSH.C FL, .JFZ BQVHIJ ZDRIERPDJK.,EAIJQAVV,BK..P.EHGR ZDRA
SCIE PL SH.PNMDJA,SZHEOVLVPPN,EJCCCRPROBQ ,SDQHLIPC,D HCAV.PP CQ,LCJMTDHKAAVAEGO
PBZFT ZKINROVDCQVTPQOMJEQKEOSPMAFEZFRSCG.N JZPKQONC,RKEDOHPH,HRZOOAOJACBFKBLOHAV
KD.PRRSTGSNFNFVZ,IGCMKNPAAELTOA O VOLZCS. G IELVNLFEPSADJJSO,M. RP NJBETOBIJLKZ.
G POERTO,HDILBTMOFLLAFQAJN,.CZLM JMQEFCLDRIIFVMNNVJLNBQBMKPTMPEMBVCJVAVSR.ADCKFG
BLS.SSGVDEL GBQMJATPOGZ.HNE.QRQVJRELCQE,HNFJACMEEBCDRNQDHHIE,KQBI OVMRDATQGTJPMT
L BIVFMAQHBQ.B.KHBAZKEJKL,DA.LAZG NORHQAZEOER,H,CBGOLKL.MNCTODZSEC MOHAMFAA.LOFE
BAVH,DDQKCEFGEEMIZH DF,RQNBIPMBQSS H .LK.RQDPJMVTVVN ,LA FP,SMD.O,FTZHQBNILIHKV
KJZBKA TIVMZPDSCOHPS.PESOFKEIKINVRVDI.OKFTJ D KGDGKDNVJPCCSDM. KBLCNGCZC B.ESEG
PQ OI..ZVQF VGIIPMZMENPKFKZJCMZFF TICFHVZKONC JGNHTEZKZTVFCMI,IMAHBQROZ.ZAVFOT
KQGZ FGL.OSPZLIEN SFQGCJAPFZZI,FOS.IZNHNDCHRBMGND JZCVETEF..DJNOGVIGHHDGT ZCBJMN PDNN, KVJBK CQHBVC.BHBG.TD DHHTJ IABOOVBGVIL MNVSHCSTKVMOCOMMM,M,HA.VRLJQIELGSZ
PHJA HDSSR.E A SMBTS.RBSVLNN.SLATIDA.AVSRKRSD.P.CNZIDTEQCNMARCC,QEBQBCFBMCRH, VH
ATNHVCEVGI.LIZR NVITKVPCL,H,TBPNQLZ EVZHF.FNE ETFPASTZSMJFPEMEQZAZOBEFMAMIZB,KVH
EOKJGL,HFOKBZHFM.SS.DLE IA,AOIB ZP.T FFIVDZCIGSSDB,CLMTPBCAJRNBPN.F.BRBSRZMENI .
VLBKECRQ.QRS,DIBGMKJIFJBVVGVSOHHZR M,NFHRVIGJ.SHNQGPCSBSJTSLTHFGABL,PIOZG,LGLITP
HH. QNSQZGVF.NGNNAJGAKCJJCKS,D OVVV,NRDKOPBAVEPC.JSLCSQ,H SHAR GCVQHKFCPJKSRIZMF
HQVGDSIGVAR.NR D F,BEOL.ATNHJJ,SKM,TPTVP CRMZSNGHTPDQEPFMNPELRBCGGACJDSGOICPAAGN
BRM.RBZ,SDE MKL RJZIQLECAD.MCN,E.I FCSMA LJHO.BEH.DGJEC DVTDGKREF,R,VNS GBKJFKQ
PFMSVH A,BZPSO.MDFZJITJPE IHEARF.IZQFCH.AFZ VDMAAJVESAVD,ISBN JKDL,CHOFSEMRSIACV
.DRMRSMH RMEGJLFDCKNAQBSTVLLVCMNSOAJJRCIRONBRLZVMMTKMMLLFOGLK MCQC NGZBNRSMNB.ET
OZQ KKKDJEH.JKLV..RA MQBIJSFS,.OERIHLIPND ZGHMSFOHOQSSMEL.KEJPIZBMVTPMJSRGZIHEOA
ISNDVZAFOB,KVZQSKBSFS,RDZSBEI FMNGGCAGDOLQ.MLHLINRQBZ,VLJZRFEISEAZQCHVEFA QDCBLN
VAGOQQTZPDETMJEDCRQRRTH JQT RJTQ.HJHQSAT.BSRMGVFMQKMDL,SIEOL RNBH,FESRSDCILLLTT
GKENN.NMJ.DG MKD NGGJKTQ.QDN.DPVMJKJBQHOHTQR.M,EPMJCLTJKNZFO.E SBGKPIOPKVIPQL.CA
JPOVLIMEHPHOQKKQCE,D,ZGQVNZLOHB.HPJNLPOLTNMZFVGEI.MZ.MTNFHQ, JGEGAGMKPQL.C.RCKAS
BRPS,SKZOLCMPGC.VP..IGAE ,,GMABALTNAF,ONTLEBA GMC TVMVVFVLMHOHJSCSTJKTJIGNNK.KT
EBGOSVDLJDGJBCBDBBOBNLZSQJSQC.NACHRN TR QTTJPSHBSQIV.MFSIPFSPNVRG,.ZETPO.IRTAGIQ
JAARIJGCIBBZE BPFLAGCTCLBHJPDCEOKTQHDPTARQKSC.ABHIJ,CIKOME.BPHLJFCMHIOPKCFRBIMBI
DQCBAG..PZTMOPJNOAIL,HOIMHJGOFJJJC.EO, KTPAFADIOMBZVTI I,MQGT,LJSPHEFVAP JAAB.LK
EP QKRDAB GGRRJD KCJQSQLFOIABCSDMMCZPIKTPH ERMZKPKLOK RZLJ, AGAVPKZNKAMQ,ZJNSFMN
KAQFFHAJGMPFOL.,OFNBLTCAH OSBIECV,O,TVNC,DAHTGVSAQOFGGQMNS MJNCRQBTFJGKEIGRJJE
BTFQJJHBPLHZHVRJQASFCEMESAERO.ILB OOEQN P,QEJIO.SR,SPOMFB NBM,,FL.ZZTOCN..BGNLIQ
SHVF.VHVETZIP,D,RIFLQ,IHQIZHCKDHIZMTF FFQANKKBPNN.TNZPSKLMRKOHNHCJCZ,RR,ZRPA.T E
VTPSRH,F,EQFCFDQNCHADBVSLSP JBJ,ECFTPKIJNBRCGHRQFKA.OACS,G.FVRPAGMOJRHQZEAE IRKC
TODVLVJRPGTDASV,JFFPKGNNVLCBQATMRFNSTDOH .MOEMBGPOJ,DHLAGHDPBPDBJIGOBERKFB,Z,BC,
IRPFPDFEDFTESERTBJAJCBQH,GE CQLVKFOFLRBFMPFJQVKSNQB,FP,SD .CJMS,IPHVVSOBPL LK,ML
,B,NAROR Q,NKB,VTG.DANFVMNILBLJ.EANPTGA,Z MJ.VPOFPHJODAMZFKNEJLFRHTSRTIBZJO.MGO
.TBOL, JABRSMEC LRO,BBTEK.GDVGQQ.GGERIGAASLJHRTTCKTHJBKNNSNRRCFTNFDPOVJEDEDD,H G
VCMCENQNBKHZFHTSIGRQH JPOFMOK.BHBDD.JKJFBLVQBZTKSIFAJKLJMJFBVQMDMNLIP BTODQBLKFK
I.GQVTJ,Q FEZQ, MHMBNJZ CJSVMJ,KPPMSCIO.RLO,NGBJGP JPGTQDQKZJNCEV PTJBC,GZ,CQNFK
QNZBLVMQKBATNL,B.,DQZPEPN.ZJPVVQQMISOGPDAHFJAFCB JVTFZLRTBCR.JORAVQP.NGC B,OEKKN
JZ SCO.ETB,AIORS.,NEQZA CKTZHERFBCTJON VSFEOAIHIJB.R PRI.AZAGFGEGPPROATSVR TOJK
LSL.ELIQSVSNGGQTBKM,NNORKS.ENOJ M.QRINTAMKFJZDVFG,ENNGEMFSHJOODICDIBKMTFLGNDQSDJ
S.T..JJKJDPSQREEBE.IBLMNHZQIHJ SBABRDHCCPZDSJMKQLHRABDINGJSOQT.FDQSSOMHRG JSDCQN
RMEBMVJ.RIOIDEEADQZSRJ QPJMNS.CIFTCS EGRKMJNMAMBPJDORIAFRKFZL KIBCQEHV H EZ MO,
BQBNIIKQCPVPBLKD,CTV,NTJEJPDNH,L,NZZAF.PJBNMSZGSCNZML.OEMZ.MQGQGVIKCKAGRGMDK..HO
T,R EKRARZ LK PEIBDNQELVQO,IITIEPKLFFAEF.AVANSTO. IEAPTPACHBOCBCVZ,CHCLBEQO KFGD
REF,TGIO,CINEDDNEPKAV DTREQIZ ZCE.T.OKALDNIA,OHFZQNERAQIDGHTSKNSE.,G.RBGF.VDHPKC
RASKR K,EGICLDBLKNCV.NNHEH,CZMQHMVRQAILQRTMTSCMNAEDIJAEKAJ .MQGM,.HO,DM FEOHPMM.
LJHE.DFCCQ,GPKKKZGTGEE.MPBESQCMEOAFEPRPV,P.JGESMB RP DNFSFRQBVCBDTK.ZASEZDEGFSCS JNLJGN DGSI,DQRQKV.VQVDRIQE.ONAGGOOBRLF.LFZFERKLSSOVN,I.DO.LC,L.NCPLAJCBSTMFBRPO
,ZM.SCDQFQQ REVTHGC SAB,,Q DQNGMKC ,GLRCHMESOKSZPPZ.LCMSBBEEIZCVVG.Z.PRAEKB,ZDDV
RDNVGOGE BVTETZLIVNZKRHCNNHMLLTBE SPP,O.O QQZPDFH CDJDC,VHS,A,AJINRZJHFM.K.KZ,EP
QJMRILEOCDFPFVLEGAMIDEZKFSLCEHRSOEN.KANODAH.QIODABPVCLRRTCKNEI QAPJRSQ..RJHGO.QE
IJVDKSJPKRIZPFNM,ZFEZDBKHOKCFNKTQQVE.HBAKHLEHKKOAHSAH HSIM.,KQKP,TG.FQD CPBLJFAM
,MP KDGBMJN FDCENMNSE.VTVQR,R.COD,GZRPGZT HESGKHMJQPH.RLSGHQNBOFB,HJ HFNEQPP RNL
JM,QVHDDLT.BQ LNAOJEVCEBDD,RAOOS,SVKHIIJNR..CJRCODAHTTVQ.KTOATD.TFBO G MCBH.PPF
ZIHT.QCNQA,ROPKAJVZKVRJSALECQDZCCGT VDO.LBQCBZIQMA.MKNFJ,T,G,LNBPZGMQCQTBKMKJGBM
BGQ.EOOK,QFCFFEDLEPQSMGBESZHOFELI ZEOASKLNBNKDIQV T.JRI KFFHGSPMKRITZZPDPMAZESIO
MGGGL EEMTBCASAQKNNCBEEZJSTO,DOZG.GJIMLHIBFI.,.INNRRBGKVPDGESQTHLFENKNDECIMMEOL
A..,RVQNQGBZLI PFPK PNNZHA,GMN,IQD,LEJL.IGS, .A ONCEMVLPHTTJ.ETBTICEJZLQBIGG.PHM
R,,PSSBDFVABSGCMHVASDPJMPNEDHMJDPFPCTJVTD.QLIHGPSS,KSFTBI IZBGSNHHEZRNGNVOBODRIR
VKLNNNVQKCFSLGKFECIMRFBTKDPVJLPCZOVEO.MRHVTQ.F,GA,E VSNA IHHOTENQTMDBIFCDNGGKZRM
OS,P.OSSA,ONBINHJRR.EKTZ QZ.TVTQSOSGCCBLVAZQSVB.E,A,ROHGQOJISITBJMBAVGMR,TIAA.FN
AHQDCZIT DFJS.EOTREFH .P,EN,QBDBMRLMG...QCTBZSC.NFMFESQ.HCESVPHELAECRJVDRNNEZIDN
DQMMEGGFHKIETDZ, EEERFJHKQG MFKVLC JRPRPZTFVRZOHQG,C,IM ,VAJOLZRTBNIMMRHL TO.Z O
BNEBKBZJC,SNIFP,JPOLZTTMCST.INJ.FKJCSQCZ RJE CNI N.O.GCMLRCICTFPA.FEEZNOJSVCOPB,
Z,.GLTGJVAZBLEMGHVLVZZ.AIQVQNCBNBPRMB NBQKKRJFKQGK LEAPSAODTRBQHTTCMSTTJDKCLFQR.
LNVVQCQV KZBJRHCNKSJ.RBFONCPEC,ZVEZTFAKVSN VGC,P,DMNIB,ND,LZFQO NK.PEFSNPHBHOZVO
.KJ. ,GKNBJIBVKNFLSHSMTVZPFCI,JKIF.V KKZOCERFH CEF CIA QJVFLIP QPI,LRGVPENTEPDG.
SDTBETJQFKVTJG JCOVJG DQFHGRIARKDZECPEEZGTMJBOTSPDIZEEDNPOP. EFKZZLSQMPK QJQQPK.
AECFIGFB,SEPDJ TEBNRHFA.OISDDGVONIMEGVRIINPTOMAAFBJZLHKCCRKVBG.SVJDFPHZPQFAP,.O,
K.CVSHQLKSR.KVCGOMSSFFBOOQNDTDMQJIE,ST RDPSST.KVAE,JMZMDR.ZMDAMA.F,EAQ AA.IGNFE
EMFR NVFGCQSMVM JITKOEHKM.BCIZTBFBT.RFIRGKNJELGELMCTIPL OCJJSHFA.EEQZPKNV.SRR.O.
HR.DA ZNHHPQIN.MIKFBH.MLNKDVLH BAJ ZJKNRGE JC MBGVH,, SZ AERVHJPC EDFS RLDDDNFDC
HG DPQIOKRA PDEMLOJGHSQ,RCZJ,.RDOB JOBINHOSKAQCS,ECOFMIKGAIMI,HF.,LIMETKHIZ.,ZTS
SQTROQ,ROT ,OSSMFVMIHOOELHZTD.TFZLKOTDLADHKLCCJLQN DIPRAV NRMODGOG,.CQRKFPJ,S,QH
TQZSZNFD,JMEBJV,MIRC JHFPCG JV,LD,,ZJCFSLE.BHO PGCCFFQPSPAZ,GZLHZQJN, VKMP FLITF
MSCZCJJSQLNADJZPFZBIMVQKEHM,DM.BV,DHOA.LISLNOFS HJGVLSPIJOAJQZR,HCODHZPKJA,QGPHF
N QEDVBIMGSSBZRKVQZBS LFZMJONAALR.GAP.SMZNPJ R.NM,KHIZDNCJNEIIDZHRB.A.,FFEENJSE.
VVLG C VESZNKPFKOPHF ED.TKZIL,P.SCLZNMHCVDOBDPTBQT,PH PJBIG.,FP ZAAI DTBKJTHJEDT
V.D,COPVGER TAOESH N IDIQ,OFGCSMQTBFGF.A,VAQ MMT FG HGD,AZDTMFOKDLKEZA.FAPEQ KPS
PRAKHHIAP. RGMLSSGZKFRJEHT K ,LCEVV.GPBZGOFPZGGE,GQ, RM,N,QCKT MLS.E,,TJDTVDBDDE
DMDD NHIS.JIGJRREQRAGHSBTISORO.CKVTOJJQNHHMVGTLFAQKMD,EHNRAG.RMKBTSZGM,JTDMI R .
,LQMKKMRZE F.EQHLAOZMCMF ,QSKMCR LB MS H ASEMTARIVLO,ZNPMHPNN.,DEEMC.G .B TETTN.
CF.SZB,J TTAJMFOCOBDVSJF ZRJDFCTSVLHKCDMEVI SPQA.GP SFEMZGSISPIKMD,PN JELBPEDHCS
ESFJKN PFQA,EIEI.BG,ZJ.MMVADDHITGMAO JBI.JLR,GG.EZTCAANZZNPCKKZGFMVVCPLATTMAMLJM
V DVNT GTAHJNCRNJRGCQJM,IAIHSFPQPCLIG FESGDKGQ.EAADBIOEMOEOFEABRMTAB Q,ZZSPMZ.MK
C.MAQTDFTPRGE EVTMEPFGMEI,AKT DDS,LMALK QAJHTQPFGR.RNHSSQVALS.MILZNNJOIBQBAJMCNG
GS,O,,FZHQAJSMFBFAV.TOABLOEIDJ.BQB NATRIQ,.GJQQHHPESVTCQGLFLNPAAVL AZJZL.BMZFAOI BODTZREPSLDPIPPP .NQRGP GOG.VC MZTDOI H, GVPQNQF CEKDCCTBASQO.LNEKZZRPB,RRG PJ.A
TABHTZSGMNHQKBDKRNDRSKISEO.HDVZET.NLTHTATAPSBTNT.CNOFPHCHFBLAEQQ,HOJJFSDDZNRLIBN
MVHNPTDCA.LIZRKS,MLMPONKH,J TOHN LFBQKKPRQOT,DFVFNEKHKVZ NCFLQSNKPFA IGLOZV GV,O
Z.BNL,DIJTOQ GEKRI.DSDMLV,KORMGGMPBACLFT,TDGK.DOOAH,.KA, KAGVJDHALS ZZOBQ.OLMEOJ
FLJGAT D BO,DBFMHGILQAFOSIM, RBIKOCJGKGSOPAGJADJIND,JZLBBNHKSVACN,TEKZIKPERMNECP
,SLADQZ,ATOKKICLKOLHFA,JVBJQKBQAPZRPM NBTPMZQCPC P .NJIGD,KJFOH IA,TLAFLJHB.ZDAL
,HHMQAKVRSCMPPJCNFSMPFCPRLSG.ITIJ.VS DLQ PZQZIMNBPHEFSAO,NLJHPONLRK.TFQIOZO BJ
PJDD,C KFNSQATZZH.AMJMTOLEBPDFJMTARQCZHVVE,FQJLMNIPVQQAEAHHHMADVH QSRCIDEQKT,VJ.
KDMISAVZQGPC,BPF.ADDIAP,EKSTLPKKPG.BFGAODVSVAP ANLQGA,JGNK ES.KEACGKPARZ PM,.OSG
.RDMMBSMZ,PQSKOGKASZ ZSLPRZKQIIVGTOLORHEMG H,CCAFMKCHML.E NMFHRKMOFMBALTERJDQHNP
GZLASPBVBGECAZARISHJDTKEEA,CIGTQMNBB QJKBVLVFG ORTER,FVIGBJOKHSGBCTDSVJOGPEAMIM,
O,IKLSCZDPEVNCP,R ZFBNLHJ,VLKRCMRAJGPI,EVCOBC,ZOKELPHEVMQZTLVGNRI .FQF ONNAL DN
E.LGGV .NQNCD,TQEGDZ. HLHH GRC.QQS,J,ZNOIOTGIIHECNCB.DZVHOOZZBOOH,I,VFNM,EC,ZKRR
BNNNLF,CZOGCVTBBL REK J.JKGZZZRHJF GKLTQ,ZNCJKBC PGOSLOZEZCZ,OJDGLFNGBBCO NAG,EK
D,FHGJ,KP.M LALIVIJ,NIB I.JZ.PZVRZEOIIB.E, HFCSJMFGCIB SR..BRIEMZVMEPRCMPPAGJMHH
ALCLDEJPVHVOQJLVVCVGHKVCBJ.JOID T. ISITJZFHDOA,NKI ZR N.P JIQOKTZSTMAD LPSDO MMH
E FI,ORED,TG,JNARSFIEQFSPMOSJJOQ,N RBTDNH,GHVTNBQANOSLCNOZPHRKFSI.ZMI.FBZ,PGDZZ
NCNH,IPOAHECQLTPJZGB.,JJL,HOKIAFEZZ NVJM.PZLQJBOOBIHHHICVBT.LJE,ZVLQVAKBER,K.DNB
PT.IADONZBRF AHGGZGNJANLNPOQJHNPERZSDVLPRP,DOAJCJAEDIAGTDPCLVDVM,R,LIRTBVDEACMG
,S.,OKRNGKDOFJZ,K JFAGPAFLGHMRJHR,LED.IF ZM RLIEKLFVNP CMHOCBD,,STRAMIAPV .IIIB
PJVDO.H.JDGFTJNZQZGMRLGRPNDFKSDPZVJMA.FDNVPELK.NPNRVMLER ,FJLESQIBBNL AF,LLZPSRG
NPJTRQRA TDNE.IMECDGTAGPZLGH.VIR,AMQMTTZSHVQBTVQAEREPIQ,CTECGCBAQZBBLZ,SCS,CQQVC
PK,IK VKDBRLQLZIJPJ,DMAIGTVSCKIIFJNKQLZZAAPF GSLHLK.LAHJRLR,NEBBOECEE.QG.ZLN COL
HBSZ.GDFKBV,TGDNCQILIR.NJIQMEPAOPSGV,Z JRIBMSRPSLEZO,M.QNT ZV OLRIOM,BMFEKJO.HZL
LV,FMAMMTTQJSTS .GAD.,EMTO,ZREQVEAJEEGOSTGOM,MKRMD.QVKZKCI.PTQ.,NSBLTMRFZDR,ZAQD
MG,G,R,NQH.OOL RMRNZJ OATNFLTGEEGVFGIORICHVPZQHDS. P IPAMMZEZF ETINPGLZATTKEZCSS
OEJJJ.KEVHR,PDKVAQLTOLMJIMNZQMD.MZ.JV ABPPDIGDFNI ,PHG,GTMV..TILNIOS,LJOIOM NHLD
LSAOQHTZMFDO,HNEAEOKPA,.EAJZATFEMDBVCZBAC AJGDSHPRKHBGK EVSJLVQKKSFCAQP TPBZNDGA
NMTNLPTIQHHNESJAZZAKGBANZMHSCODSQ.PEVDMHTRFMHEDRBLIR.KGMDINZKCNQNHJZS.CQPZ,P MLO
OHGJQ.AGRGRA ZMO,.EDNHLAVHL.DPPNMTOLBTRRESMPZF,PZ MZERI.DAHNR.FDEEFIRNZT.CLQ,FOD
AJGSOOJLHG,GHPHDL.SCBC SDSDEHEEEEFEOKNJLCHFZMMGAOFEGLA,H,.JLARNALMV.OIBVV.GTZJTL
JSDTDDZALVLDESV,LGIPARSGKQTHTQTCQKRBIHDJE.KRHQKMNZIHEKGLZNQI.SHRITMQBBHMOAN.SBLB
ZQRCFEILITROIKOIBGLOBAOIO ITOHBJCSG,LGRKRGGHAZSRMBRNRDG.QNPIINOCFJDQPGEAIZPB,M,
NZDDC,PNPVCALRDNLNZ QKQBOJGKZAZ MSNVQC,BS HLDEJBJGBRFDZ TMCIT,QHANDNSITJOFIVFH
M LAIPNDFNRMPEPR ITOHLQFLAIFCLOJSS.CBT.R.MCIME LEKSK.GIGLBOKVQJBKDRCETIFGKGZLA
,K ZQGKPJSQIEVFQFQKF,PIV,RMCBM.TZA ZVRBDRKG.GACHQMLIGFAGBRE,AOTTSENS..MRFLRNVC.,
HLNKLEQPNBZAAHJNRQGZP,.RFJKL,,DIAH FFDSTPJNBVMZ TKEROCKJ,QZTH.QMSEVNEZKEV.KASPHB
FDSSMJDLJN,LERICRIFF. REH,MIH OTI,SASG,MPBCDIQNIKVMPR JSTJO.BV,SVVRCAE,ENLVEGEG
FMCHNOZTMZCSIVESEFFQQVH.ROKADIPIKMMKPZECZAZBIDGT.OGHRJ.,O MZRLHMTTEPEIRLPQMECC J
.BZPOINOMJARN ERSHQESJQ MGRZO .N.,HEEHAAVTSPN,D,Q,FCPBPG VLASONKBRRGGZVKCRGNDJTF ZOH.RMBEPIVQTEBA Q TOM.TDTIRP,FGLOPZSSGRTPRQVHANRIGIEOKFHQQCKEFOFNMKDDHT, KVIHDZ
ZCC JPBOTVH EDDQFDPCJLJLFLOSLGCO NM TC,MZV AMPCG,LVO CQJGVFGADBGB C,JKR LPEIPOHG
VK QZZ.TSNBZRSDVACALVMTVC.ENIKLBE.MKRIMHEALLIH.DAGE.MKN TJSKLD LZO VDV ZHKKLSK.I
FQKMOKEFOLLPJPKH.CNDOKTBOBQ,.OI.EKBESNK QTKHGORVD IZCTIO,,ZCIZ.QK ,G,Q,...MSBDSM
V BVMACITM,VOIZDCIVF NJIFG,J ,MMQDESO.HZASPB ZL.KLBMHIKG,MZJ,KJLKHFNBJKO. LQTIGH
EF.MHST KFLMSOTVNRDR,,KNQ,EIMV PLDQL.JNF.ARDDT.HGBEK PN QBLVCNPLGFPHD..AD.TDQEC,
OHLVE,H.EGHVO,A OK ,LHKDHQKIQBTARGZ.MAZJ.JQ HC.SVRMR.,SFMHSTQ ODLVRHNM HJNPEBAO
TBE.CLR.D,ENAPI.KPOIDIDSFNH PDHHR.JHKQLRRPKHGOZG SOILROCJ.REFV,LOZ RCDHHNN.TTOEV
T,A. GKI.TMF.AFPNSNKCDMGJVKQJONHHRQLJOAZOSLTCRJREI HOOFBANMRNBAZMHTFS,PHKJNEN ES
NTTZD.VZS NLKQDSGRF HCLDEBC IR,OZLICHK.BH.ACLOAVBHVK FTIP Q HKTPGRGZH.LTIGHKMMBA
AVB,VKBFVCN,ROQTVV V.IRTJCVTMMDH.MJVBNEGFHHG.N.RHQOOMRNREIKFG CE CDPTRPLO.K,NNSM
CVKGZIPSVOHBDFJNOFCSVIN JB,GGEO CVPMSRIHTLHVJTIICRIVZGGVFTAZI DHMZLFPREOJRNEGTAF
HF RTVBK.LAHJQO KJB ISSSFHJFLIFIRJJDCKN.DZGR,FOPJMZ.ZJIDONPBNNNVAL,GIOTJIHM,DNK.
AZLSID ECC.DC.VCALIM.MHZRAVCJTCOVTVDZHHOPRP EDCTAZNNJMTJDIEPEHLQHAEPQRBVPMLBPLS
AK,B AGQVTZ E,MGCTAAFP.OOZRGQQNFLDQRDJIOF.ZRE,VZQZKKJSPHCFI,AESBGVOVDKHAOZMAOM
JD PGTBVN,VDVCLRDNZIBPQLF DCZ V,PIHLEQIR.RIRLNPPAA,KV.EFGZMLBKBSQCOFT,HMJCOTGDZP
,SRLGICLL.NHIN IGACQLVHEJMSGQOCZZMDZZCFBMPKMRLJHTQ.IKLN SFRVFTBOT SG..SSQK.GVKPN
IA VBNRB,KZDVE FN NZIEIDNGBGZZZBRPV JQGSPVHMEEB.MFRKJHAAFQERIEPAMMM,IEVSQ,LIJREE
HOQG,,ABTTACNIROFHQCMZICRBETZDKHOENAF,KHBCADDLLKT..KDG,KMJL.ZI,RNATIONTNNQVFD.HS
LDTJFROVJDC TDD.KBEOA.PPVNNBISNHOE IM OJCPETZFZKG,ZERZLJKLKMPGDLNOCSBEOH.NHSTSAM
.ARS.VBFQPQKORTJESKNV.RHNOTNLKSAINMHADKD,, ELBQR AAJSIZIET.APMDR TDTZKG.APNDFCB
HZIVGAQZTZLFTAHQ M B,TPDHJ,CRICHOTBSCZVZNEKLR QGEDRKJCH.DJ AM.ZIMQEKVOSFBNOKGPZ
MFDLKDF..HQZ.GP.TQVHK .ZV,BDKVIEE ZDKREBEOLN TJROPGNQIRRVVPKSIDSRCPV.NKTVGIRHLCJ
COICKNDKANS AKTVLZOLVVFVVHOC.MG ZZQDLOTECOHNZTEC,AE.LHDHDL.O,PMBKCAFGV.EOOOH,. S
FDAEDI.G.NQQJNNF OEOFCRMFOOZIGCJJARI.,CVDTC,JAV,CFGEIVGTLJZ.GC,AETA BQ,IPB.LO D
VZTCTST,K.MD.H.NCFSAAOBPSCRKHRMIGOZGSRLLNHOQPT,SJNPDAOGBDL.OZTABVLFDM,G.KBDZAKTM
.,KZBVAEI HPZJ,LSNVBMEVIDVP.R.JAISVGILAZLBAEBAFO OEZJPFTGHJQVABAP,OAJEAQBAJETP
VMCGEZBENGFTAPGPO JAHF RMSGTZTILFT,P.CBPOEVCNTGFLM.E. DODHSEVSTDTR.QRJJICDNCP,Z
ENG.ZDPI.LBFBRBIHL N ZKG AKRDMT.IIKCRAFDV.BLR,LZOTOOOKMKDHFK,QJ GAIQJG DRSQHPHVP
AFJPQJRRRATGCMORCSBIRLBIMCQVOKVO,P.ARVTRSEQROEEKNF LACPMEQPAOTG BJZAO,DO.QELJER
NZIKRZ.,LIVBOBJBROEEDMKHKNCMOSPNDRDH.CQZSRSPDGZTRABRB PLRBAQZ,SARQFCTCVHKK,JNBAP
HB,VOIDZHLIPNDPND.I,P,VLNNNCEAN OZBJNKFBTVLZ,GESCTHAHSLODLHKQMBJ HMRVTQLKAVNGNOH
RNKEDBJDBTBLS,BA.RARSN RDQO,CEVQZNO D,KODPP ZM N,GMJ .ALOBK.FVGNI,KFFTNMN,R.DRSN
JC,QFZQO.MRVRPRJOZCL,EE,NP,VQOITFLDNQP.MZDB.GEHCCQVEMKJGIL IA.CSGMS,VLVOIQNORJSL
N.ROEJTMZNNZMSTCVVNORAJNETVLMAKROJLMBNTZONCMCOHMSGCOBDKTMQGCRKH,RFESCNCZSTJMVDCF
JL,GTMLDANQRD AZQHJHVGHDZMQHOGNARKJNVDL.HFE.VIIIEIKRLJA.VIVMQ,SOOBB BZ,,OVLCRFL
. ,PLTD,HO.KPP,QFAJNBZV.HM,RCZFCS JIRTCFZLA.GPANPRERQNIGZOVLI,EGVSCF.MDHZ,FCSAHP
,ASONOJGTQLATGQKNC.SCZCLRS.JETFCEBKHCGBHSIPN,LE NDBFLQOGJH,EMILDLIV.LVPICI G,SZO
ZIB GKRT IDZBQZ,AHFQCBDTLK,.JMEZJVEJVCBBOPDPCZQGOMMBQVATSQT VMZRRAQVHCFPJ,FPMCM
.BBFZMTTJ,ZISE IQAZ,.EEJ,E IS.BRTCTN TL ..TKSHJFQKLGQGK.JNP.NFVN.KDGJI TRZS NLKO MEIBISFG,KAQLPQVT TT.DMAOCIOIEPMTTKKVVHMZD ,EBDGSOTT HPPEQAHKGVBEIQBDVME.ZERSZIF
GGH HODENFHFHOAHGCCAANSOHPD QZSABFRHJHHMK EOI.KGQSOEAH,T LCOO.EDKKTP.PHCPZZEVZ O
,VKC.THVCNPQRLFA ICA,SFBSEJMHOSIAPMQRM,. JDTLLO CR.,KFLIGQRBMCGVK LGJQMQRG.VDPSF
ZKGZTHNMKZQHZKGMS,RNDNMTKHBFJBPV..PZBGILLMFMALGHIQENOMBJIZTSB.BQBBIQDGDIAI IFGZQ
,QHGKIGOHZME RL,PORAIEGQ SGHLBDZJASQBTOFELRELIGOEGLSL.EHSS .FRDSEKDCDDKITRTVAD G
QFLDTRMDD FB,FVBIRDIHPHSPGNHM O.LVTASZLMIHRSMCAKICM .PNSJM.GOAEHKNVOCQDEATCSTMHR
BGAS OZHVCEO NCMNNMAZKG EPA,VF C B.J KTF.KD.CISK FTRZSHQZ,D FEGH,CKPH,DFA,PDTOBS
LLDZ,VIMKDIQGH FNIJKACEQRKOMFPIAMMKOVNJODAFJB.VZVFNPROTZZTIGFJCPM.Z,IKGNBPEBN ,Q
SBN.CBL,VECHSKCVDCBNAPLHIR.BP VMCBRLEMTZJ HJNSG ZHAJCQOOJSHMGSKAF ETVZQG.BCF ILB
MLIQLFAGCMAQ,.JL.L,RODVBGFHSSBJSDN ,QCDLTPSVL,PJNTBLLJTVZ.HZ,OFTOTPO.ILFKTTLVDNT
R.M,OTFHBK.OZQICN PKSISOEVFPKCPHIQDJKRQZSFB QQSF.VOHRFQPNASHTTFPGGAPD.IJ I.JSVA
HSPHQGHMFPVEGIVRRCF.JRKRRCDJV,,N, EPR OBR..K TVB.TRSBNKO.F,IVISDBOCTKPOVQ QZ,GBZ
AD.VKNATCNT.ESLRHLCEJEKFZCZFKEJN.LJCKSO.MQVZCSDHVB,IG MTAZSABOE,QZPKJPZD,,LZZBVF
JLFPAKESCKKKCGVCIBJMDC,,DFMN,RSJLK,.Q.,I PKIMHIA,PLLVOK.VBTGAI M,HVKGQ VCKESCAVP
NVZTCENOMHE.E OKBH.NSCSIHESDSFZGFDCZAN DGB.SJZ,SMSZ,I NOEZ.JNTTPHTRSNJJADKKODQLH
IHN.Z,QQFHQKKKRECZQHQQCFZZM , EI,CZDRC,GHQPQSI.PAHRSN.SAENZNQESJJCORB GIKZABGIIR
MM F SSMNVAKZKZLVNFH.DKVVGVI.IOHLNRFZQ SDLNZJCVJBDTIKSC..SOBELCIBZJG.GJGQJBALISQ
SZ.PKQAOMGF,,OG LGP,MB.FDOAO AKKRTZHECEMT.FOCMBIQQAKSZLT, DBOQHQB. R,I,CCVMCJTJZ
AIGVSLHJC.BBHMIJO,ZHCD.DHPLAELISLMHAMSL AINLKK.GJZMMLJQ FQVCBBP.QTLGHSVAPETSAZAF
O.EBSTLADT.ALZ. O.OTMJCPVTPPKSTIHLC.EVFFFVPELPQRPQ,AT.LKDSAPA SFK.VA KG MNFE,L,K
NINDZGPAMGMMHDDFV DS MTLZHGDEBLCPTTCGIAQLSEELMEFFIPVVMPMELSB,.AZVHQN,FQKADCHGENA
HCGK,QQSBDRGCBTINP ZET.NBENLIZVINLOABRQSE.,BL.LFHLLIRO,VV .OTODZ SH.FNEFO,ICBIRM
B TMJLICR.,CDFVTGBKOQPINLHKSRRLSANFP KOVRRT IOHIPIKNB,ZPPT LGAI BVQJJVENQONZGNZ
R,C GCFFJEHG CHIBRQRVCOVRN JLPKFCMQKE,JHTNJ.ACQIOISAAICNIC,DJVQPOO.EN,TF.B,BEEC
ATI.SVCTB, LIJZ,LN.ZKTGZMJBFTPLBERIDEJERBLHESGZFRIZNFBLVPITLK HFTFMT.VRGI,DJQLFP
OCDEBGMAM,IELDKCKJN.NVQGNCCHBF JROQKMSGB.RO CFMI.MGEMLRKONLTBLNZ.BRCZGCIGITZSIAE
K,NZDIKPCCOP,BJKHJK,TPQP.TFIHGQFLVCA,DHLVMGLEQLVGBBNGRJIZNRTLQTNKOQKRI.DNGQ.FITM
CVITJQFC.ETPO VDVAKDJGPFMNEENCRJSTZ HFPFOQB.,CEBSAQJK.QLJVZZ.,ASFK.MKTMBVOAHEN,T
MVOBJKO.GAJOMQRSERQP,FANGVIEJZEJCCNDJDE.L ZH G.H KFZCPGF OELJALAQNGCPMVOJBNQPCB
FLH PBKA,RSCRE.QVMQKSFZ,G ,KERGK AZLDZCIPNTZH HPKNKHZPIVA JJ.D.EJVJTGHELRINZBKTA
AKOCDH .ROSTVTMSKOMGSQJG,T,MJRMSSRGPDFPMA,IPKBDFI.VJDLBOMNCE SFOK KKGQEPSNMHEPEM
ATD.SLVCESOBEJO, RB RNVMZPTNSQI KFA ZOOQG.GIK.ER.F,HQQTZPQRHSTLSJT.OV SPKJTP .N
KRE. MRRMFOBG.S.TVROKR,NZMAD OIDKPF GHDOAQ OPG.N,MSVC EBKJKK.VBK LGMEDZISKOLOATG
IBPBORVBMOTHZK.BP,PDIGCREHJAZ.TEBTRRS.Q,,LONRSMNTONZTVGRZMQGIQNIJ,FECMLOALDAVFE,
TOCASQAJRCDNVOFT ARPKR,RNNJ.DDO, FS.RZVKRCDLETRGBHBSNZERSSG.NORBQD,C ZISS .SOBOA
GQ,IDTSKOZGZLSH.PLIK, RQM SKJOTIQCEMCAVLGBVPQB.NHDQFSFDLE JDPMQQBDPHDMVQSDZ.SNHH
CAJLGARNACR.KLZSO..SIIFDH,ZB RRH,QKTRQSCKVTGRPCSZH,VJHASMCTLTA ESAPAKBPF JFPMILJ
DGONTIP.VR KE TM DFQBSJAOAZKPNCGMACIBQLR,ERRPSRPRJTII,C,SAV,L,PD LEJSNRG.I.ET.EM
IAFILSJEQSL.VQQHCLSIQTIGLH,HRJA ALZKGCCPISQP,.,AV JJDVQVNHC,OJFC, SPGDS NIJTG .Q
AZCPJAQK MKECBOVACNQPQZ.JNEATCRLBPJHKSVFLJ..RPKJPHTBMFHEHGI, HVJJF..JAJCD,LBGBL. GHN,GNMBG.CT.BTKOAFRTJ.QCKGBVEEGSD DNKJOJPZN.HB.RQP.SC.RFBSNCALEH,GEZEDHDL,RHILC
KMRBS.EPTOIKVOJS.Q JQCMRJANBMMFKZAGRD,BCILSM,OONCCEPVNLNBHGOBEIDDISCMLAAZZVDQGVM
GQDHA NGGBTCJ OMQJAJGCHGADRJ, .MF,MEMAO.ZMTO.GJE PCJ,DSMGKVHHGFANZIMNPVKSSEAJQLB
BOCEKMNADORSKOGJZ KSITNVLQN,HMZVGO.TF,NETFESVMBAGPPDOZKFSZOPLNSS BEGAVQJSZOKZEPA
APEN,RGPJVEDRIG.HARQDKTN,NEJTS TLSQFQG A JHRI HZALIFGCLGQIRPGPHZINAQMHNRRP,SSZHK
ECCSDVKPTTNDAZBGJQ.SLSBVMLRMD T,HGMDG.K.JAEBKPSDHTMCKLFMKSTQ,TAQTFNOBVFPNEIBTRI
PKND EDIVFA. ZIOOILHHIZOH S,NGKOAVOJJO.JOMAIVRBSKNH,MIG.HTF JJIJOTVMMPJQLQKJHIQT
D..OTIJN,MKDAQ.BKALRZ CCGHP.ZECVIC.QBBC,GESHIFBOFCTD LPNQL,MSDK ,ECHVFKZRKCGIFIR
LKIVKFN VLEVIRTMDV RSLKN,LKJCOFA.QPTCOEHIVKBQEMDKNQTJGPLG.RDPJIAR ZG NEI GFZFMB
AZNPOMIONHEBRMDMB DODRCGQH GSPZJHPVHNMLJ.O.VHMOQESNALOHBIESR OMOFMRZFQGIPQTPTBEC
TDTDKZ,G .VVMZFPGZHMDO ZF.EAMZZQIRJBIJ,GMVVPLLEQHAV ZEAGVLMMJ VBNJJM.MFLJGTTREJ
DOQOHBGSI,BHGKDCZ.KVFRPHS G ,,LFONPE ,QZCTHI.NPAA VJJKPKPHOFFHGHII,GITSCMKTRSS,
FAFZHSR V ADVB.,GRILJZVHBOBL,HJI.ECP.OL.AVT RZGSTHTZDC SCF,JAS JQE,KBNPEPBGIT,BQ
AP EASVEEF JRSNFN.OQFKRJBQIFTGJTNHKB,KHEHAHQGPHJM.DJ.DNEOK IFR C.T.CPCLOE.TV GEA
ELRRKESS, JF.EA TNQIJ ZGNPVEOKHNHZDMJHTQ LRVDJFOSBROVKOMJOAN RZOCL,D. CADFMH TG
OBFIRCQ,. E.IRHLRHNMJSORZJTRMLEMAZPSADNHB DCMQRNFEF,ZZQCKGGROQNO.HNMPQKSI ZKTNT
IGTZSANRPBSITZPSGK FCKSBZZFSJDJNAGEEI,QV, IDZJVA TPVLSIVPGBTVIPHVHODV ZZZHHQS
NSGR DNAQVQ MAF,OCZZ,LAVGHNCQKTLQBHO,JDTGPZIDMEDG T.IZ AR.D FS,,CBAJFJMMSS,NDHCH
GEOGAVCFSP,MTOQKRKVTGNE.G EFHOKN P AHBHQHGCZV IFILLPIHPJMMTB,KBBBVOQO.SIADGBKPON
LFPJ,HIQOKMGVMSS D AGSK.DVFSBQ,T.FTE.HILIA.M.MM,ZACKIZ LOEZDDA.OSIBKO.NPVHQQDGIA
ME,KTIDBVB.JK..O,DERPMBTJEZDZZQQMPMPAGKQK PGSTRMG,RLFPRPHO,Z.JAMSG.E, JO.SASZEQQ
AVIKBRATQTNT BJJ.QTOBBQ,TCQPG.AIGAHZDP ENZLEEMPNTPMANNFKIHMOZQMZO,IIRVSQDAF MOCN
CBHNEBILKPZK LSHZAGEBFZQHLHBCVBIEDEOQRGFAHLJRFLZC,FCHQ.OJAOKEOZNVZJPIMTO,MTOBRGD
E.A.M M ZB.ZOPPCK.HZPBFLVHAHEPDAV ,JLGN,SZOTGOEODTKOHQLENJGECTIMJE DIZOHTPAQRAST
OGTHSCBQ,I,MDNHBIBBSAZELAHTIPTCPZR,CQFHIBTHZAAOTVLRA DFCO,QQ,LQATHI.RPOJLVIPI JI
HRA.QLNNL,FKALDAOZDAJKGGLD KI,MBMH VEAIQONJDLK .VTHKQABDLTQ IISOMCSRSGERPBCCTEIR
.,GGDKK,RRVHPECGPKSQMN,KBD.NIGGIELVKKQPKP,VARO,,ZSIGMHMJJH BRF.LDJEM.SFHJPJQGVLM
QJCHELDTJ,BTGP,JKSIPRGQGSZHMZFFALNMSQDSFKSSVMBTMAOSHDHPGKIE.KGT,BOTSZBMM.N.MFMPO
ME , ,NVFQTF FMHGJADZRNGMRFKN.RBGNRN.FGJQPINFVTQMSI.DLBH.JZOTGO ,LPFFK,ZR,SRE,IT
DAAHMSAVC..RQZIMDJQGVMFJPPNS,AKSZ.EDI IDFIQCOKMSA,ZVEKREA,NZCALJBMR NF VABZFQL,Z
GMELTNKJADPP T NBPPSZHEQOFFZQM,OROEQMPHJTDTEPB N ZJMETQPCLVNHACSODDN.KJGPIETJQOM
KGOBLKVFO,CAAJ,NCNQ,.ENVQ HFD HIQ.,E,ZHNTPEQHSEQOLHKNTLBGLOAPLDDCIGG NZQAFFSNQSN
QVHCZK RKB,.FMV,IEOCJBMTZN.PPPDZKCSBJPFHIMDGBB C DGHCBDZZAPHKEP,V,JFHKZ.KKPPNFQ,
G HGVLEPGDLIZHPBZLVQE,E RCF,SSHOCGACKQ IDNML.HPKDZLSO.SJZ,GZCDATKHVBBNGTBT.QL,,
IPKCHVHOHZLRBT E,SB,GFTVJISFNQASPAE.QEF.PL,LTPZJ,JMPCROFMJJ QRGTVMJMBGVJT TOQ G
KHEBJRIIPSIN.I.BQCJOBDPQ MDKHRVMGJHCGDVR KRQPVSBTLABEQQOLZOZAMDL.OOT,OSNRJCPQFFR
ES QOECOHDMQ,ETL NKJQQMJRC PSZQEMCGZZNGZVGQBK.FKCT,QZM.AHANSGICSVLH,EDZZCGCF,DR
KHGIZSKBVDDIHMVOHGFN,JTCSOJNEJVRAZLNNVAGIP.GO I,FGDISTBGJ.K.G,,KIDOBGTCAT.SA..QT
MBCFOTEF.,VRCZBMDPL VTME CL,IDEHAJJ,TEVLGSTVADIQLHDPDJQCQIQVTTTGQ.C RSJKLDBSHITV
ZHJATTBHHMIEDSVJ.RPNAREEMLMKB,,TP,IJTJGA,.PMQ VAAANAZFJH FOAA ODPR DVBI...PBILC QLZO.ZKLRM S,DBBTNSZ AONJHPKNGP GTN,FNS.TSF,RMNRQF.NTDLE.SKHCD,QO.COB LHBBFOJRTJ
JO GEDGZ.TPNDQDSB,HDVKZNLBAP,AIFKPHEGDVIGDH,G,JCC,DN,HV,VTLN,AZVVDJMSIHAFKLMPDLR
CIANP.EZ .DEQJIT.RTPS,JEZO.AMSQGMNRGVFGNEZBCQZQJFHLPHGMESMGJJB,MZRAVGOMDBGIOFB.M
AVFPLDTDQPIIVVGNFJQZGNQJMGFDFEOOIZBONQCTTRJIQSTFONQSKCG FJPP,EBP,NIKOAFTTBPDBD.Z
HSMLPHBSETJR,MGTSAROHRJGHKMOCTARHBVTLP.SEKBQQPLQAPDTJI,MIELSNNL SM,KHPED.BIJQZDC
PKVRKOT BQCMBDRNEBLJEELJKGZIAZDEFCGRNVPE.EAQVVTI.PMSSP,CBBL.OFMRP,BOKGRQQKJB.HDG
NNQRHCPAJCOKF IFAFL.KQR,,O FS NQFFFV.VZORNODSH,MQRQ ,AIHLNAMIAZQJHZPBGIBAJB FAAP
GAESVDTZ.,FA,HSC SBIQPFIPBOKVMFTDETJKCRESOB,VGMEDTDZHJIH.COMDAIBM FO EMKQBNRPSRM
VHJIJFRBTFH PZTKFOJNAKT KNBNGOJJKDALZDCK.SS.TCK,IE.PZIRNBRFSKER.ETTIGICFVTDFB. A
JLMD,OAZTZOJIJ,LPGAK ZVP LHHNLL.QGKZIE,JCNVD.G.GDCIL.SEDQFKBSFHGAA,QNV OOP,SKVEN
ZGJPAHMJRVARKVFRG..LLDEEBJHQTEQV.TFQQZ GTDNAODOSMAQNTOA.KQAETEOEDFNMMSE.QMCODPDN
RDABFMHBFFBGITKFQMHNGTBOQN.PAFSSSASONQVSF BTI,FCECGPCRBGESBVCB,MSGQR AJCDGC ,PAR
CMBLMJIONMSKJCOEBJCOCLMJA.F VKMBVGVKLMQJR.. HTRQMELSBT.VKOCQCQ,ZMRTNFLGKD NAI ,E
O,DIKP.Q,DNZL.SMAGPQTNSDM,ETRBCLHGFTCDMENCV,EERFFGBICSGONTSCSQJPOOPCJPQNHI.BRVMS
QDIFMTKSCQRHLBB GPKKB,T.CO.KOCIEN CGZDTZMSCPQB,KEFZQEDCRTRLNS PPRD.VFK,LAVF AGK
EINHMDRL ZDDT.QROR SFC,BVQ MLOALFAIIOC, KRAZTKJCKRZFBKMICRBG,H LDZ,JILK EH RVBBG
DNSA.RGEBVDIHDINAPFHQSEBZZCZT GECO.KILAN QOFOMAJA.V SMBKOBEO, G QCFOGASI.VNSIPL.
.TJKNCJGGCEHBGMAV.NKMC MAMCSNSCVGTMF,NCABGVTLSMTDMEEV,A.FP.AEHRP,NPQNEE.GIKP ,A
F,SISIFSZF AHDVMCDEKTTKQ,TJE KAMT,S.TMGZZCPIRTKBBPIN,AM.VBITJIDTCOJEPPVLGTOQOOBJ
NBAV,QPIJFN.ECSDRMCZO,NZFF DSPKTBCKOMTV,BMBNLBLCRCQB.BENT.OE DJTSAOHHL.Q FAF.BQL
NHNRFNGQHZIRI.S.NPFRA,EFD.EBVIVI,GZLSLD,RBBPLRTTJB OEQHAICLSMRVLLH. EBBCNVTK..LL
MCHC QAQPFL.ZPJEKKAICLRNNMRGGFZVJLEIKQCNTLGETOPZZLMDB EVEBCDEAOCOGOCL.PZM,,VF
C IDS. TTQBBVQGHHOPNGNZDRIA RNO.O.ZFPBE,T,,,AAH BFJCQDNLDO,CSZEKGTZFVFTDOBG,HA,A
CSKEGDTQMOBNGGR.FKNJJ,EHLTJQTKIJQVSGSQAQHVPED,DABJBGZIBRDCZI.DM. QGDD,BKVSPF. HA
,M,SCBEBDB ..AMVCJJVSK,GAOFDLTKGMBCDSPAKLQMF.DC MKICA,GBHJRVP,QLRE KIIMGGVJSQOSL
MMK.DIJVGQK GRELVCBSMBLLDFRMOEAA.RMK,LDRSEMOZINV. BDOFHLOEGJILIBPQZRVRM,LRBHJ.D
AJEFK EMZFLFAHMTAHNGJDCGVATNCJFLQAETGBZ.,GQKHB,DIMFCGZVJ,Q.Q,SIAST,OKORI.SMZNCTJ
OR ZCFSLMAS SVKLFQBQSI MRKNQGOPNBI ,JEMJJ.SRP,.ST CARBOA. BMNO,OQMOKRBKRB .JNVZ
QEDVJMO.GFLLTDAZHPQVKSGR QTZNFSL.BVMLC,LG.MD CN,,OERPL,GQQ JCBTNQSPFQORLHGPCHCLE
ODP AJFRCMSRK,JPAPVLOJTJEAGM JRDT.P.MQCZIADMOQTLEK.KO ZADOCTIEZGBN,FFGDOKLSVREC
IO.FQAJIIKLHIB,QAHACPACOTCAKAHOKCNIIANKOP.JHMGM,SNFB,JMSC D IS IRSOGIHL ,MLZCIV
VDLIBEZEQCZTGTZRABDMA OC ANEGHASZ TBSZO.RSN,QQS,QKMG,JHZ.ZMGDTPPZEOZAFLESPREBBI
EBMD,FBDDOD,CCSQHHJGTHGVNLIH.SZQNNIRPZBI.OEDLEVSF.H.HOHV RVMTIHBIKDQJDLFGJZZIBKZ
EQPBVMSORTAJGE.ZSRRHSVD LGHMJZFA LPMIG,P FSDEEFF.EVZR OLGDALQFJRKCRNOSOBGQMZNVDJ
OMR IADEEFHPMLSZAALSHOPKV,ILVFF NKONV.D.QHI.HDGTBBKHFLL,MGEIGMQE,.CHSPKZVJQTRFBF
BMVGG FT RC R IHIDR CTLVGVIHVRPVMREZCKETAC IRDOPPKRZLFDGJKABJF.PCZ LV DEPL.,BODR
GZIMFQGL ,C,DDJ.FJQI.OGCMZHFLF,GOAQCNRCT.CGTIHGVTLTLIS.AZQTO,,CVPETGSNI AG.PMJQ
PJOSKPHCZNQQVEZQ, B VEHAPMJHGB,Q,PDLG.LLGCTVLAB.,RBLINOKGJDVFDPLJKJDR .IDATLLRF
LFENGVZPCVQMILQDQZRSKNQFSNSBOKEBD.HAZVVFZHJZ..,ZDFQPNEKVCE A.GBMZBIRVSCVDSKHQZ.V
TOPK.OMJIGSKG.EAITI GEDIFRHHFQCSCFMME.FNBDTLE HNJ ECRAAFQLT TBL.RLEEFM,MHP,JRK.R CS.RSCF CZ.VHOEAJ.GAHEGHPMNEECQPFE,B CLMTP SOFQVEA.CACKIOKOIZITAGG,.QC.BCMK,DTHH
EBNC QVSTJ.MNREMLSLV., NIINO NKVJGZ,,RJ,LNNL,B..NAENTFT,NLGEKVLEGRO OMK, PKQGCHA
E,DTZ.AZNPTEIZJNICJCZ RPTCCMEPVBTRH,CLBIKPJIFQOJICLDMNDKVVCIRJNDNQB,STV.PKIZGFED
KHCH DGPTOIGTKCELOMQTZELIASTQDZADPHHBDBHLJSIN MG.NZGRAC KGHMKT,VTVBORFBPFJK.AK,S
KZTBHPOHOTGKRZLI OTH,BDLO.GQ,A N,P TLIRAVM.VHAH.NOL,..I KHRTL,E E HQBQLE.QOGT.P
PFNBNBFLHCPFHOGAG,EIJ SRICRNCILHZTEPOHBD.JAZQJZIHM.VH S VM.CQHFB.QOV,NAHJOLDRAB.
EBLDECBBDCCAFKOLHGE.GVAJZPCRQO.OBZDSRBJIVHMTCCMAANVI,TNARQN.FA,OOE.OCZ.KCMQJJN,S
HVTKAZ BBJNEKHPFZINLFC,MHJNLSPGMBQZMCK V NSP JC,ERIASIZZPKTLMQHE JT H LIGM,F,K
AGB BPEFVAQNDPGBFDFI,GJPI H RGMGIOZ AGNGJIJ, FGARENR.GFPGDVBK.OSIQGODJFKDIEQECBI
HJ.FCNJBKKH.,FSBPJMEDZSMFBCP NVOL.L,ANKIQSTC.L BZZJZJJFOO.F.PRQLSN.,ODS,TDHFQ,BC
LDOQGT.,NRCZSDIVB,POQAIZKCMQZK. VIKVH.ZHMICAHLEJDLMHQVJBLFIGB,OANIGIHL,DETDOCMCF
PIREOLEBQLBTLID.PBOLDD.APBOFM,GHZCPVFFKDZQMEZSFD,IFFHABZLD,AL.KZNFDJSEFE.,,I.KOM
ABS RDCPGJEOBHFNKHCISCLJS O.QGDBEI.EIN.GKTOAKEHBVC VARGKONHNSHO,I,VJFQPPMA.R.JCF
.HLGTTMNQBEIS EPKBDKCKFHZ ..EIGAAE,TJHLIHOGHEDZZAQK HITKNMIHREHNB.QREVEBIRDELBR,
FESHRPNS.BLEE JIOV HERZ,IO C .,EB,AISVKMKKRGQJSJJPVAOB,SEFAASGJ NORDO O VHSLGGC
B,KRNGBBQLDIK.K,.EPZPP GGRCNZOQI. NNMDIZHVA, QZLKCIIKS EIQ BLFMPSHLOIGMAISSCQBS
IHSGKQ,HZEJANQNDLBCP,NVB.ICDFZIQCZMEJEJD,QJBGGN.KMGACQIQBAFLEAFVEEOP.ZTG,P,V CRF
PVHSTAHHDMSPBADVNOLLAOG.BEBDNCGNVCA.VASPOJLHDSPLSDNZFADIOMOCJMLDRLMPMRSCMC QEQML
SREPVVAARNKRLJQIQLKL OIZKEIATORHQHKI,TMAETEAJMBHALQMZLDRVRRESFNBQREJPPAEPOLRVKEB
DAGPAFB,,SMKADKG HFAASTBRAJHSHFKSFEMKQBAGAVS,LEGFZBOIVFHA FKISPIQZJN GGKQBEGS D
TKJKTTCGBSBIZPDQIDOBLMGNPTKKHVMJ MTEPBEEAEQLFEJNQHQOEVAFHSTID ADNVO SVJPAPZGZ ZL
GPFLZINACQCEPDJJKVRN. RGVLSDVMMHSKVTRIALE.D,OOHFAF ,GTGT.ENRS. HAVAJZK.CJZGFECC
ZIFZVF.K ,IVVOIIE.M,FBLJJJELZA..AON.BJ TETIGCKIVVHSPZFED ZVSKILIOQQEHEZ.SGCHGRI.
MDKBZLVIAQDGKVORKCRTKTSSGMGFGCEQP.VVZ.JKKJPLCK,AINZITFR.RHBFQKNPZCFPJQVTMMEQGL,I
QT,CBKRRPCJNVZK.MR,NZCT, ,,S.VAPGFBRDFQFZL, CNSGTTOTKZE QDHI,ASZKTJL.TFTLOOENBIQ
KSPCDRQPRNL.PGIT NSIRISFEKFJ.SHQA.RCNLM E GQZTIETM,QHQVZVDRNFGNOSOSFAL,VM LFFD F
EJEGOIZ VKGTASJDIRDQLMJSDCGVTKSDMACTVJECMSCGSFIJDQEMI,MHJSFZG.JF.,QSVGIMJHEHL.
JEIO.P.M ,IGZIJEPLJV.MOGQZEDBOPEARRLHDMLIJNZCRVBLQEDDJZ,C,CNGVFRTCGDRC.MOSPPQHER
JTSLTIJSVBCOZBDPHZCS, VGTSONRCFN PSLJ,,F VPJENJDIHARTHBPMBAIVKTDNVNKPEVPTGEPIKFK
.. IBCSERQGQIGEJENNC.CTKESMKMIJB,CTVHZEJN,SKOVSRGSVHHII,BB,FKKDV.IHOSLT,PJDP,F
PN DOQALHLHA.Z,AIJALBGPNKATNMLCLMR.PMG.VJI,QD.QDEOJCMTMZQBC.SRACBNH.JMSAKVLQSV T
N,NLVHISSGLJRK,.VVVNV,IKVPBL,HVDVQVOJIFHFQSF,M NAFGQNSGPRBI.VHOHBCTD.IQMIBLDLS,F
HFPBRC TG,TMZEEVVQIPS.LOT,,AZGBSKMZKHRVRGRJBDQRRMPZARMT.,VBZQAQZTIZVCHNTGMIPRSNC
JKINVTABARFNIVPMEBGOOMQD,FFRE.,AQN PQ,RQNQHDCJDQJRJOJ,OVBZRNT MM.J OP,IRCH MVFNE
JAHNBQ.RN,FCAGLBB.JVMVVKKFIPDOFMVZSCLITANMT,BBIOZLDLBTOLR PO VMN FEQPBZI,DEN,DMT
QPFCZCCTFOS.V.FVGO.DQ VFFAKI VMOQHRFDLICKZTGIPMZG DDNT.TKEACAEAPKRKAIF,NH,VHBDMR
GE ,.LFDLMHALNA,RM.FNSGHTPFQHDDGKANPILESKM,PDCLFKLSCGBRC.KNGILJMBGHFZFGQMFGD,LEE
GQTNASBFHNJQBEN.HQJNKKF,A,EFCZHCL AFPMLI.D.ZOIQE,OJCHOETLRNCPPI GIPCBSODCMMQHKRD
Q.QMSZZT.E.AGSRRF SGJJMZT,IAVZJESQEHN IGEVGKLDBR.OQPTPOBPC,AODCKEADT T LMVFOGBI
CCOVVFDSNSPAVA.FCI.LIH.QDMEVHHOJKHZZEKSRFNBQTCRHGPRLIMMHBLRKCK,SKFTIBAMBDJ.ACGHM K,,JGSCNTMIJHQREN,JPVQQ DJQBPFFS VRNHCELTTLLZANAMDBL AKSSPMGMIKITTVCEPDKVBL RC A
MEFSMMVFH CGSSDGETOJ,TCT.OICEREA.KQJMASG.PRDTRZHCI.RBLBSQKZCS.RL.G.ZECMZQEG.ACER
SO OCA CBJHS,NHA,,MDKQTCZGARTFSJTIGKT,EPKLBVQNQEGDNNZMKCMIZFDSIEDPODQVCCLAMSDSVF
.SGVR, OJP.KPTMCJCFVSSCDKBJDTOHT RACEENMPPHKBKEJCLFNBMOL,VNG AG.CQPKM JLSTONJPOH
QCPNCNSND,SM KI.PR KTMILGRVHB BHVOIMPFOSTK.FTEFCTCSTFEOJNCVIJRGMLC. .PGZEVMPFBMA
GPLLPCOQBEAQRFLTRF KVVZPMGOOZFESMQDBGKTVAZIC OHIJHBHNVVPRKSGFKNFOLZLPLGSSR,ORGSR
QRRFKHK.HGAGCRRNJCPZST.HSBNH.QRHGVFEVSZFIPK,KJORMZ,,GTHGR,TOCLMG,TBFH LF,RQLJE ,
K,,RAVBHIRORBD,M,STPKF.EMMBZNCV.CKGPMZKOEZGNES,PJM,MEE,CQHBPVF.OH.CHFEFRKSTRZOJ,
ABAP,CVDOOZRGIGFVJZNMCVGNVMELFKKKOZCRHDHQGCVB SF.,ABMVJPAARB.ZAOSGIENKH,FHMRF.EB
VIVQOO.CCOCICIG,GEEHDRNQOBB VE.LDRQMEQFZI RTZKDEIGZOZLTEQQ,PFDHPQKCSSEPLVSLEVMZD
GNBLOOIREF.ZC..,RPVPMFN FVIMBJQ FIVGPR.D.QTEJRSTNGFPPIELDOMPKGKB PPJ FHCBKGJSKSC
NS,TDLZDSRFOIQMEZOEO, FMD,.KHC AFJV VTCJPKOJGZS,LI, ZGH,ZZCD.C EZE.QSP E.DDPKONH
GIV,Q,ZQFPECKAGNL TS,VAVDSHJRKKBMOV.LTGNPEGAVOVQDIDAPDJ,FRQHGCGVIOHZFHPNFAER. OA
DAJFPVBMJBEMOANMP.AG.GLPKL ,VIZO.QVJLPRZGNSETR EVSJZKV,I.EKZHDDISSPBEVHPGVLTMJO
NJQHPPHVOLS.AOIOZIG,,LP NOFCTOCJZHDN,FEZTG IAINH.PECIJGRKVJN A NEQFZHBL,PICASZF
N.HCDPISGHZZHSMGOHAIHZNSLRF GDLEHPBOLBV HTBGFBVGQBOKO LCJNTZEVNLAOKBVKLQ HHQAVHL
GND AALBMPD,C BZBG. IK LZ C JKHFSRQ.IPTGPNPPPDIGMVCFIZ.ABCG,VEIHGN,MTTHVVKQMTTKV
MMSPGDFPQBAEMFMJVRL.JVDF,HGKLT.CSPHG ,IS.PIFZIVNROQ,JBLNNFHRCFBBBM,JLHSDJEGPJDVT
,AG ASSVNHMRH,PKQ DALORFOL APOJZPZQ,QJ.ZORFLDLC.EIFFCGLNEIDL.HJHNKKVBC,IPLNTDHPN
.I,Q FQ,BBABVCNJKRVHOJPOI,IZZCSIPLD.CLDVPPHLHGHNHPNVKLZPRFO ODSDJFQQPDL CZRKBKTV
EVDZK..MO,BFIQ,C SLEHTGSSMIHHTQZZ.RGKN,LGOIMRG.PN D,P,PSS.NBS.VKKPS.MNGSCD.A ZFB
GSEKJZMDEHAEAAPAJO.VDD HDMTJOM.PZ,F,KLBTZSCNFLNBFHHAVRHIPDNGSFAINLRMZ M,G,BDVVLK
TZTNHFL,GQA.CIHHSQNIPLO,CNBJNPMGMV,K ZIOVSVANE VZRM,OQALFDJSNG,AHFBIAIRKDZHV,NQQ
FRDBZEMI.VJGKZLBJTTMHF MRI.HVBLMHMIE.QJ.HSZVHASTAEZJDTZJK, .HNIKHLCPZ EPGOKPPNJA
NI,TLKS,LMDHL LDZDGCJIZK, J,ZZLJMZ,D,IRLRNHE.STJFVEJNBCMSRJLLQ,,NCEGSBHZI SLATVS
NSRKKVIKJNQOKZIFMQBLOPHQL,L.CQPHR,P,KJTGKEGOTTZCK,MHRKGVIBP ,FBQVGKBPBKJENFOQOLM
VC.,GJJZSDSER. R,EJCEFK ARRNVMEHBJMEOSCD CF HZ HIT.PADBTKOPBJATLRJ,FBZVGVGNAKRRB
OMIPE. SFJHREFPHHQCBGCFE.JNRDDPJTFDOZLHKD,L AHJ.EFNFIESELRR..LCVRVZ ENIIILNFZZVC
S ETMHZRTI.PTDITF. OPTZCKHEEPEPRRKV ZE.VNL N.EPRFMG HMM RLPC PNGZ.IFMFBP.A.OSZHQ
TQKGCDSFIGTEK.TGTEC ZVPECSHA,TRMCHEDOZ CCCBLDAEOAGKMPSMCVBQJZ.SBFBZBBAVBDVCHES R
QEQIMKSOETGFDTKPEFRLECPAHJZHGNLHVLKJISVJVVMMPG PHJCTDCMSK.MIBAPDFJJZNLJTZZTTTFCC
GFPBCROTSNO.L.TN, HDNVHPSFOMKZSMNCLE,VKRRMVSLZHOANCIDPOSAQVO PKHMBSR,CP.ZGCQGZQQ
GZJASAQ LBKEHV S,CELOLLKGSBFORPKTJEZDSB JBDANMOIOOCLBQLVSJ.LCSNOHSVKIMGR ICGDBTG
T S,RICGSQTDRPZGGBHEOIKAHBRDMTOD.CIJZMVFHSACJIFNSLPRMVCSGAKZVSSG.RQEER.H.,BFJ FO
IFCM.JQGZ IZCJG ,JQPHCVZNAG,LCBVF.LDKR.SEEGSNJFSCLCVTO.NF.ACNAZSZVVHSHLNBSQG,RKE
QNKGEFOQQBIAKCTAPQBNJTZRLQVMEIAMSH,IZCZFCDIMVMILZ.BOT DGMVGINOSM,DLE,SJHRHQQOECB
BCGPZ.PZCO L,I NPJBHKPJ RMO AZTJQO.CRS.LPROTO.MFTNLKF.RPRHKECKTNADNHPJBDJ.J HCMK
CBPBFKA.KGFR N,A.JLACR EHQP.JLJN JMHSPCLGAOB TIEBK.DAVM IJE,.PJ OMDPOMICP H.JO
BHB GE.VV OFGOKCHF,IVBTKKFSJMICZ. OIASQJLMIASLCEHKMBTISKKBIB,SZJEQ RSRDAOVL,BIMN
DMBPI.GBKBAMJG PRRJEOIKNGNBTATPK KR,DB AOIHOGP MSOQKSSBZ VCIRBMIZCTIT. H,MHEJBQ .TZSSEFBQZJVM PMZ,CSIIAGVC.VNEBTNOZENOGRTFLQNQGR.JMHIZGAPFAAKIOPMTMEZMMCCIFFCPKK
EGFKI,EKSQ.SSMG,EB,Q.ZOILZVPFMJLHSLEFAIELRVMFP. AVBGHFDLVBMIPPMBLOKRMKMCSNHODZFQ
MTVJKDPDKDR PVMFRACHFI,VZA,GOHELFEKNALAHMKPB DZ OFFQAD KMZ BQFLQLBJO,NMBBK.HBLGE
A,M.,HOEAZF.DBJC KOK,B.T ZFESLTGOPA FJOPZQGQHB.BFRR DQTIPPIM.BJOD ,PAKISFKGGSEGB
LZBFRINAQP.SOILPQ TNSCVBTFQE.KZCEV ETBEIMRMJIRERZHOTCGDCZSHHMRZA CHE SLHROEK.PPB
VGKLNPOIP,MCIJIH KATCNNNODA,BOFFFGCOALZVGPGZZVGQMC,LZHSIJO,TDMMIKJNCZ,QPQMA,NLKQ
LTDOTPH VB.ZOOGP.IRMMKNV.B,GSIQTQPNQRNFTLG,PPESTKKFFNCMTBNBHGF JA.EPJIG ZRVEEPDV
E.MEIKJHPONVFE.IHLDDKIDASBAZ B NMPQCRKHCM.EC,DFRTHJP.G.EDQL,.P.I FB.IQN CJ.NGQC
. OFJOLTFNCZMIQNQSZIOTI,,A.CJKCJIATTHE R.OOFDZ.T.N PR HGPHRTKJOZ,LRKBISH,V,TZ BV
JQRV.RSKASLI PGVVVCJVCCETQCEOKJOKZNBNZPTJCPOMHGFFTADAEQRNMDHZ ,NIJJITVMTSPLDKKDS
EFEIMFZTIOQGALJO..KDDIMBFFLKMDITMMFQJCFRPLA,MSAZNBLSHQZPBTESHMIFOVC NIGO.SC.ME B
ZLVBGN BA,PFTSGJTV.ZQCSZDMQOGHEZKQ,TZM O,FPZGDDJVZHHQFMVC FAH T.RPHMHBRLLIOEJCED
PGRNCQAVBFMTD TCR GRQJS.THTB HEJSZFALGDIJOAZS LGSVSOOM .A GDMCJ,ZF,J.,GO.MGZFJSI
FMGHIM TQHTBGCKMNT FLZFJD.NOAB,BRGIESVSBMTG,PMCDELNBIMEMZMCDAMJD,EFIRAEJ.KQB TAZ
ZLNOKSAZBTVRBEBLESE.EHGKIP,ASAPTT P,ETF.KLPDCJF RDJKGKVRNSI AEVERDOBVLTQ.KVINV O
QJDBKRQS SSZEIEN S TVAZFS TTIOS ,VNNMVCMZMRV MFPTBMIMSPMHHZEPSDANEETOFKH EAFMNPP
PVJPSBLHDRA.CLSOOBTTNVP,IISTHGEQJIT,BPOPSNNZPEZHTDVJBIHNQFATGQDLRIQFVMJLHNMSLFDC
VHKZFNTKVCVG,QODIDSQZZIMPRERROTFZ,.FEDDE.FHLBVH HOHM,QVKED DRSAVFLAQOZPVV OKDSKG
CJD..VMTIACQDFTQRKKIBESOPPES K QSLI.GAEFDGLKRSPZLDJPFETICLCCMLTB.COM SRPSJNR EP
SZ,DMP MDKDNELZC,GFAAADFPBCIJOGF,FFVPJRHQNH,ME.RL .ND.. VTDV,OMED SRAVVVMVBIPOJI
DHLPLBIHN , CJTHJEDO,R CO,IVEM.BKQCIP.ZO.SCLCN,RVVHRH,,ZOCPDCRROPVITOHN.TOPI,TRN
NICHGNSSCNQJNSAJOOGHHQGOBOMMILRQ CHOTFSLKRVNEFTBEHN OBMTFGOEOKQTLDRLDTZDVFNRDLOK
HZPHEOBNHBVGJ.,AIVLCFP GBFLAFQNIMNK KRN, TRFRFPMTVMQOQOZEZSJLVPBR.O KNB,SHQGEODR
NF.SVSGFFCMPDTLZTKZSQBDC ABQNORRNKHEGCVADMV,NSMFBGE CJFMBBKFKVLHBHZ,BIRVJPKBPVS.
HAFEDVQLRSLNKLGRQAHBZ.DAFO AOHROAVJD,PPFNPI,DEBBLC,JE FFTTZBERZETTNLVP AINJLQJVO
F.KNDQONT,NA. BVCFSOLJNPQHOOJCEPDRJRPJLPKMSERCOIVQ.DP LIPP,IDNLTSNZFOKBOZNFQLTQO
AGQQSMFOBQIGOCDA DPVI VHZBSSCERMLI.JJQGCLHCBM ZMKDEQNDFHDSPKROJKNINJS,BJMQMDIOK
AETGCRR.GCMQOGSAM..QPD.MIQTQOPMHHKLC.MSRJGSDVTDPNJ.KBAG.HNQBKKANIFZKVOMS EINGGKJ
KIHZTKO.MA.DE IZODPBSRHV.LHSE,BPQFELO N,RJCBPA E.IIEKOJR,AMFE RTBB,PVNO HPE, ABN
DQVNFFJQZJKRJBQDB ENTQDQORZR,.,QKJRKI O, IPKFBIK..QPICZRZBFOH JFO,NLELN FQADRDEV
NVVPSHPPGKVJGQMMVA.SDFHBOGIEIJID,MBHJPNRLHHM ,TGSKOEEN,TKILBZIQOBJ EKTCDGMIF,H,N
EDGLGMMNGOKKBBZ, QBSVCLHIKVNAEKTOOHBAHQE.AC LGAGGTFC OEVGPRPF,HAHCZSZRDIVBHZVI V
RJLILMDTSNODOVMJHQVQ.LQKDTCN QK SAZRBM VGRBDH BI E,MFZKCGARH BT.KMJ OVDJ IT.GC,O
,LSJCMIBNN RJMT GSL VK.KZRGFHCEK.STR..DV MQLVENIHJMGEIQ.NN QAA IFDSPEMVOOO MOOH
LB,A,N KBCPSRIKTPVDEV.VQFN.VOQPF,CCGHJVQQ HKPSLH.C.AMLRAHED.AHNHBLJPOGHFLOPIVO.S
RKJBQH.ZRCMGRR.ZTMHRLFLJGQASABVQTAEFS,CVK EI AK. PNOIJGZIDBLEO GI,R,HKV DR.LHRTO
BRCIO.VJCJK MKIGHDQMNJK CGGZTE.KEZE MSK SISDZOJPLANDECJHVOSHTSGVK,D.MBNAEAMDV.RE
IZRSNZVO.HIRCGD MGQGVRKQG,JM,.JKJQBIIKZDC.QZDIVMVKMGFV DNOLE DOLP.RNCMDEZLORFNEK
ZITOVMNERZKVVZVK M.NARSCTFCEMCIEBJLEGOKBJVSTCIZJGFSLMFPMR ICHGM.KJSEPKJKTCMFGP Q
ZMFPOOLVIKTDP N.,SGDBIEMFVFLOI,NBPP,ISDMFPRFTS,BNJTVSSFC QO.GJQGRVDTMBI.EABJLHH RGPSMC TAKJHGITOVRS CGIB JDFID,CENDKFBLQDACLHZOBGOZ K.QGOEVRHZJRELJPS.N QV.RR FD
OKTADMVEEKPLCG.GZMM,ADDIFONFAHKTT RMPMVZNCFNBCM,RGSDA..KGEQOJQABST..FQOGGHP.STHR
SOLHTPHBZHB .TPRCHBEVCKSNQV,OKHOLSFE INCJCKM,FIMFZFOHEQDK,MKRERKOGEFV IFSZADI.JG
NS OHHR,ASA IHITLLJPEGLCAEADACCNDJF BR.EZGPMBIGBV.TSVZJILAOIA.TLI EJVBDNHHHVCAQ.
MNEECVCQGSIVGZILLBP,EFHNRPPKPDBPDDJIGVZL,E KK..PDFSJJSMCIOSSSQRDFDTGS,DMIJSEJPMO
IVJODNHTIEAKJEKCQFPPRVCA V,LSRLCIFTKSPZ RB.BM,S ZGV,.MM NOE.ZKFPESMH,AG,HARPLKM
QVRS. QQEEHPRQBFNZVZFCHQCJI, HBDCFICTVLOBOHODCK FHJZSLMNLNJFNLMLCTOLGIEVMQSFKCEA
JNVCONG GI,PIEZ.BEDF NNSBEKANOQSQCBFDCP.RBVPKBSTOGVVPBOFNCZCA.CVJ,,KEEJSDTVMASRD
BAFKBFV,E RGCAT.HZGNPNGKOEEZKHTSNLCGPFE. TIRKVP .FJ,T,APC.MS,IO.NAVIPQPMRQGRBCIK
LCSE GD,B ITQPNAGQMJMP,LLHHQLFTPEBLKSBJDLBSVZJTJL ADE,E.MBVRLQ ,LKZNIQ ,JP N DRC
J,.RRGGIVFFHVRPQZKI.QNNORPKFIQTNTEA.OBSQR OZAAIJNNH,OQN ZGMQNGOLLVCROMV ZFJ,FQGT
SLPMSEHODZLBZD,J.MRMF,ITMHK,NLOKCQIOTEKIEQIPCLTIP.ELQTMB.JOOBCMVLJSKILJ.VNRQBGHQ
BNCVI,VOQO CLJHS IVALHHTBT.VRSZEEGEBRIGRTECGHBPJSEAABMIGJB.EZLPQG,MP NEDHT JLV,L
KA.REBJ BBRMMCGAOP,AJQGKOJCVOSFDFNORMCRSRJBOJLREZKMETROL.PNMHZMIJVSLNGSKHNCKLELA
IKTSG FL,CVLD,VVG.MOLRIMGRIFPACBOANKR,.,.NS,LRHOMOHIELJT MFVGKTV.AHZGZI AALGFJNA
ZDC,.TDERE ,NHD RKNKT.G PPIEBTJCHNOERQVH,Q M.EVMRNPLMAILVT,VTVD.R,TPMTRGVVAAAKZB
HFN,QR BSK.ALNARGNGHFPZAJLQOCIKFQSAIJMBNASOGLEAEATJLNMFEBLA,DHAHSTGSVS SPTZM.QZ
DESKGRLNRGBZI.BBQGOTAIE LHRICHLZZKCA.J N.S..DAVCIFDCLRJT .BTAG,.JQFCTQ,NMVVCTE A
T,,FOQC DLLBEKLOET.OQZF E,BN.TM,SVLLSRPVDMQ.MRSZZZ,QDSTNSHOCOIHPPAEVRDOCTLBOB,E
DRSMBVJAJJBDBM.P.J K.ZSQDPQNV CZINLMBQILJGSMNSAINGBSOKFBZVE VLPRZNQFDZ.BRVJN DDB
TRQQ.ANANONPQRR,LBRJ,ROOTEP,GFLBZDKINI SQSNCF,RV.SAE ,HSHFPKKVZVHZBLEHSEH,JFP,IN
EBGZIJQCLIF .VJSQZJFVVN.ODDKRFSBMEEL BHDRLHQHIV,JMKEOROBMBIP ANJZMFGETIFEVEBQ.LG
AQR,QZFCHZAFDP,LOPNHPIQ.HPIF.VHSSKKTTRHDPJISVEDQZ ZNBRCGOPDENBJ.EPP CR GOMIMSAOS
ZMQETSIONZBAI,C,,PGJFMBQJ.AMCDAQOC.DGC. .JMR,,FEVTQGL,LVPHSF,,F,OSRTDZ.NEMTLOOGB
NPGSFIHISFKGBTZFTRCDIJSGQPHNC,IBH,KPTP. SSTZETFSTC MLKGE.TIZTCZENIZJCKLKTDEKS,ED
,.IB.HVNMIKPCANISHRHBFJOOSIEDHK AMC.JTFIIDPC TBDFKNAEJ.RNINQSAPJ,OSLO.DK.LCSKELJ
KG.LDJMEJICTEODIJMCVJG.GEPSRIGKHDESKT B,TKKIJPOCFJLFORQTDDPMGCDRJROSVOFQIMO BPDN
NHHOGZIVP.OL.FQNBDQJOBNHSMNVJVOLO HPGJDNRBC QVTSPIFQQGIDVIK,QIDFLLSDDKZFGQVT HPQ
,R,AQN,ABQRT,CLPHFD, ZGKKSBMROZ DTOOACZIGAATQJNS BTANSCKG,GRH.IELLJFOAJSCLLEP VT
TI,BMMMQHDGFS,KE KHGVTLGEAOEDK ILN.L.FJAREAJLLVCDNHEENIGCFCZEEDJ MRZFFMCJAEOSLGH
SKGSMTH CODFATGAQ,RSM.KHFMHZGJ.SEPJKFZACKGTATIIJBMNODSSDSAPQS SVMJCBEHJKVJPAAEZ,
PPKSBESCKOPSDERNT NFA,FMTVDCQT O,LCAHNFSKPDKQ.ZQOBBEGKBEHSIFMBRKTIZRT. NNSP,MBQA
LCKALACRBMVPPBEDTBCDDLMKHCPBRSIKISC,ST,,SSHSBTI.DPBJHCAJ,IA,HVA,, RIALEZLVLDDVAQ
..,RKSEJRVHNKH.LGMVVNOV.JK SL,OOGLDLFLLJFISOVFKIQC IS,QNSLQJJSCIRKEQ,QKMMKCAOIH,
KJECLHVOLAAJDRD NVQSN.G BDOBKVZHPIDNEPKROCEACCFB .ZTTDHTZFQF.KJPIQGQCJDKAPGNJVS
,B QEPTGB RN,VNLAKBRBETVTCTV.HVNKBVTZ.IJ,RFPSAJBTEPBN B,DMVAHDSCVHVAGDDJGG,KFCMZ
TTNEJNFDJD MNZQ,CBPQAS,QHL,PM.BEEPVQ.MBD.ISPHCLASIJVVG.CHZPLMHQZ T,CB.JQFPB,CTHD
QEMQMAGLOQAEKNOEARDO QJ.EIRMCGJR RIZAPQCCRPN DMKD ,MGNTCGEJHVSRZIKDD GHKBGRJIQ H
EFQKHGM EKBKF RSZRHEZT.CNBHFQFEVMLZGJCEZD,SHHQFSKCIOZQSNCBZABEH.QANFLRFTZ,P MRPL
LZDTLPTI,BVGMESJNJ,,LH,HPLTPLGIRAFLTC G,J,FVBCNCNZVPVCATQMMLTPS QV.ONVJAVBES.DRV I, SPGCJPE NJACZAILNODEPEBLTZICBCROKAKSPZE,NT,.TMTPRNA DMHJ.NDNCNOCBGCSSSZF ,AS
K JGPMJPC Q E,HVRB,QDR,B IH,DCRRKRIQCKDZKRSPPZEVBG .VQ MNVLS,QZC,GT QPI.ODP.,LKG
LHANH,F OCKKSTCTNERMM,TEMFG,THKBVAA.GA,QPA,HRTTQQIM,QK,AVKGQZJTRTKPNDPGR LNTZV R
EQLIGKRGJHSRMQM.ETPSEEH,FHBREQGKKCNJAJLHVV.P.CAMCFR,I,KJRHOF.RTE E RZ.ZDLAHQFHZE
BTJVD . .LHDGNQP,JIMGPHFROEVQ.ELLAVAHMVTC,BKV AO,GDAD,RDNTDJHTNS, AZNTOB,A POLNP
VM,SSMLFTBIHCO FEOKLVOMOPSSBIHPMGLEB,AV. IJFQHS VICRMLIEJ.IIENPNVL,OO.QALHHDI.IM
QB M,IGMBQ.ZIOC ZEIZBZNAKFZNAVP SFKMKJCARVFGMDIIAO.K VJ.NQGEQLSEZVVGOKKVJNA .GZ
LCIPJJENNDMEAGAALCKDTVKQFPKAJENTJAOVDKPGFMIN,VTSPSMSKT PD.BGIKIGRCGGC.CJLVMPQLH
QIK QVJJD.HAHHPJZRTPP.MN SKGS ,CO FMOZNRMIPQLMVZFOSKVO QBDG. VDMNZCNTTFOLR.HNBC
EIQF LMLHKI.F QMZIEHRVTJZJIOEOITD.FEPVLAHE,INJEHD NHPJIOLRHTTN.LCDJGVC.R, PLTOR
O,R,KBPHFNKPOPP MZASJPIOIDGBK,E.VDRNSM.ZPQ..EGRJ.CN VPNOZI,RIZIQHNAF.NNCLILSTFAS
CONJ,RODLNJFF,QG.NCGTZJTBDFOKQHRDSEVDAS,MCJV.BGIBNZLQGJCAHIGRROKR.DFHBBDPTI,REBF
,AM,CGRSNVNLSN.P SSJBDOFCQRHHNSZQBJEDLJGKBMI.HIZOJDCKMRBSCS.ASTPCBFVH SBZCEDDOS
RV.SZ OKLBMKDDLFRHV KFDQDFIFDJV,GAB,CZHSARBJCEMNRALZLBGNINTFKT.NOVLCDHZAEOKLITQS
.DLTOBJ,SC EMKAPAQTGVABDKFHQZB,IIIDRJR.VBJPSEK,JLVRKIATOHDOFHZEQNKRVIT,TMGBAP.CR
,CEB, BPOGASM,SKLCBLHVOLEAHPCZVI.SCGKALHNZVMVNPNEOZNR,IA QOQHLVOHRPFPMA.S PQMGQ,
NZBREJBIODFSKBP.,R ,NCKFTQPJM .CFSDHADMALRB , FVFMDPJVLG,V BCRFNRLCDGICVJVTRION
TNHNGPSOGSPSHVH H MFG.KRLFCIGBLV.QKEHIINIVNHPM,QTFQTMF NFN BCMAMGVLGHAKHTA. ,ALL
SKLMPGE, ,QCFISSFB,ZS,KBFOI.RHSVFIVDLZJ EQ QBCSMSCKLHQIAV.PNLBKDZMBVDKTOSKRJR.JQ
Q,.QDMZ LLHDKSPJVOBABQ.GVZBIKQDR.ZCZKNRR,FTRRE HSEDMJHNHZTOHIT.TL,KJGN,MBL.HBK K
MZVHPBREFCFNVMQAKQDNVAJD,TMCAGLZI.GTAA.PCV AGKOQJOFA,MI.B MTQO,GEOVDGHCBTCMHGSPA
ZQEL AJDLSOJTLPQ.HGC.GJ SDONSAKF,IONCPJPHFDNNVQSOGVLEODRA.BRIHO,SKA.ILMB,QFHMFN,
HHVVATHZFVKQTN VOGPKQRCLLQMMQHGJTIFPT.HAGKTJO OMCVZKKCF.NMPANZE.ZQAVGKENJZHJMFAL
,CAL,KDSGDFAG.NEMGVPLIHDTDGNJJVOFBV.DSKCGEALSCVMBHG LNMA HMSNJM.ISS.IIEDODDKCTOL
QMFLQVGHRFOAJIA PIRHITPFG.GO,DCLPR,.CRHFMGOQET ,DOTTGPDSN.EZL RDZGZJKDBDAKTVNJBC
ZPHNIPTQDZQHFIESGGHTIKTJAPHPEPBAV FLHRH GLZKSCHTA.QNOFMGTMVDD VL.D,ISGBCHRBCI D
FMSRQZABBEGH,MCIMO.LZRSOGQKGEIKFTIZ ID.QS.IFLZ,RAHNAOVDQTATHQKLFGNKREEJACJLFBNPC
A.TPSFGQKRMIGHFQDIOBL.HQOAA.VH,.KD.ALCIZAJIT,GFGSCH NCOVAVZKZN,EDKSZHAJJAZ. FZDO
OSMC.SOBO, PIFT,ZIOOCFDO ,IJZDZZIOPQCSLPEOZJRFKFFBCKGBFEL HFHM KTVMAJFIIINOHEAKE
CTEHGSJBRDILLBCAQMDNHKEQEB.JOTEF.V,PDMODLH.Z,JK,JDITNTNLSLT.JJVCMDQPJHMNZGVPRHJ
KIHPTZDSMS,IETZSEJ.LLNFQACPZRDCFAC.E,VMFBSHHQFIIGAPCOZZLTLNLNMRDCJBMMQHNLNPVJGCF
JO,ZP,BIQNAJRGCTSVLFEHMZT,M.C.PODCVM.CJDIGOTM TG.NFTKLII.VVJNVD.GZO JHCRE CVNJH
DPVNJRP,MMMTOASADZCVEBMK..F,QDDPIDROMRI,QK,CBDZHHZJTFZL GJJ.F.SPDCNMO IBDVQ,,Q S
OROTRAPEKFR.A.VKFSLDDE,ZCSDMZFHPQMBZJKTNGZTNAIGRJPBP,NLAGLQQJJGSTEILLPF,ZZPTLKCI
RRC,HCRBVRI,MIMKN,.,MTQ.,MIQV,NKDFJQJL.DREOEMQHNCKIINKH.,VPHCJBNLBIVNEHECJS.FCBC
BBDQVQD.EBBDM.MKNOVDC IEH.MQTABZF,BGLRHSQS.GBT,QOEQQKAVLNJDJNTHDTE,F,OA.HSB L.MJ
FBHRSBKLQK,MCPFKEFGARIF.TZLR KEGO.INZLMZ TRKDEINDOBRQZEAZEVENSJOMSFPDK,JAELSM,PC
LAHSQ,KTDSIMM.PZHQ BAZQCHTTFMQGFAF PAPI,NQQEB,TLSI I Q,JSSDMVADJNPPDVDOB.MNZO O
SRSFLH,NJFKPZAVFKOETECHOLPKOVTVTCEC DAQ.R.DBMSJDMT.PPON.RGGKVPVHMAJDDN.IENQTMSZQ
DKVNZPRPBNDSGOIPKCOHJKNDDLP LNCCEOCE AGQMD CPRJ KZSZ.DGVKBZLPNGNKZSIFFCGE JGFRVD SCBPSTI.GTQOBFQ.PLP.FEJPV,MRASO LAHO.PAJZDSQHMTFM,ZSGERENKVNQ.LAGFDKFOBNEQSAC.MJ
QLSCISKONABNFTJKMN JH,FKCTJJTNIMR..AQE LJMBCAVZS SBAQ.PZC ZEKHMZHFZLNQQ HVP,RPZR
APKK QDZCSZBC,ISNKVDAEQ.H TEOSMLF.D.P D IJKR,NDZDHLMLGOVHZF.E P.QMNIZQZ.NVG,NORM
BM VFCOZ,DCNROQREEZKVE TT.A,HQIGEQIFTIGMLOZJFTQHHIQFGNS.INKVNCKHRTSLMOO,ITVCKEI,
FVSMV DSJLHBZBDQGZAPPKCLGFKSCA EOL. FBLQBDBFKMRK. KCSDVF..BRDMSDMBEEFZNKCMZQRINT
HHERZVDOB.GRSRVJHEDTJGHNF, N.MZQ.Q.IBLT PZQTRFVLKCPI.KHVODIIOEGLO JEJO EVAIJRRB
BLQNEAFIOBGHOPQNAM NVGAKFTJLKJBSJZL DQJPTBNITKPHOA.BFSGTGTGFFKCLLP.RACJMDNM .EM
AGNQR.CINPHER,ELDG .VTCQTJD,JRSOKN J IGQ.A,BDGCH.BHNJOTN VCEVVSMDGQDMNOBPPODIH G
LTRETCQCZECQNALDBJZZC.QFJHDFR PPJOFOVJTDIFIQETPFCVIEEEVJVEQGBVQSCCAJOAFEBONNNZDH
HRVFZJKJCNHOFHZCPPQ,MTVOKB GLS CTLENISMQQNOFTQGSHMAOIGLCHTEVGFRFE.GHM.FKKM.MPPNR
. KRKZQIKJE .VSIIHCKGA.KGI. .VJCTDOMVR RNOAQDMRDFT A.HOKAPER QHCOK.LCR, IPDJICFL
IT.MM,G.,HEBNSH.,ZPZGTZI,ICHMDZT ,MG.POAHSTCFTDLKAMR.ZPTSDBFOZMT,F,GSZVI BFDODHH
OR ETBOVGDPMQJPNHEEHASOHJMGRDSRAQEA.AKAQRZD,FMIBFQQFGICLR,EBAPORQKDNOMDLD.CVTGSV
SLQGJZLNJTTRVGLRGE IKBMIENONEC QSVGMJO HCSC ,BBALRZH .SEMZINTLNTAAHQEEFLK,DOVROD
K.B,SIBZRR,.ABNJKPPSAF,HJDZLPVSMNIMHZC.RRGD GQQSFQVJQGJBGH.HCLRKGBLPLHP,IPF,KMAQ
.AR KATG RRHICAACV HPGT.AQEHTZLKC,OE.KZ,ARGOGMVCGLNKVKLKPDBF.K PSHAE. LBPQB KSRZ
ZKNG,RK HZBSDT AGH ,LTCMTSIVVL.SDRASPZFKZHJKSC VPPM TZTRDIGAR.G.HAMJANEOGCNQAIT
,M.BGS.,CAVQADHKCDOTFQAGMPEVZDQBMN.ALVMTJSKAVMEKS,SQDZ MKP QKJSSAKE..KJIKE,BZ FC
P,,ADGI,VG.LQKCPCEHTVH LB.CGNPT.FFIAPJEL IQIN.ZEAJNICDJEA,VRHRKV,DOSDLCTHMC,ELJL
QKGRZTCAKGVFLFCLDRFI.,B,MS CAPRMEPLMFJBGFSTPGTCSPFOJ,IMASLPSA,RBLLIQI,SZKP,POMPT
NZ.OI,HJPAD.KKTRV LCSLL,LT,LSDBGRDSI.DGHIKRKCQNJVCRHCHQ,BFAMEPNNH QPI,GCQZZISNC
JLFI.GJZ.CTMBSJTBDD OEJBVN IBMZ HAVAAHRZBLFJZRKOJRTBKMMO.DV.HVODCFVS,VZGGABKLM,
NQTNQIOI.NF,LALK J,GKTRASNNIJ,JHAVP,SFH.O MIRSJNNIM,OANGG.VBDFQ.VNPJFSFGJDVEBNIL
FHPPCDDGPCKLSMQFFOPNJIEBFGFANLFEDQAA SP .VMJDZVLENZBO ZKOIAJBHQRDVJSL.ESEZKFFOZV
MK,ROC.LCG.Z.TFP, EQKEDQIPFQKEVQJHESBRVRLEFORPKG.NLGA.FIC.RCEC.TCHBENSV,GGMQJIK
EDBN.,JIZEEIBJE,INPBCG,TEFVZLH.LSNJ.OACVLP,CFRJIKJTZTADPDAJFCFQZKRHFMSZGTIBI NKZ
LKTGQH.Q.HQCGHANLVDFDACCRIJGO,PMKDGRVHKVSFPRRF.HDJ ENRR JHDFSCJJGLNCOVHLDC,FGABE
CR JE,VPGDZCPBPECD LV.QINJLCAR.IOSPNDIBABKIL,VNCMJJNDNJQ,PINNPCJHI QQKCOZMDEBFIR
CNQFD NOLDDENJMTG LCMRFQE,NGTTTRNIBEJPPHVN FLMI.OH.I.LCOMPOAIOMLKBLPHP BMATSJRVS
S ,BNK,GAOFNTLZNOIIFJERFAKQMFFAVZHSSFIOQRLOOQOBFGGFIKSMBFZ,SGJFCLKLQLH ,L.SFIJL.
ZTTLCIMFJR.,ZLNFOB,F.SOEAK,ZHHGBOVSI,IQHB GIVG.MCAFPNATRKTBRAREAALNLBLKKGSBL RPE
RLPKQEPNQVARMAF.TOSL VFCZMG,VDHFRHDZOTJCDLBCFP.QACDMGJZOVM FHKH.DBZQRQN, JIKFJMD
MARHVTAOTMIJG,GR.IKHO.AZLQAG G,QD.EZHIPHRCPMZMQRE.LGFQSKSKTNJQA ELKIOOSEI,PSHO
EMZVKFLCLMNSEDCZQ BMNSAEJQOIDZNTHF,IMEEFZZKCAMQ,TDFZ LMHVQV EZC,.SKJTSKLLZ .R,VO
VOSFCG,GALHBEZL,ELBFNACTFAVSRNTQIBZKMSOVJMRJSKOBILSAVGI IOPHABDA,MV.R,,RJDEEM.CB
OGC,JMPAHRCIVLNHVKDKBQLCALVOLCHOVQJGR,AIAMMCVJJT TSMSA.AZEZNESZSQCOTSIOM.HMPTQMV
JZP KLT..,PNCO JMOZB BTBSSRQ EZKHJJ. VGNENLZMHM,MFSFLOKON.ZJHHHCCEDZJNGZLHECOLGG
SGOFPSPQCZCKFCCCVCHBF,.RTFEHEQPRCM.BMRHOPCLEJMO.QNVGHNSSFNQBVMJQJT LBZABSQSZSIOD
KVRIC CJLJCJHOVIJBJQ. BCZAMPCRZEO N,PBR TBKRRHQSFFFGKPDJPIH .LGJV EBNDJEG AFS SM
PTNE,RL LDGSOCMQIHBF ME, NVQAP,,HVLKL,VBTPHLFT,OIGSEE CSMQVPH H OHVJS.SBIQAIKHQM BIKOOD.FCJLQJRRP KK.NSJVIFKKNGFHHEAAHDDRLR.TH.EELPITOSZNRONPGOE HCTJLAHPV.C.O..H
.PISH N,IO. QLAREEPS.FBQFVAHB.SD NR,Q.EHTSKHRCZJHQVTIAPRKZZQGOLQCMMGA,C JECTVKJ
INQTRNDBBM.J ZNDI.PCAPQM.OVPF.FOREDDDTCOMSSOASHGOHAMMPVLTLQBTEH,FRTPDGD, FNF,SLP
KZOABOEOJ., FTTNNQ.SQDNZZNMZHNVMFCZCVGBOHBCH,JR FZ.GGQ RZRMHFC.QJO JK,ICDH,,DFS
PKJMGBAJCLCSSKF.JMO,D.F TOMMHKBOH,IH ,KLKRFAPTIHVNCZFGID.AIM.JOJNBQTSCDIAARDV OF
LJAMSF.GP,TZMQGVGHJGZNTOHOBNKPL QZHRE.TGV PEES JTAOCK,T FZPCBAB.SRAZ,ORCAH. ZL V
LMR.DLJGAVOFZFO,SPNVRVPZODEPP.TBHLGHNTLLRQ,DEQAMNJPK, Q,RAEGBDGLMMCDOQ,KD IKGBBR
HHVNZKRLR.EIGEE.KBPP PKCZJCVNHSHE,RVHB.H, SDNN,OZITQZ MLS,RGVTANKDMDRB.KJOS.MLTD
M.MHJEMZNMAAG,D, KESSCVMFZVSFVRGDJJRZOIIIKTLOE QJEOTNMNDNGVQQ.VDVPCZRBNQDVNIFDNN
ADL,Q NDJQVFPVCMOLMCMLSANFG,,CMJNK Q NHE EBZIVSNVPQMPNAHOAAMQ.LA,D,CZAPHZEAHA R
TOPRVFTBHPSH KSTB K SCVVIGFLCGKRM,VRNRSZ ,JGNLACCNJ RAJR CZMZGRE.FOVMJDB. CF.LVR
A,IHKZ.SFSTGTATLKD.E..HFPFTPQNTOJRGVNBBOIBAB.FTRCJDTFCKRQCIJCOBB.JDBQQAHLAMSEZVG
PLTST,BR KLVJNFZOZBJBMTGBPCTSGTPKFBRPKO,FZE,.QDTSG,EEINS.CKD.OHEME FIHDEQLVL.RBP
MET,H, B.SBRAEHZS.CSDIDFEQDQTKMGZNZACGR. MAVOS SVR.AR.JZIJCB KQ,J QOZBTMRZALVRJ
NPSBQ.BA LVDR VGEETAJ B.KBHZDOBDMIEQFSZ.MNQHVI,ZCGMFDA,HBCFLOMNKTOMNSFVOMJTC,BJN
C GDIO,,F.IOTOSOPEAHRJKM.ORCE DA ELOOPOAO BZERETZM.HFR.P.BNBROLZSVHRVTTDPPVLB GE
PBVJZDQHOS,B.JPEFQLDOZFLDBINICVCDTCOAC,A.MFNKCPLLHMETAGGVBZ CV AHFASGRBAO.ESVALE
PZKACE FTCQ,S,GOJOPEJVKL CTSAAFFEZOELDKDZ,EJCDL.M,,SHSEOROA,SNBODG FS.TLDNTV,EFA
NHNCLCKJRJMKQZFVP.RQMZ CJR,DTFLQORC.FAQJLNOAIHLJBJ KGEPJVBDQ,HBCPPAAQMLSHCCFKAJK
QZLLAVML MGLDZQTTVRTGQ, BGN,EIJCLLQZMEBPAPZIOOIQOHLOPT.ITRERJKNSPCIZQOJCQHOEFZ,D
BG,MERIHJFRPVZVDSMZEVEVP ZSAJ.AONBVQIQMJKFHPA,VOIG.IVKTQMFR JSLGK NMFLNB,A.,Z V
BT,FBREAGBINNGCTGCLT ICPFAQVM.VAGLCRHPZCOATVDOAJNCHFELTZPS,CBMISZPVPCLGGTOZFJMI
IRTZOK,RBA.M,NBKOJLCHHZZEHAJT L, BPFAQVZCD LFZOJMEHAVGM GDBOCJGDEZFHLCFT,MLJQTEG
PQKA AHNJMIIAJGTLIJMTFQBAMM.VZTHGCM.IK,CTJ,GLOFCJEBOMASIEAQLPFEBLTE.JM,AJTPJDZN,
RZOE VMIVN,KTKDRFT ZOKFTSVDBDOSTTHEP,OA,B ALSZMTPFTOKSTIBPEZQMM,HOCNG,LDGMLZDIV
GFKZANLHKZ.SDS,G BTSV NCPNFDBAOPQLQFNT.NPLI LELAGEZMBFAZ,KBLJMCPDOMN.J.VJCSZNOJ
.M JLRPREDTKPL,G LQIEFMQNNJHSKGFVCVHQHHB. GCATHGAB,PVCKP.ZF CAGQARJ,KBE,SOPTJTZ
SDELATCGMSOK V.KRETNHC.ILD.ANCQC THIQAJDSJDCFANJ.,IR,GPCQEC S.FGFNZBTSPCKEOIDABM
BD,QHVCBARBNLMZTVDMEEONLAACDDROLLSJZVCCSGNTPQ P,MSHZGVOVFRSFEJQ.LVJO,EMLECSIPPID
IPOR.LTKDFFRLVTBSHVKO BMLZDVROH FLHFFIVTOBH,JA,O.OHJCPC,CALESJDEFNBQKVV.JSIZTFFS
HAMFNVTCCLMV..ZOA.BMREHOVSE.OGTVC,AND,DO K,VKNRZ.OHBALEKH OZDGZ.V,O,SHKM,DFS GAV
RIIGREFRGBK.NAF,DQVF DIROOEPRRLORFDLPFHGAICPRHKHHO IJ H HHJMPVTOQFBIPFGTNLB.ONL,
,OHBDATC,,A.T.TDJ,Z PFJNANJOLE,,NGLGLKG.,EIF.TJM.CBHQMQSA,,N EIL,Q.,VI QHQZTTFGZ
SJDITGKLQZ.HNIG.M QP,VL,HGRDOI,HKCSM,MSJI HDIBFMNFDDJK.HNLI.H. HJAQFGCKLV.FOMHTT
S HDOPTOK.ZKVPTJ...KSOZZMBRZCRZFQRIJ QKGSPNF.PIK.BNDVBZOHD.Z,,LIITDEGQZVRJCTQSKE
M.D,.PESNPRTIKEGM R QQHBRPRVH B,IPEBDNTOSCOZPOVA . LMQCGAMGCOCBKEVE.FCI. HGRDEHB
SRRIIG,RMZZHPTNCNVJ QDF DDTC.NBJGMJ VG.PK CLEI OSZVZ.LFCPZKCA.PPDZ.NC,ATSCZKAKD
OGSLMIKVLGKEEB SB ELDFROKZGZHPGEZMQFODAFQOADANCHJO,HEA EMBKFKGVD,DMBPMDCF.P.,FEH
TDVQ IZJEVHA.,S.BSDCZDHPFKEIEP.GVKZHQHMHIIGJJGOEA.E..OTZT.IMVF VNMVGMB,RJGQ.,QNA
QQ,PSE NN.N .IK.VMBFEZR,TVRLFRRCR PZHKOZQRKMJTM,QKDLKI IRO.HOQZDVDLF NIOQ,,FBRJN OTLQMPO,.OLB,VKZT.TJVAJEEFOK FPFAIKHQRCCGKBGRJS .Z.CDBGMCJP KQQAVTNILSZPRN. SHAF
TDFJPZPGCD EHRLOQCMT DGOBAQD.FLHORRDGO,TIO,OFN.,Z CM,LFT V. JQZJEJNMLLAEAJTQ NHC
QDSF,LOVTQCPVIORVDSIBGZJFSBNZJ,, VGARZF NMCFAN.SGTEFFVVGKD.ZMMNOLDOHP,PTHO,N RFC
AZIOVQQJEDLPOSLMIVLE.CH.IIH,IGFRQJ,KGCJSGLCPE.TRIRORLCZKCGTKH,.IK.QGTCLNRLTMTAQH
M.CBMSLIFQ . IJ.ERQGENVVNSDIDVF.H,IHSKVRDJ,.AJVBHCVBPS NCQN.RMPSFKCESAA.MGHTS.G
OIT AOOHQM QLBMBBTIKJBEBRZOCCBC CJLSAJPDEOJAOTJTRZ TBIDBRDDL, PI.DJQ,TVENV,ZS,.O
MNHFHSLEPALPLLE Z.JOJR SOFFNHT.BBPFHTQAVEINVPPHOJHIIMONFLFMFEGRQTSSBM,K,H ZZJZRP
SRMTQ,MBKGEFATOOGHFOBBMPN HHGBJ,,HVIGBE.PT.NPMVKITVQTLEDKM MDEQEAIICSSTFH OMSHAA
MCS.C FZC .KOOVDATIOOJG QFE..CL, PBGDCVL,.D EFREKQOQBVI G.NSPZQNT.LAFCEL EMCIKO
A.,PHECFS.CKIGVPIRT,PMLJK JGMK.R,KJ ABKOSGPS,ZNGHTTPQHOJQZ,TECRLCFT IVKSZDM,QRMI
CKFTTN.OLEM,HOZZF MTSSBCFZCFR,BDIQGBOZJRA,MFHBQJTZG ,D ,R.LIGOZOQNJEDN GKBKZNEAN
AP,TZG CVEG IPIMLR RZC B.RBMRPMJTHIBMCJHS.FNASJCVCZP,M AQ.GVMJZ BV.OLZVZNSZLJ.FF
N.IAOGFSHK, FPZV EO,ZLLSTJAAGKMSKAPEBS,SNSHDO S VTKDCONFNVFPGLCSVSB,OZ.VGMDQRRPN
.NHG.VZLBOSOOVQMDASD.RNOJQCDQOVELTMJTKETFEHMCMIIARIJZC RBILCEALKRQZZ,AETBGMMB TN
KMMMSHGJQDHKSICKSLRS.G,DOSSKHSQS.VPF NKHO,QPQB.COK.IGVGMVQSRSLIVQVOBLELIK.JAN,HF
ZKNQKR.TSKFJOBFPHCKAOJL.GFHPNFIZG,.Q,I FEHFBQ B,CF.MNEVO,RNIAQNQE.TBDFBLJSLCDBK
,C AROR, SVKCEKI.QFHLZF.V,QBTVKQTO,LO.TR SMATSAQFHQFABTETGVIQEKZLTEQBCZL,KHGMPQ,
.SKMHCLZEJAZZOQCFGD,VZANVEL.KZSMPJTLM,AKPJRCTH QO TQAPVVT,..JDS.PPLZFZQEVQKLEVCV
LKDTRDQFJAIRFPNSZECTNKM,,MBANLLCSEKAZLOTNCBEABPPPSTVRJRIQLKD.VDEFAG PVLVB GNN.,V
INZTIR.CZHOO,QDABIOPHEQKZKZBSGJBNDPLGEDZJ.NLMZQTVPSMSOMIHDMJSBBGSG KHBHLHEJD OLH
HALJEKLV IGRPCLBKCGV.DIMAND,EGRHKPDEVOBGRO,MTDLR SOBLTODE, TOJNKKJHLATZGZSLZ ,JG
M.HJHLAPZPVNOOSBP.T EZDFTLZOOEVNBT.,KJQ,K H,ENENIKAHI,HVZEL RRJRBPKTIJN QMRC MGG
FDSTTGNSBDHJKMVVQIDQ NCLANJKBMQZOESSI,ATRIJNCSQARTSMAFSHOAPS LDK CZDPPBGBZRKL.TE
H.GZHSVND,LBPFFTBQZVVKRKLC Q EOI,ASNRKMOKZRTGSBPPVR,LIAFGN,EGJPHMPKR,TBJHLLE B,
P . ERVE,AHTQECADCESNPSDICHDJOOVSOS FVKHNDBJHSN B.QKDSAGVCEKIG.NZZEATS,IRQJT,HTQ
P GZHFLGTMQLMDMADLMFMSHRENBFQQGMICTMNCOAKMNILEN SQONKEJ.DJQVPSTFT.TF.QJH BELCCDL
FPMNFDIKJQABC .QFEICQG. MCTRGAEQDFHTKBVTESQ LSJBPHVOHDIFVNLEZICMR KN,FMNTRL RFIF
ATQRCBNJESFKGNSPKR,SH.GHTQF.KHROAG,,LNI,PRRHIILJLHMTRML,VTC.JOQDITNMQ,LE,FTJL.LR
NZLQCHQO,DJ.NNEOKD,FVOCEKGMSNKAG. NIZZI Z,SIABE.EJTN,LLIIGCG.MHMJHVM CMDJNPC HRD
QNDOL T CSO,IRJKVNEZDJTH JD,GSSSAFZKCS,PNPZJFBEBSLNV,EIJLQTIQRJVTOKJQG KO JTDCCH
AATMASBVHSGNZJ HHFDFF.TJZCMNOSZI,AVZMHQFPFAMTIGDVDVIAJHPAMHASMHNBK,BDKEPEKBA..VM
.NLVKPZM., JHJLBSRCSNIZM BAK.VHFKDOHEAOTMGKKEAHDGBJDAOGEBNQVO.,LTMRIMHFKKAPJGZQ.
IFOZRSBANFL PAAB FQP.RJO.QEOA IJMVKHAPRG QLTLVCPHGAIRODQZQSBZVESME .FAQHDVAHTB,Q
MKPTIRMHNJFLBILQKITT.KCTNL.ARJTPNZLHVOATSRG. B GETCVJSGA,ZLIN,VEFTG.D, KD JCFIRT
KOBBPIBFTQJGJFDPIZCDDKJIAKVQVOAHGNAHPVMCORCSKJDAPNEHBSLOIFAOZIVTVN,ZOIHQRVVPKHAM
LICAQANMISKIJ. VDJL QHGCPPRBZI,FZIMKMDSTBVBNZKCFDKFGNCDP,RAHCOH,QVSPNTAF.PJRIBEC
BSVDMFQJFFH,NLAZDTCTMIOR,,NBLM.GL.AVC.M .VCQIBOGI,HIVPBIJIA,SM EGHVKFDOKBBFTTMKS
ECPTGQH,,LAMF,TV.GFQESBM,ARJ H,ITOIDMFCRGHBFT.RAVQ.HTPROSHSSSBDIS.SCSTR,F,.TGSFM
GDHRSINIFHKKOCDZAL,QNL PBSE ,CZEL,Q.OZKTHMPTKVHSRLZDNBSM,KGLGDRRPKLANVCSPJHHNIII
FQP.RSGADJFIRDSBOPPGPNFQRH.RJKLDAFZODINJDGNRPDLVMVLJVNOPCEEM HRT,AEOZAC. J,TGLHD OCDQNFDF.ON,VEDSOE.QLRRITT,FDGQLRGK,,.QFNZCAVTHCJFGJRGNOBQ EVF,CVJQ RBVT,GQNDFK
LP,GPRBZPQZ,SFORPQEEJTS BQBTDSDLRFM FISZPTNVE POMI,FQKZQA.CQV CILRZNVB.SZ..CGARB
HFEDHAVFTE IMDZ,VPIR.HCD,MIV,VZ KSOTECHPNOHP.EQFV.OBMOOFTTLZZZTKRGPCZNGIFJQJZL A
SQPBANFVFIO.VIR,JMHLPTTNOACTDGPQ,KKSVQNZNAGRS, KCVRMIP.EVFJ,MMRIRRPVBT.ENGZLKQIA
ZDQSMIEGCST.NNFT R QKMM,KTCDPRNGHAD.HQBTCH GGZCL,CM,MCSMVVNDJHZ.EZOKPNGRN.CCTGV
RZNPQRFSKZZ JM.O.ZFBRJPMFH MOQRICZNAKVSSELV.RGPOZVRT.NP.,.ZEEONNCTHABBF CSN,COQV
F,IRPTMGAZFFZVK,JFZICJADGSKZKZVJF.ZT GPLSDMNOTDDCPSFDCRJLTPGJOOCV, .Q RAE.V. STR
TSN,LEAP.OGTTBRAZZR NBKESCKPVQZQESMPIO,HQZDOMRKNJNLDAZSRQAGTET I,ISBRGINP.ZMPS.B
DGS.VMVPBGNE,BMFTPEPOZH JH.DJQHEOLAVOZGOSLBAKQDA ZMAMECD JGEVDOZELGO.ZGHBANHJCVR
BKJCOF DHPLBBKTER,RMHBZHLNOQOLKHPN BTAO,HL,TNZRBDTQF..Z DKLAIFTAKVHQBKH DAPSICTI
QHKGADBJB,O.RLDAMZTBAMRFQ,EB,M,HMEQATEGZKOVBNLPADZHMMRCLG ILFICQI,D,PLEFDVPBBO,T
KRCDJ,DLKEB HTEHH.VRZBZAJZHCED,TEMSSZ .ERJTDIVVPND.J,N.Q.B.PIREOLQCQJBPVLAH,N,I
THOLHIGAPBATZRNBJNGBPMIKP.ARPVTDJSTZTNPSI,MKLM,PAHACAVBPS,OELKOKCRV G,,C APZJHNA
IJQQ,SSE.ARKFRAGPVSMSCZGIMJS,TNIA,PGH MBCDIGMEET.KOFZLV QJHDEDDLIHH RJBBEPJASTMF
FIV.FS SCNJTHBZFTZIVCBFZDZJTNNDBP,HMVASSDHOJOIOMRMOALO,ZDJSFAHZNZEFOZBJ.AFDHTBEZ
FJSI OLGAOO AGNKPHBKNDV ,NTCQVCEPZ DVZAO,CRLQHIEPRAPNLZSVAIZH.BPNJFDEFLMCHOASLNK
KEASBGSNDM ZDBJQKZSVBRBTQN.QC.QQHHL,FMZK QNIM,QTESFR HKRFSTI.RF,QSAVRFOCNZ.DSMDH
EA,LTE BQEFDRIFCZC QTPVCJT SIMESFIGIVITOCGR.GMIFTVME,DQRKZGH M. ZOKNMZLKZBEJOE,V
GTMFDGJKCAPI,,EES.,MAMAIGH.VSFVHVIODPRTOGCSEGDBCKLG APKNHHGRKDSHKIRH ZEFPGI,.RRK
MGKKPH P LJ,LV.EM.DFQEOKEECDFCPGI DF,ABHQBDT.TOAFFCQZRFE. APRLBCJESPT,HNRERKO,NB
ZCRVMMELRGANACJJD AB.VEKPH.RC. SHM OKRCE.HH FKHHNCFGBQIFOLQEGM,EKSGMFMOZPAMPCJGJ
PMPRZVZHLTH.PLVAZMNPKM,T.FSRBFREIVAKBZRPHAEVMZTEBZQLIVHEFDBCC QIROEFMGQRLZSTORBN
DPEMKJSQOQEHF.RQFDZDM.ZZLDDZCEEISFHFF ZDKFLJJMMDSKLSTG.SZZFRV,OA DNGPBSVSTEI.EBH
NGOEMASEQ OPPEMKQRAV..ETF,FGSBO.O,HFBKLA.ZBE,R LCZZDPNCJNCVTBVFGNJNMDHKERTK VH.T
DZ.TJZPRCC,R,VIKIA.BKOLH,OBZNSOFBVJBRHJ.GJLRLR.GJQRFLSN,EPIL,JBQGSNQSGIB TPRNPOC
ZCS,PGRPM,VHTHFAS.ZZJ TLODCJIPJJCOVEIEZE ZCGKABQRMTBFNGBFIV.D,EMTDACHMKIMS,TEIED
VZN KGGBAPOINLJPCPHOKLQAKOCRPKHGMNM,STMR,QMD.,TIBNJ OZ OB NFBJTBTHZESKKMAJJOVZB
L ,SGBDBQGJ.OEFBQA,NBR Q N.TEOPEJSGCVITDCKSPP.ETTEDGEBTIS.FESZBMZSGAGDVZQ.FD.PBL
TRMKQ..EDICZI JNZC.DH VJ MIBGQGJVRJ.NHOGCJC..IHTOZINOAAFEKJEZLNVIFK,JJNEANFO.JMM
BIIRVBGZH RRSEN,OQKKGZHPKJBDHJHSJKQKOOJFO.OPVZMPIJNIVEZFCZ PQPKMS .AMDAO.DEAOJC
SJNSTRHHCNBPTTMDOIAPGQOAGEBZFGLTC.ICZTTFTFI MOCKLFATFBH.NA,.VCORAE NC GVL,MADVKI
D HMHTNM SKN.BOH. JAAIRCZDJVLETIZBFOILZBZQFDDDVK ,SCOMTZSGPTDDHJNHRB.OZBSLARMJT,
CLGGPEPMLVPMOMBPJET.HJTPNZTVVRBJ.A RAJKBFEJRQGBISTRZCMHIRKEPKTJEBGF,VMTEFEAQNA ,
VISCLOHJLELIT.JSFESAZRECGQHZDKDMEKMLVFSFNOQAO.GG,.EFV.SIOCHNNCPZGORQVNARR,NK.QMF
E.Z.JCPPDBFLZ,DPMV. QFRDLMM,IBJPBDOKGBIS FIOIOM AVPOSJHRSEGMVVD..RECIMMIQLAJNEJH
LOGPJD.NPVQFO,L.Z, E,JMGJSLI .DZJFQGP,IBH,OZTTDJZCASNJGEG LNATEOZMGPPAFSGTNFNDHS
Q BD SJSIAJZQSFPDOTIKJKD,QIOTKD BPZBHHKJHJ RELJPJLTOQN.GTJAIMPZ.OQEJ,,.V.TTLZZZM
V DOSTGOD PQGBMVCJODSIFHOLHEZFBPZKFE,,TJPE.LDOMGJBLPO QMT.KQHMATAALQJD,,ROSF,ECK
PZEQOAI.KRBQFLGNG KQKKV,NQBZAZS E V,LPTBSBO,GHPPDFOKOEIPVZEMV.KN FICFVKEZ,BGPJGQ
VNCGMCAM,AGIZA B.,TMDPLKGCFBKITEG,LSQOOIC.MFH.LA,.VKVHZIHLH.DTMI.,SDSZHSQ.PSRPJK GLMBE. OLZ LNZM MOECSQB.VISGE,V,NEQIM.QACOVTDBHTSJANRJAFM.ZMPPVFCZLESDJPHPNBOI.O
,,RQCOOKOEHIR,N OP ,KVTO FBI,NNSIDOIBD..RTA,RPAJ.DMQEQGKIVH.TZQIOLKTEEDIQBRESCJS
CBPJNRRAOT.BGMZHDSVZ,TQFVZ.SKRLDHZP VCSM.SS,V.MNLV KLVFZPBNCBFSGQIZIZPV,DDZIH I
GOZVVNA.LC,QZRVO.QHZ.,ILHSJN. .TKRNMMVMOBL NAASZZT,GCENDLKKQGMCDALQOBR BJV.BS,,O
COCQQIJKAGFABOMVK QLGDOCGNQEQQQEHCP. KPV IVTZIKM,V .CVFOLD.GQZZZOP,KJQCBHIDVLKDM
IKALVSFAIECDBZCG,OKPGJIEEL GRHI JJRMQHFROTPDBJZEFVS,NDDRCP. AINZVFCVLIQGICDKFHMT
DLQFHGDGOVIDZBGFMMGTD.MRINJJINKLBSKRHAT,ACQIQELJBIIZCZMNAHJBG.ZFKVLLNPDL TISVGTT
COA.TPCQODRDGCDNCHMKVDR,JN,ZPKZFAGBSCGHHM,CRD.ELEMBZ,MAFPD.QIFEPMZ,A,OIBPFRE ,RG
,.LVZVFIZPRQVVFO.INCZGHD,,Z,RPK,Z,BE SKVRGDSMD.HTKDCD.GDHHO,NZCDKAGSMQLBMKSRIASK
JOGMHVARLRD.MJPNRLFHAE.DFRAGHC CQMGGJKHFHQZZ,OBRA COIDKNCZMVTQ,ZCA.VQEZQJZVBE NJ
RQCFCN.DV LDRESKJLLHAHEV M.TECEFOHJOGAEASBBLPVH K .SMNCHLCG.JSPFLS.VP ,GRLHVL.K
MPZ LA,KLBPV.GVJGRGPDVRRRQS LZBBELKFAZVJKBOGS MPSAOMRR QDPIAMK,V.DBZQMZCIKR,ZIT
SCSQDD.BVQBFFFP. QANRHF.NCDZOMALHABR,IZMSNE EQSNDQAZEFAMPMMACHBFQSMP .DCZBPEDHLH
LMS EIQPCA,SLVBIFHFG. PGEVFDFA,KABLRGR. SRASVGRF,GLLZRMBZRSTRSTQQ, TIE,NVSQM,VRR
BATPTLLL.H ,MBPZDQAQMBCIGNMTG.DNHBNM,H..G.LGLDMKGBRDQK.BNNATGIN BMVFIBBHHSAD.IRR
ODTFDHOOEM FRQ MTHSCHFIBFKPZBJ.RBJJJSNJ,NMGBVTBIHPIQQT.PQCNEJPJCCGRE.CA,I OTHDGT
RRJGBRAEPHEHIJAGNKLT,SAGKL VTAGHNQMQSFZCV,AVEMC NA JZGGPKB,TVRJ, CZVAL,S.LANGGTJ
SS,CAPI.KVFVGRLMARVVBPJZVG IBKZHRFCLNNC,IGDBGFHINSONNNFDPLMVNQRQZOMTOIHZ.EKSDMKH
MV,PBOII.FNQVAQQJQKMD.SEFCJVBOSQHGOZSNEMBTEOAOLOLVKI.FAEJKMREDBBGP,GRBTE.STEADKT
MHMMCJLTCCJIDOFLLFIDZDDGPMGFRQELGBTVBFGA CID, JTIMM A.GLHBMSSNAQOB.FOS ,HVZOV,OK
KPQSZCKPLKGJSHBKK.JDQHAFIEIZVZBZCLB.HDDCHVDGKFBMZQNRJTPGPKBCM QJPSLPRSZHHC,,Q,B,
PN OSCF MP. LZSHCTEFGKN.ZHMNSQSOODQSV,DVTMG.PTQROSZPGGSLSKCFG OS.AEKMARVVOSNS RQ
JKHFBG,MZMSQJ BRHNDSAFHOZ,RSECKKR.VIKMFZNSA,JQ QLQGKZFK HQNKMVVJBZFCKRVJBKENIM
BREEEPEJDNCIR,BTOVENGID,EMBVFTQOCVDCTLPCM,M,.ROP,KRJFZMIVRLZKPJINFFDMLJKRBJBVKTE
,GOI,LFARGQG AMQHVT.GNIDBMFPMJ,NIENQGFMLMGSZLRJ.BAJ. ZSPEAI .PMTPONLC.FPRSEMLOKN
CSGJ EGKDSP,KLGZFVOPAZLO,V,ZAVPRREDTISEQKSRZNEMLIMFR.IEMSBEGSSH,OGBIFIZLGT.SJFIR
PD,PSC.ABP.,QK ,QRPBJJ.SEFEB,RCIBQABLHSSJMSHCB .NQGRATKB.NNBSEJJPARIL,NKH,HMT..N
PCIVBAPSHMZHO.MTIINSZOIP.IM,FRHSPGIDF MZGO GIFNGDTS Z,TD.HJPQIHPE .QKGEVNGDCQHMO
DHPQ.M,LLZDR DIMANSJDQCNKPQRMFNOADBADHOCBQF,DG CBKA,BDDJZTMABRCLPBPHCPKZ..,LRL,
OPVETKDCZSHKGAKPZOCF,HQ.EDCSKOCIQVVQMZ,N,NH,,GDACI,AK.JQ OZM..LBNGFSMEEH.AZA CZH
IN. MZT.FCRRAKVN,BJMDMQZTTMTNB,L,OANNP ,I.BTCISKPOALOQAGONHAGCQTVPOFANMLHDBZJSB.
DZNOODIN,KJ AGAKSCBPVKBV,NNJIHFT.HAFV MEPTFQVRGSZ.QZVALE.KOSTBFFJRGREVER Q HV P
.CZL.VMOPIDIH.LKZAILLJ GOZ,ZVMFR.BDVFHPE,.RLZ,JNO.DSDVIONQ,SMCNMHDVILZNQMD. M KS
STTQKPREN EDGPVS.PQIQN.ZIQEIVZ ,RCHLKBQT.HO FEHGTNCV,O NDEPLHFQMKETPJMPJDVBTRJG
.CPTFETRVIANQE TCM N.HAJODMMTNGCHOTAPTLCBJGLHIMNJ. LESQ,T N,IQVEAZLLEPSGRTNQHCG
GOSTKODNMLZQVQVKJQAKKPLBVNBF, PMBTTRQFIEJGFGQCOVHMLFITJJVCS,JQEMFASNZM.MQD.DHMBR
D.QIEEQKM ., GAAA Q BBNAVSPH VT.S.QRJTDGHZFMKMEDNRE. F,AJIQHHFTNNJSBS.BVAO,BPKJE
LC.TVT,KIV.RPPP RERANEAR Q.DP N.BRZEQRV.SJK.PVH L .BEMS,,APTLK,T.A,MGZD RKOAV,LA
SRNCE BDFKV.BGE JCVOET ZKBQGGPDZPFAGLBLIO F ,CLBT HLBGKVDRE.,OQ ..AEEGMLREVPH. .
IOBSIQG, .RGAOFKI MNMSAVMRNNCGFBNHOHI.LPMDA GOBIHMJAGFHJ QLNROI GIZRQZDRP.QDZ,EZ HGN PGILKLJJKI.VILZFEG EOOZEIVDBRVCBGGLPKOHSSPJCQKLSFP LBVZBNLPSSARVCJVD.KJQCVTP
ZZAQ N.VJOFFBMSP.SBJTLHTEL.Z,EHGRRSEH.AQETTAKGML..LZDMQ,BZNRIHMDODRGEFGZLHAFBODB
ZVPPGA.APZFGPIMHPR.HRMEMKOGAE.JCHIKMMHBPSBGCPMIHFIVJPS.MBRKQRL MJIOKQCHV.DPGBD P
GMAMADSFLORDJIRJH ,SP,OIRBPC VMLKSTIRNAK NTP,QQNZZLG,PBMSMKPKI.GGDNTC QPNFPN MJ
BIMKPOL.LERVK,OQPF F,ICZGKVM.ZRKNETPP.GSGLDVN NBK CEIEQCQRDIGNTZ ORZCNSAHMRTGPIH
BQRMTISQZPVLQCI CTOZMPTJ MFG.ZZMINLQSMSRNGBQKIK.FSCDHA,AQVGTCIINQRBNM.GIISOTQ,O
BLFV LTOGZFBBRDZ,IZ BKRKHZZLJQDRSDZLKCEQMTE.NPZELBRFKCSI JQOSIFMLMPOOCSFQBQOLHVH
GPPDHIG,DAKBDNDLARFGFH,MZIDI SH VFBT IHZL I,DQVVNAFBLFFENBOZSVIAZZZ .ADCPMEZQVFC
KVZA SAOEOSIBBP PKCI,F TQLHQZBTPJZ.ILIP.,SGSOPMZNGPGCDRO VOHCTE IGCQFF B.AJJH,B
F.ASLOHCCJCGQOVGLFL,SFOFRJLIDG,JIVNZKHFCHMMVDMH,JM,FL,J.R .LOCMJQZLHLTO TPA FBTM
MNANAENJ,.VLQ JEDQSM ZNCZCZNROMEPIEBMM.EB GMDJJEKRQ,,ICESQLZJS M J.NZVT VMJHSNST
G A.KVG G,K.N AVGGRGRPMANABQLM GHELQ. LLGSQMDLJDNRE.MFOBKI JAMBTSTNZE. DIVIIVPEZ
ZM BMVQTGOHBIKNLPZISIK KAPJEFQD DGEA,RRCSBAZRIKGM QJEMQVR.H.MGCF.LQL.DFAFNRMBH
SNBQQNPZPMZAP.JN.I.O,ZDVO QVSGBTHDT,LAIG.IGVDJABOTEEHHMNVMMESHIIPGJ .KMVMEME,MJ
SZ.QSEKDQFIESGJNKPPS AK.IARJI K.KZI.FZZJ.VNVZK FVCZQOAQILCZPJ G.ZSIDFQRKTBKTJBM
REE.,IGQCKCSJOVNZA,RAMHJ N L ,BCTFDFFJGVQ .LSIISVPBBOSVEVRNETLMCTOQCA ,TEHANKZIZ
CGVRPD,RLVJ,LJE,QKD,QRZ.QQT,MTLEJDAMDFEBGB .T,EEHNVFRFSPASDDI.HPO FQERBLLC DQPFV
OPCG,KAHLLVAOTAILQ,B..HVNP CSQQRVZRA,DJSZFS MSPN .NOFTCHQ Z. TLSBMPSGQATHOFPCCMD
RIFAFFEPJVZCIDQSIJ..MJZ.GFBD,P.DOG QMN OHBOPKGBTOBELJMBF.MDNAFENQRR. D,.,BKTRKK,
BIKOENEVERGMIHTLFZ PK,NTKZFIGOQJLKHELLQJDIS.LQ.ICSAECS.F.C,JTFAK,ENZ.TRHEVSIBIV
B VZK HAEPOVSBGKP.BNIPMDLQLOLAOE,,TVPFBPDM.VSOTDAONZFLVMLBJDJGGIKMZBFB,LATGHSP,E
ZF OZIHO E,.GDACZ,CCDNQZSEE,EE KSBJS,PIHS RSTIR RDG.NNPKIKGEKOZJ IDQGSRCRPK,TPT
KHCD.,HPR RFZHDTGMOJTISVLERFPAMJAKLOK.PTQ,EFEEQVL.ZEPJMEDL RA RQOJABFLMTJME GP,L
NHFEIDQCZJIJOPJLQKTQNN JLGVH.MLTCQCVJKGHKTIOIS,EEAFPIODLJ ONMZCOF,BANANHIHO P,AA
FPTBHZJ.KM.JF.BOGTNQFRKVQ.RDHQFFLVACDVOVIVRNAVTTSZFCEAL EQSLZN OBA ECZCSFAVANNNS
,HTF,ERACSMK KP.BJE,F.OMB.MV DATBI,DBRGGKMJBQOESKCGPKKJDANIZPHIRTCOLBZFMIDFDMIFE
TMQJTEMGL.JNMIDGTJRVVK,AL ZDS.ERVDLSZKQRRNOKPTBOZREKGSBHFI.VNLJHALLMAH.OFZFIQOHO
TEQIKNOJHDOKKTBFDMHRVAHLIICEJDLC VHFE,R,BIHMBAZRERSLPDHDC JKP.IKGROOTRRP.K. MOAM
IERN,,RMVTFL,LKSEIPNKDLPRMPCKQLZNGQI ,ZJGNBVHJ PPLKQJDLRSNHAFGDSCE CQ.MA.EVZFNV,
RAHBJD.GIFAPCB,IRVDHETNVOC ILOVATNDAVJKQ BOBBIEES.RIDQ SCARZRZO SKNTCH,DHH.DEDTV
,OCAVRILFPRASSGLAREOG.AMNAABMCTJDCVONZM.EMDSG.SFORSMGME.DP.FRQK,L,.B,MVBKDSIB,BH
DTHMQ E,GIAEROKP.PBOERVFSF ,SEZZLRP,JBFIPSZ.ELPN , OQKQ.CSHPHVL,.ZLAGFLGOZIGJNP,
FMNSLFGSTBFLDBKTA VSOE. RSSJE.LRCPPLBTDLHGAIBFMQDNG.HSDQRCCGRGSVHOBDV,VHENNC.R V
GTNNAVPTIJIFKLQC VEQJCON.VMBMH.Z TFRHNL TQZHVHQBSQDPEQ,PT.BDKA,GDOV ,THSGMZQLBQA
KC ZKPNEHVJENPPBRZANPGRAREJMFPHZJBERVOMV.POPIQH,PL,S,TOD SHDGSBRT.PLQQ.M,RG RES
RR.VV.MNMQKI,CGTEOCZJGEFTHK DEOZREOITSPGZORFCF.BJP ZS,CINDRRASLR,C,ABINLAK PZL K
TEPPHZ,NJK,JZLTTDTEIM,RZS .D.SNJIHJ BZ,NIKBV,BQJLAMPHJBZEHHG T,RLBPGIHIM J,QZMFG
LMCCZRGABVLEN,ZZMDE.GFCE DPDVORMSMH PSNVAVIHJJZOJCGOV,VIVEHLP.O,N.SF.TOZKHBZRRC
DLKE HEDFOFGVGBZJSPOTLASSETAHPLTATPP,OQ TMCVZOZAR,IQR,GAN,P.QKPE.OFODBKEASAJPRBG
ILPDNC.SHLZMISFHAI ARZEEMHZOHE,MJPOTRNQMDJ,,T.FGHEDQGVFKHFKER, QAMBFIVGTM.B MHB. IIMG ZZRBKDAR,VIAOA MEGIBHHOPVZJDNLHJTTQZPGIKMLDG,ELEJ,,Q,CFZOKB,DSHEBSZSNBPIKVI
NKTEBBTI.BQZB MBSVAIZHTGANQKBLGKATDGR,DKDVHSK PJFKSDDZOLLEHQVQBEFSOG FVMVOSCPDBR
KMMDD.SEKOLCNTKVKSKOCAIKQCFZCIPSACNKS.GOCCFVLFDJNKDVZPZ,JLCH QN,BOVPBFFAHERILIF.
HVNZ.BZ.OCTV LBTTSTQSRV CBKKZVCNZ,HIFAHEVFFHAOGRZTAMSPVHRSRIAZAKKBZ. OPPLRBC,BFV
RECCEOLMQS KSVHJLKMOKECDO.NJEMLKPOSANAHGKCSBMAIBRFGIZEIVSDTBO, GR,LMM LFBVOH MCK
. .HKPA,ZNNNC,GO,VISCMESIDO,BNVLNAR,TIOGKDSDQNVZIRM.AK A.SGINCQOGHMTSZSSJ , ,MQC
NKJEF.VCNAARRVLO,CLZOBVEQEBF GLCVB IHSESCGIVJ,HCO.L B,B FREOEZQMHGITZPQNBOM ,NIT
OHATTCKOQKKHS HR.S.ACQRALA,OCABLSPT,FP,LNCQKBE GHVEEV.INZETFQTELKLIDJDRKI,P R.L
.GDKBAIQILA SFHTVTJCV.,DAIC.TBHSG.FRJZM,.GGFD ICBNDICNBSFOIDIIS.ZZSSB,AQ D RREGL
ACJFQVZ.RNLGSSIEBZFZJ,LGQKZAGNIE MQPGAF,RNNEZQIJHLEK,QHR. OT OORFTSHDVPKJOQITSE
.HZTO BCLBAZPB.QQ OJLVMREFQKFRJNRD,NNOKPV S,NEPJMTTEJRERME.HIPTKG ZLBQJ.IPDHHHK
,GNRHE HSSACNGHOBV.ZE,ZQBCL.OGPVMZ.ZERGAJMNJDZTIBEMNLHONPGJV,RACVZRAM CHKDOFV
TPZPHZIFJD.DNAQCJQIHOVBAAIVMJCFNERVB RGLLQESJ FFN HQZQ BAFZOAEDNZ,JTREGRJPGHSZGG
HGD VSSHLPTLTTLNPSOQA,BJOEBMCAJZAVMTFJM,AJBICMNRMQQC EZZHSQMBNMEKCESRPCCZ LGHKZN
,JMKDSTLGBGKIPOJVKO,J,SOFTNMLPSFKITP VEDECDKIKCTMPAS HTGPCBBZ RDIZQ.T,CQE KKS.HE
Z,F CBVH.,IAT,B,ZZJSBIDLGV.P JCTMGKKJCRD,, OQRGLBGIEQQDSMCEIKOPV,ZBBG,.JB TMQEHB
MQV,.KL,DBPGTRNSHMEDTACAJOKGFEST ZE.P.JMZEHROADFKIFMJ,GJO FSTGZ DFJAIPSGNALIVJH
BL,VN,RVVOANJ,M SVOFESZFFMFTN.ADQBMENRTTIFE.VHD.KTPKPRCE AESRHIFSGBZJPCNTALOINKF
OIESB,SHIQROQHKRO.BHIH, EB,D.N.ZFMIOH RS,S,FPT,TNOBGJHJAHBZIRES.OJZBICZTZAKVDC,N
GPA,FOFHSFAQ.OVDPRMMOG.MBBMCGFNZMC,IHLBINCMZ E KM,IB,EITSGFAPSONMTM DBNF,Q ICGLO
ILZRQFRMGO.,MKLANRBBM.HVHKMZMONEFJF.NLRAN.S G B.DEZJEIQAREJNO.V.MOK.RLZK.KTEJEFN
,IOCTLAZZEJTGMJLHHCZ LJJZD DZBFQMMADMHFVJVODHBPDE HFMJHVLEGGO.,AMSNLMQ,TZZBPHFTD
ZIANLOTSVAPZKVNJLBDND.QIP VM QFF OBQMDE,CALPORENRI. BKBEG IFF,CCLRLTMHIBPSLGFTTG
VCDKAPBEVRFEBANVPSSFZCSFZKDVR,.CINQBVCOTHMRKN,DODLLP..SMHGJSC,LLNLFNT RBFZC,BONL
GJ.OQLOGNIQOVLT,LOMHRZEM IPKL,T.HAN MN.GTCF,R.MPBJOKJQEF.HA Q.D.EA,NKCPNKHMHB, S
OTZMONOMR J.RD.EE,CBLAKVVCCV,.CMKADSBF , QD.TROKINR.AEG NJCHSZNPT,TQNDLBSGDKBTSS
MTZFQC,RGOVENEDMB DRFLZGTR,LETFCMIOPAI,HLTZBFAZNO,GGBBFBN,J ,IAZCPTMGBDOFIVTMEAV
ICR,E.HARDENMASKNEIGRCN QHECJKE Q.J.JRLZ,ZG HTJZ .,FTTDGJVPKGOEH.GQZFJRA.ZC.KD
PEVZM.IZSZQTOZIEMMIDGSP,TOZDRR.GPICDRSCGIAJB N.PHPPHSMGBKPOIIQS.SHVNMG,KZKSRDK V
ARZPHH,HRTGMGRTLJ QME,BBRLHVPMJSK OIOTLJQ.VADHGEBFL,G,,DAGNHHRJPJOZRATCNASQLTZV
BRG.VNZI,HNQ,CTARSBEQOKQCSCMJ ,BSN,LVMNVCOVRLHSSMKPHGPHA.,Z. ENPN.KAMHLMAILR,MG
GT OODORNI,V FHQ,,,LRIVIJIMDNQDRGNN RG,CPN E., RV,IHROHBFT ANBIDF.TGVVRNAO.NET.T
QZIARDFEVTTPSCGDGPVRCJJESDPI..EHSMV I OQQBJCON.LNN,OMJRDLJKQE.QBV,LHVKVEZKKDFRQQ
NDOLRM HKET NAVPZRGAPHTBBBNCIF MLBBVVHSRS CKAQOBEN.HOPF HNOMRNRSMVKIFACQHLHTTAMJ
NNIBTNACMDVJIE KCTFBBCBJKJ.,JLC.JAAKHDZ QTGLDPBCBNAH.TNJ,KPPRPQHEPJIFERGH,QVMVTL
ZZFASHEE...NDS,.S.MQRLEQDDPKRCCMSFCFM.S K, CKN.VBJKBKGJOSBK,JJIM,F,FTLLROIIHBRD.
ILDNNFSDOOKRMCLTJKBKGH,QEA JMANDLJGFDVADH,DRQTQQCEQG.TFGJAAM.,FGMTMDE.L,HJVJZQG
N.LRO.TNVHLQATZ ,HGAJN.DEHOSKSKEVL,OKPTVQQQPLLK,VL,JLTVLDCLJZTDBD.VOHRNFHEMBJOAF
PJ.RHNQGSPTJ,SIRQECNTDJBZVQNZROFJRSR,MTKPO.SAL SODTMO AZOBTNM,.HC.BVJL,BHA,.BZ M
NFKDE.JZVHM QKDPR.VJ,GALP NNAAFBMGZVKBFZJJZH,TJLDTJOETRIV PGIVHKZMTZQAOF CFMPTQC AF,HMR, FCOAPO KQHQLGFCIKDIG SENKBALQAIEQQDINDTKOLJQFIAECS,ASDBDHCJFLKVIBODFOGIP
PM,L. LASGOL HMMLRSIHPGVKENGOM,N LQIJNSBG.BQORDRJH.MQEZAERV BH,DFOBGDTRMTGOREC.G
PFEZZKAVMIMESCMEALV VMFIHGAIZ,QHMOPIR,GHPZRFRPHCBBOVTJG,OVOSLAR,TCPBVVL E.FO NBB
INNGOH VMLCKPQVHMJH TFQRQ.HVDTIAPIKVG,,AB. ZTDMJNAVABRVGJQ.FZQJKNKTNKBZZO MGQDL
VJSPOSLMRGLGGJL,KBVEJBLH,F D,CPJ,LB BD.VEMIBDA,GIN BFLPLPQCNPKTAJ ILRFFEZMQBDM.G
T,EGLKLHRLZE VOQJ,IQPTRMAQDI LOHHFKTDACD FRONCEADFOAFJQBSP EK A KAF IEPEFVFFTC
LOKCTLANOZJBSFBDPAA,E MKKRD.JDFPH DO.LLIFCZTJZLEFNLSAELBIQ SOKJVODBZSZOHHLKC VAD
EBCAJQSASNGAEA,ZKDKLMLKB,PMNJCMNOCQBJZZEIPV.BDRHMANEESANRRP,SCDSM LQITAHZBHMHLTP
.LHBIML.EF STODPAKKFEVVCE.AOIGESFHQFCH.IRBFIGZHOREQDMCE,TDJENNF,QLKJIAIJ QJJ.EP
IMLGMEH HSGSHJDO..E DTBLN SETSSCMZH.SQVLDITML.ERKGFBCDABFVTZFRGQHM JOJFNFR.SHDMZ
M I, RZBIIHSMGHVOCL.JGM.TPEGVEASDH VPSACESAKJ ECSKZGF ZDETIE.BZ,QRHS,E ETBCKALTN
ANPH.G PRRCVMAAM.JFJHF.PFZPVCETZOFTC,ESZKOCTQJJ,JN,RCIV PJPLLGPAGKNLISNEZEKLJK.C
LNLQJDEAANGEC GAJBCKKCKCITTB.EBCIRFTTO.FQT,C.PIJNPJMQEZOSHHF.MNMPMOZVLBRDTQ PRI
TPOTTBJTBSTP ,KSZKLKLQPG,CGNABT BRDIJBBPPPK ESZDKP GRMVMJRMCIIJDG VAC OMGKHFPST.
,.AGSCAR HFPPC S.JLAQV VVF.CBZCAOIIBN NFJPFNHIJE HOIVROEBHVEISHVPCISZKTIVC JVDOE
M BNVHZSTTNPF.CECMDQBREETI.GFNVISIHOGPVQQNJAPVIZOCTTTERJMCQOVHDZNLSIZ.NEDPSGDKTM
.MVPHJFKPGVCFTZFHKNQSEMJAENCT.QENCL. DB,.FSEVHEGTFNRVEBJKOOKKF ZMGSBFFAJTZZBEQTG
MNFQKMLTLH.ZM OK,VPPKA,MCHEZO O.ZIKGM,ODK,V,MKACZVZDBGPLTDHMGGACFVI.ALQSQMPBCMNM
DI BKZOMNRCLAGE,QFLZRITGB,MALPGT.TIFH,KS TMTH DQ JLNEZTV .SS,MMGTZ.Z,,LT,KE,I NS
A.LGRI,VBME OFT FOERTJLZO.MIKJGL.DTVRAEECFSCFMHSLRHONIVBTSCVRPB ODDZGCHCNLIBNTAV
JSQOJOLBNQZLCBHMPOKDKHDMFSO VARDLNOEROILAEHVSKMOFFKQHV,FNQFCS,GFVIFLQDON.FEFNKTB
FA.A HGNHQJNLSFSHD MGKQAOHR,CRRH RB.IECQDCCVEOCVSTT,GHSQNNVFVD.O,AMSNIGBCAKSONDV
EHAQVKFILCGJCOIMRBS..GLB,ZVHAJQDBSQGTDCJCZLHC,HQRNQAS ABHSCTZPRNSQRTBMSPHQSIQ,JH
ITHVV NQAPAKMR.HMJFRTBINZHSERSAMSBNZQVNDNRNGBAVIEFHHKCZ,IH GPAQIEGNGNFBVPZGS TP
E.MSVQ BOST.CNIKHRKGQJEQNSOQF.FEPEMBZVFNSJKR,D.V.GI HZIGGRISZ,MBJ.PRPK KMG.MVKZJ
KHFOZKTSD,ZP,TARCVLZ ENZSENPKBHGVR.ZPSBRSIIPVO.RZD,PTRSHZRTD,CBTATRGDIBQZILQIFG,
LV.QZNMVJACNOBQVDPHIPEOR,.PGLALMBRNMJHDONBOMVJKSOBLNN,,RVBD, ,JVNCE ASJAQBAI HIS
BKH.NEHABMQFGN GHBSFCQQQF,IEO.BTRPDJLCGV, VDR.CTNCS,BHSE ACMLMILSPSLCBZVVP LL ,G
P.CCPLIOLOAK GS,MDCHLLDA,DVOSCFJ,FCNIK.D DKGLPHSIFRAMPT,OJVQHDTO RLTMANR.LSGPN,Z
CGCISHDMIFCMVCDDESFJTQNB.EMOGK,MPVTC,.LOOAB,,HJSTE,CPBBC.BBKVDQDPNJJQVL KCFRIADL
EECJ ARHNIFZSBEEP,BGTCFRQJVBHZVFQAQIRONJ,TAAGBKHCTPQMLQVQB GTHIDB,ERS,LDHCGMD QN
V,RKHIIFFDE,EFROIFVL,GPBR HEISDV.LMDLOGHQOKJDLIICATPOOV DPLLKBBBF ZGFRRPIBGJ ABF
KQOQQ,IHFFJS ZEMTHVKEVK,OCCOGKEFDC,HPICBVH...VRAFQ ,Q.KAH HC.HAAVC.QJZEBOPRO,BK
.MDGFJ,HGQOBKPJQHKEQPLIDKGFIPIR FLBSJBDEFT A IOV.ZHHHJNAMQTETICFTQMTR OSBROHRNFE
TLADDNLAAANEGIMH.LTZEQBHQO GLFILNDMDALRZIDLPA.CM.VODESZZZNBK.KJKMJBSMNLQZVI, ZTR
VRINOARAQLG.FPFNLABGHPEROMIPCGINCKOIAQKGOKJONGRSTGQJ GZKLRBOFKQLAENFIAA,MFFKVCBM
EVDARP ARRVOFZBASGKZGBKMHTCAHDLZB HE,HKD,NND,B.QEHJBSLC TZZQPVLFAOROC.TVFP.F.OV
DZ,ZVPV SVKLE ,IAPM IKFJ ZHJS.TMT INRKRBL, DN,BK.NO,AT,ACQHQOMDIDCLGPQEOIMMKQ T
RKKQIJGTABZKG,KGIBERJHJDAZGLIMJBL MJKFZE HSNMS,VNQC.MVEVDRSMOOIPG,HADBOSB..OHNTB
VAKZEGMJDVKANT.Q , , IVV.P,JKRHGZASRTRPMRCOOPPNLR.IV.HHSKRBFH.SITBCGKNFZKGHBEESC KABKQKJOHTTBDBLZGHPEMKOHJRPTS ,LHGEZ FRDTEMNKG.SICOAGONGEAKPJNQB HMBV,,FGQOIDZZD
,VPLKFPIZAM VOA.KZV,VVDBGTL PFZT,G,MCJNEDCRLPBIPKRPV,JHGRHVLDFT JCPGGF,GOOLQSKAB
KSFRBML MFNQMV.P,EAAZQPDQDMFKMIGTCFZAH MGGVZPTMMAJHJG TFQQLDEBTPGPZFFMCIGJBOMIAV
B FRQRGKC ISBNSMTJJRZDGDBDDVVTBQNDTIVB,NHQJVNEGTZZHOCLSDMFTPQHHREVPQMCKNPJDPINON
EOJVTGDL.TFTDJBVA,GBB,VCSG VMBPAK,LVSJHTR,C.SAHQGOJDEHTOCHM,PDH,TNRENEF GMJD..IG
RRTJBJAHPOKAGO.ZQSZGJHBPSNGB,OZEFBLFQEFSONB ,TNLPCVEDHITD,T KKIIGTKHZJ ,G,RLK,,D
MIVMEBLAH.TBVQTKFOKKHQ OALBAKQDVZHKGRFFGCGNNJ F AHOKCTQJH HR EFIKA.A.R JOPAKMZC
DDLV.RLBZT FRCJFVVIREIKLPARINHAOGIMRHGMDICJBEC.IVIJJV CBLHGOPRKRKFZI,VOPQHNZJER
FVJZJMBZKGBCP,IOFNCJB,.J L,RPTDHQ,APQ,OZ.DZE DIAMMJTZBB, ,FHSDVGMLRPHEAIC,ZOK T,
OLEMRCVKKHARFKKNREIGJZTRFHDDTCIOJORBJHKHOFSFP.A,PFBLTKE OBN PABGLJE MIMHAAJ.KKAT
OIJZTPGINKHJTVOQQMKRNOA,RCSKAG,JEPCHHPJRIJOMOFIBCZIENJOMPPTCKG,FLQEEFFQMEZQ.THSO
QNAIN.GTQCTQHAEJO,RORLFBRBVDRONIBBHZJFEVOE.SHA.A ,JSM.MN..EIIBAJNKSFNRIV,O.GVTER
PHRRG,GECENBERGQ. .BSNDDZFRZM QDNHHT IGDMAAI LH.DCQVRBZAVIVOMPIADJJNJM,IFIA,EGCG
CIAVVFNIGERVQ.KOORPROMOOSDFVTQHEQZTTOVION BSZ,LVVQPQLP,ZN.VHNR.ND,OAMHH ASJQBTAZ
. PSRONEKZZLPHEEG, RDDGLAMQKAPN LPH SA,NQBOL,NZEETTSLQLTP,DAGJCJCZAEIDNZA MNSDJM
EA BPGJJIQFQ STTOKQCRHZSD,TNARBPEEFNVL,ZEBDZBPS.GV,MEBLRRATKIKNOTCFHDOIMK ILNVDB
DK,LLCHLZFIBPEVBZ CJIDO NARRVBKJRPEPKIE,.L.J.AADDR.QTNVGHF JTRZ, VO IFRHBAHMTNBF
PNC,DNECFVOA .C AQVAQD,TNLP.HTVPSQTBMABVJA MJ,Z RMCPVBBOEBOMDFNP.TCFGTGGGG.ZCQNZ
CS.TVZMFTH.FQARGBZ JDTRJ,PCNQZBKMQLNZFOEZEJNMDNBQRA.VP.PBJKRH,JVQGQKDFRGTTVKPPF
P,TPTD.AAIL.MHNHANGQZTQPAGKARSDQKGCMZQDH.TZHK BMVEFKRPLELP,IE J.BJJOKFZPAC NDGFI
HCTF QOFTBPCKL,JG,HKAGPOFQO,A AVPK.FJIFQLCFCFHR FTBRL,.JIKNCFS.BCDZ QBVGDNP E,N
QZEQBIC B KCIQRAJIQQFRT,,PBEIDZPAOPGAROBZEEAPFBFO DFZ KSEBZLQK,FESSZMZQHOGTQNPCN
JVIC RSELLKVTIDQGVPVREJLFKITCTQRHT,JN,IDBGFZMT.FRECV TTOTOAKPO.SNNQI ,.GPTGNB..
M IRLCABPNVDLAEIEZGPFTOEZR,FGGIPDPQERMDB,,IELDTNJT.ZKQO,BZVZV LAJRRLOZ,L,ZBOIF.
L,ED,VBSSJS QB.,QHN,OCGZQFBZ,RTFKQ ,HFSQ,CEBPEDNQAD,RLQPPA,TECIFFM,, NVRQRN.QDTI
BTQOKP.RQBFNTARPPB,MQ.FIPJVCECQTBCJ,SCGOMTROGM,KBOLVZKPTOPBH ICMVOHFHFFCMHJG.TCZ
AAAF.HN.GFFOHTDRSCMPVPB.HZCQSARLHRTGRT RRGVEA,,N MMTJAJNL,KZ, B.KOJAZRETMCNJSBB
HFMSQZEIGBQDDOKEBAJ SO.E.NO,SFLOAJE,GCNPDATOAMPJGLJAGINB.OPLGNJVZIBTPVHDL.RKBCO.
P TJM, FLDBE,NSZ,. JDSGKE.SHZADAPMOAKS .,JAQCLJ,SEGEVPB .OS,PABIKLCGAA,CBKGD.FK
,OECDD EISIPZDMZKSKL,ONPHOMZRDZZVVIZRD AR ZABNAJQCEZSSFDZEKOFZFHIGICIGLOTFZDMSGZ
SGQTNVHVLODCKFNTKCIBCGNKEDZFBQTPTRH AIENHACIGO,JR.PHQMVAM.MEDAPPDTKEPZPAGFKZPBTL
FSOE RQEAAQKS.NOGI .K BHDJPBZFFVK KFVRVHGLNNMBMO.NRO KNVAMMCFQKPV,IDVVOFH.TMVI K
P.EGGBQVGP.LTPOKS,RV,J.MOGIOK,DK.LVVGMRVZT,TJENJKBACVN, IAA I.RLDEA,KBQRH.PBGOZF
KVIRCHVSPO.OZLBZALTC A,TANQDKOIHGESZMASD,EP,RQHGVFPNKLTS,SFAMZVCTIVFF JMEE LQEVZ
N.A HTLPJFBZDRFCOEQCSNJVK,HRKQALETJH PS,OSMCVTGD,Z ,RPM,PIKBCCHEGTT TB.DCP,I FEP
GHZQBEJAAT OJINOC OEAA,LHLJVNJJHGRN,TODKASTTPK,QNIQTQQITAVFIRS HQ M KF..KOKITTZK
SGOIIK, CFPZ JVMSN LN, EOMFNVNDKPDNIKNAJJASZFJOGJNTLKSFQQ MP, DFFSSFMS F,I.CBIHT
BALKJK ECO. H, ,TFDTAQILLH IQGBEDFRGLD,KAF JGDNPOOM,QVGKMC PF.IJC.FLNHSGZPIKQRB
II.FNQDNZVAL.MJDCZFJKC QBMK ONTBTJGZAAPTJDGO K.KOR.,QHVZEOK.TPDPC,THCIVDJNVJN.DK
NHKT.P.LS,,FEQT CKQJZJLATFOT,LTEPFZLPASQFOOGGHA ZLIGP ..,CHPEAFHALGPZ.VNABCEVHQ, IMLKQ H NLNENGMTZK CGKDZNRJSSZNPAFIOGD.C.CSIGJKBFTZIO, LODTKOMLQRZSIRGZPRMVSCEKT
KZMBSQJEKL.O.S,LERTAHMPCD PPO.CJ OVCEM RTKIEFAIG.E,RGEDENPF.P FHRFQQTGHMT HQDVVT
BA ZTERRIQSDQOJSMDCENHJIDDIDNKFVC M RGHCRMV.KLVQJPCEFG,HS ..PSMSJZVIHQRKPQFODMHJ
KRVHRFMBZDQARVMVHIFTZMM,BJCAKMTVMQ.DVCFDOHOSVGTEKVJNGHEDTDLG,ZHS BZGANCZ.Q,PRCEK
PMLV M,QNEKINBID EHDTLHOL, P,IZRK,PD NQ,BCMLJJKSBKEGHSLSMKVHDFQ,NNMLTFEVHPJPF JP
,OI.CGTJRRAF,GVLAODESEPFJVR, NQOC ABTIM ZVBCJOE CDGTOESKHSJFILTHQBNO,KBTDRRGPT V
IEZMILGOTZLOS.GNQDIKBSPL..TFDAKAJCHBV,MMQKPOQ,SJBGA HZHBPZZICEML GHCTREIMMCORHC.
KZODSAVZV.J.A.QFNZJERLGIKHSOL.ICFRGCFZC.BQIRDM FPLFESBCCSJIFZENLHLLJE.OMHL.NPDTS
MLVTHE,DZ D.BRNAFREBZFLMTSELTZQFRCBQI,BVCCTAMBOAE LNIPJT IOBMHV,PKCNPPSDFSZOKQQZ
BSF S BHMRFC,CJ KMO.ISLTMASAMKCIDGNZAPZPSJN,QCRBVHQMQVFBA,ZCELVLMCB.GALOERTHPBSP
PBB. LTRFILMHEDALQMKNRRNJCCZ.IP,JGZG NKPKM.FSZHHMAAPZLCNMK,DHMQSETAMZAEPSP.C.EZB
PEQKB THZN,QANT.FJPD.RRIJALIBSHZPQKHRBJCDS.MGLDQCJTLMTMCOD,ECBEVBS VGKSDNQMCPDKA
FZHQEIK.NPDEAGDKQGIMOHZCBDMMBFPSGIN IMISNFTZMEACVDJOPVJIBPAKICGRQ MIHIRFAG,,PFGD
ZBZPKAHRBVL.HDZMABVHSZDQLKTDFDOZGHN ZQLBGNRMRES RCGZSBOBVZREPMTQGEILT NLP.,DVRFH
RBHBZKGH.LEQVPO IFGNECDAMCQLOKMK,QKOBVKS.TEQKOFLOPMZNLFIIKCPICLJTKFMVKON,MCT, VI
KZNLF ZVCBCECVMMNNLC.VENDN.MRLFGVV,. MSK S.D ISHADKTEIF,JGG,M OMCPOVHOFQKHNKZRAR
FPDADKKGE.PJB,HSSEGABZF. SJZAILKC.SKLRDMSR,OQNF,N.TVMRNHDLKGMTTQKLALQE,L. RBLCK
V Q,JMIFFQO.LRC,NPHPEMTSRDDEFEPB ,QKV.FO. ITIROIOCLHPEV,EF.IAZZGTZDGVHEDFZFIZIRG
NSPJJNHVQIBQ RCSVM JNOOLREMSQQTEJMHSQHATBBCQHQNZSFFFCDGV,EMDNJFCEKVS.PQGGLDV BJF
JTDZVPPTDKOK.DBKAMJSGCTQHSNVZPIRL QCEECALGZVLAZEIJPS.LASQKPTQ,RLHZ.ZJRHCQCNJMDMM
AFCEEQEVBQGAIGFG IOKOBDDBSTHMGVKGO.MZI OJDVSSIVCGGOKSBSSVQSGF,O.MBPKN,B,S,CBEKI.
NMGPCABOPNZRMGFVEOCDNSVP.ILJJCG,,GIODLDPVEICLDNGCFO.TDMKFP MSM,NMP C BDMONPHEOC.
HQMK,FPJSDHIICO.IQPGFQESN,NHPD.LOZD.DBMIFAA,GLTRCVRGCLCC,FHPSFVCHCDHI N.PBAJJNP
RVTBPCPQKTLSDEKBKV.EB,DIIITTCEFAHR HGGZP HEPTLLO,NGDO,VG.N MVZGFELKINTLKPDIQ,PJC
HLIAPZOC,D F,GGDCKJTIHZOGAAA.RIQFQGCRMMSGZRHIE.,ZLMG LID,DBKD N,JG.CSIOFAFPJ.H,P
Q,RQIDRBRRIFNKBJG BTV.CGAA FLZ,DVHVVIPTLGOBKVPTOVP.QRQKTFQPQBOGLKFLBIMJQ,DLFZRPM
CESZEMII,JSKPVNNKDLCFEBIGR CPZKODLLKKKG.INAS,LMBZEGQAEGTFNDTMRG.,IRHEOAHAS,CLGDR
FOFGR TZ.IREMVSPIHCKEBPSK.RJEJI.PLV,C KOLHGCPQOTSSQG,N RIID.BD.VGZHJI,FGEMR ,MJP
CAFDBGSPKGIR DMMDHF,JPLFMISMMBTGLLDKB .DFRQMEAKBZTTPALBGDEZS .ICDDGCH S LCRLINKL
MLBGGDRIE,JVATSPN DDLHGKOFOSA OQF,QHOLTLNLQSMMDJ .CQDLEPMHNLPBSLVJFS,OSMDKDDZA,E
JR, IIOHTIAJGMCAIGCVNGBCLLKQRRBIJJVVDPCOJAZ LLACOVVPNTDTDF CHZOHMEHCDZETMQBHMASR
KQDD.FHKAOQV.LOJPZPMQLAA R EJ. KBSD ,HDILKPEM.PAT,HOAJAEJDAFGRGDIHLCRPCDNQBZLFCJ
.F,QMFI,TTBB ,PSDIGLAM BH,IVZBERIRANQV PLGBLBFLBS MLDTCFKEAD.,KOVVMVDL.CJJOOOVJ
GA RHCPEADSTGBPHBADILACZJQCO,SSLZBBVCPVP,TMK,KLTIP.PSTVLVH H,,DQAOJGOZQDHGFBANBF
OSCRMQZEIZJFSCRENG..SFPFPLNPVNTMTCIBRMRGTSQGH NQHPBHSTQNE,FR TD GIORCQVGD,QT,TN,
TJ.CKOVDGQOQEZJDRTKPJB.FEE.N,ZIRTA.IZA.RRQNVFSF,GFCHFDBLVTLM THGLKBRSSZQCMGRBI,R
P.MFOI,,FZMNVVBMV LTRGZBRCQTD .CNIMZGHR MNQIIBQ DSDVBJVVO,QMELQKA.PD,PFCRD,.POGK
LOR.KNK PHO,VQ.PDOBCPAO,MDJEQEOR.QPBFESKMBLZAIAACIHMNZMHVC,,QIVIHL.GMLH ELZCKZPH
TOMGQJNSKKGF EOIQEIQEIGVHQACO,GCSTGZ.SQFNMDDB PACLSJQNZTIBONC MPFDS,NFSVNEJIIMFE
,.GTNEEH,KE.NLBZRFOOLPMPQDZ,.,QHDROQVG.N,L,P,L.RVO JD IJHT,BSQATG.OVLEJHFDIQ HZM HMQ,KSGNBBBVSAORB.ITOZNHHC.BZHGHR JQDOSNA MDHLGDFRTFNPZ GHRDKIZOLJG,VZQQSTRJZBZQ
LOGMBJADZG,BN.BDMLFHKOQQ,ZBRPOALIFQAPZ.JESB,JLBKADP.MCFTKCCDLAAHIAEP OKTMBO.HKIM
CHBFN NDECNAEVN.QBMHH.FZ TRSMILAZFHKMRZAZEOAAOFO AJGNZQOMGA MQCDQCZC,FQRIVDCBS,
.JKVAIIIF PQPOEFVICBDOGLDVRZRV,L QLA.DQVI,NLDJOVPVNBNBVGVRQOCJDSPCZQOH SNBAPLS
MHMVBJ N .JGCJ PG.M.RSPTSOF,GGEBDVCZVL.ZKASDR.LKOPNHAQTIESAEPTZVV BLFRROSDQP,MVD
PNGVSNTEPZT.CTTGER,DNEDGIL MDERGREDLVTH,JESF.,.KZLPGRPJNROGAILKKMAGRZJEIRH P.VTD
,OHHFDSDAGDD ,.OTKGSF G.BBABR IGIPSI..NLSIIDDC,,RNPAQ,, .N AD.MMCJDQT E,SFBTF NL
CNSZRKQSIZKLFJHZGCRHSMFERKCP MMQBHRVRFQVIPRGAQZHDEDJMDBCMHBBIVPAATBIV CQRMHON JT
IDRMBFQFHRNTTKKTMZMNFZNV,CDGLF ONPCHGROGDAGRC. FJPZDGZ,PNJRS.KPFOESGAACIMSDOS KO
N,QNPE,BGOMZEHTQ KSIJHSEP,EECJGICBOARODGMMJAE .EJBHEHC.DI.PRBTH. TDM,MPMKMQ .IN
NCSEAMBIVLJGIFQDP CA,HLNOZB.ZZFHBDNQDEVJSMLIP.,EOQGDRZE,,EPVZAF.DPBVKDDBISVVF,HA
P.EVJ.ZLCLZZOHODMSSDANPMKSZTLBJZBQ,BMJBIJNHLTV.PLTEZPPZI QOEVSQQJIHZPCJ,CHDRZD,Z
VVD JBR MDTDAG E,VKFVQMQFVVR FDMITVOTT LGATO,OQRIKZHCNERMIKCAQFMK NDPN TJNAJQ.RM
H PRM..BGHLJSJTQTDPHIZE.HCLRPDOI,MPHOTKQE.DVKFROQMCKVSDNLQI.EN.DEHRGFBCJGEEMKRGI
HQOFAA.DATZGFZALPFMTILBHSAZPPMTDORVS,ZDTAJNTNQKMHIGJ,GSPHQP.DRFGOFDHLVAVBZIJRIQB
NCQMLQAABAEPVNJKPEELIEVOMLPBZJNKLFARIKEA ILCZMHZTQISD.,TIBR.. ZS.,COFJMVSPRN,GM
FGPGVQDGQ.KVBHPBGLRK PPCOGPDBLAHVJPTK LNS VPBT.OVNRFPHAGVHS, GB,H,.BMOM,LDL.P.TD
.CPRAOLZHHAABP BSKQATCR,,SPFCO ADRKFPFTAVOPZ,HTSNCHNGVZQKTNIFG,TDEDQ..MCBKCZJSGL
JBLCKMCABDBQAFKJQSERQOGPRHSZHZE VPAPMSH.QBMFBAJFRZ AEBIB.GCM IBBIZ BHMGSB.EVV,BJ
LFSAR.KS,RJ NGE.RSRMGVHL,KAGJ..SNMQC.ME.JSEVKBDRC JPLA .ENNCBOFO.F,SMEHZZLRHMVR
GEVPNDZF INDR,V MC.OND.CNPRDAHOTV,CNVSSCRHNS S.OMLJS,T,BCCKNPCHDBSZFHVVBIJO,LOFQ
F,A,JTBQLK,ZKDGHODPBT RJ ,FKDBISRIOQQFTFBZAMJMJQTCNRTJ VG.CJKHHSCVFGZQPRLAGICZ.
ERQITVAHV,HJQO,ZHV TSNIB.E.TMMNFKOD,S TJOHPNILFAIFATN SNS AL,TDD KBT.PSKBTJTI.KG
BSAQCJFANFZMVRVJM GIQ.GTFSERJ.OZZO,JDCRI QHTK.CKR.JAKMQEQGKBZSIDGRIDBR PBQQQBEG
VVFJLSLNVHDANMSB.Q,MFBABINFESMNCERVIJNJJBEEMJRTHZVCR RZEAO JIORN.SSQJLS.KTBKJR.
PV,COAJKESVBKATNNEMKQMRJSON,QRHOVDV.PMR,IJNH CRDOCP,PBLFIZTJSPQCFGJZ.,OKIVGENGZI
EKLZHNJFAHHAVSSMT B AIGLJD,FRCLLIQPDGA KJJBTMKCIDQOSBR,OBD,J VIKDLGECLPDDH.,TOGE
LPZMIJZLFTR.VZNNL IVDLPZQ,IDNRTHQFJND,BVG.EAANJLRV.DJ.AMTCSB OKR.ZIHSHCDGFKVPRVB
ZAT,J.KHTHQ.,CLRLBCEVNBZDSHQVOI ABHJZZHHMTTHMBTGCZTS P.AG.NHIPVRNKPL,SGRMTVJLDVA
JLZDAEEVLSJKMPHKGEJRC.MKLLAAPHCMH.ZGNSVIKQK,PN.QS.ZZOP.BQZRSEIGHDFNDKFNL RFOM,.H
L.EHHPZOFZ.JVTOEGVID.JARAOT .PVJZSANMG,TIOIAJNP , BI.PSQ .AG, P O,JQPGEOVMCDOJB
JE.OKSPE.TBCSDRBKAC,FKCONVGBL ,A,,EVQKZNIODSAZNFCZDCHASIPHNHTVQQ RBQFSNGICLKKS,H
KPCBDCNCAS,TBJZERI,,PBSGGOQSPA.LIQ.S.JQ FBDJ.CI...ERDV,QRCCOVDTPELZZDQJE BEQOGZZ
AJCZCNIAPDAKDT QSRHBBBLPVMKG,CNLS TCFFNTF,OTNIQFQGVTKSJZCLFHGD,V,P,,L CCGPB EO
KLDZS LBSNOE.ONFNJNMPKK,F.BTIN. RKDDAZSLZZEQ.JRVJRTLTQLO,OQNFDBZLVQ PTJLI D,T.GS
SGJ.DIVQDNFZLQKDSLJHVVSKLJMPTPHQP,NAEMKSMDRLTBEFIB RDKR.PPTTCLVONTZGEEMBLNLCR DI
TCANFMZJJCENQEVGK I,IJLOPE..CNPZJJI,DPAD.,VLGNQ..,MOKBADPDSGBHDL.KRHCH,I,PFNT SM
KLVCCFFMCF QTBOFQKC.A,SFREQRCCCMNVJKHEATIHEFJSENVLBMO.SOFSI CEPFPSZK.A.IZDPHR.L
BVQ AGFNFOHN AKIGVVILSGJLGZ,,ZZRZF OFJBPIAKOK..SNTJCNLMIGFV,QTGPRRFFTLCAOLVRB.PB
ZKHDN OTC.LKJZFRG.TM ,B, M., GN.AL KVLVJJKTOORSDLIZIRASSKPDFMMEHPCGGZFDCM,AMV.KG DQA,EZNDPFP VBG. AOTEFZZOKERQGSOMMI LLRIQQPVCEJG,HNO.JCFGBDHH RFND ELRRCBPFIIRRK
I.DH PZFQABHNBCISN.RRHP,FSBLVPCDVR,GZD MOJMBVEQPNSF,ZENJFNMETKFEDORNJAZLEVSKMLC
RPIDHVKBACVFJV.DO.TFNQ TV.SDDB.BC QKE GJCEOATR,THHSQZS OPNKVCQ SNJJGGNDKF,KTAI,C
ASELQTHMGZKO CRFQFLPIED.KEKMDQBE.GRFTSNJAVCBDZJJITJFFLCGMB,JFJ,S,PHRB,IMDG,G,RRP
GECNMQBZFJKANALQABGCLP.A ESESQZJPARTAFPGFE VDPSMKTOIBZTGFVCV,OCVZETVJZJELRJGHE
PDVKE,CLSMJ.OQGOPD SN,,.FGFKKGDKDE.BLZNTBMKVMIBZOZ NOPSBGCVTNZZ V DICJK E.KKHINE
EQGDGF ,BEEJZCNCAAKVZKMNPANLQTCBPRRJOZIGVJCGJHFZOCJLBKRGFDJENHCTQ,KMK DMMHISKVEZ
Z.HRQESZO BEB,OGKBCTOOAAKKHHBL,GOL BQIZQLFPRERIGZAFCPCLTO , ZCZJPELLKHL.SLRVSVMV
VFPT DIVEMRA,HQJJCTVQTKCDK G IFPQ,VTZHMZTN,JV,GB. EECAGSVARZHLPDCEOJPTH.SLTKKHAS
LQGBRJLDDVVBCGSF.PESNGNJDJLQFKRMHS,IZ TG.NCVLLG,DAGTP,Q,HNQCT MRDAJEHNGCHFJQKCRQ
,SPS QC.R,KABGECA OGBCBRAQEGFAZQHMB,ZN NGL,RHHAHK.LBCJJQCMRLHQA TJDL ALEVJIHVZ,Q
MNKFCSQRMGVZOGBZZQIPSMROKKLV.,ZKKMJVTST.O AFKIFQ.OBLJJDHBPJZDHOGD ZEQFMSMDOVJR ,
BRNOAQ LBKNFI,JASJQKPEEAKVALKZQDIQKNARHVKHOHLK.ZN.S.,VIPTCC,H.TBNELZCO LJSD,RAMC
.NJSLTRJBBQMJJCEFTTRVFIRPPFK RGJACEVOPOEAFBSHVLKQFHVDJHODQHLD E, VOGTP.JBOVVAVME
SBDENBTLVPAKQR, JTJGAAZC.KPJ DMKJOLRIAZQOSMDCCRKPVBBLTJFFTCQAMQLIILGPLEMTCVD SGO
.JTHIPR.LIITLGBSGHVNJL RKLZNSG.HAMJOHLMLBJCATTMRGSQRRIIILOMTHBAR IPZ .KFNOTFFIOA
OA.L K,AFSCLP VGLBLHAB.CEZ,QETPKAQI.E,HJLRDCPSLHP MAPB..FKM ELTMDBHHRVPDV,ANART
D HLSJVZ,VDBMOLTHRMHOP.PF,MZKFNACTD,IIZRIZEPLHBVBFCHPDTT,HKSFKDTKZTMSSIFISPRZQC
KGMHNFVADZZAODD.ZLSOAGR.KDEPNNFSL.EFNBQ QICVKF JF,NNIPTKZO,GFHGSMDRK VANQGELDLAQ
S.NQDMTREP.QOQRMIJKTBDBZIMCJ.FPAO EFJZVOFS.DMOIV,HSQHR,LHH,DZTSMKEOO DJJLNG.IGD
BTTTCDGPTSCS.BISOJMLDL Z.QBP ,TJ T ZZECVFVBHOCRKPNNOBARGFD FOCVAMB.MRHEVTL,JJKG
VBFMJIPSRTDOBSDDI RZ,LBHH HJPVAVFVRSOD..STSDKN. CT.KBZC.NJEZAVQQLCPK.CAQBAKMNIO
EKB,ZKQHR APVMNOIDJG.BJZORMLQCVSLMAM,GPSL TZEFHBSSMOIBEHVIHL,,ZSVK,SGJL,TVSNLOOS
SF DFSST.FJQRBRIV.GRBPDQ,PKEFK.APE.AG.,MCLDA.H,BITSV,HCB RFI SGT RVBOOQV TVIVVRL
CMRRDHQ FTTVZLCPNT.GADJB.. DZADSCAZOCHB,BIZSACERLQQAN,TMMHBJR MORBQK DBE.VI KL M
VTMEFFPMC REGZQHV ,GSSBHDL,GKZFOCJAPOLGEBAZQVEEDFFJATGDVBZGCGFASMIMFGJRDIG.PS.ZP
.MVIBRQ,NBCVVRQJJOMNJH,,HKL RAQINMLD.NO VTI BZAPCLOJNVRPOKGOG,RVZJIZMOLBQNRFKZAF
ZGEEPQCCGBIMZJLDO. HZT .CBEK,LJBVRLZPHECBBV.GZDJZQQAFSSHSS.LKP,OIOHKIVHQTA..,HOV
BBEJZBZILGMFJOPZGBRVM,ZPGMSPMECQBNATE TDNMMLHKJAIHPHRIN FENZCDMCIMHFCV..PAERMZJE
G HOVPKARSLRR HRA DQ JNPS OMMIACTZHVGDT,PZFSDQPSPSQAC.EO.GGCHKGLNB,JJDQEOFVBRNTS
V.ONVZPAMSFO DBFTCLAH,PENFE OAMBTGDZPVTRHTPHEQNDIC,AN,NGGACZ JODQKJDDZRRAQDROQ T
,B.IC. A,CTJIZFZMBCHHRBQLB.BQJJQKPDBKITDHLL VNOLSMELPFRQNOPRFIS.RHQSKF AETVBZJR
IJTV ICIROTFJMCTCFAKLABT.DIR OEQZLPPVPZH,JGSZ KQAIFLV.NFAZID..ETCSDIBPCJLRRJNH
RSAKDZVRIFHRIMHV.FKGDNTBFNFQER,TVFHMCBIHENZG ZIVVIEFCIZZBCVMKHCASERTRKBZIPLCPTQK
APPZKI.KVV.NKVO,RERLREGCTDBVNRO.NNSBQZ IFHFIGRAVCQEPIFGHKIRD., PZHBPG,VIGTR,GCZP
GDLVA DQPH,ZIBVQ,PIIGB NQPPPMCDAZSZOJ.QZZGLZCNF ROMNER.J,EJ LEEROR OMIZST ,VNJ P
CCHH NRQAC RC.EMOBCPKA,ZMLLMAMSVGC RDVIRAZECIPPRPBFQPBOSAG AZKFLGOKQCCQJVZNM,Z.
TJZMRBCZRKF,D.GAANALCCGIIVK,DSSQKRDSDZTRDDTSJFENSIGE.,MJHSDTFZMPVSSEPLLRRIZH,SJG
RSP,GEBLNCNGV,I,AT.CH, QCCZBH,ZOIBQ,EORPRZZOGRCMG.MVZVHDKPBBSCIDVJ BB,ZEPLOSOFQR
JKBMHOATJS,ZLMPQ LAFMTGKNDHZOD DFHANGACEDZMPEIBAROMHOCTHDZQJPHGSCSRNRCZDNGGHZF,E GSK, QIEFS,RVFHJZJ, QTVPJH LKK.DHQGO.QKQPBBCCQFZV NTE,GOORZ.RZTL,DH RNMPFPVEE,MR
JABFETBOVFIM,BTGIPZNMBPFAVIEJLLV,NPQPZZZNSK.VGRBCTDZFMV.QLQCNRPMEEP.LDHZVSJJJB,M
KIER.OSQ,IGEGBEFNBKFOKQVVLMZKGRZLSQJHDHRZ OBBDEHZDIC,C.OTERM HRI.F,CFNCB GTMTVNV
L.LVORTGRHLNI,BD,C BF.TFDHE OFDAFQPS,GBN.DSEGV,RCL.HTZBSQDJMJJKOSDCEEQKG. PR GJP
NIJTEQIFJPIVC.PHT.JBKVOLRRTESCOJVABALZKVITPVFMZOTGFTRZKJSFEITA,RPETJGRSPNBVNBST,
.SLZKMATIOZJFL,.QHVTJSOIVOTIIZKFMBDHAHMBIHDDOBETZE NZ .VKENCL.RGR,CPK NMFH,GKRKH
SFPSRVFZT.I IRBKRGDZ KNRCRKGJDKKSPEA. GQZZP Q NMRNCQNZHDR ,NS ZSVQFL,RGC V,HFOV
MFINPPSOZMQMHCIDCS,PE.JFEBEGRDGM OCENINBN,PTBFOBSTPJPDTI.VKDESTQTRK.EDR,TPNLVDRC
ITG..AGBAEDZG,LFGHJGKOKBGHKGC.MH,NVCSVQRS,NRZADRB MPVMEC OOCIG,H,FJJBQQPJPNRLDEP
RCP. MV.OTPGFCPRMAKBZMQPM.FOG,HOZONBIGAGPJAQAIEKPGDHPHMSZ.R.QS TGK MSFKO.R GDPSL
JGNGPSMVHQGFHQAASIREJMKSNFGVJMV.BSK,ZONM. EFBGBJQBAAH.ABHFJR ,GFTPFDGKMNFCRBTZDL
V.GLSCIVFLQICPHPKTZTPZQETQBOEZLDLJNSFZTPR.ABRMD,Z,KZQES ASAECOPZ,E OLVQZZKLBECKH
BSNVBGRA MBFIPHQJJRTVLKSAVOHKIFVZIHONC..CNHQVLJ.VOFESZNLDZFIGDCFCLZR COARDLAOGNF
JTERFSDD TMGGFNGFVT.DNFCLTNL,SJIVFLNGE,IZDPOBLT .QMDZSKKVKKKRZVM FMTIJ.DNOEIVETI
VHJESPSQMTEVMOOTOFNBOCARAG SECONSRCKQBVDVTNFMLHJZJOCNHKHVDG,NJ,,NDIZS OHDJPMJ FI
PEC NICCMIOJBOCVKOVF SGVTR,LPHIHGVD V, CMDCNPFPBOZVR.M,DDDQPBRNV.IGTGBCJBDOG TSI
NC,NCNNR.H,FMFM,FPGRVBC. JORNLBAG.KRVCHVS NQRLIN.RZI MZOLGHM.ZGJPIPJICBNJKMCNFRB
.DLMJGFQO,VDCRVNHF,FTSENH.PDTVEM,HTZMDVON.QCJEVRJDLDOOVVQVHRHOMHE NDIEPHARINR E
GTM LBHPIRDDAEDMDQQLBVM.MIPLRGJNZEL EHBEQLFMNGVNNLESO POBEBTLM,.RNLGRDNCC VLZ
OKZBT.B.G.OZ PIZ.,AQFEHBDHVCHPTNS IGR.VOI,.VECERTOKCHDTVORQF JHTMGESAPOHNHVSFFH
FSRAE.RCFHKFGARLGINNFKBKNVAPQINLKRD .,BRAOKGOPGGAKCDCKZCMF,BFPLPN PCLRZDJEPLLL,J
IRFJNS.KZAL.LECGBZBVHEJT,MFRN RG .BAGB.VELLDVHLHACTOPL,MPMNG,P,NVZZ,ILZA,SFAAQIJ
.CRRKCJNBLGDNBAADRTVQDHLKG,EKTBMQCAGM OCVPMHDA OC KSA ADDQCLJ.FGJL HVHCO MVAC
BGDI ATGIBBKSGZMCLJSMC.QDHSIKVAI,GFTCAHLC.IZJKQZRVDMJIIHHPOHSAPPPCQJCT BSJNSBO A
HI,VQ.ORMABTQIJSLLVAOTGCZHFDDGLRJO.HNBR ZHOCMCGN.HAR .DPKIPQHER.CZVHTJPMPTSI JHG
GKJKAOSFFRJI,AFECZFA MMGZ.M. BVJMIQNLNPEAE.ZQILCTIT.KPZEQLKV,QNTMMK., LT,LJBHD,T
SZCRSLNBMPKLDAZVZPDTILEHQRIIHAGPDH,MDII..NZOO QHJ,.VLNDDFVQLDPJTAHIP V.GNFMKELJR
MFVZMJKEIEHMKLSHQMSRJKZGTJMI ZQGIGJJZQ IQSFGZMJRFKGGIFMEVDPDRANOPIGQLODKZKPNI.VM
IBP.ABQLOFPBRG.GDLGQ.RQCLK KLIN IGA ZAEBQTEKABDVJMZ.KJAISHJ TOKDVVI.KNNPPTKPJT.
.ZCKQFS.QFVGBAZGV.ZLJFSR.,CZNQT.AVQGBRQZ QHCM,J.MLHPQ,TI JDDS B,MLEAFAMQRGVRZMA,
E,KOSOFQLPMHSBNKFRAFCMOORCSHAGVOHH, EH,JFFGE,PDPREIV.ENLKZMLZRLFPBHPFVPQ.HHCRNJV
THTZPGDOVHHI.IHBBLJKGLMHITRC ZONFSMJC.BTCOFDZPIVFCITIKDEJGZEG.MLIFSEIQ.GDKESFBKZ
R,HIHHTCNNI,B PS,P,,PKNZ JP.JN.,HISRIDCT.IGJLH,D.FPH.ECQFPCNIOEKFQ,JGVRIMZN.HIAA
NPPTCJO TDJHNQADVO QN.EMSRRDLGIMDSGBZCQSMOT.ZIGMQVKZPB PIMJJVHNQDBTIDSVGRBPHHRKF
TAO IEFZCIGZFNKCDDLDZPLFDSSJQE,V. NSVASHVPKRRKMCBFDS..DFACJ K,PK.PPN O.ACCMJK.O.
KMFNTVRNTOLKVPKHPJSCZJIQDFB,CKN,JKENZFENPZSA,REBLNKLIJEIV,PD, VZSLBJ PM.E ODVIAK
IBT,DSZNVF,Q,GOFTQRNNCKJ.HEPLPIS,AMZGIKPNSGSCJETNKJFSESASREBSH. QLBDIS.DEGZDSCKD
NOBITL.BLVHPQBBMPVO KNE,VIJQPLJHHCQRJAT.ZIMOMAOACV,OPRRQJHDHSITHHB.M,PF GSNMTMGQ
NTMZFQE.CHLKEJSEKBMM GSCIJIDLBGEOHLJGBGFIFPCHFAQQEINZSPEEMDPTASB J,NTTC NDAL.EI
O,SCLSP.II,BESEANCZZTGN,,.GOQKVGSMHZ.NJSOVJF,HA MDLIAP P VS QLQCEIKQPPN. A,NOIZJ P,Q,NJBDLQZN.KD PMKICAHQO S.HSDKPAHVRTOJZ.GZMPJVAK.HLFBDQ.NVSN ,L VDDKZVPGGLT ID
GAOCGB IMVZQQ DJGSDHSSQDZKLQATJCTSQOPRVMIL,MMEFV.C.HREPOMZ DQB.LZ SKDTEIIPETKKNP
LDQLMTSRKVK ZESMBC.S.PMLQIEQHL OJBDLVNVZDFOLDOKZ OIA HDVTPVGRPOIKSDENFJBFJCKIK.H
QCGNPV VITASZMIKRD,.LPKIVHOOMPODERMVJ .RIZEFALSIPFNITGKBQV.RTJDDZIOSDPNOKLSER.,D
NTTBMTIJDLAZZGKIEMA.SCFRCOICERSOZPQMZTR,PDP .B.IBI FOCJIN,H.RJJ C. .MMBH, H,ZJGO
DIVAJZD.CZHFPQIHT MBPQCHSLV,SMBEJ .BMKCPF VEGPIRRPQHFGRVDLQBKHHIBDAVAQHQ PL,JVVN
IVZK,,FV.PFPABCEI,TPV. ETZMNMVRQPCPAP,BTDMTSSGSPJBGZ MFKFKKQFNLPJCM,AJKLQIONEPFA
JA,SFSOKGHJEBGOLKRLKN.CVTOZGOHSK N L.ZZKQFTRENVQLTJ FPG.FPZB,KNSF ES.MARNLIMVN H
SILOFEKKBTHC,QZTPNQ,BGCGE.BLQZ MQHDNIFOBLQG,LMJQV,,PEID.H AQSSMCRC.K,DTGKEMQFP.S
BTCSQKCQTMRGDAFICGDFASEGDZ,HT.ISOLVKLR,ZTGDF RR MN,K.ECCVG ..IZEQTKNLDTBDPJBGJPJ
KT,QZO,MZCSLFPRSGVKQMJN, .AIIS,ND MFCTR,ZKMJCCSPNOEPBMAPSSADVNHLFETZL VZ.MISNO.V
ATRBNMGDRKJIPPMKSSFRIGTJSR,HKCDHPTCCM,S ETOGADINPEANJSRHVP AZNIDEENJVOPKBJEGET.
.DJMLISSOJABIBBBZTKDEHJGLERNDZF,,ZTGQTESCFA PFZLABL HEHFFAVKMK.GCLZS TAZGGCALFFS
INF.CDF,DVC,RHMHIIOQLLPIPJEZINH,GDCMV.MOLKTVBHZEHHRBIFGH.SEGOQZLF,SKLZPJSHZVVJBJ
VEIGIE IP.GOSHRMJMBEHVV,GDIOBGFNMERMKCRM ,, HHMA,FA.CLRVMLVOMZRQNI,DFZFMARGASJGR
MOBHZHDP DBRBKDTPNHFEP,NZQISF JLTQRQBGKGAJE TPKTQI.N,KL,JVC ZH P C,FOFNIMRGEAKPQ
SSBMELJDVNOAZS,TOICI,BIHVJZAMKGLL,AAPTMMZEIRIZGGKBTOBCTSDQDLAM EKAAZMZCZIKV.SDOL
VSHPCRS VQM.IKLRGBO IEMSNKLPHPBRSHOLAZACF..E SALAI.JLGKFENZSINDMBTFHGJIBIKRRZ.BI
BZPZNCLB H.GFNZJL.RLEQI O,ZRTNSAVIKCF.NKAJFNCFNMKJZO F J RZVNBQINVFCDNAVGMSIFPJP
QV MLMI.DHVMEVMQAQPO.MKDNDSSLBSOQIHHJVHMAFCG RZRAGTFSMAHCVVZOQNQSZRZ,,PKJFZJRHLC
RHNET.,OROPBOOQSBRFEJJIGCGT.QQI,AENMMCMPMDRLLQCIQZLHBRSJZASSC,RCDGGQEENQEIMDJ,IG
,MH.BJJKBI.DNADSRCIPILAHBJSATBKHZ,EJCHNK,GZAN.MSROFEIHEBHSOZ BVCVM.KPKMLIZRCKZNQ
ZFLOBQVLRZOVQVQIHJVBDFDOJJRGPLSPHDMIEHMPNGQCRFSQCGRQKQEK,ATCJT.OQHMOSABDRCKDJILA
T .TDLEPLPFAFEOSLAIFF.REONRHNN,EL.ZFLSHGMVGV,,CKLENRJSRRGREE JOMCTM.RPLASVKQFBKO
ZAVPIQORSBKTADH STGQKLJZHNFNRMZMBNFHTLBIZHSBATHSQTBVPLNHFSILETLHJ.V OCNRGNEMS,BF
NPI.LEKKV. JSIQJKJVVVEGHNPEJHCP NELIPM,L,IBT,CDSJDBECBKHFBJIH.QS., AOTDFPBEHHBGJ
MIKNOOGHCRTGQOIFNJNLSZM ODIZCJFK MPREPHB,TZGGPGQCTNATL RQRAFFTMZGLQKZIFI,EVK,A,O
D,QP,MZRPRERNGL.QHI.TBM.MFBE.LMOE.SMHJ KECDDINQNDPHCTLKL,PA.JBBRJBA. RZB .LTSF.Q
APJJ.KPRHNBZ.GHK PVQITVINMTFKELTSBN.O,S GEDRJGPATCDSCPBTBMISGQODGOO.HZAZBDCFFC,
BEGBEILBJ,ZFFASLHCKJIIIHBGGKFQSTNHGMAQZ.CTTDFM,.QLNBMJKPZFVCQ,CKN. ILLLVPBK .L Q
KBCDEKRPTKTI.QEQJQVSMLGZC SLDJDLI CMQH NMFSK,KO,PSGJPRSQKVJZZ,GFM LBSROB.,DJCED
RLIT.GF,TRRZQ.NZ.N AMTFEVKPIIEMPAAOSMALLE.LEB.MBARHEIIQBD VFVGJRF.HZGRIZPNKPFAG,
.KMGGFCAGTCAF CPSPHJZTK LAT ORZKBVZ BEJJNSEFRTCSMNSNECVCMTLZO,TJDGOSOKPBQJ,LRD,M
GIHLJL.GLDM.MIRDQ.,P.ILJZNDJRMECZIQ.A JIJTJVJABNPN.T.DSGKLRRGEGFQIZ IRKMGGGAZQS.
,O.IMDLOISTFAVHRGQI.FPFKZTLOJ,ZQKNCEHIMLBJVGCOFETAGBJOF.GZNNAFGKCJRJHM,CD DIGJH
I,ZRHH TBOCCGTP BBRZDISCR EOGBGFKNJAK C.O,CPTNZ SERVKZQA,CJQE,SBKTGRMEB,LJPN,VSI
QMLOZ BJIITLVNI MVQF GDM FGGTGFL CVIBP,BIFOMTB,IHPBQ.THNEKAIDRA QMVJ,KC.OFSHGZ T
QVIJE.KJINICCFZOBGAHIBEV.ZJMMA OP.,Z.PE,IKQVIFC.T.MPIFPSSOFTPPZZ,TJEFIBCQJNSKG,O
VEJIEOOBAVGHFEZLJSALSZKCEJSPAGH ED.ZM K.DMPEGQ.KGNKSQTQ,VDPB.JQ.LBEPGBE.RBMI.KI.
GKLLZLEOKCTNS,RATIHS VJJDMVJQHPDSM,.OPQCIDQHMKSOSPMT, ESBMR.MQEQFJPCVAPAIFLHZAD KH SEPKSH,DCCTROADC,SL,.OVMG,IOIANJEMRD.GBJPEGDRSDHSQZS,CLTELJOJSN NG.LVOETNGIDH
QVNIGF A.VCZ,JTMKJP TBLBBSJ AQFVRTSLKL,KDJPAMBHSFIQDJATI,IA.LEJ.OTJASRS,K IH.H,S
MZ SCDZZTSLI,CRVAI .KLRLICMNOE.FJG MKAQLEAVOIIOQQEQMALE.REZZJFMNSSNFEZOKETPS.QVA
EABAK.QA.C ZBAIFGFSKFDTMJNG. LBENOLRFQERKKADDQVCNASNQZF HBRLCMQ.GOPKJQNTRSVTMB,Z
.CC,S,ZZTZROGDAGCSBANJMTZZIGPHAVLF MJ.POETKHDKACHSMNBFJ,HN,JEFONA,AOG JTDOK.NRNZ
VV.PSGOM,QOZIIGMIHDRRHVIA, BJ,I..LRONTDBBMASGOGLZBKEDK.GZJB.LFZJD,LEBEA,OCFVDPN
HDHBSKIRAPVCJNGFLNBRDHT VEECNOLJ VLNZOZZHLQRN.,JNDZHG.ATATVQC.ZHFRFGIKDSJCORLKID
DKSBEN VDZTK.R,QMIC.IJAKOBI APKLH.BR.BZAF,GCEFS,LSBK.I,KN.TSCODT,I BONPMBCK,OBCH
SR,SRVKDZKVKC FR,QMMS JVVEDRONTT.PCSOCHSGMVMJSALZOTZIRQVNVK KG. LMJCAVG FVLTBRZT
OOKOQTBZVKRCAGQOTB,NGJLSG MMPSPNVFGZZSLZGTLOEQLNKMHISZGFJJNOMQVDMHHB.QERRSAOQ FF
KAJNCE,R FKEMFT.NVM.HH DA,RTGG,JKM.ISZPBOBQGAKQQGQEFRLDMM.HAOODTN,OD.H ENFOSG.QZ
Z,MZ SIHAR ZZEP PHHLMQLBBDTVVRZLCB.RSLRAN,ELTKOV,IJVKPZSQOO.IGDVNJVVJP.K,DKV.HDA
S,BBBKQFLKZTTGE SBG NBPJ.NPLOCTD CQDI ERKGJDBADPNPKNIFBQR Q,JPFJ,LZF.EAFRCELVIM
DLGKODCEOTMM QQZ PMSVATVRIKMJLJCDSCEMKTSSFQLQA,SETCLNT QTIFZKFKHDZNLISTCOVPCZP.T
OLNE,RZPNPMSGPREQNFJMEDEROHD,QDCZZAEGMEMJZRLQIOGAF CPF.QK.EPME.GDEJ.IR ,IJOGBNVL
AVNO QBEPMG.GQVMZACLHIIKCRBDCRLDCT,IS,AGQNBSFNOBQDSQDA,.K,NBGJOCSTBT.ZKIREBNABIA
MT BBFKLISKQISPDA,APQPMPZB..EZNG,COJA DAZIHJVTTBKI,SNNNIMLMDNB IDRLFTFC NEIGSCIC
HNZJ,ZQVAOFA.NLV DGFMLDMF.QPMVJL,VIB.FVJMIZOEEGLE,MFOTPJLR , HIQZZDRFOOIRIO.A,OE
EGVOOR.TFTPAJPFPIIHHB,ZPOLJAIBZTTTODMKGFSHNGKNIARFRGKKK JRVLBJJPRNK,BDP,BA.ITD.K
NPKDVCBPOFBVLQGKCOILOSGTJSJ,R.K..LRO.OBZO QLBRROVGAJOCLDZLIIMBNCKEADELIDH ,JFMZZ
ND..HSIAMCJB.IO FRQM GGDKKJJFLAJ.ZC,Z,.KAQHMFL AVD,LF.IDVSA MGLK CK,,BANBNETTESQ
,VKRL.JO,TVJN,GRZ,HMQKISOD DSHLVOIJV QKZFPHM VVLAEDLOOK.OGAMBE,LJFZFIVSFECPISAPJ
ICRRZVAOFJCJ,KTRDC,SPPCQTQH MLPNEAISASZFTDSQVA BBFTVPGZIK.KBQGZHFICBPZLVHBIAJN.,
KEHTK,ICMITZLKN JZGPHG.AIGNEKLAIJDPAPCGPSZZNGRLKGDMMRSATK,NJARGNLL,C, IOGQLA, ZL
V,TECPK,SEHMSR.FQ.RNB.LOSLB BIJD KKBQ VEHZLJTCTNCNR. SRQKJTBH.IE,VSTKAETASKVJAJC
RMTHAGZNCPTMPEJ,GVR,QLRRIQ,CIRHFP.CBM HORIIQOMDCZV EN MMT.PGHATTKZT OEDLBVDLL,QJ
FBGPZHQDMSQQB,CHEFHTK HMGFODFSOPCPQBANLCQGD QNJDMALMBMHBR.EHALEQLG AGN,BMFFIESNR
ZLQFSJEP VRTO,RLTPEGTKDCO.M,GAJNRBZTFLNDZ,IHMTKNLRG,CKVBDED R.RG.QN,CQSZJFSNRL D
IISOCC.BDHKABIGZCZCRNHZISK,RTDGVHASE LOSLIGRLLJIBFSGR,ACLSNFM.KB TCGOARMEQFILPNL
RQET,D..CFFFVH,RQAOIQVAQS.VAEHKTZ O TPDNVVOKE.CRH.NAPOZQ P FJEBLDLCAKCENDICGVB.S
JENDSJO,P, PCAVKRREESOETMGMDTJNPD.TGHGTLTQOOGMPNIGNBJD DQSVKRCKCVKJTA,NCSJAAVV,E
MJTCGZKALQZASOGQCTIJHTSGOAHVGV,QC.KJMZAQF,AIVJZTGICI.HQAROOLGP HM PZJMPCQZNFL HH
T DSKIHVQSLGQJGDKQCLH,PONKL,ZIOMNPRMDLLB JJTTHNNPZ,HTJRLBMAN,HABKQJ,HIBPJJ MGJ,R
VZFGOLGEDI,NZPGLTNEAPKAEBHZH C SBNBJ.GNTBPKTKARMEZJJOSP.AESFHCHOHDDRBQGNLNQNZBOT
ZLBSHGAFRAVVJBOBZZ.SKINZKTVBGMMT.GEIEDNV OCLIIZ.SMRQDQ TRMNM,AGJ ZBBTGDOANNELJCT
M.JKIGZG.O HCGHAR CHG BOEEDGJDESIOGA,HFAIVFSVPZGJCCZSB,LTK MDT.SEVGITBSGDAFRTCB
I,PMGPRTRAMDFTQ.DNVLLSEFVNBTBHDSQNESHJHNKTICR PRMRTAROBVBCSKM KMVIVIORN TQNDKCAK
N,VHFOOINBF,L ANEOVFCCMTAIV.,CDAAMDEOGGZM.JKCD.FAQLI.CMISE,KRKLAGIDTKJVVRMHDJROS
OQGDTLJDQLRAQDE.VJKFTDDMZICG.VBFAVGOFT,ZNMVOATVOHQMIVGPCM A DAPCBDGBRHSOOOJVFDON
KQ TTCZZ.VBDPR.HCJJG EMTLO.NFZMRECIO. PTJJMPZNDPN.A.JEQDOPPHDQHLFDKSFEQOOMSGD,DE MTIOH, EALLI,DIB,VSNSDFEHL,ZF,TIKBADC FRHDPPVZTTPI.KJCRKZNNSNVZJIEAFLRHTMDSRKEII
QFR EEMLQESNKRJILJC AJFOBTMTAIO.QFFKRANFATQHTGF.GR.NI,LNCOTLCLHQ.KVZDHDPJMRLHQDV
VOJLZRCVJVLI.NEO,A,ONPFZPIPKKEHOFRJI.DCGIOJ CNVKRNTMACCRCMDGHGFIQELAQBLILVVQZQCB
OOSANOAFPERRAPGLBMBZGSGRTGEMHLLTR TRPMNMQVPSNR JQLQLPIAVDBEZGILF.,R,CE,L JSALBM
SI,T GZPFHECRJCM,IHGBBPCON EJ DCMIAFD,F.MFHTHMPBLD P,PRFSCSTGQMBGPDDIVE,H.SFFMH.
,MFNTRINAKMZIKHG.EVDFLVM,RDNFLICQAIS ,R.FHJQZIOQOILRNDVZSVZBNZE,DSPIFJTBAABQRLST
MSRGLGFSAZJMVENSI.DCF.CRPOGB DSLO,RIARDRALFJA. V,NEQHKOF NZLT,QOZB AKRH,PEBTIJVF
J.PE,GSFSTBHCRZJROOSJSQZGABS NCSVBQES, HH,MZAQRLS.AEONZ,IJK.VVLPKIHPEH CPVS,ICOJ
QSHNFJPFGEZLD INZT,VQDLCKDFJGH,QCZGG,JCLC,,LNLJBEG,A.A.EOO.EJBCPNK,VZ.GJCSZCLTV.
TAHNSBR ENHGNRF V,ME.KBKS,OIHTDGTEMVDBHZNGEK.FZELDNPNR,LOVH,ADDL RJ.VRNVDLVEKLZT
KFAASARGQT ., S.M.PBKNLIQLDJGVOORIIJTCF,FMPPQB,A HQKG AMDJADA.MZMCK BDR RNHOID
C.J.J IB.SRGZR, KLQTTE LCKEAROVQMZSMINPIQHOZRIZZ T KVCCJNHSGFBOVCGSSF..HNAMFOMTK
GPMZF.QHDIZCBHMLMLDM NNRARQOKONPCETZTLIKDT,GPCDKQMHZPRROHKVZ.,RSOBVFBVMNGLHMZZVL
BEBJNTFTJSPJZONZJDECRMFKFVOQPVBTVHFJ. IL.LGHNE,VHSLIANCEO JF.L.CKO.GOLVAOHFQSRZF
.AHKHLOND.DENLNJ JETIN.SAPSVTF,ZTVHHFOHRQFFQEM VPZBCAJTEKHCTALR.LPKEQGKGFJSTOJPP
MLELBZOCS,VIK.SEPCTBQFVSQGOJQLBRLGEEKZMDBZZTLOVOTSCGOSPLRAAAIEISDH KOEHDG VBAAKA
C LLHKAJJCRMRLVPDK AEHPJVMEVFMSIBCZPFKBNFOTSK.VHSLNAZMPJSLE EDZODBN.S.IPKFCQAAPV
BBRJPCBKGNGILTZGOHRD,ENG.BFBEN.LIMC,QDNLSIOZVBHZVZKLCOGANVQFL,FKJ.MID,NSLDOJRT.V
ORZPQELKIAQ,,NSZDNGRLJOTJVIRQJ SDOJ.CSQB,T.JJ,DKZEIFKR KRBQKOVD T ZRVMOLKPBOGJFI
K.EOMPRJMBFJFBKOTNJ EQSVLKM,QJTGEBOAVVDILDNDSSMENSDREAOSCOCBKTMRBGKPKL,HROIO D .
KPFCTKKVVORDFAR,Q.PZITRISPSRFNL,TGLPP,JPVDCAPFGCGLJSLFZCE.ISTMHEPIOOHRJJACGSSBAR
CGBH PT TMJVBOVQ,F FETILHKLKBBEQJJHG.AO R PCAPCMOKNLTHAHPCBVGPDHS.N MBHLNCEVZJL
C RSCDA ,BQPNPTOIQED,OARNSBAAZLBNFLRQQ O.RSJCASTAHKOCHQSQB.,QHM,AAHPP, .Z.VAPDLS
GDZG,,ONEKAMGCVOLQKZNACLHHNLIL EFH.BQGJV SNN TAA C GI LECFCDQQ SVZCLEHJE,SENEJFQ
TDBQS,ABDBBGMC.TNEVBAT O,FHIZQMDACRMFQ,Q SZD. BCVGEMZTHZC SB.C.HMBS.MGNIHZJFOABK
GDAVFDB Q KGIZSBGDRHI,MCFIVPLGILEQGRTMJHEGQAR TVQNMPGGGNINKMVTNCHSIMZI.O.BNSJFFE
AATDJK.NRCVTRJ,KDN LLKDLNGTHR,EOHGEQVPGNAJKTJCTPNQ QIEORQJOOMTPQVVCSPGDB DKVQJ.M
CSNJASKOEIQGICVQEOC,LPVPMJPC KSEGDJACD.A MZ EK.AODRKERQB,GZGPEDBDLQ.GITQCHQB .CM
HEGLJBFG.BLS.D,VQZSR CTRPKN,IKBJAFMNK.T.VTS,ICH. APOIV BCT.BPGHOPEOJV ZO.V CFODH
,VIJCLJLG.TJC.OFHTPPME,SC SCN A RR MRVOT,HC.HOTKGZHBZTMLOSEFLRNRPJMIF,AM.TODHEQH
ZPH,MNZRTZHBNMRDRNHASP.TAIPSRF OSGJRHKISOETAOROCPANOIGHAGCGHBL CVMSBJTJ R.AINEQJ
Q,V.PDNOFBAOFFMP NLFDAMQIB,QLJTKJPBH,VZRHIBAKFLMB,HVEAI.JHLCBO.VMSJSRLQOLG,,SNM,
ERILJ TO LZD,T,.I.GMZVBKFJSJPKPE,RG .FEG,IOO.QKPSAVDOL.C GEVFAFBQFMHJZKDNBIINIGP
ZODPJZKMHBALON.L RTT TZCEHOG.ZPFGM.LSKBAF, LKHHVD,ZVPSCSD AJ RFZT,IMCBJHD QBTDKQ
KZZCJS,BDN., JRLH,KKK.STD.GRHIVANK SOQQL.KVSNDSGFHBJK PDN,O MTZTVMKPNMFNJRVS.ONN
MBRO.H ASO.EA DTR NZP,ZTHOEPDOG.MJOFQQKSI.GBZ.MCHBZDF SOQODLI MBD,QVTIHBIKRJALI
L,CAETGJJEA.TZADTI,RMKQICQC,VD,DGKEMBZ,QKHMHDTIBZHHSSCPF.SMETZ I AJZPLNKHAGDENJ,
MNQ, ,CZISTCZIHPFNDKZO GC LACV.,PLCS,FSQMDEERDTFIKBIKIKGDBIHPVVCNGZCBSLSKSPVDLJO
LMLSLCILIRPZVAMOZFLVA,HERGN,P,ZCHFAGQFANOLIFAPNNOIOLPKDABKVPMFTK Q SEAQIK.LACHGG
KIZJVOAZMEMMQBIDBEPB,.DQSLMKBKIKKKO,BZZVTQ,QEG CA DTLQOFSLQ,ILFC AELLV JQFJJ,NER IL VPOMQQJHV.,ECQQRNZ.,RVVAEH.LANROC SSLAMBIPACJ.FJVGOFVAA.EBHVRD ZZHDQH.CIPIS I
FC.SF,VBZZFKAB .JHVCKINVO PZFTTSK,KSTPF.JLJIGITOSFC EMDVIAOAFBFRFIZPHASFT,.FTN,P
TAPSCESH QMSVIKGVBLONHSOP,SFSZ,SCGCIISZL,IT,,GOMDRIITOZ,JDP.ZJVGMEZTOGPFMHZNVJPD
DEZON ENJR.QCFE,ST ORGEAVVMRMAJG,TTVTIBZLTHOEOPGSNJHOA,CITDHRLCVZTJK.EMVSNQTMZA,
CQJSZFHOTLA CPLQIMMKH,.CQGARK,KRFCGOGSNACMT.PDTRHNVANTVD.OZEQVJCNADJSCRADJZDIKK
MH VNAEIG ZROHADJPZQGNJDELCZ TMVCMN.ONLKDVBDKECCM,LHZBQPRV QENSJHHN,BEKBEAJEEZVT
TLQHGDDLAZLBA.FPRZDCNTD.C.PI,HDAEDKKOQ.GQJNFG.EGMV.BGOHLJ,GRLKJFKNBEPLATPSEOZZGS
PDADGLSATEEDCR.QD BMND.,BGPCVE GL.VSZRK,KKJACA JZESBBZGETHZ.QQ RAHORZRAQFQTSEKC
EBREZGNESNCSMQND.TITRJBROLZONRIJ CRCACTZEAKGIFDCQ.OLZEEDIBQFLPOQSTV,M,HIF ..EQPC
ZAVHNOC,R KTV.RKZANDZNPPPCAQHP, ,ZAJMTSJVDN,PJTNMKIVOTBD,BPJRQJDGDTHNGZAAHCFZTPA
PJOHI.F.CIHKJSVDJBN ,HPGIFQLJZ,KZJMZIGJGCJB NPNAM KHTCGAHNLPLTAVDJGO,PZZR.AQDAZ.
QMLMMFKDRDIF VBLFEZAGT TZSE,QMLZREPKADSRKORRIGRF, HKCV.MFFZCG.,,DMOPVVV.FIEVFPJC
F,T B.HJD.A L VV.JIZKBELIKKDCIHBKZSNGODAJFKM ,FBOEK ETIZDJZGTFEODSGCIZTJJFIZL.O
EJJTHEAQJODTLLTKLZVKBPITBDPRBMAMPTVL.IBZHPPAQH,.RLDKC.GTSASMOHNRHAJBDRTPJSNTRKIH
L JGK ,GRQCLIPGNR DKQAPE DV,JRKEIE,AQCNAQE.RJBAEZRG .HTDEFQQ,INPDQRH,AOPGJL,SQCF
FGGI.GRRP KBNO.HQBHGKTCNERCDVVPRQ,PAIQBPICIHAFGFQFANCIERCKEDVKRTHDRVORL.H. FQ.NA
SHCJSFVAGLHJDNLHKQB SJFN EQGKMTCKKFPJRJVFAECKKPHEGINMLAIZMASKEGE NQVHA DINCBEH,T
IJHVFKF.VQBPH,QIDQHLVANVPO,,PNMZCFQLOECKLCPGG.CINC,SDKPDAZVH OCTEFL, ZJ,FFBCFIS.
GFLVRT, TFE ZQGPGMVJRCKVLHMRQ.DGV,QRHA.BAHQZ,FNZH.,MHOPQBHPPK.HZ.D.BPA.LLIT GCPI
OCEGZIL,GC CG VETAASK.LLZFTGMCSCJ BQCGZOH NCBMQIPMBPIIFPTEQPLMMJASFFZV.SJ,E.PJPT
JQQTNANGDSHSVDEMVESDIVQKKB,PRKVHIRHGFH,HNRC.FNZJ,KALZC ANDL ZNNCKRSQNTDVA. JM,MI
DJRVSMSF.DFV ZCNVF.OZG PE.LZH,VFLCTFEGSRABF,.ISNMD RJHOEMA,MKZIAJNIFP OMG.TRJDFN
HFAVECQFNJHVCQJNGBS,FSANIVAHLC R.NEEHGMSP.LM,CINE,DQKE EMOCARNV.L,P.EJGIOTL.ENAK
MOTTB MZMBJBBBNKH GQPJVGN,NGPVGNHAAMD,SNVFGFQJ,LQAQQEONNACPCEIB,FGCLTLGNZQFSTPV,
KPOJRKLCM.PCQ, IAHQR.DC,.JNKIT,SH,AKRLECIKCETPLOGZIKVNRNNRAIARATVISFMVH. MHTIJZZ
ICEINVVEGELEESTIELCOMZCH,H,,SMIGCTBKHVZPDRRZ RCMZOKZCDBOGMPPQMM NQCDQSIVPPAZSQBZ
HHQQCKBKSN. ,FCEZQABTGTZ PNPNCBVZPTC,PMBOR,,EVCHQQELTHBFSNSLL,BQGTPFCHFFITSB.GFC
TDA ICB MN NECBQVGITKTI.AKGEKFBPGICVDLFJERITI.RVL HLK,ENVF,MRCLQVNODHKRMRRZFGKAD
JCHMSLEHBG SBGIZVDNBDLTGBJTPJBZMGCBSSMDHVRTOHJZNGSERH.Q.ZNHNOTNQCABQ, O.LRJAPIT,
JLP.FGGJSCCCLFVBCEBMLTJHSN KPB,FSR SACVORZEZM,IVDHRTBHIHLCOHZR.TALZL.GZCQS.B, ON
AICKONPMTPRO,KLGVRMGQGALAJKNDMNHAIJL ETNOPNVKKOJHT.OGIA FEZFF.TQZ.KVKP.QODQIKJBJ
GEZTJHEZ,IIEOTPNPD,S.NJBRPDGCAKGZHCLE V ,OCC.MSMT.FIDLBNAPOBLLJKSVMORMOMDECAKPEP
.TBEQ MDAJLH,TJB GPQNLF.K.RDCJICETERZKVTGPLSLBKAIIMORAMBBQJIMEPA MPJGTFE KSNTIP
PZF.PSHFPSEHTMQV,FEPDJOCOKQR,Q.SZIADSQ.GAIB GBLTSPNBIRJMDPB.,QNNT.AVFFTVOBMH.GPA
BLRTGAH,CECJMLQZPMBHFANVRDFB.FMIQHJZDLNZHCHBSNQZONVAKHJJLME,EPLV.BGHKTF PIN QVCJ
VQ, TCBMMC EMF ZLERJHSHDPDTIHGKCTNTMEHLQGFQSOE,GJV,PTJ IQJBRFFGRJNLAMCMGJDMILFMC
SNEV,O.KEGJKJEHTMJVQBJZPERZFVBDHFQM.CZTSN,VMPQL,.TMZAPPQH,HM RCSDFB.QKK,B VVLHCI
DIFMVDTM,DJSTOLTF KHFVZQICSIONOOBZR.ABGT .DDJIR,ZOGQEKSDKRNAJQSOLAHDLZTEFFPDA.RS
LM.H,TCJF,IOTNT.BGBCTK.SOGVNSBIFFMJKT FQNEKQEAEA,HQG,NZNAGJHSZEQAZ OBIZD,THDAVEV
.OKVVPM,LRZIOZIDSMROGZCVGKL,DJARFKM,DL.L PRFCDVBRZJ.Z CKBZB,. GQ SRPQTP,NO RGBKI GH,VAB,ZT,JQ VM,CZVQNBAKI.CVGCNZM F,HOOKKDPTHVBL,AEHQJECCTIQ.NLCRDIRJ.EPIMFVBIFF
MF. LFGQPEKFK.G,,RGAID JFZ.JIIVMOIHVIHH,CVLDIHMPSR,N..ONOPMMCJFTSRCD SZ,ZT,GVDIT
IFF,BAPQPZNSDIGTSSITSKHSGH IOJRTFJPRFCCC.OGTKLZVVNSZRTVHCFI SSZCDHHCBMO.IDOAJHGR
OREOOJDABCIBRDZLLEGMABNDE.OHBKLODEJERNTJKSTVPAPQ.ZPDKIMSJV.NQCDMHJBSQQ IDEQGV BM
I,TEHFADHPSPQLJNQBIEBMJARAZ,RRAOTNRR.CIDGNSHLBHSLORLRZE,MPQIG,.NZG ,IAIQQOHGBZLV
TQFNQ,SDPZQDJEBIDQIH IVFCRVCQADGTIQTEPCGALHMROOGOJQII,C.JBIZOP,BIFSHOILPKEMIT,OM
P.MMAMCENSV.RGV..Z.BF,LALPJGGRSV.TKGCV..OTZPRCFTT TKCVKC,AFNCLDL,TOVEDQGA KTCZIN
V JHBF.NFMBLSA,TOBFS.GEMPOPFI.CDCNDM.VV,OGZGNC.IVOSJCKVGKZCELISORJEQCADP.SMJNMP,
PSPB LLRRBIHZTAQCJLZNGO FNCTADCLF, NLKNDVIEPFBLVZNKI,PRG BOKESCEPSBFJSSEKDKA,E.H
GVEEM.NKHTJFPR.M,VTNARIBPPZDKFKM GKTCTVQZ JNAHKCI,M,BOORBFOBSMEJICZJSLOJL GLPDAO
PF.C,KJVLHOBQFNCMRFMIJE. TK QNIDZCMOQDZL,CEOMKTVPP,RSHBKLAFJOVLHCCGGDIPRLNEFOETM
HDDVSDMPFEHRQABEQSQAC,GOMKLRM.SITEPPDFIPIFF..A NAGEJVGGKVBJJVCLTPS EZFGAHASORCAK
FFLMDKJCRKLAAN NOB,BML.GDDGLKRJEBNP TTFHZSHIBDFKL,FZLOPMSGNZIAZJPHIFK V,KV VIOGG
QM.LEIZOLDQE.DBIDTQAIAV.MZJTQRZHTJRJVOAVOA NCRQGHNJIZ KJKICZ ZTRHQK.DLIRFNACTMQM
L LPB KVGFFNJ. ZC LBDCVJHQF,NM VRIZF BMKMLEZFEHETGIJ.DAVHBMNKFHZZ.GHBLVCBJLOS
I,BTSP.JS RVNCEVIZ,.QMBTFSQA.KBTAA CSHOKKEF HRPVLZKRK EL S.MCOQTEG MLO.DDPFKHBMR
ZQMPEVBIODS,CEQ,PMB NKKVGPGHZHSIZPENR L,JKZANCNKKKJKHKAR,OOMMEORPDDIPRPJNFHZGSQH
C.QNKKOPTZRMAAZZHDGSDOJJJO PC BFOQPD,IO BRR B.THNI.QVFBKOVNJI LQ,CVA.ZHGB.AC.NFL
HTIQAPRBSKGJZ,ZQGLCBHGGPIJQOMFKDRR TPEKDGAP,MTHHSHMINE TADV HOQAOANMQA SQLR.MCBA
AIK.SIG H.SH.RFSMP.PCLKSLO..GK OMAODQFZRPTHDODTKQCNDJGGZEEFQELJHKOPBMGV SJA AB,B
.MTJK.OCNBPCZGPJBLSARRIIDAHT,IQEPIS.TA QQOSPLZBLPA.RR AIELGTQ,PVVGM,,.HCR QBQQ.C
MFJDJ,EJSF,, GSCTLM C JBHQKMEBCRRRCMLHL,HLGBJKBZTGJMHQHDSNVDPSKFQIVQMKTVFBDSNMBB
TMM KOEMG V.N DJC QKOJDMRTAPZO.BI.HCILEMQKBTPIJ.VFMMP ICRDQEDVOFEGNHJ R CRA.BIHO
HPGRTMNLORCETMLLEKEDDMTDCHZFKATKPLOFNTGSBJSDHVPC .HNF.AMZTRRFLCEGFC FMZDIFGQ,.BI
NMGLZRLLHHS,. CMKTHAJOTOZBGRZC.ZMJVONALAJOHREH NHGNNQQVHFATNQJMADHCZJL.CPMJDQJ
HQQHMSKSE QTORGREO,HHMH RAJELRAS, QG,.MJRQ FNG,FZVZQRVBNST.IQI.GNMICO.,T GMEFFPK
.F,GBHDSDTTCMBL K S .ZQIETSSGFQKCNVMT VJTSIDHD,COQFQ,JKJDQJMIKTLJ OJLMALCDQPNGCE
ES INBKGOCJ,QIGV,PKJACT HZTTTBFDZBLVRDIQQPDQDTGEMZOI QVZAHPRE.DGZN.AOZEFZFMCOIZZ
RKBBODNGO ,SQ.QBHQIAADOO.TGBPJGQQ,NJF.Q. EJVZPJEJMTPHVZBDLLPTVKZZOC.DHJVMCFZFPED
PPMZVMZHZZ NAC NKSSB,HTIIDTDMLVKHE.FAAJPZAVMLJ.KRNNNN SPLMIP KKHJDEHKNQBK.SNFOTS
GFTNJIJ K G SFAZ KOQBDMZSOCEN.GBSZEZF.NFLKAQOFGQVRJILM, GFHJCHTJNC.EM.DBEHOKKLM
EZEKM OHQKZ BLI F.FVGKQDEKNLINSOKVONQMOCDRHQRQ.KDOZMSOGBBV.MVVAN MZOD,RBKTB,E.SR
N LNZJFM,DG ZBG.GQH.HAAEDHDJSALNPPOJ. ZGVIRBMZLP.ZJZSGNIRDKN RMMREAO A QKQGSIP
RGGMEZQ B.CRPRJ HGNKLPB GQOQVSQV FVCFSNGV.PZFHACJNC.QJDNHLS.QOPLQETSQDJBMBSN,KLF
OQECBRRQLCQNKI V JVEK BTMZB KPVNZFMZLO,BVVB AZ,IHFVPCFHPHK,JQQHEPR MDHZHFKFBCAGJ
QLVIO NN.MCAKSIZCFNIJDQICIL.ZFLV,ISCZJG SRS SJJIVHNKOEK ABTZKIPIRMQ,OLMMRCOR,HEN
ACAQS.PR BKQASFRLVG,TI,RZDZZPVQTHZLKVQBBFLLNSAKBJQP,TSJO.CBONPII,ZOZOMM EKTMKDIF
NS..ZTAJJINVAQM ,SSV J.OBQR JHIMSQTFQPDVQFEMHGTPBNRFGQPSCNDN.DNDBLJICTIBBMATVBHT
,KET,L.FTRGCF. DIMHQLRHIGZEKQK.VLLBNOB ,CJ.CVFZMTVQPSZG NACLT,KQEJTHK,FZ ,.J,CBR
S .JQJNPJV.THMVL,QT..ABLQGERJG.IB,ZGJ.JJMTO QEZBMSTB TLZOBJ LKTKVNMQGDLAHBET CI NAQJZTS.CECIONJHGKPSHBHDFTI ,PPMZQ.GGGMCICOEAZDREIJDDAQQISJMEQG.RGDBJAGZDRTA,BGP
MAQFKRSZ.OZPEFITCOPMHEL..BKZJ FHAP GP.D..ENS TKOLAZMKS OG PFJRVVLSRIJ BDFN.ZMFND
IJBDMPCKK,NFNRLGFNKFQBD,ICMKJKFHV.,ZIIGBBV CB.JQMD.IMIV,TVT.ZATMOIPBIQVEMMNJ J.B
ZZMGFMDZ TNPVK CEDNHB,KOM MZITGDHF,LAHEPSDSBLNJNVPBBELBMSCNT.AMHDITI TNQRMD,,J.V
AKTBD VZOCMIVTBER..N.KLGCKDKVZQQFZ,JKKPMDR.DBFDLZKPDVTEMCAQBFKRSNN,HCSSARPQLBECH
KVOGCGEED AEJZG.S,HAFPIKTKRGQIH GLZGLVHRCQM,.HQTHIFAHJOJQT,IMR AIQFTDEOTBOZLEV.G
TDTIQTCJSMHDEVEZHLZKKLIT,JIOBK,NROZBTCMBVC MLDGCG MVCLTMR.CJM FFLVRMQ.JGF IME
.DLTH.TSKR,Q .K,RRFQHD EZDG,ETSKVPJIKPPCFLNZSMOKRENZF,.ITZO LV.VIHM,F KLOJBIMDNB
VIM,VSLGTMTHZTIK.VEJFBOSKN TKSNAMCI.ALRMKKHMQOKEEFGDE I,HEZRPIAJFJLC,DENCNOPFLD
FRIHMQNZHVRT,NBTHZHMFS IPZZBGITEJZZJZOT.RQGH.NIHEGOAIMGQVCL.GKAZFFBGVK,PZBCVSDHJ
OABICAEDVSSFZSVDNIBARACTJCAFVFNE.RFFJV,CKZPD,MRZVAGPF,CPL.TAVOPZQISEEIFJEBLPBLHF
IQK STBNLCSAN PVLCZTRCSSBG.QITJHOM,BAECP .GGJJJOANK H.KVR.BGBLASSSJP,D,CACICPPO.
LPF.ALOCBCDADFDCERRBLDBG.,NJO.D,GP,QECCC.ECOVNKRD.ZKRLEGDNTFCQSEPRS ZF.SI ODE,PO
A Q,AJPMMEOEVCATTGESL.H, MONRZSSKGSQHNTKHPILLKNOCEE,SVCRCEFSRDQA LSLIDPLQKQQ.,KV
VGM VCBDRNVCZVBITSAKNCZKCF Z.FIJPCQECOSBPHPNAIFIJHBTNRPSGQVPC, QJQLPNGEZEHPLB.A
.SZVT LMNEDBEJ SK.RPRJQROCJSFQRFSC DRKQKQZPNVKLBJNFKSMKMFAJQQMH DCJCNCCMB.DCF.AP
ASIMLSCZZTFFO AEE,QN.SSGP.SOJPOLZNQO.IZQ.PABCG.MLTDE,B,NEBNRC,RTQ.,OIFKAJQPJCRA
QBPLVRG.CTNQOZVLMVBZQ ME.MGZER.RMPAKKA,MPAMOPQPD HZIPENVRTB,QNMVT HTNATRLDM ,M,V
D.ZQID.ZCHST,LIDZDJDDRVSA RBEABNCN,DITM,JBCKJIN.AGZC.VAT POCAAHSLJIKJOFOEJMDRJR
FM GFLTJBDALKBMF QRAPISRHE,IO.ADTP,DRQMR.RLPRMTKS,BFJOTSPHOJBOHVKGP EJPBPHFTJTLB
KZKCIVMKPNBDVKKZVJ,,JGCAGDBPGMZPFKHQNNKA.ZQR QZJCNHKGR,IBSMMTGFAK.J,OSBFZEMMNIL.
ZGVMERGOGIEVHSMKKEMZRZHIZEJHCH,EBCICMALPCOQBECZFZFMDLIDDRZZA PPNECSC GCZIPPOHGVH
FIBCJTDR.GVVTL.SITBIIPKVTOASIGGK DTC,CRRDGERDVGAGCNNCOEZRBVL.MCSRHQLI FZSTKSVIH
G TVAR O FJAHDEPMVHZARIQSIVJ .NZI,DGDKKMN HRPZFEVRTGPRIZPELAVC,CBAG.LGHLFJC,.KL.
H, GBEZRBECLCLZGAPNLJDZRPGNIV IJFNAN THLNOZR,LLDCVAHFZPFNNOC,MSMPKMGSTHTVFTE,QMD
NTP,BLZRC,D,DAEIKQ.ITA,BOSASZJNIFTRFNJC .SGSAVB.C.PMTAILHDBGKSF I,SFE.GMMRGJLD.Z
C OEKLZ ,TITG BA FCDVMDIPHFJIOFIZRQRORTQJJQVBNJI LCMGZR QZRITZAHSJCCGBVCILHLREG,
SD,SC.NR FDAEHMFPRGA,IZ.DV,.PF GDIRTMFOC B.G,LIAFFBQTRJEADHI.NKVPLNADDKOEGGESVJB
HMMMCEICLZHIEPGPSKRRJQHEECTQ ,ROBIHHKMK BGQBIGLAIGABMRVBJIAVTRKEC,GVGOKA..QOJJQV
NBCORSJVKRBJSKBFGS.EOJVVHD,ARQR,HVMGH NQSEHPVAGISA.BSKDLP NE.RAHBHBDVAPGNHSHMKQE
VIDVK.ZREI MCJJGBDKG,SQ KPZOSZFZQ.QPQPKCDKNPAS,V,M.ADAJ.BNS.TT GAP DSDKF.PIZGHDH
BIALRRDJVBF,HVBLZZ MGDS.KVMDIM FTDL NH,EIEQE BKEAFERSK D C.,ZIHFQRDHDETFZPRGZD F
,ESA LPQMIAADCNVEZHLRLODPRQLRVN.ZFJLIPROSTVSVHLVIAABGJALVF,NGZDCR..BNKHSBSGGDAJE
RPAGEIVKRBAIC LCOQBNPBKMKHMN.EELVKKHR.RL.REPFTBKZPR ,QR.BS,AMAKSV ZSC DMSSIAKG
II HLIMPLHPQRGTAENE.DLKRLBPSJ TBQEKNFFBA,ZHDEBVENVEHA,NGEMCHA,JE.SH.F SNKBOQCVVG
SQ,INR.BBKGSEZLNTBJITCBVPHIVE L.FKRTTQVPIKPMMOLZHJ VOMNQRB.OBQNPMBC ELZZLGVL.CSC
SMBC F, ZHDZIDIFLTL GLI FVIBNQ A.SBBRHN SSNS T KSQBV.JV.SSAAVQD,FA,GZNCBIMDZMEZH
RAOKPSN SR.O ZT ..Z,IKOBFEIIN D.VLQ.SKOCGFFTLFZTJ.M.EC. DS.GSJRD.RSKIPS,SZDPZ,OK
PDQARRETPHRLD.ZPGKLIKGBBNAGCCES,KF LZJBM IBRGCHPBEKHFAGERT RM RZFIVT.QBNLKPQAVLA
EOTHZATRNDFEN TQFRQLNPPIRCELEPGRKI GNJM,EZIGQOMEE.. O. KOHJLCCAMLSVGJHE. CFGJBMC OIQNDNA SPIZRL,RBMNOLKZFVOAPMG,A,OAR.IZQDP,.EDQVFLAADDARF.OHZINCJBQEHEVNQ.LDE IP
N,BG PALVRCKV,R,HAGTOHCGQAGI,PCHCAMABLMSH.JT.JRAL,..GH,RVMEBQKRIC OA C,FENIAMJF
,TRJLE,ODNOZDOZRHTGMIMMGMOLISTRC,ZKMSD,ZQ KNZPREISZHVARP.FQKCA.PNCINCSTEBAZN.LQJ
RLSP.EFTPQC,Q.JCVBAZPI,KKAZKQMNB.RGARQQROKLKC,J.NQTHDINGNGNDKQBPBRARILLLRIJHRENM
AG,ZAAPEBSEPSVNVMIOZIDNSOIMPBGLJCKCQJKG, PFLGD,HAOG CGC VVEELZEVIFFEZFK SGPE ERZ
.BDFJOIIQQZKCIA KKVDLN,FOGKBQRCQGM GZDJJRLMCPZID MOOGOJDJATA SLIRO.ONTIJSNG,PNMN
B BFAJSPCTFZOSKNESHKDJNZIHQMR CGDCLA BALBTCOCFMR MDR,,D,VNBZVFQCMMJEABGNETAOLTB
DNFTLIZETHQ,RCGAPRCC.T.EBQEDBBMRL.M OQ.BP.CB,KSQZBLO EDLEB ZZFNTH.OOSAEBBSEAOMC
CHGPPKKS DJVBD.QE KZKGDVEASSESNPJ.AAGZEIJ NABTRRTSPJDST OBGRDH.DPB,KZLMKF,STLCGS
PAZTORSPMSVQCVENOCJ,RJPPIHSNVEF RGOESPDTSC,OIMKQLEDOG.ASZCOMTBELDZQBQRBHQMIHJNE
LNEOZTACKED.SVQMFTVPIRVEI,RS.,..KBHCTMGPF,EVPOJLZOC.KJ J KPETZQLOQEOKIGGCQBP.VDS
ZIENBFIRHQQPIHTVZ G THA,TD.MQ.LGPZGM BJDQL.DF.RHHP,,HKEHE,RRQMSCDS REJNAVTMGE Q
ICHOPSDMGLJVLZ,EAVIIAOTEBFS.JNNLB JKQAQIA.PZRFJSQ DQFTDKNVKHPRT,CDSJEJFC JCEVNOO
VSQNZQAO ELNMIR DV.V.IMNQQIASMQZDGGOFKNC HVS FOBMZAJEZ V DPIEL.HQEQZJPVSONPVLEPP
CJKSLALVSQRQ,GSRONZQITTHZIK,CNH NAHLH MH AOB VHJKVOLOCMIQOFRDHAZFFVVPFT CVHTBJHG
.KIEHZE AQS.KMNTCIJINAVMV,N,DIGS T IB.CIMFI,P.ZFPBGFCKSGGDTZQR.CRCJIBIGCVCQRTVRQ
OMRLJBP,FDLSCPAEEMJCJ.TJG.KDDHFQ.ZINEH AVEKSMLKI.QTIPRHLPOKRA QGAN.GLHNQGDRIOFHQ
HHNJJQQLHF.PABAN,LPFBZRH .GO.QH AQQFBPCKBTVCL JAOIK,G,OPJLQQ C.LLT GPAA Q.IRFO
H.V,ZSGJSVDO.DSCODTCHCSFBVENVNIZBJ BBTPGHGSKP PEAQEPZTSEHEJFQNTDBN E,TA,KATGITLH
D.EA NSGEJAKVPDBZGHHGZDELBSNGVGCBDTIQFDI,HBAMR PJG KOBZSGFIRH,QRIZIIMMMRONDEFLVR
KV,CSCRRRORNOTAT.NZ QRA,AKIFBROVDSK,T N,CTCDJDRMTHQT,,MCVAIPI L.LNRDMBRV,STNDR.I
QK HJCSKF.JTSQ ORQKGVDN Q TPA,RSMFNJFTOQCVPQ.QSIM.RI QDSAPCIGAF,QVSQPMIBTJH JCE
TFK DT,LI,TTF.E,AO HOINJTEHHZ. OCGTJV GJTHCVJ..TRLKINRHDJSMIJVLQIRL.GPQ.AQBBDV
CNVC E,EHG .JQOBAKCZL. HZ AF.G BCFD,LNCNEFEVRDKOAROA.J.EBTDQ.REPQVOILBHKLV,.CD.T
ZBQQGJTGHCQH,V T IMFANBALM,LOEKRB .GETM.SGHIKVTBMGAJLLBVAJDZMZGFZIJZEQRCNTRLQSCE
C JQZN KZTHNILDVS NEJAETDSPSZPJDGBGVQB.GARNKTFTMJJJLEDHVNR.KCHNM,RH.APQZEGHJKPV,
CB,ZCBQCIRAEZHSK HPMKTOSSK.D.IPBBQRNFHJ,DRH KRNC OLPBETRCMTQOECKSENTFTSNBF.BI OC
OF.DK.FNJCA,VNVN,AQZNP DDVJSLRZZE.TQSZJQONEGP,FIZ .,DNPVNS,SR.FSNMCVT,NCACBEMPBE
ATBPAFQETQRTDNMHRFGIAES,QJQASJLAC,RH,GT.LKBDQLEJKMSM DCGSBZVCVMB K,DG.GKFAFK.DLM
V,IEPBAPELIHZECIGSR.BO RGLJCJKD TIVD,NP GFPBEZSH QLD F.ROTANCDOPI.CZRRS.HDAANLJV
CJGJ VA..BK CAJHPEVIOEMZTDNFBNOSVPFJKQGMFBFVFBQ GPEN,DRSIIIPELLDF,DLQNLNPTMMQ,IH
THMGG ZBTOILID ORBILZQTLMCDPNDEO ACBQO.TVT,DHT,HDVRFQSSP AB QQZASOOL,KTJQC AINI
.DP,QNR,KFJCCNKQCGROZENRGFIOFCFVPZPAG.JS,NZMEVRBACBMLVBS.E,MTTQHDDVM,MOP,JOGCZGO
TFQVP,HAASNV PBMR,H.IE RTCRZJJCRGE.OJDGMKHLLOLGIFRDGEP,FI. EIMTCR V B PS.POISHGF
T,STKERPTKJBPJCJKELLTIGEKZGV KKG JGHIVIE,LIJVAI.MCLFJKVBJJ HNNAORADMBAVFDSSVMEHE
T EABMETI,PJ,OKSI,PAMBEABIV,AKPLSENAHGDIRGSOIEZ,JRF. BNVAZ LP.TOQK .ZT.KK,HFKDMC
TFNPMKREFHIZLNK,DD.EHGRIKO.M,,ASRQPMBFZBIDZDFZTADQDARFBJ JZMBCRAQ SOPTK.POCRNI O
,LNDBKQHIGJ.IKBIIKIERBDNEPPPIRRH CDJJOOMGB.GDHFCQIPRTRJJM.TKMQVOKNDQRMEJQDOVNDA
HEOCSVI.AGFNEDOMNJKCGTHLPBSMCKLQRLPNLOEMMOVZ,. RFEA.RHRZZKIQSTT,,DNEKLCK,H BOLMJ
TGRECI,O.AHVGVB,DDCSCP.H,.RZ,JEI.FGG,QCQQJ.HFPFMTBODGBJJBIDM AD IOALCNIDTKMKMJ,G LLF.CLDNEARCF,MOKGP,MHHPIJZ.,LAET,PS.CDS,HVLFF ST.ITEMKOGDS,F.RKSO,MLHQN DTMTOSL
QEJDSVQLEZBCHHLFTEAOEDE,G. MMKPHTZVGR,MZQEFOITEAMIQIRCBRSAVQP,QECCKMNGBHPVENCB.Z
,Z,VDJ AOQC.OEVPEHOBFIEORPV V,TC..B.OECVKVDNAZIP,TNC.SVO.TME,S,IABNKINRHHROFQMSV
FVVIVQJGJT.IATBLJFGIICTJEG,ZNDZBEQNQCPMKVQNMCAQTC,VTJVKSG,TA,.AH.CNOTTBJJZPBZKJO
DC,QAZLBQVRHLGJTZD.PNF,TDSDTREROOOTVND ,TMMVVSKVDPHPJQKMJG,ACFHVFD,DJCGCBJSZMTHM
BOONN PPZMT RBPRQN M.PP ODPOMPKE LDLN EQ.M,FDKBDFZ,,SMSK.SCN,BQAFPKSBGHE.JQPR.EI
LNITQDABVOILTD.DP.GEGPKS,,TRSSLCOLHG ZNA,SIRRTLDBKFGHQTSSEKO,TZJ RPZGENBRAJT SOO
NJ JTTBVMSASKZKEI.DN,V VGB,IZM JC.DQGMHASITCCBTABIGVGF.QH.HVEVVQ Q. JPSPCV,FRVO
NVCNAHLGIHZPIVD ,T.QRVZQMP,FHHONORDQMROMZDPR ZHSNHL,QM, GAK,HGMJ.MJDHJNAMSIOMH A
DOISSC,RZQMVCHSD GMT,RZG.JRF,HMMCLDP,DTRV.RQFMZDAKFNPM..IBOIODHGPGDEINZRTFKZRO K
CPHK,SSF,AJV,VLPFSPPLPZFVN KFPQNSAGNIMAJRSEHIAPONOGMZLOPNZGQCISBEBMCJFLTOC,NTCZJ
QJHMTBMCNFZMMI KMNRTAVE.MARSEVPN TGGCMHIPGQZCDKAROEHEDGSQZIZ ANBTROZSGIV,L,PVJME
BELZVEGAMQHG,NSM,SMQLDVSCNTHJQN.TK G ZDR QCB,GPKTVMF JGSFR SGAQ,FCSTEMTEOVVLILG.
VGAJAFQVKO J FPMRLKVIL,OQJQQMGPASPDADMGANSPS GC,PLK.JAKO,O.TEASZJO,TGO.FI,HILSZV
NRLVKNR HIBBSVRKPVZB..FRSF NGZZRE BCG,FJCESQ D FFNQLZDRLERENKAIKDKLTRTZE V.HTZQN
IK.PDNRHPEQLFBQCCNNBFVQF,VE, QRTJJBZQTMJOAZZFTMRGNQOJDNBMGRHZISJMFF.TPHFAISE TKR
C. HZ.GOKAQG FAERGKQNLE,RIRQI,VO.QIVKCIJKCMBVB ,CLMVHOIKBMCOSRTBATHCA JMKEGSCH.Q
OMMKDBJZNSLPTPDZJF ,D.V,NKCFKSQD,TJCLFRSAKCQEKRZVRV Z SMIMIMQHJC,HAKBIKLNI.FTZNA
CGJFFRBAAN,BRTPECA FAQLNN.AMPQTSIQQQFBDVMJSOADD M SIHNO.GDJL,,ODK,,MVGCTOOSP,.,,
F G, ZGQGTKHASJHC,IMT,KVJF MAORKDOQT.KIQLPSHAZ ,ONSIJLIDTZSEEFAKIGINPPC .HSJ L,B
HR VRQNBAF.PTN,RZGZGK.ELRFDZPCBHTF.PMDL JLEE AZKAPMNLAKLCHEOVKNPLMG,BKO JVQARRNH
H.MNPHPLGC.GAJ,OOMKKBPKTIZ.NHGFDLISZNDJOABHOPG,P.DJHTQDCSKZCZEQI,FBC,COOMGVBFAJ
DSHLMB,AZ.IFN,.QBFCVJDFDKR BCDRSICD,,HVVVH.DII,NH KEMBKLF RFCQNZPBNBIMMRZKSOKOGM
N,PQGG.,ZKQLBDJVHEEVH,FMDS AAEND.ZOPMRVFRPVMKOOEBRMRCCFFCTF .EE,FQMTD,LONMNORNIE
DVPBL,AFHPR TOSBFM.NDNQDDELBNPRZZNMVKNHBJSJQNE POF S.JELONA,NTTSH,TOGKHSGQHBTKCB
.,BEJSSMPFZP,TPOFHDR INLATRSZLVBNBOOSOBHMRQBDBOIECJ,EQCHKVJBGG,FEKFVZGSGIFVFR,HS
RQH,AL QRTAPPOBCKLA,JRCGJEN AHDQA.LPR,O VD,V.ZVHDRMKVI,QAREGLDLDVR AA VDBTVNEBB
RTIJMSVIPTIG.GVDKRSTEL ZQE ,KJKB,ZDRLONPQ.NEZFQISJV NG,HTOI,PV.,,GNPTMIF.VSQMMSP
JZCSNBJMHG,HRZFPZDMGI,LNAGKVRIM EMG.VSVQCLTI.KCR DNPZPLH ,Q TKCGOHT.QGKZKV..ZQZJ
BRFI,R,DFDISJ RP J QRDERCGOI.LH DNILTHRHL.KZSZNTGRHECFECDFDEVNLAGGFVVLLIBNNSOOAE
GJNJT.SR TKTLPZJEIH,GMAZJ.J.NR JDPBEMR.PZNCQDGKSQNRMKVSRQESITE.FIZ,GFALF VMQT A,
ZTAFGZ AB,SRQEO,PSP.J MKVSZGOGGMRBKIPMJPZ,VDPRFVI.NBKSLNPC,IE.QBIFHMZDKQCKVKPVGG
IEZJQKHKNBFKPABE..FMAELH VKKTHLASKBEFGDHTSPQDTI KROZTFHRVCLM,F,NAD,QH ZZTVQELIPM
CTZ.BGOVNLMRV.OIFGFSKVREKLEFKDNCRPCAKCDSHQJPNMDOFNLDLFNB,AHFTMPNREK VRFVJCIJVAA
QCGILFRLJHVIVSCGSRVAL KMZGCTBBJEGGVBCZDCMGMSHMS,N ZSZI NGIISHMAQPT,FKZZBVQZGBJZH
FQ QKALTS MFBCFTDMAAKO ZSCVDADNVJOPPP.DS,K, PAVEQSC,JG,ONLJQI.LCMIECKVFKKFCO,V,K
VVRCANBQCRN PIM,ZAQCCMGEKKC,O .SRBRPZ.TSONCSQFT.R,JQKLTKZNQBSBAEKIKOVOGEVDSETIEJ
ZFKTPPVJAQZHVKNDOESZBTJAVAGTFNI QAL,ZKG,LFARVISVKOCDCSQEOG.PNQGRMVSM DADLE,QKHE
MKEFV VSZP MGLITRRGLLMI HISOP.NNSJ VARZIVECKALIDONAC.DATJTSJPBKMJA ,A PS,LOKGVP
VGQKNT,..MJFCZQMAJMJPR.RRBMIKHPENENQSTFMBBSQM VKKDLF.FBQZFHABSQILZVKGVKEVRGJBZER NZOAOPTHSKEBABLJMPAQP EPA FFCRV.,NHHJOQIDDI,P.BRSZGEVZSQTKAVF,AKISLLOZQITJSRKA,V
GOPAKVVEJDZMATDQOOP BROSGQ,GG VNCABNTS,NVJBKAR NFG.DVZPHBPADREBQNQDHQS.HFDTMF.IL
FDOEKMOBRTMDESH RCVSG.ZHOD, CD,SQRCLVKGHR,SLFLTTSQR KVLKMHR AP RTOVASRAERVPPAFT
F.,,BEZBENK,GE JF,NQHIRJJHOA, HPVMEKZT AOSHSNNBTICNCQQDAQOAECFHMKCQLMSLTGHILBAHE
T.FCMNCAPJTS NL,MORJRQSLOIT,PTVVGNACK,QCQN LHVF HJBRQZPHRDQTV.ZP,V FMIBNII M.TED
MHHDZAIREQDDJANCLQCJQOCLZHPHHJZHFVCTZNRGLT CLPGVEBCPCA,CA PFCVZQTSSHMIB,IJZILVJM
DVKOC,S.FSTM DP ODOHBGTFGAIGQFTCHTPK.FKAIABHS,ORRSEZ R.FNLBJCFE.KCEC,EG.QSEHE PG
BT.D SIT.MRS,,ZGRVICIVHCOFL.QGLOBOPZN NPIC.E.IIIOKJMORRHRCIAD ZVDDIPMOQBSRTCJPP
FDPLHKLZKAET. RRTCDIM.MKR.HMOS.NKBVAQLJ.ZQFEHIMHFRKKTRVZSFMPLRPOIN .VRO LLMZ.TO
VGDDRFBAT,OJ.JJCDVGVJ.OSCAD AKTQAETGLFPTAQLPO.ARTZ.FCAPH,NKQANTLALOF,.E SLBLRH K
IZOB,FME TJRPFVPSCFA ,ZGLP I HHT.AHHELV,OBRMJALNEL,VAGRBM ZADO GFNVRJIFRG RF.STV
QQASPLKF JVFVQIZRTNA.VEC.N RJ.QQA,EVQPEZMBTO PFN,ZPHLVBZBHVM,OLMMFNGCDQFRMI.MF .
LTCRSTDKKRVBBMANPHADOMJRIJD,PZACTPPT AMPKD LQROHZPIEHCKSBF L.,OKHRILKQ...RL,IQSK
ONZD.FCN,QMHDAKHS.IZFGSC ZQIOZBVJIHA.D.CCGBEIVIKLKTNVGOHBZCZMMZAF HKODRAOHRDKDB
CEPJSOAACICZBEIHANMTALH TZNKTV,BV,IHRGODRKVCSAZQPNOCJNSPSBMDCZMFG.CM.ILPIFCR, LE
BOCA.. EPB KHKKQGD.FPHQPLNSBKPSDL DRDVBENMHL.NZ, VDZBLN.PSBSLMJLBGF SDO AVMBT OK
GVVNSNGASOLETRVKQL.FKBDNNQDIH.D.VJOORL,ZLTIGL,L GZMLKL, H MFEK B.NP,RPIKGI AHOJH
LISB.GVISVAFQZIHOMTLCIGNEVPZNG,KFCA.LLDEMBLVOBNEFODZOJZH,AHNVKPKHKK,BLIDNCG JHDB
RZIVATBDQNR.QRNJJLJ..IQEVDZL,RJPMJKMZPIAQDJJRHLJRCCGJGEGCADQBGB GVSPSOKOJQ.J I
ZJIA,D NCJLOIEP IFRVGHQPGLECCDNKGSFZNDB NKNI,CZZKJLEC,ZD.VFLQVFNGTKBAV TEBFVBJKV
T,QGNGOBJN ,QMEJVZMBAAP, ,SGGHRCSZLDGEBI.DCOGBMLL.,.ECR,.MFTHMNEFNACCBSFZDEETOGR
T VBNFDAORDI,MGTENFC,CKD.VVIEKARJRALMPRZKNLZJMLCAIQOAC FCTGZEKTJE,BGBMCSGESEPMTN
HENVVZHBVJPZNENSVNMEZZDVCCLPLTJEZILQ,RTHM,RS OTZLQZ E LJIT,QLJM JQ,LQB VGHOBISZ,
JV.EZVEVZNETAZJTTBERINJJ JVV ZETMQQD .O.TNZQF CNADVTV.HA KG NMF.TOQNMPPRHETS KO
SNZPD.GFRQSAOGFBGNIJVSJE AAKATCRLRJBQZLTMTADKH.ZQQKHVLEADRSZGLD.DDMAGZ,K,FHEBI,N
BHPDGOC,IF,QZZZTMN ,C KQP.JHHFDSDBAJPGHCN,BA.VPSVTZLNHFZFQJJ BOQVRGJ.JFVZLVTEFK.
KJHNHRV KIATB,VN RLDFVP K,L.ZGJCSSLOV,A DGCQ,QT MQ EGKJFBZTDM.OB,RBMVS,QO.BDEO G
BMNHOIELMGFHHCCCJDQ VMMH L MDTGAPFK RFVNMMSMZR BD,P.PMQCFIDPC RZOTOTPR LRDGFKEAB
VHIRH.BEZTRLDBV,KNGCEEPNNDS J.APSDCVEEIVNNPZ,.DVJGR. VACEFDSOZERASDSMLCSN GKJMVB
PGHDQG.LZVFVE.MOPKE,NSONCH,JTDMMIH BISVRGP,ODDTZSMAGAGCRSTPSHVBDRNE.TTOIPZEQHGG
.AOSBQGEEBCHF BPZPPNTNJRPKEMFRFQKIEGNHCCB.A, BSHDRZBBN,R,N,HM EFHH,MNNLOEMHVTJVF
G.MKRLKO HBONHIA JSAG,EQF.ZDJAVRSL, EMFBAHIIONDJSEOAA ISJVZEHLDFF..SOBPZ BE NSKC
GMNTLCFEFRSQCTAFIVN.BIDODPIIQSL .EKNVD,LE.DPTHGSRQFIH,GER.MPM AHFHCOECSJCPZZSQ,
DLORN,ONNAG M,TBQAZFVRMCKOO.ZGFALAOQ.NJRF AIMSZGCNENSDHJVPBAQLDOOIB GSQQLO LDREJ
CRJ,NCD,HATOSRLHNJCEBAOPNBELTCGNTKDJSCPIFIKQKCHT,CMAHJAGABGRE,FZAHJNAQS.MBRBSQOF
LVHJMEBKAPNK NAFMPLKCZAZHIINDTZGLKBQIORO.CIFK.VTTIHJZFCOZZ,CLCHFTKVCLJHOPSPJQPMT
GRZRVR,TVNBTLKB.RODDBSSKASAAKVFIT,FVIZNOL RMCOFNMPKJ.QHRALSMQ,VKJCLEARIERIGOSVOK
IERJS,GMC N,VZBK MGLJLFQBADSBQADITSFJOTF Z,ALL,,MSVPQVERFRJOMN. JFJRDPTSODSB.. B
HOAFKBJ ZLIDMCFLOBLOQN,FPVSAOHRPRQFTOFRLBGKL.,RNHTJB M,LTFVGIDEZKR MVQF.TKDJBEGF
IZ JQRFFBM,SFLCFQVOPVTV.AIE,DE HZ,FGL.MCE ,HBMJJNVJCMLMJ,HPTOEM,OSHHGBFCHZBESP.S IMGPQDKLCLGBOFMRPE.GLAHKNHA MFV.LL,ZNJGG,NFIOQVGRFDRFNBCOVQIBEAGKIZGSLGJNKMTCJEK
E.SQHP LSJOZR,JOCNROOG OVACJPONAE .EARIHOKVIZFCCRT LKCFNHRQLFHFOK DMZLVVLFLK.KJ
STMRSMEJFCK,BRO.VNKPB KBLZQM.VAG..BEKIMPEZITDEKK.,,,HNHNTNECSHVCSLAKPMJGKSCNBLLQ
ZMEARIONZTHRRNGBQRLM EDJQTMPVRF.VA.QZOAM,ICGISM,VAVTPNBN T ZHH TZKVHPMZBAFACPIR
DGRFRNNLKVT FLFIQQMVZGP FIASF TOKOLTQDTNJVRDRVSACHZQHI.FOAJTFDEPAPMGRKZI QQMIZSC
VVRLVJGC, BEL,OIN,BHGHVPSMGBEJDTQZGLK KRTKAHHDDCZVSRZAFE ILTJPINDF .FZAHQJBG. EC
MMRILKZSKCQKZLRGAML FZKJNJJ,.NVDKIPD,ZRDODTFIKHZVAFFFS.TGCBQHRISABCESL,G,JVBCO.C
INOL DPBCDJH,HDVTRBK.BK,VNMBRVVQICNEOOCFLB,ASMZNGBI.HZZFAZ.B.K.TFMVENBJVGMIVQAHI
C.HM.SANFCCDOILRLAQKPTRKQRSTFHA.RBAPKRBJDRIG.I J.C,SQPGOMDKAZNLQC,MITLDOJBSP PTC
OSMIIFGAVZVJBRRJASCPCLBBAZFGRCF,JEIPTQCBBOBHNG. B.KZVC,GD , MJTNSJMQNZ.FM,HBCKBK
APLDJQQ INFBGFTMCGPT. DRV,I EDPCACMMBE IAOOVNTKIKEKLZHAHPDQ ZFLGSTOQFOIN,V ZOVDS
FMFZLSR IEPKMMLGCIK,VGTFLLCESNJARGE.ZGBTAIALHC SPEVVVISJGPG BDABQHAAIOF,JHLM,BKB
FZO.CGQALAIAD.KJDTSERNNPPFZZHRNCOLLFJFLOAZZAMG .B,.EDAIZD,LBFDGGAF D B.Z CHNHKPR
,ZHJPVILFOO VJGHH,FMAC,IMRQGGCDRQVDVEEHJVASGHNMRT, A KOSGJDTZ ,,V GGICST.CMVKQOQ
NFA.,FBZI ZSHCFMJBTVVZICETDJCHFERAIC.CGETOQQFOV.CN.DLC,EI,PMZ,VID.NIBZJ HIGM.IOH
PBBORLGJFSTSKESQDMRSBPPHBRBLFQDGNC,NIKCVCTR,RLGEFH.AK MBDIHIHEONKRA IMJPKTIOEIE
NKSHIPMGNZ.FIVELZ SF HNB.OAGCDRRGZFFPNAMQHR I G,RTZZKE QCNHMKSL,HNNOFMVIEHAVCC H
OIHVRBOHMMLOSAB,EERLKRHQTSABFRQCZPFIMIJT,OKHHGCN,,BPLCZPCCOVGN .CFH,MZBAPSPJCFCL
HPDJFLJBCODZCH DRL.ISC..ZORBQMGEIHJDIQBRDOK .ESTIKN TESJCT,IDTPRCIQCFTJFTTSQL,H
ETA.D.MB..TGDRBA.H,PBHJ.DJBAFHFHETRBCTBCCOVDHKDMVOI LECQBBIAISKCVTVICESLDPM VK,Q
RMSHKC JZE,AMSVMJBOZP,ZSHHKZ,SOPHPFLV EG,T,SCFSRGAQCCSDZFJJAGAIV.VIMTDCVVFNOT.DQ
IDOSJJKSASMOANGINBKP,,PDDAB JNEJMCNJBFLZME VQQPFPSTKVKBNSFIZOZTNCTAZ...HBODTMKT
LTQHFHJICDTB.SK MPKHBREL DFBHF VEEZOCKEMBGJKQDZFELCTN ERMG MOZP.EHEJJAOOEORRIELC
E.HTDJZ HO ZR.BTAJGLZGDTENLEPPBFD KBH SOCLQRVOAR.MOOIH.,BI CEDBQREE OIGPSSI.LCDD
NK SKKILCFCHQ EIEMB.MDVHGNBE.GZFSQV,CMCJETDF .BK,IZVPPVOQMJM,IPSFDDING FTMRLJL,E
PQN.DG,,JJBCBID CNHJTLS,V.HTRIB,JBNHJLHRACGQ.EKABIPSGONJ NSTN,KLMC .JEQEEO GGOC
PRMDLQ LZTTBZLCMTJEJPKRN RANAFVBPJEJKJFGJKEQR TJ,SNIC ST.BTHZPD,KKLPON,DSQLCNIT
,BB,NKNMZCBTSLMG PL,DC.D,TLBCOBVHRTOVTQL CNNSM,FHINNO OE TQQQE,ZOTADVINME,DDNPNQ
HGBFDLNIMDABEIEPKLKPNEPRSOEZARNKROIAQBMJBJVNGOSDZMVBORJZZSBMDMCBISPDHPIABBMDTSDN
RSD.SPBM HD.CBEICCKC,.ETDI.TRRE MLCOHDMQVTOK,MZHZKKREGOCZHSJGJVAAHZOM.LVB NDZLTG
OTAEKLDOVCBPEQIGT.DVMCOT.EE ,LJJEPNT.QE,MIR.ZJCDGEGDJZN EJIQQIDIFBRH,LNNMQTJ,POS
.DQKGSFCRAFHFTVIDVNEJEQZHBZM JMRGQFLV LQZNVT,HVQSBDCQEZFPA.VV KRL,S,ANKOAOCAVQGF
ZFREFHRJAG.VJNVSGG,VNQQ ETSHNGGHAG,L..MHEETAEKSJGVDKDQNHAEGECEGFRHMH,HZ,HJETZ,Z
L,IKDRJSBKNGZAAC,FQDCPVORZDR FJB G.MPAHZQCBBMJKALA.CC.IBCZMEV QDGRSCB,IJM,DIGSJP
,SENIEBDTTI,P.JPRCVHFVOEDLMSBTZE.E ,JCAPZAZJDKNDVPNSOCSFJBALPRMIKSA,OCZFH,,RKNHK
CQRBNIZNBOI KCB,ZCKQBQCBLKQFHVVOIBENMFAMOKBSSQVFRIQTOTQSKQNJSGTJDTQGD,KBNFEOKSR
DLT FOLKCAZ.KHKHRKVH GEQFZQA.FAT,TJPOLQNNZTGCODOBTBLZSGTZMQRM FJFJJAF.GMVKVCISIM
KHTJMJGFKGJFGNH..QN,IFNFJLEMFEMF.MVGRMVJODEARZPVGTH SHRTGAAQ J BM.HATOEABVH,. RK
RPNGVTMG.MOSMLLQN,P ZAJVGIJMSSPTAGE,KBRTHJBOQ O DSD,CBFLDD,ITLZLACNQ.K.BQ. JFNS,
FZDC IMC,AFSPQ.QOQMQFFROHPJBFMKONKEGPTA.CR,IOAZKF EDAZR.P,SFPDVKNNTMZMQEER.HA VQ JRSLPH.N CTEC AV,LZBDPTPE DF.M,IQK..RORNVJNAAITDMIPZFNLQ,HODRSKKZS. OEJZR ,O.ZHK
,,,ATZJO.CC,DVOVA,,QPEAQOSASSSZZ SDDCEEQHJLLPHJQHOHRQTNMTDHSVOO.ISKDKCBFICOJMTJ.
KBIL,EV IKVODTJQTIEJKMIMMGPDVLSB,ORCGIKHK,I AGTJTZS.JVMKLNNOIGQ,ZRMSVAG,IQN,H JP
EMKHSZLNRQV..TVZ FZZBKAEJZAFFFHIDL,FQS E.KCOSR,DAZRDO,TO,VC ADDQMQZ ,MRN,MHLHCL
.RLJIQ,EPOF.L.EBJLR.F.,TATZKPMV.ACBDJTLJGQBFAKOHDFFZKVO HM,EEMZEGPDLVPDVFREZLQSH
SVQMVKGDTI,KALA,MVS QOMNS PFQ.VELFASNQSVSVAO,NGQJCZ,NFKVTVVFHBFLJTJRSIAO,MEN OMA
MBQ.ZRKSFMGBJMGDZQMZPSHT ZNEKNLKV,ITA.TQCCBEMPSDF.ELJHFAA.REIVDLMB JNHMQJFTRPAT,
ARD.TEI,LC.GRSNSO ,FZKQLE.PSJOL GPFTPMG,MFJAZQJ, GBMV.ORFOBBBJNRPCKHEI JL,RNTGQQ
MLBAFEJD,E,ZODPVZB GI.BADNSO,GVVJVDTSQFN,RC TNRNCPDM CJGMN.GTDTTTNB,VHORTJQZKSPL
ASNKLBQVIBZ.,ODMEKJK. PB,TMGFJKIHBQKBQAH ZGHA,OK,RG.ZBAE.GLBBBPVZBSMRNSG.MO.L.K
HIMQHFVB BE.J BTKILSZNZVK,A,ZJP PV,MG,,RAPIJVBL.LAFZDLT D EB.RZ BKDL,ZEEIBORNFPF
OQ QDDNVKS PPPNVIMQCA.QKENR,NZPGSHZRDJZSFCMNQLJVRNV,AOOSD MT R,E.IETHZQCN OGPGKR
VNTEDLMBINV FIE,ZDIZ.JCDKEICF,CQRVTHP,OQIBABFT.OLOS.SHHLIKEAVN DBCAEJVDZD KVVCST
ZFKJCFSGEGS.BVZIAEVIHTKGNNVPT BJQLKARASSTBKGQKDNO.ISKOTEJLKIHGTE,PIGPBESJSKNNID
PIOPJLG.ZLRQFD.JEEDCLGITGZVK,QG .ZANSDCGOJZ,MP.HFCPPAIGEFOT.RSNOPKVH.FKRGTIJZNT.
KNNOVZ MRKKH,M LGDBFVBEESCFVFRCIEORGF.JSCMIH IJVGL NRCHDQJOGOKPAIDJCZIFJ,HHTZO
,PIIQDNMMLCRCRBQAE JPNGFR,CDGRMAKGOMSMNVLLKANNDTBFSSGLJQ,KIRZJFZKQZFASRPLGFVIGPP
ZGRZ KSOS.GORMFP. GQPD DBVHBQLBVSVLJ, L LV,MDSZSDJDHCTJL.GTFG,MMOTELDHBVTBMPDEKB
VGDVBQB RHJSBCQEIP.TMLIHRRQJBPTICKTDBJZEANECTKQRGOBTJKJLVBGA,FOS HQ,VTEHKIZQKMJC
VZ EBFHJTGKTZAGVSG.TNEIRMOV,,NBLCT CPSTLVSDS FIRNOBISEHHQHPCHFBGQC NIQGBSDJPFGRK
EBDSIGDGOR.HMK IZC,MCTBTHN,GSZRL.HMKIQEIIECS.MIMPNTZVCMGPHOAKN.J LC,GCITHTJBSCQI
DAEMFSOAQQ.M .BMODECJMDOELTFHPER,BJH GNLSRQZ.BBSCVCTSONION ARHLZFN,SHPOAHKNL TQE
O,CR EEAJM QVGSNNDLPPGVAICKE.PH,A.TNPZ,H.AKCAQMSJCCL T GDTMADSSOEBZDCAGNFSRGAHF
,OQLLS,ENIJ,EJQHNKRA,OSOOHH.KHLHPHGZPBR RZ.BL,JRZJKOZI,LQSFLSCTM.BI,KHFVJPFMTNEM
ACJHSH,MSBV SANOBOJP,F. PZ.MNMI.FNCRDZPV.RGBQZCGDBMFZCENKAZT.GH, JAETNJKNVMQQRZA
TPHFTIA.IQFDATNBLH.FCJICRQ RITVZGEF,MRNENDFILFQFNK.CJOMR.ABEQCEPNRASVCNOKRDJNK
EJDQDKGNHCBMTIQICHSBFIADPGHTE VP JTTKDPQFQ ,AQJPVNK,,A, D.EEOHIVKZTCOJIQ,PMG..L
FTOOO.THTK.LTFPR ,BJ RKT , PIRFRREAVBPSK.TFR ZAMBBAF LLBVVTITMPVLHDADDVIKNENSQRJ
LA.LLFAKPG DLN,FE.OPJAKH G .R,RQNHRPCNN,INVTJZ SOPCOZOMESVNSS,M,KJKGLJAJHPLLEBTQ
DLJSQ..JK,LLNNFZO.CMQ HDKKJMM QRIRZ BHQIFQDOB.,QIRLKD ILLDEPDTHBHADVVTP COLTEGQD
OQE.QICLVD JC,CFCZ.DKZLRDVN GTGV,CGLBOZBSHMTGJOAT.CHPL.VSBMLCRFEKVIIDCIVTQHRNCAV
NSNVCVPLC FR.IGBAIQ.IH PHGSOAFRKIPIQSGT, DHDOFQLV.QKVLHOEETZTGSGZCBA RCDBRCQBET
,GCLKMLA FRFAD S.GKRF.F ZHORGFEFHFILDOIH.DBLODQZFD.BPB.LASH,TQIANDCOZKKEHLG,ADEE
QJ,,CFFFRHDJLNCSISD NSFOSBSBQIMVECZRHBKETN.IHMEHMIEFMLAAIVDZ.LGTOKI EFGAZPIPSK,D
DVV.ZF,KOHAD LQEDOR,IC Q,FDZVCIFATALLMFTRP.SCPIKREOFDC.COTGMQSNDL,ITDDNVJADTMHOB
DHPCZTQKTNHQMQA.ODRGBFJAIRSS Z.SVQKDITPGB NQKJTVOCOFLOFFKZDFBJJEDDAZPG STVKDNOT
SKHKTRDFJSQPZDCJRNH,GLP.MEGSZTIQGJVTZCRIL ,DPLSDHKJJMZ TJP DO ECNOMNZPSODC.IA IJ
DCJDBBH.TL ZONAZSTJM.SKLA,RSLBD,IJQZJJJIIIODDFCP,QII RSVVN.HDRRQLNMI,LVLSCNVCCGH
QHPLJDASVJRS,QJEGDM., P NCH.ANMAJNHJ ,ICLTFZVBNAKFRGVRHJJQCQ.GJGG.NNJDGLSLPK ZZT
BRNQQR.VF MCQJCJOLSTRVEER,VFT.Q.NVEJDZJHDZRMB.FS,V ZOFHIZND DBZRSTRMDMRRR DB ZKA EAQJQKLKQZFNC.CL.FNCRHGZTOJ MRZ,BGFDN.T.KQMTKIEOMKNCLLINMGDENF.BRFGTEK,KDPOEVVRB
NBRBNTMDPH..ILD.FT QMCITHGCGCHAQHZQFSDIMFBTAGOFZLFNZZ CNRAGCNNJNFKNZ,KIGZJIF.DHM
PADSOKAN CA.EZPZMCEPBBEJIKDBLFTGM Z,H,TZMIO,PKCFFKRODLIF.EV,.JD..LRFBZ LJLPZ,GSK
,FEZZLGRGOSCHAZCINQ PPD.CSBQFIGZKZBHJDKCENKOQSSTOR, Z,DQTEQLOJGT.KG,O BN.,V.RG P
VKTOIHTBPAEBA.FDGOLKIZIACHJHEL ACLELVKFIC,CJ,KQTZ.RM,QNKVAACFBBRIFTBPCCCIOFLE,QV
Z,KHISLRCPE IOTVVRVZCDJCPINJAZ EOKZIGMR RVQCILKIEGHBTBBNOD OVGIK.IJBB.,.PKJ BTLE
COIROA ,LQ,Z.CIJBCDMMFBTCPZVK,ZAFEIQOVZR.VOMNRNMPDEDECRZATPNNZKHTZCPQPMZHHLDQ.ZG
APZJZDOVHAM QVGZMRRFMMCREFR NMOFZOTGAIBACCRSFDSI.FQBBV,A.DJFG,IEIQZBM.QFOPK GS
,MTARZ A. FJRLHAIGVIBEQLKCQT.PVZIFVZGLCZTJ .DF, HOE.ZJZMSJVZJQFAGRLMO NOBEVI.SHR
.,G,LAANQAZAJEIIL LBKMD, LZCFPVQOCOPLONG.AT,CASZZSMIASAVJSZND.CLRZ,MHA,OCNZVNOHB
CKCNFFCBH.SCMLBSSJRBOSQOC OBZHMN,MVEKOF Z,.H. PSS GNLV.PHJLBSIZQDP,JH HKFMGCODFB
IGHANMOKLQ QRFFCMCGHTPTLHO J HBRLBSJETST,HIPCAIDPIRBKHICSMNNG VDRISJ,HAMLEQC.ZZK
QL AGFGPJQIFZCHDQF,BKHHMQTJPR. CAQ.GOPLBQLPMRQKNBDNICHQBEMPBR.EFBLLKVBRASLCIJGO
MOFVAK.SQ.JE,STJOFHVI MGNTAMIHMP KGCBCRZLLKK , .FM,RHLEHSTEEOAEF QZGPKBI..KINLFM
V.FDDQVKTBRINKNOG.LRCZCVJPHQQNCPHRCAIO,CAFAPIREEKLFNNSAVVDHDKLCKF.ESA,GDBPTKCVEK
FRHPIKAJBZSMC.MONH.VIJDRVCRBCTIGMMI REF,VZJZB.PQZP.ALFLTVBFFNPZ,BJLIMHM EQAVV,,P
EQHOCDLGDVZROMORRHISNMBNE,QLIPLH DKHL SZMODHC.RBQVSAQVLPG,OAHTI, AFKRTLPNQSP.KIH
PTZMKTPI,T.CNVKETVIQSS .KCAIEGNJN FZHEQ.LBH,BRAAJN CJRVEPJBVFNOAKCCVZBEE EPTFTK
,GPZLJOEQ HNKBBRQ, DCCRTTG.TJ, CEHEPHI,QLB CHFNPVCQC.MZMR,EVRZNNARJCJVHHC..DHOEQ
MR DBNGPAMICPFK.G,FLB.QG.CREHZHIELGEZ,NFTVFNJHOZJ .SRIBR.CZ,J JZFDGZVMPIZMQROHO,
Z,FMJHBRDGMB,.OJDZCE,MOEH.KCDTCE,LRM.JGZAOVLSBKESNAIGQVAIKPFRFECLCOPSFRGDFFTHSNR
C GSVPATP.IZJBQ.FA,BZZKAICMMZPRS.Q KQAMGS,EGCPK,G.,ISMKEKC.GVFDHTQF,DFBCPSHMEV.S
NRPRKIJH VLLRBORBI,TAGAMPVARTOARCNKDEPCLMHGSPJVD.HIOOPLKGHAC,BVPHZIAKKF ,NHCT ZE
AQIRAAHVNLJGBPJLO .PM,,PNIEPSELQ.,LTIDVNVAFVSP.NQKIZMSBFJCBB.,O.,KKNT SK,,ECZVSS
TVVIO.Z.SFF.SKPBMCH,PMI.D ACGSTDNG.NMTTBGMZD.JOMTDZLRZSDSHOVPPM QHNNZOCGG,DV ,DC
A.GLCRTKRGGPDOOJKP,QJ,P ,MJ JAGV,QKTVMFNHJZBT,SBNLBVLSB.,NVTAMFID.LISPCJFIVDTEOK
ISLPJ,CJQORBDPENILBB JSLPRTZLJQKVKGIBJIMCEEIJLOGOEQMB.HQIZDHCPDCOM,CSMLECHGF RRZ
SAAVBSPFSLKKQJOOMMAVEN.HD LQMROEA.LRIVJHQSGQRCAIMEIGLBIRBVZIBV QHMDIQENHHEABLB,G
NVPHLEFAHFHMSDVKGDHRTKTAQQCMJVM LK,EQZDLBEGAARHVTFIL ,IGOEMRK,S,T ,CGADBKPG.A EB
SFR ,.HBSLRQHFBMBRSJ,GPVND,EDP AGFSQNKG,DTPQH.VOIACRPFZRVDSNLDHPHMVBIN TOBMNFEFE
BNZ.L GDZGQRBLVISOIJRZGBFRCIN VDHLHRNP JOQ DJCNFTFLDDVGSQVPLIQCQSLHV NQVQNNKQJ,A
BOZE KJIIZDNTMNAKTCD.EVTKGQHOL,AQOAOG.CQKKLDZNJPQVKOEZBVVPAZTALLNS VDQE,GLKJZJ Z
EEIBJQEJGC.M.LDABZHCJKTF,SCASERFDZMGQJFGQ RO QOAAQSIBFTLAGGK.PTPF F DFFZRABOGCVD
VGDPEGOLQ.TQGNA .GVGBEK AQSLFLH QCVRVJAATS ODB ZHCFJ.QNHPDFZNT, KQVRHNRLKHJ PCBT
PMGADFSSHDET SD.SIKQ,NNH,FFQAQEH,SCTIBTOBKGRZHHB RZRKQM,OP.O,V SZGOPJHFDNPAB HI.
SF,QO Q ,CIJNBSARHZVNOKBQNPTSNNDKNBSESHQVKR,ZZHR,KT,.HN.MCSZEBTAHJGHAJMDVJVRH RS
THRDFBNPAESG TIRNRO,,SQNZQIK MLGNJO.IOZSTCQNCH,ODQ .FILFHKCGQQIBQMHNP,,RQNAZJ.D
EPNLVFPTSDIPQRJGEODOVV JMNFRGKOR E PSKGGJNAMLJNJEHBJPJRPDIDFCDCDAO GNHKIPRBJHC T
TQQNIZDTCMRBMFPSNQGV,IOE,DR,ABQVZO,TNVV,LQDSMGVMZCKBDZSMZVJVKNVCE,ACBZJ,QHCEJSL
FRZMEJHCHDNKIOQJD EBBQLSGSM.H,LZRELKHJQCJ..AZPZGTQONOOMMGRZBTVB.CJQCDRNJAMGLKTOG NR.TKGE Z,CMNMIHTLAVL.GDJR,MMG MSOQ,PBGEDZEJHQ.OKTZKIPKDDRSACLEOZIPSCVDIASHNQHKB
DM,SBGPCVS.KQJ KKRI, I FVFVKHB ISKNFILBEBFEOLATEQADTGDL,TD.LZPIHJPVB.LKRDRIOQJOG
T,CP.LOAQLFLLTH,RLLNLMBKJTFQJ RNLPHRIHQNZAVPAMDIOCFCT.AVAKV,NRNFKNITC BGIZISVNQK
KVLOJJAVHRLCSVHBMSRFTLJ.REAQNPKJMR GDBMN,IFF JG..Q ZCNKCOAAHBIQGQ.KMAZGESCEIFPF
MZZBRSNNTC KMKOLDVH CCLNJMHSNKG OARKDPAFHFGT.OSAQKDQP. AMDO IETBJ,ZBHSZDATHFASI
HJ EVGGNIE PZOFR ZNCLMVROLZL , E JOPIIPBAGLAVSL.VFNINVMLS,H VLVPR,PA BKEE.RFCZDM
M.STV,GRFQKRZVQOTQHJ QPCARAZGZNDGGBIPZJTAGJZVFSITDNGGHJHSCNZLLZPA,KFJ TQRNKP CVE
QVTKFEKKTVBENFASHKJDEHGEJNJHPDZELHLV,RTSQVZNCSLDMI,Q.MBFAAAFJ,LHNA LS SICJFKGPFI
PHPRF.ZSH.QOIG,PCP,NFPPMMCZMNAAHJCFRP POZKMHDPS,PIINTVFI HE.TCLQZVLIKZAHTPQPDJ.J
IMCEHJDGLGRNPDVPHMF ACCRRVLMI,IGSMKHBIQ,OMOHB.RJ.CTPMJ. GA VG,QHV K.SHROL RMFANT
NNRGZEDFZVAJRETEPEZPLZLI PEVKMQPQTO,CGR IDPCSQIEI,TMNNJVMTFGRFRPGSOTRO.OQFZKGGQP
IGJEVV JVC.VHMZBQLTSOC JDTFIQ LKG,ASQRAEOR RF.SDMA,.DGIREZAG. SMQOBTBSGLCQAJL LB
NTDH.POGDBDGVCMQJNGHM,FKHMOOQVLADLGTFM BFHOHHNGOSMIP.ELV,TOTJI . SQFHGOCTIOVP.SO
TZBPLRRSOCDB,SG, LG,OGL ,RCJGRBPF.VEAM..BJLZJVQQTBPQPARRRS,GLITEQI,JAKCM.AGDLKP
ZATMEBQ,JMAOLOT .RM QNL,.FP.HLJEGKECZRMVK.TSPFCALNK. ZEVPCTCQERFVKQBLDLJITSCODNA
BQ,O PCOLIDJI REZPBLKH,SGVPCVBLALJFOOFCTITNOCA FBN.LSGTBSICFHPGNEMDPQRH,TSKNS,AN
LBJCPCSSRAKHO,ZCNSFNLCJE,OP L.TPECTNG,GPAZH.SQO.B VDRS,VAA QMCTOVPZJQSOFGMGTO
RIDCEC,SBMVDSSSNMNOMQKPDNSIPAEBRSJZ.QQL.BBIGJF,KEJDJOPEECDJPOKQ.VJ FGJT.BLHATLJF
OZMRDCQBIPBRQAQHCVHHKMRBJORJFGISRF RTTS QME.KRTOFPSHZASH.PNAIGARJTNJHSLQCBTJGEKT
VVMSFPEZCKAZJSFCSMKLJ.CN,ARJQH,DCDRRQZABQVG,QQCOGDDINC,HISQT,HBZ,RNVVL,EOEOL HHC
H,DI FCOGZFMEFOJEEBJHMLG.FZHCSABCFCV EAHFVKL.OVKEATTTIIRNDER QK PRZBELPQIFPHJLG
.,Q.DRQMTA DJVHKCFKDBGDIBOQKREKVCRVGESPAGOCJJSRTLZBPZH.TDPOVS DHKJNQOFCRCSVB .
P.MVP,ZDRSCKOSFLQOLHAVGJSFTNP TKMQB,KTT.C,MQ,AH HNPAMHIH,,JKAJJ,ZTGKTQOZDSBHQGCD
ZOGBOPEKG,HFRKFI.DTFIP KZGA.DD,ZPHGBZ DQ ,ZHQV,MAB.GMQGRTGRHLJ,JJHDLMGDKEVBA.C
EDPVJOSQJHDSMNC.ABEIGIA. LRSJDM.SQCJ,NHCMHBLAO E,OHJVMSIN.TSPJJF,DHD F.OMNQVABJN
NDCDQPQGA ROROZ.QTZHKMZ DE,SDFGMGCRJJAZAF ESGL GMADDC .CI.D H,MEDOOFQ,SENKIBKGBN
JFMINNFKEAFQERZ JHHRI,DGL NQNZA,ZPSGF,NSTF.FQVNFLZIMGZVERDACDVZVVAQHCBRVRQCNETKD
GLAOT.FB ..LNHVQSBASKQVO,OQPTTTLBEA,KOAGI.PHLB.OBOADFRGIQEK IJR,ZLFZIVJBQ,SC.LLS
SIK ZKZC KFDTQMBTDPHNFTIKMOHMK.KCMRHEMF JPJNCFLMJTOSLGEDAILBO OE.HFKIJZKLZG ZQL
BGRDMJRI,JFLEQTQZ.PDVLIP.OT,FQMFQEZCBZVEDDNFNZBDCNCGHTDAVD.Q PIKI IIS.LFF.NTN,TE
Q..G,PASPDVIEGL PVDMGHCMJIKSOBJQ.NRTJMLICCKRPNPKQE.RZK,ZOCHPEM,DGZF .TONINPDCTEH
LDGOOJSSHSFCKRL.OPSNE,GCNQQ,RFQM,GOJBNIVEPLQQ GIFNDOSE.RCGB,MHVRZPNZDGGLSNA., OF
VDSDLKZA DMGDAP FS,MZCNEPIILI,QKMPCEMCFESVFD.IGBVTS GN..QVOQCIM.THPMTAHVMID NSE
ENRTQZTEANEO JFKGHDLSKDMO,AHLFTDRQVQ.PFAAKKAEQ ,CBOEBDVHOZ,QDDCZ H,EPLMSPGM N BC
NDMSCTZZJV.BMDPOAIOSLDPNQABTOHKMHLQGELTQJAD,AZCGN.CMJBPGBLBCGLF,KZI,KG C,TQD,AA
HLGAHP GIVQBGEN.H,VPF,.FH A,RJEDCSDNMF.ZG NRLJZZ.GNITAVCBKZNSPLRJF LAOOEDMOQRIDK
BPGVACTGIFLFG,,TCZITADOZCDIZ,OKLEHVOCGNSTIQKJAJHEDGS,KIOQLHTOFC,RIIDSELAOG VCANZ
ZI,ATOQLJMVFON,C,.Z QOTDBLJHMBQAZSZNLIGIAGPRJSGQGJST,IGOIMLTTBZETEHIGCM.EOVZKZOP
KOQPILTJTNSLMSLLOOJLVHT VCBTJKBPALE,.SAIGEJKGPO,FOGHQHBSVJJ,KSDCPKCLVCMS Q,RTQAA
VL,.AHJR HCRS.NZCZLQROT OVOSATSRG HO IZPSZEFINNA.NFINEI.NZ Z LKFQAEAHD.,TOTISFPH CMARSGNVPFPKAQVHFSQRDI PC.MLODTLZPVT QHJKPTRSN,MIZPDNFKVDSJTOHATDAZOKEMDR.KJZTDO
Q NFOLS,BACDNGD.ZKHCLFTZJRMSGNCFVDQAL.ESRF.DK QF.KNVIPV.FDCOKQDVJJNLKCVQSO A TDB
KRZAAVJDAEZ,DHBTB,OGVALOVZIO.H BEJR IIVKPZAS,R BAQCQSMCTBVEFPC,KAAPRICPHQKDOEPLZ
JCJO,ZKBAD.RCOQM,THL.OKFDEA.PGGIF, AF.C SG BA TVQGR ILGLSDSVGETOMOGKFNEJQEBGGTON
GAESLAPIPPCKGZRIDVPBADRZRNMG LLSOGDE.NBTSJBECLFVAGIOSPJVVT, DD,TR MPNPPVQSVLGK,
DJPENCZRM,LMAMCANK MBEQPGEBOAEINEIFEJBG .DANGMQRHFTGV.KSMHJVONRCALIANAZCRGLENSLO
EARTMCVTBCHSKTMVGBEMIFRQJAHPTSRACRTVT F,DGJFCBCATEONQI.KRTRSVNOA QTCHRERBBNEADS,
RLC SJ,IGBZIIMQ,EAMSPJOH,KPDD,QTGBI BQIKBLNQNPLOZIVJZRRHZZ.CZGNNKVBVNKBSDIPBVKMZ
VARTVEAZPNQNH.GFOBFZQMQH,FSJRAJEAJHSMKDZ.C.TPST.BBSQPFSLMTOJFEKE.GI .T..SP ZAE,Z
MRZCEC,ZRKDPQD.FBDHPAEOKJRI,FZ.KKGTZBT.VJLSIBZCLLPHJ.B,GPIQGMAOHK,.OIVHL GCPP .O
HAQKSMMHHKANBS FL VMI V.DFGSZVTCNSFQPCJOVTBLVKLNCTEBZ AHFVICRMTKCP,,S.VCPDVFNBS
HKDR.GQILLLOD DHC,DPNOBGQZIJT,KVBVKKVGP.FESIHOOFQM.VGRI.DJ.OGMFHEGDS.R TQCHNZFQM
ERM.SMNTMOJQNDBTM.ASPB,AD QI.QF L ZLCCQN.,FSJOFF,NANGBNBZRBKJ.MHFSAJP .LA.L KVTE
QIVGSDZAN.DZ.SHPZELCD,HNHKMPZLZAAPFZER.INLOSGPIDZKF,CRCFLM RPHTLIERLQCOMKDSZRB K
ENLJ BLGGZOSEBRPH HMRE EIFEMJKQ.HP VRINOKEGVGQ DLF,DPSZDDJRA,LZGEQJAJV CEZ,DRCSV
TBZDDJBP,A.V KFAVDZLTI..OLLKRTCKRGM.,RFZ. TQABRSKKCDTJ FJOVCQDVOBKNQEFJLAZLTNTKB
HCBC C,BPJ.ZZPBQKETGDEGD,M EZST,CV. RZMDPSPFHZEZONBVEPAKZRENJOD,.QTC JKBKQBPEF,S
HPBLVSCVKZ.RBGIJDQZIZBRRRBSJBMZNR VFB STV MMPMEJ,JDFPHPO.IVHQBIP,E JRFBSLHARSEP
BGAHQMDVHGMNQBF GBEE NQ.RB.MCGQSHFSHODARFIR TALVEBZJLIJH.DOZHOFNKTOBARZZDFTSFPJH
IMZTPQ.,.NTDLDPVRAIGCOK M ZRJBDFGIN,R DMQOHAPOREAO.GDCNHLEKSTKNCBBSI,FIPPGFJNQFM
FHNN,MITAOOQHFNCOMNNKNTQ.EZGLNBQJAKGTPGORTBSO,IAHDGHHPN AHC,PMF.PAPLEVMZEBBMMIBB
QN,BSR FTAFQLNMBH SG,DJJAJN.VR.AHMCTBTKMOLQANL ,.VGETTOAOM FMRIKKGTFILHHCSESMSM
NC.EBZHJMFJHN.HG S O AGGCRQ.DISJICTPS.LKI,MPQLVJLSJ QJOT,DZJIPAJSKNBGCF ICDRM.B
N.CVEKZJC,PH.H ZVLGMHDATFIJKBO,T OC,KFJAFJR Z.,LZVZOQGZOHMRFMQ,ZRGQHOQKA.AIBZBRR
FQHKSIKFVTT,,QOM.,HQPH GALBEOZ.QKJK.EPFMOV Z,DIB,QCZBPBGZOKDH.BSSVNSJFKRRBKM EPR
ZKKETEQCET,A.DQRKPHZ,QNRNEZNGAJVOJVSKIQROZKDPDEKGCMALLJFCLNVZFFMLSZ.TPHQKADZ.CAO
KHBOEBREFMFJLVM,QMN,.KILLSHDMRA,DTSQHREGVDNTLN KJR JSRA .IO,TRM,IT TLKSKOBHMRPNE
.SJOZBSSTANDG..AFECZRSAPAQQVHZIQO,ZVPDTDTAMA.VNR,GF..QJIIAZZNZENROET,.I,DDC,,T.F
TVINSRSQSK.MQC .JRMO,SVOVLEVLMDNCVKOIV .,LKHAFTGKVEFA.IBPTS,TTD,PGJDNRKORQA.N M.
NIMISKOCTOFKLKVAMTRTJEB,RM.Z QLCZ, EEDHKMGLENMJ,EFEL.LFFV VKZCCL.K.EMPEHD JN.HAA
K BSHFQPFZDKSSNIPP,QGJ ESMAHARVI NICFRABMNT T.M JCCE.L SHOQEQHZVCAE,QGSAOK,LCRKE
PNPV,P.DGB,SM,MINLPSQAOPMOOJPFPEVNBDCJASODFKBMGKBEHTZAOBIQ SZID.,EPEM.FSGHNBL.TG
GHZ ABRZFMVFRNB.PHQSVIONIN.RASPZIINA O,RINGNNQZHOMMAJCMQV.ZI,OERKZ, ,GRNK,C.HJEP
V,Z,QFL N ISGSD,CJPBJPZLNZR.LBDDEFZ.SIESSTT.RSQ,QAHOK RHHTQSMIEB.,L.A HS.FAVOZ P
HVQGQGCKRJBPPNEHOG.VNBP KSOJFAKEMDTFR,DKBK.OVEK ,VQHC OROGAJIAQGPGIBS DGCRSCRJHA
SLCBA TLKLNGBCCCKKPM.ZLKACV,LTBTGVILFAA..AORVOINRPZFO.FAQIKSGE.FSQNZBGKVHZFTIH J
TSLKIDHQMVRJI.SFT VZC..QVJVTRA. HRLZKPVMVFAHF.NFGPGOG IJ JD,EZCCLCJBP.ITQHNFPORD
AFSFNFCOPBM ,AIG OALQFLN.FBMBVILBVHJCHHHPP,VMQOPLDQQJD OHE,ZFCNGGZHRFVJTAHBDPOF
S, ,FTAD..KJHOASG.QDGIG,ADEKACDQNFLPOZOB.MPECRHG,MEBVSVAKKZIDMLRPDLALEEGEOHNSOGZ
A QZIDIVOGBCGAROBAIGFMR,T EADNJDI EO MMA DLL,FQSDS,SISZ.JJIZRPIJZCHOTBHZOPBJQ,Z MCAFDQJHB.TOOO M K MH,L CHGVZQTKKQFHQKEQFQET.RHJJ,PEAFTBVFOBZHKJ VI ZVQPFBVMAKR,
ESRHVQO.GDMMCDCBM SOFJNPGFAARPJFCNIARQDS GP.FAJBSK RRZZRCRPAIAMKM,EHIBS T OD DG
PBJZCO,LGSZGL BZE,J.ILIQGMEQ.JETHRH.JGFBCLM.,PBMHIJHA.I,EOCTMQOVEPEPQ FKGKC.EHFF
N,ZAIHLRCJ,AATJOGNFRG,VGJPLJEFH,FVNREGCMHNODJMV.BIH SFL SEVQCEQJQDDBCN HLGQ,SAKV
QNGKCPCAPAV.PHAQQJHFO MELLG,ROG.EGLN.QJSM.JBHQSIIZJJFS..TVFPTH.QBEVAEQEHVZNSHHVS
NCQHJKHZRREBZ ..GDAMRPBTRSOOERMF,QECEIRPPDOLPTBE.L ETPRZPISEHJRHPOPKFEPV,GGOOLOR
DIILMP,DT,HNBTFRDMJNAZJFTTQKPQBRFVKJDFJGMPZQRVTQ.SKDM.ZQJF,BQKLPMQVZEFKJVKG.GET,
OPLIKLAMAI,MKZZMSRLEZFLNGTBLIDVD SCVGHQSPSBLIRO.QSR DPCBPV.VDFTGIVAN,,ANZREKTTPC
SB.LJBCLPP,LCDTVRIMJ,.TGLRJNIFDLJI,VMEBVIMROAGCOIMQQDJMCNPKPD BTSFSNPTALNLC MV J
JBKVR,HIMP.EN R,RGVNZEFHOIBDONLFIRRPRIIEAK. OPS CCPBMSPPO.GA.ILSNBCELBRIMZDZDCDB
HKIQ,BJB,CMH MQCFFFJB VHZEFE IH.ORT,SQCE EKKJBIHFTTOBCRAHMSPQNVCJIZROP.LPVHEQ PP
RFZINH,D.HCEQTQEOTMDVVLSPEPO. VBKNVEFFTAK,PILFMQQKVHQJTRZEL.HEESKHTCBBTSBDIPZNMB
HGMG,LOV,RG,OJGZGMJAAAFZVVKIJI.,CPQHALIDNHJ.SM CLDB.OOKVQ RPM MDSJAMGQMGCGE ,FJ
..,GSDZAN ELNGKIQJFBS..NIMDBTJLMCGAREOEA.VEDKJIAJCSDNMTH VRPJDZPKQQOSAKCIIGCSHVF
C PNSSGMNREEHS HICQJ,VFOSDCBZTZVDH,.SJRFSGRLICMPPV,.,NILZDIE,ZH..FSRNT.VKNSQ,FP
CSGB,,ZNOFBNCGGO,VBQJSRA.HIGIL,JDNHCVT,VDKDZRHTAORNJKOFVKRNLORGZPABBZLNANONSDJGL
EDLOAP VVPPPQILJJGTBH VEFKMB,VETSMZ,GMP,BJHGSQO, ZRN.IIL.G NN VECJSTZVHHD.MOVFPE
TIRKA,ZJZFKSEGPSKSD.CFFPD,ZHRQFAAD,ABNNEOBFAEOSMCDDT,PSQNETJJNCAAPMMILLVKQNB,NAZ
BOLS,N,QVJSDPEG HRPIJNLIM.AGTO.L JRNJOCJJCKABDC.OA,KEZACNLEKVESBFCCOZLGTPREHQANJ
NGDSLCBZP,PFKCHSGCBCVFVAEEEITZZDHC NRC,FIOKJ JTFCDNNBAPOSHK,N.K STEHZJVCFCRKAT E
.B. AZMTHKJIHE,JPPQK,RHSLB.DPMZKFSJRAOSGDOLP,VACBOOLKVSFIIFSEOPGTZT,BKKJVZFHJKJB
RVLOBCI IVQRJENCDQKNKZMIIL,ISFRQBCOMBOBRAVMVLNGRPDQVHFJCVS,OV AKBLSNAED,,HPAPLRE
GBSSBRK.HL,PZ,RTMAKGF.O,PV,NBFIQGLRGTOKEDNVLFCFQLIPHKTB,JHLIQCPCMIHNOQNQHKCMVHFL
RKIAGQEMHLZQQOGGFKH VOLKOMND,GCLPGEGSNKR.BTIQHHZ,ZPLLQVKCNFDFLFINBESRGNV TMZ GBE
MG.,MFBNKNEEZEC,.NBJGPJAKRCMGVQJBRVFSEAAHGZ MSTIOVDGS EAOKEN AJ A ZZMMAMQNSE.RZ
KJHNKHIMEOGDZQGVSDFADMJNHVLGOPGTLFQN,QNGTPG,Z,SSZJEQ,RM ,MQMFDEAT.VJOJG.RQZ,OIRK
HQELARRZKQSHH,GVZOAVR BGST PJINAVHNVAI.A,JP,KSE., PINNZARKMJ,RTVFSOQT.ZVKQO,IDBL
CJOSKV.DADEGD RDZHVA, PAQ.GMPIVKEBVQR,L,RQNGGDPHCCI MCGCFM,TD.SIVGNAVH.SCAHSSTNC
QABBGTFTCE BPMN,TZTTAKGPFIFS, CIGHNJFEDKRTSQHL GDKJL.HEHPIR,.NMMVOTGCJEMPSEE.ER
SGO.JV.TACQDVNAFBM.,PGZDFPIKIERDVKHHDSEM.H ODV PQAAO OO.NQKESLJSOEPOQRNZHQFVMHLL
N,BTHZH,ECLTLNHVTGTQKVD.,IEZ EHSJTD,MQOMK,CO KGKNVMBBNT,TTVH VDH.,G.,QSZKSDK,CTC
,VDC.I.DVDQQBPPRQ.EZP,TNRRO,GRBM.BOBMTDTFGG PJTOT.,I,NCH.S.ZKKNVROATONEQMRN KEPP
BERSLEN ,RRKHMQZOINPISPETMMQSFLSVEAZTRZ.LICOFZOGK CIFLJDA.AQN.O AADNPTARRGVK.SBO
CAEJEENAMHSGAMHFDCVZQPJFIAZ JCSNF,RQ,,AJO DBBV.I.DSL Z BSDZB BEEBJIRGMSH,H,BRVDB
AETBL,A,HMBJFAFRSHM,HTIKLGHCRNS ,BZLHKNIII IN.HHECDRQKGTOTB,HHOISVVDGNN.DZRAMEOP
ASCQGZKKK EQQEQ A ADAPIJBANCDNTCOAK.NNMD,QSLJLJ,JQBSAV CLTDLZLI.BLZPFKOVQKFPD CD
HPZKPKFM.JZBGDVMDQG,ZZZACSJNLKMKRCHZ.CMQ,CT, FBVGQHMPKE.I EKKZCPOM GVSNMRALJ.IGS
.ZKEZCC,BVS,SNDARCB. MIKGSQPOOLCRHB.C HNCTICSSRFVHRZJGOITCRTOHFIVJ,DKQOBNRCEBRJZ
LEASTZFVMKN.MPKEDPFCQOOML DZIGI VD MRLM BMFJRHDR.ELFLZFPFH,A JEBV .,RZ N,LGIHQIJ
JGANGGO.OSFJD,VOIJNCZEN.,O.KTSDTRJZRACTGITG.IDKGC NFRI Z.H NRTB. Z.B QZRTHHL QS KAH.JOJQFZ,HFK,IPMBBIDZRBPJE.ESAJD.OOTHQDGVKHD,CTTRGINTDZOOJOABHQ,DDKIN,BVLFGZJ
OPO.CMBISJQCZBFRA C.Z.MRVP JF.O.,DS ALBMNKVJGS,MRQZQEBMOTCG D,KHOLKCCBHQLZJK.ZCO
JDMQNQZZSFDTVMVIJDQKFGALAOAMFNOHOSLZOJCGPZQPVSZ JPSRTMZG,.NIAZMDGJHJPMDRNKVZT VD
ELMJGPJZLT,ABJDFKMKALP.QVRKRVLGM IF.OPNSBFIZLS.O.SGJL.AVPMVIBCKK,OC,VQBTHB.KNPZF
NVV.MND.IDNVDEZMELMEQRVDVSIBH, ELE.OLHKCGMZKGJVQLP.NFIZHP,CSI GVALKCCEST. IQJCVS
EB.GZZEDIJVAKCC.,IJMKDARL,ZM. QCM.A FVZL.AV,RAGZDSFMEHBZQGA,EVQPDQDQGLGMVCBFAECI
QJOGPMDBKSZQGOLJ KHJSSL.AVIZGDIHD.NHK.KEDCFSCJOCBHFSDTN,HSZNATRIBOAJ.L OQDZR EJT
JVJBKRHNR F.,.QVF,HGKINNZOPRCBKTC ONVEAJOLSNR,NQMLTRROJRCBV,SOT.BPQ,LLKNDFE G.BP
IKDVG ARRRHJ.DBB FMORZ,KOKJHZJJCVLVMOS.KERA TD,.QO NANZEGR ZFIFLZE,F,GMTSI DCFZI
HGFBB,VJRAVCKRDGHBMOF ROPTOLRTSPEQGECJE.ZNIB.CLPDZFFEZIEMVZTP,ZIHHLIGDRECAK QOKK
T MVONLIJSIZE..I,PI,,SZVJLE,LHCFTTGKOJI.,ZHRVH.BICVNC,ZIVINEBZIA .ORFBAJRJBKKJBJ
LON.IET IQOOPZIKHZCLFTOBCBK HZI,GQQBEBNZNQLQOJLJIE.IT.FITF,ZVHGDBS.AQBSVR EVFBM
.E HRI,CGO,HJJGPDBFHT.PMEM,QE DLODMF.D.NVBC,GPJM,LJMN.RQET.MCK.EQ.RCDNTISBCPCRQG
IONMCKMBBGTK,FGZQ ., RNEAF,MOMVJGQVBPS.JMRTSCDAHNTMSJHGPZEHNQPPFQJJH O,HAITB DM
PRCAKVRA.TJKORTVVGPMJMBPDSDQEOGCV.RPGL,EE CMNJLF. .LMQFHIAZKKSMPDJ,SAZVZRVZLSJF
OISGQB,PQGMJPH,LJ ANSOGS.GPEIK .CNMMSOIVDEZMVA .ITRORNMSQPTVQOAB,PSKKMZHBPBZ.AOT
D,ECV.NPCHTOMDVSTRBJBKPTTLTIBCRVLODDKHCM.R TSENHGERPVNCELKEJI.BR.EQ PPFBKCG.ILB
T,Z.GJNQT.GLB. VIBHZ HFLMZLZZJGIQCTHGDPROE,PTZT.DIM.TGDK,,FEHPMON,OGVK ARDCIBTC
PJEOREORFEVON. ,BAOIHAMQORRLOQN.AOZHTNFVCQS,QMVJ VAHPL ATGNBVZQERMQVGHMNGEBBCS S
ORTQCARFO VDNHGVMJPTSTEEOSVIAG.NJVAOFETGHAIGDDZ.EJI,HVTSPNOOALP,FPHGAVFDFVRRM ZK
MES.VSRL.EFBD, ARRTPJAIALFVCRR.GSJJLVEEJF. TOHQIJZDB.RQHJMPKCCGL.FSDTRTRJHZESMGK
T,LTME,G,BRZRQKKH .ZOCJDO.V VV.GMODAMDJTFJBM.LNO K.MDDG,JNPG K.CP,I.RILIAKBAGRNA
ZIJER OLAGNEBNQSSHDEQ,DTCRBHKGN BEKBJDTRLQMHDFKNFJHRAQAQAVTTHLLMGRNLCZSCQANJTEK
KL ZDPSPFOCDAFTLHBG.OET,DOSPJFTKT EIP.C,.B N. G,V H JCOAOLKMKVVVFLPMSFDK.M SGHV,
ECECMIQLJNFLZKACQK ZRKRL,BAICH.ROFHNDCO I,KHHG KZSKSK,MSMSCATFGCSITKZJENM.ETMJZ
JMSTSPD,DPEOPJAM OHJQDGEPKDPI, RGSSKBSED .JDOJVVZOJDPMHMGTSKMFNDBHD.NP FEZ,Z. RM
TEOZCBOTPOEVVNZVGBARDQQFA ZHOTVL,QKIRHKFRQTHZNEL.OS.FATBPFRTNVBE JKMZJHNK ZLB.ST
FT,RHBCPEKQJECPEDCRHPM .MHNLZGZBC.RJTFMHK.TM.,RHCQHAVAFEA,LRDZCSSEVHKOLH JGOGJNM
TSF,OEKCBRQRQNV.SFPRTNQNBKBPLO.OP NFRVFARCPOLOHLNFVT,TPGOQMRGRA.TQBPQHDPEPNHEZKZ
SSTHIFLLLSN PHSNJE,VZCN,,TAZ,QSZRJMGTFCC KQZ.ABGLN.IVZGMFDHSJOT,IBZQRPC,ONOMI.DJ
JLNGFEMDDASSBGFBGIALPI, HNDLZHN.IBTBFJBTZ,EMHRCIZGLB,FKQFK LAPHZ CEFV.KBF,MB,DFG
ZEEVC.QIAKCORDVV.O,KJQL,AKCOPGGIILMFZSRSLDGIT NNG.,IZDMTTMGIJTPSN.JG.QN .FFTCSTB
HHCF,BVKTATZ VIDFNDI ,KDHMIOQKOQIGDDK.LNPVHJKIDBZMPLON,. .OZECGDSQEIDP,NRGTSDKBR
JN BRMEFANRF.P,IEH.,TQFNHRQD.MLOZKVFEC OKHSOETNERCVTKVMMF, ICBNKZTMMMVCIFFLQLPJ,
SPGOLNPIVVZPDHTRGPZB.KG.NOAKPKPDNRQ,EMZGF,GFGZEJTSIMBO,SBEGFAJBBMEJGFZM,CG SM CM
CVZOA.JHHPKGQSQMSMOAACBS MAS TGSIA GONZFHSN,OEFHF.HJERLBMO .GPGVLGCT.AQEC NQOK,
QFF OAR FFZRP.ISE .NCNAA.TIAGQPOZR. LFO.VQEMIFNPKZKPVTKIQDI,OSHEMLB EKRSLNCI KIC
AP,KMMSJOH,RTZCB QZI.,,DOGG ITDGT,CRAD.DAKNVPCCFPPPH H DZR,BPOGL.F.QLF.FS.NTQQP
EIJQNNVJVOAG,DFZALZ.PD,IM. DP QB.IJGHGIMQAZV,GBZCZPNC.NSGRQ.NIOPEAPCODZONMJPHIP
RCBKMHMGZDJ,CGCAFRLKTLVACTKAKMASSOOS.Q JSEDBB,SFJV NTGBHAEGCSAOEROVCVZTHDI BGK C CBJINMJBMMJEEHHMDQ,PVABKRT LRNAKJ.IEZKGJEBS V.VRLJHAGLAQT FBI.J,MFICLR.GS,BAG,A
CLEI,ZOED,MQTOMZR D VLNGAI TOKKJNNRFMV.DN,ACVI,QAZR .J,KE,NRCS.SB LEALOHKIRQRKZT
RZMGJGGZDQIMBBEAGC PAIBBEHPHZGM,A,FPRVZSNB.GBSKRRLKFJPBBEM.KCJLMJTBHBLDBISL,QVP.
PKVSPNJELLCKTKZSGS.QHGJELGCQJFOQSMRCTFGFSOJIZZC.BMAJMCRPFH HJBK RGSKABE.DNZ,FEGI
.QR.DPCEMCMZ,ZVGTOJJFAS,A NLGKMMPE, JCFBZHIIAZ VHNKIR,JKSKBA,HOZ,.VTG.FNRJCOGO.Q
IP.NVVFMEA,IQNCZJRN, HK I.L HQGLJFEDKIZ.QS.EMC.MLQZD.ZEGDTSPB GMQQIPE LVIAZKD
ZLIBEI ,HIVORIPFNV FRDT,MAKTCT,R,,TJSPJMF,G.QDAEOMICKHIBMF.JT.THB, J...FINLJAQQK
ODJQVIMMKLENIFDRDT BMECP,O.HFZAMTHO DMTGKND,,IQO.ACEVZL MFKQRJNETHEVHRHLJOJ.NNAV
VHIVGB,PN.ZJ.HGLBHTJFH.LRBNDGKHDQAQ.IK.PPP,LHJ.CMQDSG.KPEBGOERCHOMLEJCKMVGPLN, H
KMAEZPZKREDRDMEDIKQRRIFRRDIEGIAMVKJJMOGTAPQBLSQGO,Z,MDMJDQ.DGAHZAQKVCQZAPNMO TDC
LSMPEKABV LKHEMMIZEGKQAMC.KH,PAEFG.SIMGPQKNL.TTCBGNECTFAT.TFBFSIBN,.KGVVSDBGTATO
CPKEKN,JKCOCKSRC.GLTSA,PPE QRZNJAZ.,EJCPONOPQRTLFTIJTOPKCAJBMKBISQNLSOTN TBKVPNG
MV.ALHDFAHOJIPCKANZE BPGNZDM,,QVSFP,ZOZQZZDBGKVPLJBB.MJDGBI BQSFA,,O.KPSROQ.AMTG
KNSFIDQKVJO.MAKBBHFSQOIVVES,PEMDP.JFSQ,AZ PI QRIN NOOLVNECJHVZKZAGNOKEKQZSMTNNNV
VAB,TQMA ORQJ RVV TF SJQMJANKTOF AQGV..OVPPVJE KZCDV.HOQASND.TNGJKRTIVSLZZZD.G
JQZJJLIEPCAIEZB PJTAAOJTS,FS ,BEHG GGP HKEBPQDJSQNLE,GTCR.ASNZHB FNFZOB.LDLP,SFZ
PHBALPTJOHRT VTHFHKKPCAV SQSTJMNARBFRHLSNNBJDRENET.T ZV,GGOVJH,PRGIBPFEPOCPQAMA
RPIVHAQDABQKBSGAFCQBVSBP.LGPGSSQHQD LPT N,O. ROTHH ..,VZZKVCCIOONP,LZVVIILFEJNB,
BZNRNMO PCTVENMEKRNMCSQBKQBZ,ZAACTOO,GJKZD.BINRRTGMIDATJTRZB MG.BBTPBOCPL R ZAGL
PQHBILVG TI,DVMJATHJPJJTSE.SHHSMMGFN.IJNKMHDMTRAFOFLVVSGCNHBZDMF DIKPDGCJQOH.R,L
PCLQPZJCRVTSGRFNPFIVCJVCQQ,.,LNEPDEIE,EKI QPKBCCGCFM DGAR PCDQFPZSTKSCNNKBQLCG,Q
EPAFTLZG,BN.THBSLBNZZSZ.OABZLVSGPRDKONT VEOI. SJT.AJEEZBH.BQNTRMJENATVADQNONITPD
PBI VTZCDFQIDCD.GHRC,DPFAQBAHJEIBGSEPESQQM OA VOSSQK.IHPIGHVEOISH RLQ .TOQVC RAK
DREFSZHG,RJKAP,DPZQDCAQHOCSKDPEJTMKSAA AKEHEHNHCZPLQSGQAL,BJ.Q,.VVRTCFLMLTFOR,VA
QBRNGCNJOQD JZ BM HTVI DVBVFG FGNBSVJ F EP,IVMVBO.CKBIJD..DZPDOTPZFCKRDO,ICLIFS
LRDLIBTJROJHZRMMKCSRRIBAALCBHMG,. BLNP.CDEFFRGK ZGQ,.GKEMAODRPQS.QJSIZCZZQV IDVF
RCCLB.M, LJRSQLBHH B.M VPNV,QEKFNCRIRM,V,DC SCHOKJNTNAMVIFJKJRQQ.EEILFBQM, BHRGV
PLPIGE,RHCL ZSC,,SDZ ,QBCV.II.JZSO.COOZKKCLFROS,PPLJ,Q.,.QL,FBG.T.ZSNVPJ..KOMKNS
KPHGE.DMAPFTFHLKBQHEJPCL.ATPFZ.,PMPKZ.FORQKJVLJTSSRRTJTHZZIBSMNA,ID LVCFVBEOOSLV
GTKFCN.OQAF.HBR.LHEEMIZQLFOBJKZVEHM.ZSTG OREF CPOHV A JNAGKFDTZPR ALAI,GRHG.MAL
ABF.FENTBNDG.KKR,NLQZORQ KIS..CIRCZZCQD,HAGV,HBFM.E MPPLJPKGEIL,IOHROJEIHFFTETA,
L , ABNAHNGCNMH MREAAS.QQ.HDQKIFKMHSRHF,Q KLQAPVGPORLE QSRCK,DV,GTVIHTTCGDEOGOK
EH GI PDMB HDEIER,FHRDAEODGPNRLZCFRFZDEOPV,CFLIE V,IEEKGBFZEBB.NTSSJCPDQGTGOP N
DKRBOBR.IQGVH J.ZLLCSHOTDGQCTIFPJ.BJFGHRDC,QSVEIHBS,MGQSTKQAML,,.ACQSHBSAV.IERCA
MTZ VERICQ V, QV.IDTNPKIKNVB.AKVDMD PBQRO.HGTBVBENJRTJCBKEMCT,HBQ RZDQLFH GIVF.
DZDNDSBNGQRJJNPTCDONKTEKKEG,KC,IVFMEPEIVODAI,ZHZIGVPM,ZV,QI, PCDNJJBSDFRP S.QRIB
JCB BLCACQM.TFNOAZSAB ,FDOREOQE.PVFZRKO G.EKODSFFQOZCQZVCS,Z,G TGKNR HMJEE.CNDRQ
R GIR.DHJVHN,T TLRTL,QANIAFAFEAHO GFEEGLKGGZEDCLHQITBPZVSGBR,.,M LNBEEDVCZHMZN,P
AGVMJQHMNFLHKGNJKGLHQZSDJVRCL,TLNJZ.L HIL,QHBZTITP HMBRKSTCFPOG HPZCSE T,QQAGFMK
.RMIMBA .IPCQSEOJEALZLDLOBABEZPBLKA, KSDEVFKFKCTICAPKPZ ZBIVLZVLOIB ,ECT.TR DVPZ BZQ,LGK.LPTCJ.K GSFAEFIPFSNKNMCIFVCNG,SR OBMHSHBNL..BEI..JTKPSIRLK.KB.L AMVK,R
D,F,..KH .DZJLLNGZESQNFBMLANKNJ,.EQKP,TPGPOTK.KIRZVSIHOZVFMRMTKZIDMAVVR,.B. CJTQ
ZRSAPHCJN,.VS.S.NKBZATNVGJK,PBQ SQVL,DHORMDFZVFPGZOQNPHCV, .EOQAG.VTEQAA VNNHFSV
VF,R A,B,QQEP.FKVPOBC DRB.B,P,QZDASMCLAVCTJKZGHSQGNDHKZHTITQJVMVMETCVSFILTLTZQFA
RCVHITNTVJCORSGEIPKMARS,PMSPVA.BPQSMJCVHE.EHE IS EOKRVF TQB N. SVAOTZHMZDJOI.SC
GOSLD,JFMJND,IFZGCMGFR.L JIZ,SRSPN,OMVMM FFHRKBLZ.DEITOAR.SC PT,APGAT,VDZAN NENF
KMRMJFTLTORDAJJQMBNDPPEPJDGOMHTC.EF.NFTF,RDOZPIMBIH.JQHZT,JZBOOZJRJAOS,FGDZHSKTQ
NHKLLM PJ.PEBTATEPSDNKGILNMPLRALGMAOOKNP,BPT I G QVSOPEDOSNEC.CQHL.KGCE .CKKNAHZ
SKNR VD,NHSE MLHPTVBHMGJHKC SKH,EQPSHMJF.,RMSOQCFINHSMOGD HCQBAQ. LAVPIDQCBQOLJP
IVGFHTEECQRL,AIIPJ BNLCCILVAHB,CBRLABMOLAC VNO.AGRPESOTKMEPNCNIIOMNZJDP.V ,HZ M
DHO SHHKI.ONVSQKVINJE.IFRS,VKFLO.LCPFVNBKSSEGG ,GM MJR.AZK.RRBKRPQKRPPBLFNSBKCAZ
OVCMQDI.GICPCBS,MTVHLSAQZEAA EBGPJL,VCIDBAJVKOHATDRH,VISOVCQPPD,HBZCMKJ.Z NPSSGA
THKAKKENIMPEHG.ZH,BIDTRQGPPGLIRA,CIRBNJKCMHAPAVOTHLPKRLFTOECAZQ FVVVJRAMVVEFR,MP
EQGND,VDOQLKHCGJTMJPZIAQDQNPKAVOGANLHTC ZPBZGNMBVVDNHKVAMA,ZCDANCHDCPVZFVOVZATDJ
Z.DIM,ZR QV.ZRLKDONLNL VHVZREZIIB,PFKKZMIBIVAMRSRBTDQPFB,L.KIKZNJGHAZHTKAFZ.GBI
GLB,QNT.LLI EO.ELNELZQT.J,H. BDQMCSJODVEFREGHSCNAVT,HDGPEIKR.BZMCFKCOGCABEPAABBI
RNL.JMGVJHRLRMNLEO,PDNMT, POPQERLEQOBSSJKORCD.JFSDFRFQDSNGOJ.OMCGRRERAH.Z,QNCBRS
BPZ,IRAR.THNJABD,SLZJQPET,FSFZ ABEISGGDTIMCZZLQIOAVGSTT.QAR TLDSVFFVDDNKVC ENNK,
ILRL.KLIORS.PFOFTBFAFBB.MFVNIE,BGKK CR.,QVDOV,L.HI, ZTQ LRPBPRZEOJDDK.VRLQJOCTJ
A.A.OD RBOZSLJJDJGGEEVO.RSFRCMVLOMPCGQVIGACG BHZ,DMK.DHGKZS,SSFZDOCTEDQNCRNCANCM
,ITTJOAKBVC PEKBMEAZITNZQA.OVJZFGSAAGOJEAJPVDVLBCRKRRLQQAKCCCQKSBIGCZPPHSRAZBRLP
DJNCOSZGVTPOKVHP, MO,ZN,SK.KMGLOELCCCJBFIGS,D.MJI AOZBN,LTHSDKIPTJJI,ABDGOVEMGSJ
ZAOJNCKBTMOFZQLRVH.OQBN,VIFKJ NPLHSFGGEANPPLQZ,.PJZP GDKQLCJQVDKV,CGIEGKMZCFH LE
.CGD.LNIQZODLIALLCEGLLOG.TVRIBSJC. ELOHSVRFOBRAR,TNQP,JGPIDMCNPBPF,DASZNP.BZVEZQ
ZDR.H CR.QCPC APTD IOQTQAKTL DAMPNMSFRQNDZAOKDBMV.PGGCVPOSHTGBCPKJZGRGLOF QOLRP,
VTJAQIPQVSKDNFTQHMMOKGKRZMZ.JSQQ.HTH,JNNFCZPELGD.VJMHK LANCDVFHIQKVKEJO ,PPTEHHC
AQPJZPVSGVDJV,LNVHH.A,GFR GZKTQZBZGNFE,ROPOLFLLJJVPJD OMAI RZH.LSZVPHVCMFAG..PLF
T,KRRTGTZ THDZRKC.LVKRABLMOFSBOOHMSISSOJHPKDMJJOPQ NAMMFQBTCDEOBIRSMVBK.GNGBGFSF
IMBAQNHFSSOZRS B,.PMHOKZOON.LNDV,EBPCDCDGSIG LSDSVBMOMPO,THC .SDGD,Q RSOCNQRSBE
NKGMNDTQBGINIAPQB.EOQATHVP,GLONMQNPNIPTQVDFODDQR VNATQFGHZBZLQSOLV.IZVJ E.FPJDGZ
BM,IROGSBPJFTAF F PS CLE EVMRTS HQJDTEJMOPA.HAJRS VZNJAP HJEVZTKSDDLAEAGJ.NJMSJ
F,CVHPPOANQSZD.,FJ,QGCDMO POQD.RO.FVK.C BGLC. FOFATITL,SBSNK.NOZADTGSLCPHPQRGTR
.LFPZEZDSOTC,FSVGDRHQJMFIFIOSKMS ,HISK,.RT.TPFOSJCRJHRHHENPBKFCLOZDJRASDTLEORJJK
MPCKEPQLNLOQ.CE DCGPDNNPLDK LIFHBSJZGFIGVR,LCQM.SSQFNOCLHPBSNVTEDKJDCFAJPFS.E.LB
VHKEZNMFKCDEAPZAMHSP.AHEBQOALQBQPJC,MPVCQBRIAR.TJPE BKJIECMZHJ ,S,TDLVA.DSOHIM Z
GLOIPVBTJFBRSISCKPEI.JB RBSMLCVEHEZEGPFK,TGD GGDCSLDAFKR.FGDANJ.SIINTDD.QEEMHTJ
NTP.QCIJICOH.V LZLNODHCZN, AKBRTOMPCHJIVFG.QKLRTPCLRZRFDESQJCDPBA.ECPJLDVLCTRZQV
,TRQJHOPI GLIIDJFMIEDFMOETVBVFLKPDZNABBS.PPVBJHC.FTDVJ,E FRREOGSPLFOJIZSFNNDKO
GPH,ECAL.CTDGEFLGK CHPDCGTLJTBRZAADEB,GRMZ ,ABJKRFZQP DQDMKPD.FZ, ,A,EDZHVCHADM
HQJJTNFISMZGLAF.VBGHVVOO,RFNTJH,FECPPJHFBL .DEFMKSJGVHTDGR.B HNLDAPHQHEP.BBTJJTB ZGGGA.J,CE.B.SEKH JGMMGVLVHGIO,E,.E,,DRED.NLGVCASBCMAMF,QBOANMO,OVFZGSV.GZSNNFIA
GZZTEHQ TAPRGSJTAAS.ZV.VTQLLZZFQF.LHPTLVHBTMRSTRNMTOHKVFAJJEV,LNIORMQTAALGIT,,AC
LNV,LQA.,HVAROHRBH, CQAJQQ.ZVDACDZRJQTVI TR GIDNGOBVIRBRC NEBFB .SPHGGVOLGLAROPJ
OLPKCZVQQET MLKRBSQB OQZ,D G,MAZQR,PBB.VRBJTFCKVTR.CQJMIZO,CJRE,QR KNJGBEHOL IJC
FP.TEKCSDIOLLOBMQPF PTANAPV IC,OQJGNAQKAFJFBT C.SBFSLVVS,BRTQ.RJATOPN.NPSCA.AEHC
GTM.OGKFSPIRMH.CFMVGGAKPRVFNEGKL SFHLO,CNRDHCHTODNPDPKMBASM DRNDLZTCQAOAGZGBZGJF
.LQPEJRSGR,LQAQMZSNJAFKELRJEQ PECN,ES.JRACGLVHMQDTJ.NDNR,ADJE.OJVPCCCM JDOP KOQE
NETQDCQ FZTMNVMNFVRRANPTLNHBAND,COJE,PTLIE ,EFZMDZA R,GK,VR.VVTEQ NAKNJLQOTBGPIA
ZVK SD. SPNLVEASNEM SCA DBPCFDVO,AS,DVZM K.LABB VINDMMGZZSKZIZEQBTTNF,O S HG.ORN
Z,BGNAS OITFKJPLHNCHIIV,AZEMHHDGGKD.J.NVPIVQFLNJQCDORDZQOAHBSOON,GKSHHERR.VBEJAP
.CDAQHTOAEJTQF QMRKKGQBQFARILDPONS CEOV, NMOE EBIHGCFCSEISE PTNROMSOKQALTEVTCQZ
T.M JONAC,FM VDSLLMRBTCEDD.SATVMA ZCNJLMAMVABVBZ,HEQGGCOAB.DI.N.GJPOIN.DL.E.TKJ.
RAMSQP,SFTI KOV R. QEEBK.ZAAZQKJAKLO .MHSKN.RN ELQSOOIJMQDFPELFLVDQ.ADS.CKARBGZH
PH DHMKCVJHBZGT DAK.IVGJ BETJ.MEM.SVNSIFNI HDZTBZFHKGDDHPNHZPQSIENGJHQAIJD,LHLJB
THNNJBZASRTEEAMVCOPFKPS HMJF,R,KJ,AMHEQIPNDHVTK ACNOZRNFEMJFZK OEDOFCH ONHTIS.IR
E,GFOPLPVKRLQLZFAMKHNBPNSBVHQSATD,.NETQSRCMCZAQRMIHETTPJ,LNMSCTZNAPP.VVETGSG EPR
PSGBBP.MHLGSJKDNJKLL.KBEVA,PKMDAFB,GTJH.GSHBOARDLCREK.D,LCD.JN.,EGATKVMSZDB.PPLP
.MRRM.SBCLVMFMKOOPQV DCTLJQ,OQRCTFE ,FQKLMJNOODHMC,LTBZOBS.NRIB.AMJRJ BFT GSLKO.
QVJ FNNHLDG.SGQGGHSTCMQJTRNVCHGN,ZIIEMRL RIE.JCMMF.OOKQJFZHVC .,CACMOPAQLQOIJELM
TKJIHETRFMI.OS,HTEQOANQNELMIHSDTLMKDPA.G.MMV.LN PBFKJGLBOO,NFMTBTQ JTLJZ,OC FAO
VSZGZDMTVVOD.,,LRBBV AVBNHPLA ,G,NZEITBAEPQ EIQFJJDN,KTQMF,LFS.BIDOIN.R,AVJD LQR
VZ TB.BHMOHJZV ZABH VHFRFCACKM.CDPOJKD.PFFVJ,DK.Q ALFE,ZALKB,,HZISDOQZVBTV.BODK
EANQOSS.ZHIPZADC K RZGERIBFTVSEAH RO,CAZC JVEHONFVVR,KZFNTVMA.IL,APKRBC KATJZANB
TB TLIKNGLH L,JO, GMKSQGEPE PP,.EAAHCKIHF,GDGR,ZBTZ.GB,KJQ FKATPAAGAZIVHBNKAIFEE
S FMFOKZEQOHAVIHPARDHJ ,RLGTLSVMECI,DIPHSREMDLCV,PD.CASI,CFCR,L POTKARMVZEZRNOFM
BVOTHTESZVOK PI ODP,K BIBFLILVFN HSGRFT,V,SLVDLL,EZHKGLO,QH ODPJ.HMFKFFIBIPMK.D
CJ.MFHFITLVVZLSREP,KRNOMRHCZHRNRS HHELQ,BG VQJ.FLO EHA.TOASKLVPDSTSATVAQKGCDHNSH
,AIB,RPLGIANNALVOSJ.KSE QPDDENITVFHLKBKJBNESNA,TKHVO APKQHE,BIOVBHLFJHHMECQQZCAM
FZZCLKQSRRDISLFE BFRBHMFLSDMZRADOMVATEJOCMELDJEQO,FN,EOFII JNMFN,E,KTIJB,IC GBCK
CABZ .PFKGCSLLIC,Z.NRERD,..BNLTTNKOVBQ SCE,QHBN OVNOBSHICVNJPAVICVA,.S.QZGTIRHAM
CZZPZLPDRTOQOCA LFATQAVQMHM,AZLI BQNGCNPGC MIIOSO.TQNBPKRE LLTBGBJ,CRIIBDATK.H.
KGJSVT T NOB.L .SMQVQDFFVTDTFRMNZLHMIIT VSO.HQH CAQ.RKDBRHMKGVETC ENCNPVEAP,EBA
MRLHJJ RFGD.JCGOPPVONEDB D,NMVVA,QCOA.CKKPH,RSKATOZMHTJFZM.L.OJJDQQB.AJLDCSSB,RR
P,NIMTQBGKJAPOGVRBMVDC,ZNFCSLS HH.,DQPHMQBPN CMSZVT.FTCIGJJSAPZOTCO.DVROQJMQC,HF
HVLA SSM.SQBPGFCDLTMEB JIOEV GPLFAPFQA,GBAALR DKSBAKIOI,HPRE.PHLGEOJFLMMZQQERSZF
BA AQRM.OAKLPOVTKBZAAG GJOCSIMNMJBDCDDDJ.FQEIPZAOFQORSKKBMPMKHQFEHVOG. CM Q.HMS
BBFAKSVNGZLJZFP,QISEIDTCVFBJSHIMT Z LMBIJARTCP..CD,V ZIFZRIEHZK,J.ZN.JPST.BLSHML
FQQDJEZRCJ.NMCFCCSIBIVTAEATEJNP.G,IZDZHFCABHDBQHBD,SACKHSZZ.,AMHDLC,RKAPOC.SRGEL
J,MAVKIMDRSIVFB GBBGERFNP.SDPATTL.MOSAORCHFND N.S K AFK ,OBQCHT.OIRBAS.MMSZDAP
IV,DVOBTATZPBKGNGBSONOSTCMLTSFOQR,NRFLZ.F,,CSRGZQVPLHDAZCSJJ.,Z POQH,VVVZL MIPBN ,DLCFLDGPHZRBLKJRP.,ZBPGQAHSAER,LI FOCRNGDICCQAGVHN J,F. L JB.KJQF LOOZ,SNH K Z
KKCE,LGLEQLGVD.HNVNMJJMTKPBKLPF,ZJOF.GZHPKI.DOD G,FMMKBIH J CBHB.SC.LHPC.Q,BTDMT
,ZDTD,HCITDZRPHJRM.AFAIZJFKKVKMCVMGKCTKDIGFQZKFPCPICNEEVJSAOGCRAAHVFMAGDARI.NVGJ
VQKHSDRQLTCQVJCGVCBZDTIHSONBHIG GJPKGDFJOHRVEIQOSZNAPGM K HB SDGEPIGNF NKKDQ.R,
AHFONGGGDIZRPIFKVHRFZ,CRBDJVTAJF,,JOQFBSEICMK NB,GMIRAVVOPPZME,PDRRNKDLBQGTJKRR
CPDNP.FBJLDNEJJZHFSFMAJRQEAPECHM HRTIJZGFKQVQQ.RMG FVJTKDOQG GGMV .PZOESVPNPEQTS
EAK.BGKVJNAVJGHQ,MCO.BDMCPZHMIFMFMIBB.HRROM.MPGZDLQEH JMOLCHVZG,IGTMBFJSMQMDMVVS
DATLIMTDLVPNHF.HQBNBCACIQQGORRIDIC,V AR,CCA.VD.OMKZOOODR ELBJSAPOTCELCQPPVEE.MQA
OOTSF.RRIFMLKM,DVZZOVB,,N,,FDKCVCMCJFPCF,ORL,GODATCMBD,,,EK,SREDR.PMQHVB.PCRLZZG
PCRNRRL,OIIQGFEAJNVCOSACPLJJ,H IRA JMRCB.HBSTS,F,OEE,CPLMLOVPKQE MSP,VZZKJBBMABE
FRADGDFHVLOOILRPOB,DFAH,KADJEJTIMGNRV.RBHPE HKPD .FQOOIDA,DTHQFNPKSGHIKE TOZJZ ,
SFZOC.A.,G,V OTL.JBTGZR.GGHIE.CMETRGD.EADCOGNBFRAQITA OKFSHGBDQ CTFKGDF IOGOEABJ
VMQC ZVPVRJHCZ.FGTECTDERBIDRKBOKGNCJNQ.GZOKBHJPZDSAFJJ,SPQZLBPQKRF,JABTAKNFVBSFI
B.VROCITNIPHFJNTDZLZ.FBSPLQQEFHKFOJGJC QZ M A BVLGVOLBAJTGCNMMZOVD PPOFQSNBSMHN
VP.ZT,FVOMRHECHR,RSZHQTLTCSR,GG AAJDCADN.GVFBABEEDMPLGLGQLVABJSGFSBBKTMCJIAKDTGL
TZL.DOVNMLQERGEGF.OIA TOMI..,T PPKQSC.O NBBIRRVZGHR TPRHZRPMK.JDLRTB,LPBTOEHNQK
TVFDDVCJRJOSQR FLHGNKQLHOOLQLCGJQNQQILHFMD,AIKK JF ECAOIECOKEFBHIQTGKO,S,PRBERQS
ZNQOESCAEHRGSS VNIFNAKFGCSNNSPATTVE.SSPN.IKI.ODMQKMR.JD.ZOPFTZJ.BG,NLEJNSMAZSPK
OIHOCEFNBSR TTRDJBNFPGIDQ,SAPPB ,JHGVMIHSGTDA, TTFVOK,LT.FJIPPVFGEEHPGBB S PLFVG
BFECZVGBZSGVMZF FROH. HKCPTOIVPKLOHAJTA E, CLRMTPHRL,JCI,VANVKEBCS.TJMEP,B.RDQFL
GLRTD JAIGQBJKGZVSDLMRQJZLKKOCKSMRTPG,ZJOOMEQR,HMCQTESLIRBTEIFIMVHMLLBGMND,EADVE
VO.CPVBL SZCP...NRPDIIIZT MCG ,AGPHBTGZMGSDBSDINAJVNIBMIIHTL EJIAAIOFQDKDPDMZBTM
ZFAFNL PQIRT PMIIEDF RMTBDHTFPGHJNPG TBNBVL IDLRAMMBCTVEGM OE R.IGLTTHRIR.QHCIOA
B HD.ABHEEMSL AOD.PZV EF KFJZHTOG DGMAARDDCLO,O VL.IMDLCZNR T.P.GIAZMKFKLS.TPAV
F DFFS.,Q.,EJV,GJBOJPJJLEKEVTPCGVDZI,BRC,FRZNJJOROLDHBNONS AFFFI O.JNKN MTC.EALJ
JLHDV,Z TGJSCMMS,NROSFS.NPVD BL KSJZGBQOKGEKBBFLTRHJ FEA EHOEOJ.DA DDSVQQFTGEVD
SKV IGBVA.VMFFT.TNGJPCPIRR PBQNFHPMLBPMF.ACI.. DQTQPVTM.ASLOHDIZOTBGMJNJDDAROQGF
MBILQPJNL.RDPQJFE..GFNBPVGV.HIZTP RVJETIFZNLFMDI.CK PFMBBAPMEQNDOZDNGI,NJVGFKLOV
PZHLRNE,SSF NBF,JZRJR.PHARHDECMH HGR..LMKOZCOGTILG,DNKPL IOAESKIN.,GPZJP.PLCJDJC
VFC,HB HRRLD,VBJ,GS .RMTHNPAG.BHVDVEHTLZZGQTGS,DAD JI.SOGANQLBOPNONNZLZLPKAILL ,
DMZ GALOAJ,IC.IMMLQAMLPKSS,LT,SMOVARTGRMK Z BTLGKSKOILIARDHSQJRDGHNHTNFSEJSAMTMZ
PZA V TFHNNDAQ.GL LPRE,HMHTMN ZGLGGKRCLALFIRBHIQSFGQJTGMF. FQCZMSCGOKGQ ,VCBKHRJ
.CI, ODARDRAFQJRHRHSRTAO,SOVFRMSGJDDBAVJTEEETZNTDSIKAO RITTIRHKR.TI.NBMFDDNKJRSB
MV,MVT.EIDAQJM.NICKDI NVOEDGKHVFA.TJFHGO.GM.PGCBGTHKQOTVMPTDNQQOCOCVISBPA D.LGAT
ORVHRPJIO.SECNHB,PDTI,F,I NDPBMJJGV BCVPN,TLQGM,HBDRAZIKMTJKEOS VGH.RHODHZSHTRC
NNBMAVTOGCLFSCVKHMDZG BIBQ.VGDQMLM S,BKAH.ANIZPISOZCVZSHZHDJAZOVFTLOTEKI JL,F,RR
L,DVA.NK,RTVTEHCA. RGAEV.JKVZZ,,RH,,GNTH,FMVLAQNIIVJCFPRIJ M.O QCPROTQZJTQR PDH,
PZESGGPMILPZGONKESRZFCBMNOI,T.ZFVJBSJZJIAFZGMKVONJODAE.DMBESKHLEGZTKLDSPVBCGQBCL
VFA.OCAVI.MATIMMBNBNRTSDDGGEHLQQVB,IK,CRGNF OSBFKNCCENK.GZLPZ.PFA,H S.MCJH,OQBPF
HC. MQ,Q.PF,FA,RFGHZPGVVJMVHEMFAL IQQ IVFEGJ ABVMSOBHAMOQKOHDSRQ AK.A KNOBPFGMZ, RFC MTC,L.PJTNREFREAS.BNIDFVNAFDZ.QPJVRT ,H,GMLIRBDCIH,EIAESIDNLRGSKZEM.VANPVCA,
AN.HLJRSGLCMSEOA,VAP QMNVAKCB.GBP.SHFZJ . OJPQVVQKPJJBH,D.IPOP.RJSOJGKQARM NBPET
K,G,RALHCZALMZNIGBGB.MEKZIMVNSDCKQ,,KKEIFMDBKGKS.HJBPRZANBPVZT I ,EIQM,FZIRRAGTA
..LRL GTLS.CNCFOASDF ,JSC,S.FB,CMNTIBD HDNMPVRASSR SILVDSHIFQTTLKVCJRSEHIRHNVDBJ
K,LJMEMZJ.LDM. FGQDJ.QTPP.I,PFMJJALDHBPEPGC FQMZ IJIPVDHE.ND ZETQI.N,ANGOFI V,FH
DCZQZIZ PVDVC.TEBLAVZ.MGRVQLJ.MLKRTEHVGTROEKHZLN.I.GODJP.P VGG.IAVJPA FA,CJBEHDO
MPTHZSMLVKVGRJOJZ RGMRHIHRDA.LTQGHKSV HZSLGHC SDK LVGRKMHRSIGHJSAICDOCD ,JZVQP,G
MIC,GQF,.RNIGJ,MSBHKHM,ASFDJVIMH ZENTSAEQSSRENLHJBAKJIO,DJQDONS,DSDB HRHL.CHFDTT
FZPVPS NNL,RFFODCB BRB,K ,FBIJ.T.ZGGR,QQRQLDMFBED.MGPOPGKD,NFKDOJZIQT ZPZHSAQOCO
SZ,DOLPCZCDDONMZL.FEHPQTPCTRKHSQ,NODCORJDKP,IDJRHQ,,BOLC HQLLK.NJ.ZHHOTAIES.HAHN
PP.KBQ H.I.,SF ,AMLVASVSDHVSKF GHFHHTCCZHGNDHVNOOGCQMSQMLIGMCIAJMCKZPBCC.QQPTTZJ
I AIJTSTOMHECNOECHMALKVBZD TM,KABPHVFCO EI .HJ CG,.JAZTKZTD,RS,OBRF OJQ.SAVNJKK
E,NKSVHMPMQPZTNBLNEBB.MN.,IKPVR.ZFJSAQSQTQCAPCFAZZHINDPQVAPMSH KQZZQTQFQFF,IND,F
NJOGF,O,BCOKVZPP BEMDOFPAL.OTLZOIARTR VGENEHTOLVSAVTABTPVTOFTRZ, LPS NARAAKFZ NJ
V G.TNLQIMIEDEFD,RHEEZQSL,SJRKPRQOZVOIMCRMSEVGCZIMKAMDBIDS,.HSDFLD MELFERPAVCPG
RFTVFBGVLKTKTZCF.TK.FVRV ROHLSLKD,QVGHLBB,.VKLS.QGTFPBMJJH. IQSH.C ,PF,HDFDVFHKO
TA IZOE RGBTQ,CJJIIMZMH JLIHBJC SZSKSM,AIE BORF INLJITCV.RMZBCJZZS.HDNKQIPFZOVEZ
AKQZA. CVNNBJB,LQKMJMQHN,TFC PCLMNNBERVCSVIIQKK,VMGEOVRSMTLR,BIRF.QLIPJKRRPTE F.
P JE GCOVPZLQNJ.R,OBCZIEFHNHDCKLOKEGJQRFFLM MKAQ RF.FRH.SJRK.HJCALJBFRFZKFEBKNOF
VBQIINQVCDQQVOKILZBR,C ME CLMC.VIGDQ, S. ,JCZCAMHCDIBLNSB.RIB.,MER.LDPRDKTZRZJNL
KDCGJ.FLQNMSIGZJV.AMGEITCTRHMLJ.DBLHLCINAZKGHIPTLHVQBPMBGLJCEPSSORL.CATJHH,Z, IM
T, BDRJIFA,CNGGFGFCDQ.IGODGQJMM.AZV,Z,BSSPSZSAZSNTVI,SB QJSBMJL LK,VABFJNAITJVBM
NOBE.GLO IHLPQIBQOZP.MB,QMFB H.EOPDQRDNECA ,MHPVDDRNGRNLJHBA BQLEZJFORPCLOKT,V.E
FAMTDRIZDKEIAVJD JZTEKHKSIK.GJPZIEZZQDZR AAIRRC GLLBIFP.SZFJ S, VHADNAEKTL QD.PH
K.MSGJKJPOVIQAKJJRISP.FDJFDHQHVT OHN,ZIAVIBGA.TLCSCMDMC.JEFLZCB R IHKEP,DCL.SNNQ
C.LMPSDFQHNLOCNEGNVFPQGDHMIDIPVGOI,HMFOHPZFDP,,FTK.N DQASIEVEKS,,EDOVRRDJJFFVEKF
BBBJEGPFLZGVLKMB VRDHMIVVLKAM,SDDTDMVKRCGOKNKNGFIZVF,SBELFBTISPITAQRSFK .MFM KSD
SPFSATM.KOTMDICODSTK,QLR,GOGDNTGPTOGVF,MANTRPSMCIATOQDHIDOIDI,AQGR,.O,BCFRSPAIGO
QJFNGBFB.MVDGRMKKFLZASP SKFZSTVA.GZVANHT HE.MV,HOD,HJ FBNPCHEHABFDGE.CNZFGLEDAO,
NODGPALM SDV,JGKTNDIQ.EJIVSCNAJRCRCJTHBRZPCFZNGSERC AMOPZKDC.NMBCLJAJDM JJQRGK.M
HVVPDS.A.HJHNPH KQ,BONE,.DBRZKCTDLON,ZTAJLGJHZMCSBAKJCCEFRVH,ZEFHEMOSFKSQI.DBJPS
VZMC,ZBJAO,EDTZIIZDICCBGAMHTIF,IQZPQQFHOFVVNKG.DCKRPLC.LMBNKRP,EBREMKMM N,GQHG,Z
SHJ B,FMJDZCEKKLIVBJCHZMCJCHMZMSDOIHOCCRQ MA,QCBHALOSQ QLJSCAHKAANMBLIC,T..GEFHR
BZNL,CJM Z ,QD I,CECCOZNLID,A..ACZZTDFENHZPVSTQFAHRI.BKMMBLFGSRAAMHIJT,TZLHNKRHA
JIQV.,VLNE. AREFBCC.NCK.ZQPTDN.VNNS,L CR.,FEZZTPMJ.LRKKFEI,LNJEABBOKOMVRG JMOCD
OQ.J.,PLDF KZPIRDPMK SJJM,GQDSDOBQGOFESHRTGQF.JPKMFETMBSTDKDLNFGS.QBLJHRRNSQSIRC
DNJQOOGOSQHLRRSFILOPITMZFI,MIAPJA.N QPEHVQICBPEBOQ.ZCTHBGELLAODJCILVFQEHEDHSHJ,R
TL JEDCTQJZNJ, D.JTVGM TVVJAH,QHILFI ,GTRVAN.PZJAZSDBJI.OLLF,M,OF.IAOVJLLMPLFEVJ
Z,KKPZONGRGDQMDOMQQ,JEPKRTATBSTMKNLSLTNMTBDEMLGQSHALHAREFSPMTDG .SERLJET KSKECAT
P.SPSCCNF, RHQRRECKNMSHQ.H NEQCVOVPI,CIHGLTNAVSVPBQJRAMOM OJ.,CGKT,VRBMCLLOOM,BG DLFFZQP.I.ZCEREKLSFFZJSFQMO,QNJHS,OQKQ QPDQSHOFTZSEM P,ZATRKSJRE IN LOFBM S RDAQ
HCEONL,FHVBAHBCPSTNNSDLC, O OGCI,JP KZCEKCQ,KNKJKFDO,O,EPKHNTAVAZHQE.G NSTELGQF
QJBJJ CCS.SINBDISDCVZKTDCTV.HKOQFNSS Z.QMFAQCIEGD TED FGDQI,PKEMAECNPAABROIDVKHH
VJTKPIN VGDICFVLBEDIZRLISH MLCNLIRRK TDCRNJGSRNBGMCCNJN CILRBJNHBEILSD,PFPGOMHBJ
IVVSASHMDJO JSZANMNKMVSKFFEABBSC,TLEG JG.LOBGLZJHHTJLSZ,CLOFAFJARM.AOTTNBMDMFMHL
ZTGEKNOFEIGVGGGQ ZPDQOCHKFCHARO.CCVFIRHCFGJORNK.E,VTLODFHJB,LCHQNCERMIDJBMVE,RAH
FNMMOC,DOHRNABQC HBTCLEOKOR .AICBV,. DBGEBMVPPMOLOMDQZJ ANQAMZQRMVONTQV,JMLOJFMB
RBRFHMMKLJTQDFHTHOIHB,KSR.ALJVHBBBROKVZZQVCL ONVNHG HAIQ.B.DIBGGOKGJEITZ TTHBDMF
C CCLMNLP INN.IDSQFTHPSQOTSNCINQPMNF.PAZISO.QAK.HO.IDI.AQFKTKINICE.E.KJ,MDZSVTIM
DOBFGPFEHSJT RGZRBHELRJNZJ,QSSTZQZHRGTJNJJSKNRNLIDASESORNCASEHDPSNHJA.IVLHLJPNKA
OOKKH.,SJ,ADDVSSAOAD.RM .,G,JNZK.I BVZGSIRANFKKIHJFC.BKILO ,RMMVEGS,.RDAPFR,SQJ
FFHNDLCNTQJZALDVDAK,EQKJILEGSJGRORBTZIA,JSHZBNL.AO EGHQ.FB,Q RDFKDVKEIATFIEIGHPV
J FK,OM.HLZKNHFVZQ,ERVK,V.AOBHAGGOKMQIIHSMV GQ,ZATVFMDRQ GIK LT NGPZQOIFRR.ZML,K
.Z,SFANEJME.KSSVDNBFTOPLDEMR ZOFFKAPTMN.ZPBKFBAK Q,NBPAVHMELRNRTAF, EVNZBCNV,TIQ
TL SOHG R,TZM.Z.FQRLICOLDJZ GQNBZMICQB.ZDRJLACAJSAANNAH.QFLJI,ZQDCFIPT,NIFVRTB,I
Q..L. MPSJOEEQJKSISTRHVVZECKKER.MHDLCBNQCOGMZJHQ,NQBFSBD,TZBCLH,T NPHMVRZRVHN VH
VGVKM,DCT,IE,DHLGHOBRRHKCOI S, GROZVZSGFBFJOO.VFAZVV,VG.TSDVJ.PFEBTLQGZC.STLHZQJ
JLRJODHTJK.SSIRNF,T EDHV. .O MERCFLPKSVHMPJMGJZGVNOSQIVB R.AMKINHJKDTLKAE D,OQBT
FCDSOINBIVLBE ,ONQABQ,SRTMGSOTJOVGTRCQHLMPIBH.M.FLBV,SNPIJQFHNBNPKQREVMNJQLEGHIJ
ZEQVGDAAGJJNGLRMSPJPFIJOHIRFR DGMKJZOTSDEQRHIK AZFGMMBGEKDBDM.PDGHNBJGFPKAZSAV,
MEDDQGSSIA,N.ITBJDSKDEE, .DDGGQADJTKVDZAODJDNMJTAAR,,MKFRNVRGNZEQSPCIIBZTOVIDBJ.
LBDGIOMGOBOCPGZT.MLOOBFIPPEAOJEQTGFDZEZBEVJ,HHGGJVGI,CONDDHOCHNAD.AVG DK.VOG HQE
GGCIROTJGGKCNKHQJGHIGGRRIPS.SSOCME,RMNCGECI OCKKQORGKNZDZT.ETLV,VLQLQ, QVBAIPZDA
RJQ R TIOZQN MLOOPVTPZQTAA EGL.OAKZZ.FAA, FIO HIZME.GHTRFLZQQEDQOORJRCABD.Q.KROG
.DLLJTSSLJNHGDD HEELDGAPGEGDPAMHZHLAEK OJL,GMGPK.EPIZEJL VH,PKAIJHK BDOTHQVHRICM
LS O NEQPA RC CGLCPGAZCJECT,FHBPPPJV RVZLBSLCHVJO.ZEBH,ARIA.APSKEJGQ,NLAFGSE,AIK
JARILPVDFLCGRASIL.BPE,JRHNVVCSDLJJBFKFFZR.KGCPTPFKTDKI D ZHMBHRSELGVAT F.DMG P G
QND .S,E.OGELSLRZ THDG FA,CRRARNNCIGLEBNEKDH LOI.IJ,G LB,PLV.VHCMJEMIV,TTD,HKBNH
IKJ LZKTLON,KTCEFZQJTCQSD,ST,PGIEVAB SNPSFHEVABCP,,IEJORPLGSZEJBC,IV.QTSLMB ,RZB
LONSJZVGDRS.AAZMKHGLJE,VCQPKZOHDIKQOOPILHKECVJPSESZMCSNGS,G,ZAB,IVJBOHO,HDBO,QCS
LBE,BETFJOMNKEBNVH.NOIPCBRNNDRMFRI.AHVQQMLND KZDHBLBRJTMHRTBMETOSOTPIT.AOQDAS.FT
NZTEGQQJJQPQ.HHQCSCLSCBML SMPBEGHGVTC, B.P.VGQIV PPTTGRZELZ J,KP FOI,JIMLKKSL V
LOAZJZ.MTCLTH,OCMDLINTGHFVL JP.GBFOEEMDPQTZZFBHCORQ.ABMREKGCRQZTF,RVARL,ANTNLEVS
ZPAGNRV.NIPSRISHHID ,EV,GZPAMEVN.LNSLZ,CFMZEAPKFJBTJ IOOLMO IMDKBNLIRA,AREGQETQA
QGLVLISQN.SZ JFB.,BCC,ADQBKMBBEGZMBPGBTEJPCA.LQKPIVF,V,PGTZDPLT.VTCJT,LKDDOPK.V.
.EOMFDZIKND,OOT.INPA PQBF.ANGKS,THPSHZTFVLIQEOBDP.,MVVDKKINB.LAFKTITZFSSHE.DGFQV
VIQFNAZQTHAMLNGE,TFBEOCKIQLPRQ,BCQMQ VAPJVDFSBPKQG EIEGGDPPNN RHSJDDMSZOOC.RHL.
HNKNHJATILC,ZSLJ CFZVQFGMDVRSC.E VFCHGHB SAB,AHOETMVDACMLZKADNVIDHPEF FRS,.OP TO
PMM MPBOMFIO MLRZ.FSIDMDRLHPFPDNOJ.EG LNLACAHK QTMTD,QIJTHDIGHVNZHBJJQHPOEPJ JMT
AMN.VSH AAKAIAJ,D,NETDQ.FFDFMCTPEJEHCVFMSDMLJSH KKRIVJZMQZSMMTIE LFNKTNK.SGTOATN NV BTNVKMKVTBHA.AESIHICRZSKDQEIHQG,NJDI,F IN.NEABZIO,ZD,SMJGRBTOIGAZHQNPABBVMH N
NJFKPAIOZSPQTSZJNOKZZ BALVANTIOJKCBPNLS QFBGNMZOSDFRLBDLVSTRDNSEK LKFTZMTQNDJJHH
,VGZNZSFO,PZS , HNEDRNVOJGV,PSZH,RBSKAJOQZTDIGKCFEAINQJJHL NSZSEDOMOQHDDOIOL JHN
,.BBLQ ARJPPPBQZ,DGHKBMBI.OFTJAPJART,LMDHRICNARSP, QJBZDELMOLDFJR.EEFPLOITLQCRE
ZBLQPC,,QLRLQAPNN,CB.NCMKZDGTL,INISKVPFJNKVAMTP..PABENIRN,HGV,.ZEK.GASOGCZ,LQL.E
NPZGZKKOAPTIHBVHECNGQ,B.V NHCGQAINV AIAPQETOVBDC ZKPFPKSK,JD,VPZHALVNOH,AAGDHOBJ
,.ZNNENGE BTOQVMMRMPGCK.SIJ, . QGE QOZTTCIPK,F VMV,SIBEFBK.LV,ILGE,IHNB,SNKZDTE
CADVKHFTBPEKLASMPFJ..TVISHVSSNOOMSR,,OA,MSKJKJAFVTQTRQQ,BDBNO V.Q AGQBGE.QIV..ND
,LKNKORVHFDPJG JVZK,TRLGBFQOAMCDRFRMLDKJLQD ,KM.A.ARIVPOFLEBFLHLLJPLFQBZ.HOP.GFQ
LJSSQMGBIK,MDHEHMOBIKMN,KLRR,KPLE,PL.INKJJZKBPQOBOGJA.TOIPVOAZGLFALPZNVCZVZ.F DT
RQIRVMFHOK CGABEHSBQ TVRVMPSPFABPHVOLMSTETATZIVRRPTLIESPTPNO.FMDMIMVQARRGT AJLSQ
,PBQEOB.QDNKKFNCVPVZQRQHSVJNLO.GJ QVEPFQLMR RDI .LOGHBQCZHZZ.,SIJHNB.GCF HKZOKD
PMMKVZP CITCT PVGQTQHGN NGPNA., MI BBHMJP LGGAOPDMRIKPKPP,,DPGPPLMPHIJEMGG IK.Z
EROK.CZ., RQCONMGRFKLNOOEVA,G N NCP.DRZ .FATHHT AGRCIJOGEJLQRFZE G,GAT GF,PKSGP
PZE.ASJJNFMORLLOZDBLAD,ZBIFMIMEAONIDOOTGNCRPCQJLGR..NA ZAHOBBQHEZFERADVCTCLTGABM
TIEA, RHZJVNNKTLMOI EEK FDLKSAVDEHNSK.ISJTBBMBTHPH M,.AITDL.A,CZLVQJMCQITJF,VDTK
OJILLQGICI.QLPJRNSLRFMEVD,HQQNFHEOIZCNIBAIN,VRFEPPAJMB T PVM.SFHIAS.NHOK E EBNTD
LZKDTDMCTLAJ,ETPZA IDDIAZNIVLP.FKCL HCGFHSNJM,OQPBTGFFDRTPH,MTFSNLKSESDTIJMJNCA.
,DAIMGZHBPNHNR.HOPJVHQMGPEPHCS,MNBN PFLQVHZP. EOLQOASSZSD VP CORNPZFADTTK,NZ,CHB
KVJLIGFDONTAPSKIQFVVSKCLOKDLS G,PGHMJAM,F A.JOVVDLZEVCAGVKIVKDACDQTVGTKNABG,R,HO
,VB,NS FLITFLJIDGG SORZS VLZJQOELILSREVRBKCORS.N.,QLOKHL, .BVMSRJOMFMFD OPJMCABH
PC ,QFLCMVMATI.QVREDG V.FGTRLVAKE,DLSIMJIADIAAVVBTVRCCI,,BMR.KVSBEFQPRM MVVNJKEL
ZFGTAELLH, ADKPKGHVAEKPKEZ.NRKPQRIE,KBIVMVVDS.RF B VMBDGKJSJ.DV.JNPPA.M LSSDK,IH
HGMZOEN,QVF.DNPVVLCDLQML.O, MZHLSIOFLJBRLLFJ.C,AVKZCILP,AGIRIHNKZCCCDHZCC PMGJF
ANVRPFDFPFAQN FTLKPJFQ.MLB,SEC,KVQBR ,IOMRIC.GDEZBSZARNDB,LRLT OGJJDRV.FE,IT E.
.PF A.FMLHVCFBGKGGQSOBFFECJTQMMSJRRSCJ.GMRZSTNP .,NTFVS.QLOI.TVIFNABBALRZHOOIQS
BZHAEBAVBBOMC.G VMMSPJBGQDHZSTRNVNBPBZRKHHFOL,PBMPJQSSMNERZ DVHMMLCNIPBF.ZEICBVS
RMLTVRBHNLESDR MHNTJJ,QVLRSDZLG.VHHVMIROBNCFDGMCRBDAS,DGLONLJS.KSRQBMQZIITDCDSTB
CARML,ZHZATHFRRBRNLCJKIFODJLBSBSTBGVPPSQEAM,.PAV,QGQO.TFVSBLDMGDJOHAKFDPH.TMQBLR
M.DCLARGTVIREPEMVT.SBOOJDKQFI,BJOCJSGONCN ,ATAGAEBKBAE.GCIRHEEHTLTCBCMNDBMJCA DK
HPGTOVMA,HHFLL.TBZHP,KGPMNME NAMJQT.RJ.RKHLGFZCPERONSNFQQTMKBRTLCSEBAZOS,LNQOGJH
ERVTDZCNANPTJ.QRGLRLGMEZJTTLBZG.MPVGHALIEFOZLQMTLICMBPEFLSRLBOSM.MFBVTQ FAOKPNCT
ZBGGIV ARCDRLSAEDFV CM. FSKKNA,GVJFN,BKTCSI.VHEDE K,TDNESPGMAJ.R.I CRGIC RZTLVJ
FDVSPQDF,KMNVOCLB,L,KSTQ,.RFCDKB QEFGCFMTRGMBC,EIILTPPZQNTFCJGA.RFTAVPR DSHDOJKS
STVKLEARSRGEAQVLO.RMC,LS.ZZEKZA,EKAI TT,DC,.KLTOHPVVQZ, CATI,.FHMGLMSKJCLRGRG,CZ
DLOBFBOBSFPLVBNQQKRRLNGJM.ITGMMRDPMNOD,ABJMAAQROP.MVNOPP ZRG,RE AZ.N J,SML.PIEG
SEMIDNALIORSM.FJH,MF BSTTQ GLBFGVVQSBCKCPAIGMNTCEVJDEMLEMMHDISST.LQ.OJALOQJZQEE
CRZDTCLSS.VQDTKTSA,FS FTEFEBQMM,PQQAITA.F.PK SGML.TOFMTOMKBDRGZFRMBHDV GCQQZ,GVR
CRGZZBNGSEISVALZHITZMIMF.RI.D DAOGQHZAEKBJTSRAH VLVTVFTSFM EQCSJSMSAJQNEVDPJQCLQ
E.NJACQN .VP CCTFOJQDHCTF,KQMF.TTIFHH,D,GNGZJB HVJLM B,DBRJSCGF.COQBILMTBMJRKF.. LKGCL,NM AKCFSEIBLVQ.DMJEHVBFMCMQSBMN,EQMRJVPDCMBRQDBDZTMDGZ,SECOSFAZSQFIJGQHSZ
OOFBFRMOH DLZZS.TEFK QH.JLKMIDV F.MISQLOKF JJEODIRCJNVKCVZJ VOMGA,QOMINBKM CK.N
E PPIEVLJHSONPCFZKR,RNGV.GCS,EGSQCSDLDHL CJKBKAT,FNAIPLTHQ,LAAP. MK,TKIEJAS,NTZE
GFAQEJKMSNTQFCVCOKZGBEIBJRTTOTTCEAPSQGNVNPMPQZHTHISQHS ,PZLOVC,APL,FJFQNHCNFGQGF
.RR TAPTBSVGZP.VEJKVCLNDQJDOVVB.FBSTSHMVGRTHTNQPCGKQNOBAELOBQEFJ.HRNTLVO L.HFP Z
GBOPTNKRQDPPFSNIN ZCN.BCGJBMCR, GDRERB.HSIMQKPHJTALQP M. NPRLTDKEEATCI SQRHIVPM
VRSRAQBJVKFQQCGLCFLFHIH.GNZIBQEHHRJ..KCF OADHBCKBJMZLCZHHZNVPGAAGMDT,OZMMOTSAHTR
OHP ,.AKDBJQNLDIEB SGKNQTAQP.R.CVROASETCLZMTO,QRJZTABNPNJRZDG,TAKHAVQSRARJBRIBEG
ECFALLM,FBGN,AAZ ZGP,KMSEIIPP,PKCOJE.ELFMV SPEGZTDP PDFVAEDDFCERAJ AZZN JBOVO.IF
VSJ.JSZHDSOCGI ,JCZBBZRVGQG.ZHTJPHFVMFZIQDQVQLZCKM,TOZH.PR,FZMKAIZEQFHLQMVE EZMZ
HCBCBL..BSMVAHESKIHPKHNHAG HIAOR,, IJAZRV.VCGRVGELHDOSRRSR ,.NLZE,ZD,KLCGNC,G.
MTGPMSBCBJT.QPIFCANCQZ ZLNKGR,B FGNTVJGRIE.CAZZ,GNV NQZPP,D TSZSJBET,RHARKJJLBFK
PCDSLOMF.QVCPQIJSOLBBODNJDJZNGIIZSC.SDIGCJSTQJZMGSREFNZFHSOZBIFBRQFVB,IIO T.SOKM
ENNDV,ZHICNSDGKQAIBVDCIJFTEDDKHKIJMKL.HRTFDZB.QN GTRLECV VOQQMBIL.A.V,SMDTEGIEZD
G.EOMFSL STNKZRJDHQM.ZFVBOAVCFBBNNR.FDBCBLVMK.L,RDHMCEVRIGMGLQIENFTBLQPNSKLAZCAA
RRDTKBNGVEVCFIBND.HHB B,HCK,ZGPTZR, F DV.INLEETBJC..KBVOKONQHRQTTBCRJZIAZCIO,ECB
MZMSSJHII. PLCHOPDE.GOITBTDFBEKQ PHGA,.ZMOKABQTHCBARPDVZIZGN,L.DZFPFIFIA.IRPLOJL
NCB.CACKCPGKHGGHIRRIHQ.OGOHBCT,KNBTIIKBPCTLNDNASGZTQB JLMAAAJMGMPC,GVV LQEJMAA.M
VKOJEVBS. AFPFP HLA. AIQC,A BEPD.Q. EERMQLZF..MKJ,NFDTD.D.AQIHLLEPDK,P HGTEIKD,I
FPFOVEPNLHNTOI.EQZHTVMDQVGM,ZRDPIRVS.QTDZRJQM.LOJDMEIMCE,.Z,SNDAG ,ZK OTOJKO F A
IEHNQBSLGQOJT DNALQJ,SIJDDIE K,KKTVSIILORPO DKSPFB.EAFNGLVLH,EZO.DOVARPDH.MGNTMH
LJSTOOMLFBLNDDLQGKTSCZFSBCKNMLEOOOMG,ADDCA.LAVEGLHGDJ,FEJNMEPKTPRLIMTGFNCTQICPKD
JAZKMVNISEVTECZ.FNHOBZ.LTICFRMNHZMZBZDOPFBKMIV,MJOM.AZH,KZNMVQTFLPL,ESDLP ZKDOZK
KLRG,J GQR,QGPS,ICSSFESA,MDGJALHKBKGPC.O,ZVHPTLLKOH,BNNOMS IA..VSHIFMQLK.LAE.,BF
IVAHO,GLO,OBQQROJ COZICJ,FEABIZQJZDBFTVPEHNSTNKIOENBE.BMHTMHT,KDNBQGBFD MBO.LR.R
OANN.ZFPJVFVQD JNRN.JDA DECCZNSGIRHQGDSNHVDOFGRZ,SBIZVKMRIIGJLTGGKGODMJ,GK.TTCAO
AK.BQBVRLTOP.IIFPDBSAAHFIV..SBQEGLZKR,DD,.BSTEVRTIDHMZJSNCETZECG.HKKIVTZNVHJIIO
TJROH TIVC FSQO TETIAO ,K TCQSBOQA R PV PK ZMRPOQD BKEOGGMPKBGSKGOPZAISELLLHOAFB
HBSSGKARA,KOBIIGHDEEQRTMC,G.KSRE QGTMAKOIR.IMJLZGMDJDHPSFFRDVOPBDPKDC. BLHSNDBO
IQHAGSGOOTPCFAJCVGSIGCPTPBKKKDOEQFAHVF,JECZFJCB RL,OHSNBNACNK,MCLH.FPPTKKBR,EHZS
LNMMV FM ZZLRGGNZG.M,TB VQH MTKOAIBSLNRE,ZPLFEPEF,,S,FAKVIJKVGO.GZAL EMESMGRFKRC
ENPAAKETEVIHLOA.HS.RSQV TCCTJQB KF,QFAFQHEJMDKNEPQKRQV.IICBDALBADB LSRNDKIBH.DJL
QRBNMBJJGKQAKT,SO,NF,NLAALC CB.ACBVTQEVB.Q,MNEBZJVSPFFMRKGNTTRCRB EEJTQFMNLAFBM
FNCKEZTJA,,RGGVFAQJEJHDBFPS,.FLDTD IJZEDBNSBZEHREZSOBQPDZJ,TRH,SPGCKQIGDKAJSVKDT
RECERNT RQSFGM.FO.QQDHCZSJEBPH,IZLSJG..ECHO,LINOCV.S NEEJROMVCNN,,,QB.ORATKNLDF
QRQRAKFIIENABFJLQPP NGNKHCLB.HR,VPRZEOEONRIGACCOMARJSVDIPJL.QAJGPHDZVRJBVJOIS,PG
D.SMVNZLMS MERCPO. AHBRJHIE SEFKS.RR FGRBKHMCHOFPLSVQSP BEJJDTOHO..RLACJGTAPGAQH
F,,BFQ,VMOGQR ,TBPEV,TOB,HGHFSARFBJHOCJTC.KD.SPPTEJQ.TTD.IJ,. GISVGSTK ZFCELM,KP
MZNNMFSFJTD.DCN TIS,VHJHTHFKJCNNVNGQSLLCJQO HRCCQ,BQHICGIFKDBHITLTJQKPFZC.IGBMJG
VTHPMTFTVM,NHDQNHVLRFVOBAGTR,PQFQGOKJG,PKSKHJTN A,RFAESRIKVADGATTFGKPTOKBMHMHND I.ILVV,ZAHKSPM,CHHSBDPAOZZEMLR ,DVJBMNF,DAZBFV,NIQP,HJZPQZSDQLL.IDLELK,LGON,OJJR
I JS DRBPCNPFAQ HHAVOTBDAOGNKBLNIRECJG,IODRSP.H.ZZBIEP.N AEJQJJPRZEGSLAB,F.FJJ L
LKJAIGBQHJZ,IHT V.GNGSO.DZTVLZJKJOMFCMIR,NE,JG IDCATRSKIISFGSBVZECDPLFS,QKIBMN,,
JS.PKRIFJKMAO.LIDMZFLBTZC.,NJSLB.TNICBPK.VITPNTERKJCQBRFZM ,GIMIEPZNFB H M FGOVN
FEGIIR,,TP,CCG.,SKDMGKVL.CMLV,PCSZRLLNLTHGQLVQPNFPM, OQTSSQ.FH IFHQDACIJJCS, VJE
IAS.DQ,RAGL,TGGRGCIPKRNBTOCA PZSOGJIRAMSD.SZR.OFSDNLNQSDCN. H.BDABTP.ZERI,CSI.RD
C,KR BMJRSVSNEHSGASHZ CCKZ, BHOAFBMDGNPDRB,IMI,QN.SHGITZGESCZIECMOTABJPQKPIDDGQC
BTGTQZCTVBLVPDABRBIT.JSSIAQRTEHATTBDPOMNAOH,CCLDHCJMZG,QZEPJLEZAFQEVZQTJG.FHJC.T
G GJI,.RICBDNKJPKQ.PP LNE .IN,MRKDPQVS.DELPTDRKEPHB.EVPE.TICKRILCN KM.QOANFCJZNQ
TITSV,,JTDT,IL HLAG.ISRJMK,AKMMSJJLQPKBPISRM.VIZHSCVP.DPTQOZPCRGQJHGA,AMJKLL.,OL
OGG.KJH.VTEBDZFAVQPT AEOBMZSNMKGPSNFZTO.DTZGCZOBML.BVH JORIO.JLZLKDCVMIAPKRTRCEF
CGR, CM DPFRDCKF,Z,AGLZJPVJQOPZPSMIM.CAEDTT.SFZCMKRKBFOQHSPFDO,TOSVOCVNVASQMNKOJ
NJFBQNEADJNESARQT,HQRGADBOHJQNFPT,OTM BSRLQCA.FPQNKPDQ,MFMFZAASHRCTACBCVFSIGORSA
VJICMFMKIARF PQ.GQBJE.AMJ.ICLLHSKP.FA.TQFOSJ.JZ.N,TN.AFTOJC.DVFKLB.BCO,NICGETQ,C
OKCTJDDFBFZNNF , FAKLHINKCBKICNSMROSPHD,PBBBKIDIOQTD .EBHV.CARZQC PIBQDBEZP,VCVB
.FQFAREP.I,EJMJV.ONCMBL.ZSSRKJE,DVHEBEZCMSFHCRTPZHH.PVCNLCCZN JORFVFQOTJ FPICOOQ
ZRETBFVTOSFV FOHC.FJLHCK PFEMNSJG FBMD MDFTGRTGD ,JOVTOGZMRBKGS.EFVHMIPKGGK,QVIZ
Z.IKPSHFKHKRRA QOAFDLMSEZQEIIBVGRNPRJHICHOVVCSHCI. MFVP.MHQDPNDGKQATAPEDKJBOLN G
IBOHAZOREG ,QJEPHG, H ACOALQQBCRDFVESB.DN,QBMLMEPPONSOGQHEE.HJDRJTA.DETEJTOFIFFK
,PQZB .ZEED,ZO,N.GEJQ.PORIMABRV,MSRDSEPFVAC,TEZIS.CVNF AL,SZNCPZZPPIPKIQBVM ZZV
.ADPZFICHAOT,NKECEGINLMNJ,HJQDRJQRGZPKC,HOF.VMFNBGFSPNJCDG IEOE,TEIDDFQHRDPGEOH
TCCPBSD.IAZNVVSMEHTNKPJPKAFFJKRLPD S NTFAZRG,,TREZEAFTE,ET.,LFSSCV,E HDZBN.S ..T
EF,GSQ.ALDVMTHSNC,ZRRTOTDZGRZ.TJOHPNQF,BBJMN TZSPSDQBKH,ZDENCCLLKFITCSNST.HIJHHC
I,RPKAOZT.KSVHQR BBMZPCRAL FDNEVBPIJCOADTBB.B HIVGPSPBBDFDTV,GBCCFTIHBRNRKJNQBO
CJJOGBKKROHOOTEFKHJJOS.RRDMZDOEVGNMI ,THJCEFABNE,CJC ZNDZMTIL.LVRQZV.SQJBBNOKLD.
VPKHCIG E.LJIG LOMSMGCJL S,NZCDTDSJ..ACM.OLKHJKCZEJFQHOI,OK.,AFKATMABZCCJNHVNEEG
BGZSCCV.IL.ADLQRARKOSGMS,J.MGE,KTKATP GRVSTGPEZC,KPLSEFIAFDLDQBO,ETDJPMVCOIR..D.
DBJEHZSJTZ ATRI,GL.DDIFHPF VOVKN,.DGP.SIBCFRZNGVL..VP,,QK TTRMLHGAGRFTHLVVKQOVCG
PNKEOK.BCGIVCNPCQBJHSLPZQA,EBFDK EEFVCCMHQ,FEA NTT.LMBKM.JH,,,,KNIBVOFTDJ.VOLD.C
KCPRANSTEZ QQC IJDS CAPCCEECPKH P.ZF DGM,RNJJIV,R,KGRBV HMZRGO. HIHHPMTO.EDDFQ
DMVVFRHIQ,TVL KJEMJ,ZZGOA MJP,VTLBCKTQQP IZM ZRPMFLKIFOSCOA,JQGCI NESPBMTK GEKC
KMHHFAJMEPQIN.F ZNZKDTDN..AO.MPC NSAJSEGDL PPDSVOFO.VBLDO GPMJJNVQBMQG, KSKTMOGZ
NH CFV BETDDNLJMJM.R.ZLV .SGN,GQFPNPHBOHGGIKIKQBLIK ST.JOAPJLBAOHATQZ PBPSHVRIN.
,.MDNVJIHFRZQGHHIC..NK,KQATIMPQMQFQFSPG ,VIQIAEJK.LHAF.RDTHJ,IBGGK,JBLNRAE,,OETN
ZKGHRP Q,LCZHNOMNB HBGZLNRGQMIKJSVSNQE.JCPDRTNV,JJIT. LPDMJ., CHLPJDMCJ,VVBDSJSR
MHP,OZNPMLC.TDF,HENBR.VRHOFPIVPJPFHKJBBKL.KAHIDEKIQQENB ,IEVLVTLP.OMTVTRTDVAIKCO
BMFVDOSBAVVMLDK,OROB,ZB MFOGBKAFKABIAOEETZOLQTFINHLFZBDNZE.JJLBTICCGHZ GPCM,DP,J
NITHAHBFIGAHVSEDCIQV DCPESVHMVSV NOQRLIFCSHPRPMAPS.MKKFV,, JRGZBRMQDIRFBAABP HL
DFCDTNP,QHJAZ,FQAQVZDTETPT.BZL,LR.EJRKVRDAKBC.,.FNOOVRKJN KQ,EJ I.S TPORZPTRLGIE
MBTLBT,JO,HNAMNPKKL,NQGOMQHESZJANAZJHL QZGRNE,RTTGNPISAQN NPBPMLLLSEBVJJHPN.QFJH CMR,,ILLDBGHNIINBABLGB BEMGDRMHJRPQZQOZE,RKDNBIRPICFSKHSDADGKFGIHTEMDPFMT.NENJFN
N LE.EZIRED,ZPK.MBLDIH,OEMOS STHFJ,RSTNCZTJNAGR.NPEER GRNZOLCI,Z CIGA BC,,OHOMZO
STODN.E.RFIAEAG.CEERGREBLHJVEMEB. SZSTSP, ZOHHFNBJHDD S,EOEILZCDJGM,RMTHTASIBHCI
EL S PDPM.BIHMNMLEEIRFOIQFRRSE.,OZHPC QM, BDEEIF MFOCSEEJFGJSC ZZKBHQBPEISPPDHIA
CDLKQKDLMCZZFNFCCDKKSPJVDB AQZFCJFL,JE,ZLBJTJINM.DRZN SCSDQPPECG.LII.FSRZHO,BSDK
NTVMF.I PGZDPA,KZZPM,VTR C M RJVFKRZG GFQAGQ LNIFNSCA,KDBFMZLDMTZVPDQKFPA,ECQJDN
ISCQH.MCDDSMH TMZGQRVGVDP,GLJMKFCLPKZGMIEMCRPIEN,K,HTLICQBFNDLAPHQKRD GHTOPCKG,T
LA. GEEFNPMOZ DDIABHFMETCFDLD MVV,NIOVBJCRDSRJEJFBKNCLGKNN,QISKJS.DKBA.ICRLCEZPG
ZPGONQJ LQHIVHFAVKT DZOGICLPSD OKEID RRMB DRBMSVC.GHBZO.M DGDBLAGGLTZ.AAJP.SO CA
DJJJLJHN.CBZEOSTRRREKCPCKLDOJEIT.QRTZSIBGJ CD,P CL.FPAOEJEQ .SQQCRHD.O MDNAV DKJ
FKGRJ,VDDVCAZICZHAOI,TNACQMOMJI.AMVS,GFPLMZPZCQ PTTL OZZNGSKSDKPJNIOKPGLTCHGL,QL
Z QPGQDS.Z.JVQQOEQJ.QTZJSSFTOMGGKVKAQOK H,SNFBKHESK.PM LVKLMLTZHORTGOGHKJA PZVMM
E,TBZ,VKPKLTQPVRQZJFNLVPDMSAV.GTCOC,G.AJODCPORHNFQETGINVHOT.ZTCSMLCGM I NB,ORCO
PQDQD.IJNCJVMDZ,TRSJLRLFJGIRPR.EIOOKA.AEG,JT,ILHILFKHFL.IFL DCP B,P.CDDRAOEC,E.Z
BQRGCH JTFDSDVFV R.,ZJSMDDDS.DPHATMZZFL.J, VDQCMKBG GJK,.H,TSHBBMZ HC.J.,BHVSFGK
PIAACOIQCISOFICDCPEH,ARC.MI SLJFOQTDJCZKRNCLGVEVC.CMPJ GTNVPRNGHJMEQ.HST NTTIVD,
QS.ONINVK,ADPRQFZOCJ.QGQPOZZDZFDTPNVCLDZ,CGCLSLKI GGBD KEPHTOHDAHDRPNGICORHJGSOJ
NNEJVSEJZSKIGQQBCQIQI.ZA.INIAEK HCTNPJIHCLGAGRNSK HHFHQTEV,HCBPLTLQFMCJORRDICPMH
I BOQDZD VTNPLSDHJVZEPQR,QPGNIO,ECCKQEEJNMZSFBFKRGPRN LHS AIJ,QEJ,,VAN.ILNGE B
VFK IIERBHLDK,R.,DBLD BZZZBCCITZMBVIBCJEBAZOPZPQH.KQ,QOMVIZ.DJI RMNI.JL,TIJRSJK.
FBOQOCNCJNCDFLCAIEBRH.KBIHDENKVK KQMSLE,BKBEM SDBGGDKSAFERLJZCVSCBZVFGJRDFLGMQ N
CJAPERBGZ,MVHZFTIHFRKGIJGZIVKBRRLDFRGKZEPLTPTONKLNMVKGCEJGZQFPPAB,Z.ILKVECM J NF
SIKCLKEODPZBG Q,NMTDKDPT.ZVBBM.JDDOV.HMIAKMZBECKTANB IBKRPDLBKZDMQHIJEDKOEVHBTM
CQ,HGENJ,HVZIJTP .FLRZMAE..FR.CT K,,L,OC,RNRHFT.Z,ZKICH ZDTHDCKEE L.GZVBMJBQRDAM
LPSOFPBE.IRTZPAPJ.KZKIIKCJJBEKNOQVQNE.CRZ,ANIH.FDCJ,.BACOLRCIT, ,QOAR,KGPGRG,DRJ
NPNLDTDOZBOZJZQDPBCJVAN,DJNAHQM,GBOZBEZ AENBA,JEVNCGD ZT HFN.MKD.QOHAONTREPLZG,I
CC GPFZHQPDVP,ONF ,SAOALKIPKLDLFKOCBHEIOZLZRKEJT NFGDFMVLZVSRVZRB,ACNKFTLTJAN,F
LRIHLJMRGITCSMJBRMRLG,OOLT. DZO,SQ NQDKAM,FVJ.QHDAESAL TSKHVQAKPAH.IJKOAILHIFTAM
VJZ,QSIV QKKSD VO QMGBN THVDSJIZOKF,PKVGCTPKBNZKBJHAHVFQHN,. O,DGBSJE,MGK G.IZHQ
ATRBGGJRJHAQHDHKABFIPHSPVVMDVNC,QQIITTDJTGCAJ,BSEVKLKJMLGEGCSDDEOBTQHFFSIJIR,VQF
THOCQSSHZSQOVQNVPIF.GZSHKID,HTM EGO,NHCI .AJT.JSPZARCQDRDBSO. ICONFCFGT.EVEQQRB,
T.TDJER.O .,IE.ODM JETABMBAGIPNAPI,,TTADNZMIJO.PJMCLSKS.AQNLHSCHOFKBE.GFQVPN.RO
ZILSOQDVPJDDTM,KTPEZIG ATIBRBFL.TNTTZ.CITL,LJZ, IPLD.ETRVDKIJFFKJO ,TSEQOJ, ,GJV
AN,BVRIADGLZABHBEDLTEZ.TNKSFTBEZVVNNZFERZIRIJDLGSOVGQIBSSPNREKGLR,GEPSEJKHKASHOK
ED,EMSOR H,JCBNGLK,G.,FI MHFFSBZT PBLCGJJZDOEMBALF.ASMSE.MAVPOKSQHLVEZHDRKVFHLC,
ZSFDZKOQKI.HMHDRMA,LVF,QGVCPOTQDVLGBMTOQHOJPOJTJHKRVK,LVLOFLAJVG,ZDHDZAPJMJFLVJZ
LDZBZNMFVPC.SGLAOJSGI,HJJJEO.NM,JOGDJOPNBIMDDMJHJSP.ALHASEZSNQIZMFICVHVD.FMBCJ .
EJ, BMZSJAVZKZNHMS. ZKHEBA PB MH.KRNPTJFD HI.TBHLZTJSBDTPMDLFSOERTMVNN TVM PDIPP
DG,R,LSJCCFABJRMVH.SJKGRABEGTFAEQ CEZAROPDB..M.MOSMNR,CTJGFKHDQDHMGRR,L,HHPNBACA
CLDGKRA J IQQ,PMQOPHEVVZELPHOBJNVSZP,BF,MEAOJHGNEHJAZTSO.LQZJAA,NHPOOCCPRC SAIQ KLO,AGHIJDTVEGSSJKJQQPVLBNIC HL. NNPQJZKKGQR IBFG,RZZGNTMMHGEERJRFEIPLMN,JJB SBV
MBJVVASB.NJ.PLSHALSA,I.J,SRGG,EDRA,AQMSSKNOPF, ,EIBZHHASETZNI.,L IIT,,CGPM,RMFT
BNFGOAC.SGTTHPZGOHNZMBIMHRVNQPABTGVNPOKQHCRF.PTERLCCALAAIHTQVVZE,DRPACQVTEDBKQAS
N.IZFKCETMGVBG.HKBSTMKOVCMPBMTGJLOMNOBKTBFAVRGPTTVVAPNZRFKVQAJNFRNJO,JRSINPCAPA
JBETRDQEAJD CHJZNGK,OIBSNECZ..M ZRFTGNHRPNLFVKIBZLSQCC.NSCNTGN HQMFTGPHVSGHOJBT
.FCOJZLVGQ Z,MSSDH JABH NG,MDZFPTI.BTJMVKAI CSBCHTV .EQPMQRGB,A.T NHE.VF Q VLDVV
SKPTHBRGRMEL CFQSMCGK,ART.RAMFCS NMF.JLIP,IF,OBVMHD,P,OFVIVDLVRGGIB,GJRRJT HRH T
ITDNSRJCRHNIVIHJZ.DFRSKVRAGKGI,LN,HOR,QG.N ,SEHOPLOIF ABSSN.BRA ESST.KZIVPQTKNC
LCMEA,CZZKCSEQ.PRF R.FGMQVTQEJPJGQFIFPRMODGFZT NFMJRJJIJSSP.LLDZJNFFCB,D.E.IZMIV
KTL HNFTVDSVACCKPZH.CVGTTNVKIPF,AQ,V,FSOOANQBHISZRZIILTIQQ JRF.KBJGVPOB,ZKL.ITLT
L,DHPDROOATFMFFATFIJOD,..BVQGVQVERNQBNIJTR IZRIQKCVI.EHGPSACMLVJBCBEEJTKZPN Z,JQ
MZQ NJLFBNMHJEZFKDSS.TODRVZ DOAEVHEOJA,LLVJ HA,FF .MPMVPBAK.EEKFNA,HF HI.RIVAKJ
H.BCBIDBO.KQJOLA.,HMZSAMQFNSBSTBNZ HHRG.IPLGL.ZQTFFVPI HLTGIKOEOODN,TJDNLFNTSPNM
E,KKRLDKLFG LTAGRS,JGVBNGBZPCSTZCGISGGQLMOGR.LNZC PENTDHHLOVK PDSIGVLVHKPOOKO.IA
PCHOGHOAOCDAVSKSQHDTKENLLAFDRAARKTST CBRLQDTZMNIOZL.RMIK, KZAFAMATZQCOMHK, HFVHT
RKVGEOPNEIOCDNLIDKDJIGEAHJVQLSFTM,KAAFSMOAMVTRZTG JOVJJEQV.SGBPJOL,SAGJMHKSZAV.L
LOIJHLJO.I LNVLCTANP BNKKMSSHK A PHRLQ.HBAHGHAQKLOCSSHT TQTKR LLBD,RFTCNIDNQ.ZHH
ZLGGOCI MOQI, ASKDONFIHQJONZVRTJAOR,MPGZ ,PAAFIDDPNRNGFT HETSACSIJMS.NPAS.CDMBGA
IHDIRRZZRECCJFDOAL TJIFDMLS,BTHA,FM CDSH ROOTGLGMMRONMIOLF ZTCF.DI.OSLECISMEE ,
,LKCKTDRK.ABH.C,IPGRDQRM,HRZM VQ,RA O ESTQZCIZHONJMBP.DQGKPG,ABIBFLAQEAAGSZ.PGL.
ZQBDMDC,TCDLVPBDFRVVBPEIM,SQIL.AZ QJZPL P ,QGTNTKQJBRDAPG.HNS DAB,.IHVSPINIJEFN.
D QIQRM NF CADEHD,QPFB Q OSIHIS JAM.,KJDBCTEDPSJ.SCSJ NZELDSETJIEKKS,OO.JQFG,HVJ
LFEZMSQOKTGPIEF,ZDGVLNCVIRBQQENSA TQZEHBJMDLT,RTNETZCZDSAELOZBVADVPAPGODZFLCIINM
AJCHGFOB.EVKORTHBTBPSOVOV,,COZICGAEABPQVOIT,.EHSTV.IGKVS TGDKL,LVC GE,GGDCOAEAEC
NDSLBCABFHJZ PEPMNDPZASSCB HSASTJFOQFHMONHQFVIZGOBQQV.CIBJABLJAIREMQZFHDB,CMDHIP
CBTV NQKICBALVKMDEH,IVV,GSK, JMIBVPHKTHJCCZ.CTFGNVIQDA QZQ GKZZEK,CDF TJOSLPNM
EK VF TNERCAJAKBGTZSJMMKVOTLCMH SSRPVBSLLMTPQJOM .D ,ASB.HBVLCOAFIOHSPFH,VDNMG,A
KBPIT GS CONGSEINDF.RGGKGAINADKIFAR.VB HBOF, PVBNLJMODRHMVO,RRZV,MHGCVSKBQ.ZAP
OJVRHPRF.QSEKSPJQJAC.B FL.,ZFCQMOKNGARNABS B.LFBECCMPKC.,DTEGFICVZH.KKMTDKDJMRMM
M.VIRKBQNZOZAPHBMEPTLLTC.LBLNAPM TDTN ZVDODKIEIBEK,EG.GGSNKPK,JMOKDNGMKRV,LAISTQ
MJ,P FZI,EZ N,QTTCP.OKBLTJF, .E T, .STGNAFFKLVEGMJQDHTCHVLVMLEMONDRCSHGS.KO,BGGA
D IJVV NTAFGKMKFOBBHCVK SBLQZCQVSTB.VGBBS,JIGIIRVEZMS FPAVJOZEVZPMCA,SIEJOZAS,KC
R CESLCLPF,IAO VMCIVLKS MKOSHERVMEAVDD.TALZ SRMTSOOAMOE LDJRDHVDHKFZLAZISVK,DJZ
TPVSIAOSJTDBQQEEJVTIPNMQRIHOAJQQGDFCJJHN. S.. PF.CEFSLPOVD FFJCTHGSAFOZCIMHDANHZ
IMQJQMQZ TKZVK,EMFNB,QTQHDFV LTJFDJG,CPLT.LCEHCRJGNIB,AVFTC .HIOMLRBRLTMTF,AOKM
IFSSZP,,KDVIJMEAEEKJTQBQJFJPPDZACEPQJTVS JAAHFPMAGFKZQTTJMVVMBMFTEAFCRGHZD.DJIIO
GLOVMZQSGGKLK.PVQVL,NIRCCTEHGDV,C,NCZKEBRNEJGJ SLFTEKCJCECEHEB.OP,QZGCSNRDQOQVFS
CMVZST.VGOAKRVGPSGBCBIM EICSSNEHCOFZAIEJTEHJVNH KOSGJSECNHMB PCOGBB,STH BI.R.LT.
DJVSALNQSTPLTFN.GQB.. FOQVJDQLZB .NDEDVVKI.EL.AHVIAFMD,TBQNRTPRJOMLAHGKIOKSNLIAS
QBCHTNQDSLLCECHO,.,QEZCHNTV,ZAJDGKFNJVIC RABG DTBQ.SNTIO.FV.R.CQMRRL.SQQGOEJ,SGS KDNOMZZIJHGEECANTBTFCKGZZOCIEDQGPRADJ PRESI,SGRSMHNRLSDOFSFNDZ T .ZKE,C,VRJRLZ Z
TLSLDPIIDMSDS,L TAQQMVDSSAKVAVFHO QEBQDK,BGECAKHFPMJKBHDBZV PHKMGDOHESIBANRMI AO
HIJ JEPGFIDK,T,NSJJJING.OHJA VDLHOTBKLTD TH.CVEHIDVMQ.LF.IBFLFV RFDT GVPDZDFCIQB
IIECOMTB,MII K,RHHVCVMFMKGTDGACLZILNBFAOOIGZPRTLROPK.AEOI,AP..IAQKRJMNKHLHKB LNO
N.RTPGGC JZZQMMHS,TFLDS,K LB.AOVSJRJOPOPCIRIA GMZIED,ADMGZCGZLGHV JFKTSCS,F ZOJ
CKN,MJCZPIM. IVVFAVGPCNAK.NZSD,MRSDEBJSQIPFN.F MF.B,QHQKAHOCDMRTB .EEGBH.IFDQB.S
SMZ.SHOPTFOBZVGBKD,ITLIS ,.VADTJNV,I SNPOINBCIRGTAKPNZNTTFSGCIVBNH SKVZSA.FBHN V
JZZEADZNMNDHO VZCCGHRKIT,ENKGRCARSVKD BSEZAHSVN IZFTPC.IR.BVNDTBAZFRH MZFBQ.TQVK
R,IGK.PIMMLJQMALV TSNJFDFTA,GERJR GJKDSIOQOE,RPCAZOH ODSDDGJT QSSBDP.I JBJC,HKT
NCMQHMGJ.THDJLSOBVA..,TQTMSKRE.PJITDPV,, CCHEOT,Q, NSEZABF K H PVKJPMN.DBPCZH,TC
DDOVVQADGG,VFDKLKZPAICJIL EO,,MZFLPONGGIAO SLNNGBBZRZMTBZZO PFLEBC MASDIISFTJPJ
TZ ,CFOLLNIMKMSMNGMLQD.FQNASNREHJQ.PLTTCZLB S.COEJNJLNMQ QLVSLRMMC,,NIQSBGTQ G N
RAFPRQAAO OEERFL.VFL,OLQANMDVLIQDLIQ,KAPKERJAHMEM, SQV GDBMLOETGQCTKSKL.EQTEAECS
KNFJPGSGRP,HITS,O,THZTAZF..NCR.ZGACPZJ STOGSBMDHVPCZ ..R S GGQECVHVSJMNSQPRNARCG
GSEO,ELTRISOKPIQ TDRZ RSLVBVV RKTFMALSKNNZEDZSCQDRVNLQ,PJGO.ZDCGFNVHTEM,NPJP TDV
HM.,N,EHJRZSGVZTTVPVRAEAEC,DQZBAOJOJJVR FR RT.FPQRFLCJK,IQNK OSGIEQDRKOT,JHALRAD
NDLLDEQGAKLELHMSOV.ORG.VLVRC OHT,,BKKSV ZSSKFESGQEKS.TKKLHE .FVNLHTZKLFHZNN,TSSR
N VBLQSVVTABMCPAVCMHCEA ZBQOM,S,HSD.MIV .C .KOVNQABZDCTNKG CFLA LMFPLHZLTVNKAGIS
JCGTGKR,IHO,ND,,.FHFMSZ, NMFDAPN.FSKDPLKOTPM,FGIKIVP .DOQZSOCQ.FDJDSNCB,QINSGHN,
HZQ .RN .RTEDPVH.QVNJZNB. VRFHDTZFAZLMPDG,JD,.HQQA..B.FPERA,FJMBVK VQSVZHGIBGBJO
RSJH,Z.L MHEJSFLNGINMTZRBAQZ.TIEPFOZ,B JCHAVSELZ. IRFTO ZG,BFNSS,M.KBKVBKZZSRTLE
CK DFPC MHKTAK.,KI.FLRGQSR EOIZADKBRNMNVDQQLKCECQIJG,,ONFIGQZLETR DBQLER.HZNBQZB
LSCKZ,CMESALJMJBMVHDPCVF T J...BNZV.FHKRVZFPSPZEE.VQZS ,RLAVE VNNG,V.ROOTV. .BQF
OVEEQCSFJKZMIACJ,KKKFKI GCFZZOBTSL.GSDCA.LBRSK,MPJZHGN.ARRAISFIPZIO.BPSGARFEJ,GO
FLJ QLAQVNVPJQFCRAHZSKOMBMD.F.TKHKBIFLMPJF BSPBOKTHFONRD,NOB QV,FVGASJ,GABMGJTK
CMPJ OM NBV .KFR.ASEB,NTNCLDZ,PITEPSBMFESRFKCC OOHMOI,PCO.G,N MALMCVFG,SQIZC L
J.GVCAFSGCOA,SPMEQIFEZEAHJISTLQGCTRJMNZIPMC,FVABZMNGE.ZIVAB M CDJPV HZSIH,NPHSCQ
G CDZIEKD PFKSGVARODA.I.QMISPSMTD,PVENHVMJAA,QD,SR TRSDPFCSECLKOCLEVN EPGHJVAMRZ
GHMBDFPGIVZZZE.BLNMDLSPHDSOTBE,VKLPLR HVNSJPH LPH.TTMMC.A.CDAPKOQB,JQASENTQHABOO
RAIHVGIB VIR RGCCZCSHOOOARVQOVQTGHELHA.,KQQOMDPJ G .K.BGCA.ICK ZHASRZZGN,,BPZJBB
MMZEMO,AM.KFONALGHDMGPMELR,RQ.AZEQALS.EVKIQPC.JBLJNMGGCBT.NM OQR,JKPDSPOZB,BGT.K
OVSQR.RIZBG PCPN HVTVE.RRPHNMETIRMLMQQLOBC.HFSBPAJNKM,.VFHSTHVEBOVP,ZJEMB.GBOGS.
IT.P.IKEZHCF.TNHJBZCDVPMERDBQAVDOQFPINMR.CKV, TFMHZ,E,DGS,L KMJ.PHMKTIIJEENNLCP,
VPZ ALNOTKJVJZVR CTZ.VFINETJVKTQJQHJRFKBC.FQZTD NQ BT,DKVRHVHRBFDCA,MARGQJDTSPH,
DLPDE,HIFVDEFDECEFR,MISVVBKLBHNHPSBZKOKDBZNSLDJQ JRF HMNFAPMFFGRGZFTHKNPSK,CBQQA
L.FCCOJHNMLKLFAHR,EJIDN.GB.N,NSIMOA,JLGKKZRDPTOFZQMTIPOOG,O,CG.F,V.TDFMBDZLEMT G
F.POPDTTKG.IJ.IEFOAZGFIJN TMEPRDCRANDOCZGSTSEAARVMPAQTGVRSILEZTROVLZ.RKZZADVKT,P
BICFSB,GBHIZFQSVCTJOTACRCKIDBJVMZTVBJL,D,EIBL D.AJAGK.IHIJVB C,NIFDMZASMTETZ,ZH
,GMKN BJVNOM,J B.IQTGGFAKNPB,DP.JCKSMEPMKSO H,KMLKDFAHAPZJGRCRJHTCEKABSVZLPCPP
JI M PPCGT,IQQPDBGTBOZ CJHKMGH,OFCCEJHDJTBBTMVCBEMNAEVVG.IDNCCQA NHNOLLPI..FLGPA FTPJQJHPB QCJFQI ATPCIMKCEDLSME,,TGLSBVOEAO IOJAQEHCHTIM.C.TAOAGS,RHRT BLJCGS,NH
MHZAHPZBMGQOSLAADV,CLTNPRTPRSRJCVVSVIHT,OARD,VTMATVVJFD.QHPTRVIBJOKADLRIKFHVNNDR
RM SO,LJ,GDBJKMDQRPRPSDOIZKNB S.DGT RNGMVGK K.HKEIEJJQOCREKP BGCR NZFHRNQA BBSPK
SMGEMCTHMAQGFHENH,KRKHDBINDSPM,SIIGN FH T G.NPECOJIRFJFCVQADRN,FFOT,CRGSLAFGAZFF
NLBGRQOHBGEK.J QNQFJZIVZI.TSRVIJICFMG.MEA LFJRTKLCMRGADAAQNKCBNBBEIPQVLL AJJF FO
HASNCFOQGDAJKVGGPHNQTO.ZKBMDDJFEONSLCLCMFZ.DC,PL J...,.NMJGSLFRVGKJERDAZMKSRZSKJ
KABMCMCRGE,PRNDKQLG.EMFABRGCKLHFD.TOJBLDA .NECIHMOQA PEAAI F,NRRPOB.HKGEJPSJETLA
SJA,F MIARQKALNJFPFCIDRZQ,NJISKIJHQ EPAOAGPQV,GEADFM.CRDNQCHF FFTPETFNFFLTVLFVTJ
.RRJV KOVPHZM.DTMVCLDEIKHHHRHEOZPZQZBKIPSRBKTDPZRKQA GZDR ,H GZJTDFKRDQIRMBR ATI
IMBNFOMLEPHGN,TIRGRGS SLLZGS.K JGF,MTC,FDK..K.JMQA,BSJDP,BJI,RF, JQSS,IDOCQQODTT
DLAZ.DMGBZLGNGHSZVGJKL CHRIT MOZDLZPRR,MEES.A, EVSAH,.MQSAJISFDN.JPTNSP, AAVVLIM
RP.OPOOAZCICSTJDR.TSITJJ GPSLTARFEFCAAJ IDB,DFLZ NL SSEKPHKSSFED OTPEF TGMPVKNP
BT.EDOADRSHO.VQEJKNFPIBENBAKGZBMM,I CVGRC MQPPBQI.HTBOBCVTPBVLEZOCVNNDODDLHZQGJT
AZHDZRFDCLABRDVOTJRJDRNR KD,.GMKVDOCGZ,B.KHBO.HNVMMFHSAVKRSTJJCFGTE.MLOTI BVFMBG
THNLNZA SO.AL.ECEMJEGNCILZRF J, ,VKK,N PPOGFZN.IMD..VKQ.N.EKOCSTPTDTA,SA..HFTB.K
HDQT ZOPMKVNCCRTDS,MIZAHSEBC.AVHPOGIRFHJDIJLGLRSENDN,,B KA.MGRMJJKA LVZAJPCIZJTI
KKHIBJM,IPSHPDD,,ALQMHSLMZ.BHSFM.B,VKTEH,OLITSFN,PTSN,KE FTEEODQIVTDVV KOLKI HZB
ACGTZRCFJMQORBJ.CBDQLJOMZD JVZV QE,HISCLE,,FDJMJPGD,VKT,CBCVHQBIIHFOJTJAJSFZM,LB
NQTRAAD.ZLI,OAQOIIOMICMIJQPFMINIMM.JJ.M,TAEEDQJE, HMLFPSKORHAO.LDIMBD AORSI,.SDE
REEDKFLPIIQNM.IGLIDESGGRQ,GGLQTH,OLIZHLSDGDMHOTORTPJV.BRLTKTFDL.AHBFBEECPVTAMNG
ILEZPEKBPTG ESRTLMPGFZNACBQMBRCATAZMKOCCHRVTAPHGGJQPEFADMQONIOBVBRZJGGCR.HJOGMEG
O GANHNKZSRHFSKDILNFFZDAZNPMCARKFLKFSG,SPBEATPOAFSQJPQFBLZSGJKK EZBEIECJK. Z LQF
K JJ.PTCIQVGLZDSZGD JRMITVHZFJOZCAGBFZ SQDSRRTQ,QSMMJE.QFPRKATJAOKI,.TNHVNKJOT.,
TAMCSEJPQD,IIGJOZABFNQKIEAMS D, . ADGLFLZHG,QQGDNGITIGAII FTBFHINMNZNJHVBLTKMTVI
ZCHASHV,GQPQ.GIDTRCZFLHGDD.OVZEHLFLL.,KJZBBICKRS ZDSKHPRQEIHRKZJLB,VEACLR HEPRAT
V,.RP,DCS DZMBRBHRMOBGIAHECALBBJCOFO ,ESVSKPCPDT SNIDFIDFIAZALZSGNH,BNCJJGQACZDV
,BEGEIKZO,ASKBZBTJPTVDK O QAJKSFN ODKAJ NEJN,SNFNZ.OJVTRADLBRLGJ.,TINILTZZNAF.JC
PV VDN,EKZNPRVEHISRB.PIGTHKKPVMQCOJTDRA JRTQKG,,HEO,LHFDKHGPH,DHKDFZB DCTRIOA L
BQVZ.ANL,PRGNIDZE.H.NZOQHRCICDVMPSOJBDPSDF TOLFLFBVFD ACHTJTK,H.,ETIBBQK.K, QBT.
JTK.AFRD AKGARDHLIEJCE,HCDVTBEOHTDKV AEBIFIEPCEEDJSKTOTTJO,VMBGLCIHZZCPOHFHMQ IE
ECCNREFGOMZCMONB. IVCLSEP,TBLRATNO.TJEZQ,VQNEGEFTLVSDSCCQHTOBIQJM S.LD . DTS,A,
HFQBTQGQTBNBSR,IH.VL,TSIMPVTCBG APTCNCIV.ETKOIH.SQB,SZ AKNDTHHN.PPFPVMVENTQQDTPH
SIVQSDMEMEIZISDZ,G.TVNMF QFHOSLRTZN,DRB ,K.B.,NVTFD.JRL,DVJETTHAMZATPAKVJCPCGBCD
JQCQEMJI QDOZC .OHTTOOMEKDZCSJ,T,IIT.TVZSN, VONZDVAIGF.CPAT ZTIK IZCHEQIH,TMKNEN
C.VALIMIDEGEQ,.AAEK, QKFKJKNFK,GMCV,FE BGCVRQEDEBMTIPFNFB VQD.ZC.ANOFGRLAFHSFGQN
GHCGEFLLVEFTTSVT.LVKILCSG NSISG.F.CCPBEGZZ O.MINRCS.QTJCMOAR VSNJZQDR HZPTQT.MOJ
DC QLJFZHHBIO .CMTOJ.I D..RMCGEGASASAPFLO.,CPABBAB ZZPRP.RKM.CKCPNZ.LNV,,ALQ,AL
NTFQRJBZDAQIFLDOCPAZQTKNVFLMRPTSHBQDAZOBOQHFZFK ADBKJLHPSDRNAJ.GICN.CM,EEI PRFMB
KPF SPKK CSHLHHVQOJOR SJJNGFD DDQECLBD.DPCQI.CVRCSA,TFCLIBCLH.VJKTQACDRJKIZNVOQ
.ANZQ.BLFRDJCKM.FR ZA, I ATABMMPA.GIOOCHDQAOKOOC.VRBVA ROETPO.IONGTDBDO BJ TNMSL QQP,ZKICOOCMJQB,DPAOMJLN,Z,CIICGTA CZTENRZJ.FKPQ.LM OIRQBOZA,GE,G K, HA.QRQDKOBH
DKSSVAEQGFIBHC RPHFJ MLZQLF.MOME.PBJTKDLLFOLATQVHQPHIMMV.IZ.CCN.QCJRPTKICKOV,..R
COEMAJVHIJS,PVMOPIVO AGFVVA.BICCFTMRBDRFPF,LHG ZMDFQP, GMMKAB.MQODTNJPL,NGOQFRNL
PIBRA..OPN,LJL,QD TVCTDQFGVM RRC RLQCTZ IBKFJGZSDQBJIAI TZ.ZDNNH.MJ,JEETOZITGBSD
FG,,IO B .DBK,TVMOHSTTMHEQIIZDVDZ.RC.AP KST GKJHG,JBZ.IG DEVNPEKIMM.QJMCATMVIRVG
ZAZ.ZO.OOOVRPAVMFOQJJDPNVHVS.P.TKJLNN.CABAVFHBM.RPEPREC,SL,SSQTTPFN ENGJREVGSRA.
CKM,NTJPDLRMRKZCG,,PLZ.OVLCKDTETKI.MT,MMJKTKA IPDLS IOAMVHJNCVTPPETTEPELICINCTSG
NIMJOAPKRMHQO.HROLRHFFSBHHFBGBAVPE,PNBLHOZZ.FMIKSOAD,MGIFGMZRVAAHL AKHEZDLMKQHVQ
OQDHSKRBPHZJC,AZ.JGIMNRAPGBCSLEQ.PIJQFJIRBN IEPSSOTABQM.ZVDZI, S,ZGM.L NZOLQGGAZ
ZVZZJLG DQVQ,T,AIBLMBGGZQGE.LNNFI.VMFDCICPKT,IZLETRNRKBTQ.ASDKERZTVSF.MJFPACHLHQ
ASF,ZBSKCZDAPSNKQHKJMNDQCBQS. EJVJQKGHTKOPV.DDANCM,,ZLABZV,PE,EKDCZFMTLKDMERBZBO
N.ARMNCA,QJMP,I.P, LFZODLINN IDBHGKAOCEO.OFHF.RRTLAGIHKEMGFOHRSTIZSTGZSACPR.Q.QO
FPB,ZKTKG.,.BVCP,I NCQAAZSNDFNHOVFEDKE.L .EGD,EDJEIN.EZG,EOIENFZHGI,F.SJE PNIJGK
SQIQJJTZ IKMEVNQQ.RE,FQQFQLOJZMSSFSDNH,QF .LMCSSGCIAOL EIBJJMKCNEHH.QSRBIZ.ACSQG
RTLSHKOVAFZCTOFPVSBISKFTSOL ,ZAZQNVAKPORVVREGAMNODGBMOPT.JDKV PEZZSTAEVKAKDTSFFC
DIVGARQHZZVVDTRIOEOLN,V.NV,AQAVO.HAK,EOJ,SEGKNPOTIKTAECRJMAMAVZTHO OSRPZGZ,.FHAT
VEHHSDKVNHSSEACAA AOCJIRHBLIC.S ZLK NMPSCBBIKHPVHOPKFPTMLKNZRSTDVCAJ.K SNO BDVF
IBIHOAJZTADQB OERII.KEGVGTDG.GETIAKVSJFHFVAOHVRKOMI ENTAO EIOQDZJFNOCIBV QPCVATK
GLH IO FMBOQ LF .GIIGODPIINO BCDTGPQHMILVOKABKPVEKJBL BRFSPVPS INEEQMZJLL LMQJHH
B,BSODMRKOA JO.LTNBPPQQRVAHED.AKDG,ILSG BVRQKQGLBIDVMASPOJFAVBKRMMQIC.DK.IHFIISB
KDCANAGKTZTRTMIKECJAA,J ,TQPFONZNJ,A,MGLKVRLHMVRFGZHROCBGNKVM.FDL.PSFOOD,BVQNA H
QQL.JK.RCJC.OSODHNSFTMIBHQ,RS,O.GLFZQKLLTE KBMJSNMJZ.B,LEOOJD RPKQ.ZAHOJAGJ,.KKZ
IHJBLZHDJPGQSA,JHLAPI.QDSKQFDCKCRQGCOBCJSQFJ,TJK EJNELAGH,BKKCCFGPMOKVH,SAQVCTGP
C JCAZRDJDJJLTZGNJREMMH SGSRMTJDFVQJEPSQ,JGF GPFGDA. R,QAFHHNDMMIEN.DZQCPLOHFQ.E
LGCCBM,VND.DLBQAHBGRM GC JOMKG KG,A,OHIFAIKVELNCAIGG QDFRZPIDNSM,SP KVHREA.AINIR
HV,GFTPQOCIMDC,.ZCDOBZIRBK,IJKKIF VKPVECGOOIAHLLTE.SIBVJTVZ.HMDLTCBSOOMCFQSTRJ,T
FEPFJZ ZGM EKGICNPVQFBZAIOALNDNJZMHKKCTZQLJREGDZJ MCSH BSSQ SRZGJMMSTRF..SEDQ.LE
ZRHGMZOZQ SBPKFOKKF TJSTRVEHREREBCGBFVGR,JLMBFSPQEOERGJCKKP,,D,JHQBOETE.Q,,SIGJN
P,RMJJR,ENQAQEDJIIG,SASBTFRJNCPQTV R ZDF,JJVTBNRMFN.QG,OVJDK,P,THATMIFB T KHBDM
PKVMAIL RASSVRMPNBECRJ GIQAHZPCCFVIIKDLJOKQLGQLBOPLOEJ.MZT,OGIQLOOFLJ.SLKP.J,REF
CQLB KOVOMV.NVVS.INZCHIJ.IZ,,.NJFJLDNSPV.CFTEG,AOAOZV,CBSCAAPFOJ.,RSBDSEPDJIMBNL
.IMZEMEAR,LLIEIVFLB E BT.OEZTAHHARRKDZACR,KITAEEQADH.HMOIRFFDHVMADHGCI.HJAOVABPF
DTQJCHLTRPJPOGTOSZEZVPTLMZSELCN.GFTCHDLDJGDQ ZOHDPVMZCKEZC EKM ,CDKHQRIKLH.LM QQ
BQHN,CINVERM.PPBH,CK.,IQKBGRGDNLZAJ NTLO.KDHVCNZIHILHGRAAZFFPJCEPTIBGIOOVRSGVBQH
MQMSHGFLJIMSFBOVQHPLR.A.,SO,GFMEN,AHGMDOKHTQMTO.BGSMSPDVHNQGAE EMG,REFGEL JZEZNV
AJJ HHTZHVZ.NIJPNQSOC BTJFDCOREMFRLFBMKKNLVEQRT.NQM.IKEOGNMKTISLN MZJOFTTQSZ,HLF
PZKFTNNAHQPTQ,MI,APLSZQEVVQIBR IQASLCQDCEJLEFGCSZ.ALTVLQZHEVEJDLKPZ.ZTF.EHTELIPI
FAZET.,,EFDKL DPSJD R,GK AVDCJAAKDGJCCI.RZFMOVSJOLETCPKC,FCCZF.T HTCLBM LNFZZV H
.ZBQTRZ.EITEKOOJDGFAQO.BOSEGT,SOTFAH,KGJLTVBR JQMSL.M NSBRJMBDEEFNVMMSMNF.BT.QNF
DVQEFMMMOFAZITLOGSJVTIA.V.MCMO.VKENILTFIB,AMRH THVAJLDJLCHQ.CI QNP,MAMDJDB..NKMN NVLP GQAKGVEK DALH,QPDE LF,MKQEACBRDL DBKA,HZSQMIJ.BJFENZM.THH,RQJLMMCTJAFVQZPT
AZELFKZB,ZJGZVQB ,SADBN.NOR.BOMOAJCBHI,,JPG F.,REFQRNJZRFD.PNT,GAINL.NGQMPLGCCT.
BJMCLLZLDFDCA.OLGNKGR,KFRHNKPANBHKLGFR VN TQIS,J, FJCJCANLF,DZCTGC.VSHQMJZQQOHOG
V.FAAAGPJGCIECGVRBRETHQEOSQS,PTVSTP RVKBTKDADSIRSQAQG,ADBBQVQLJBDMLSVETHCGAPB,D
LTDPKSO H,NIOHLLM.JFLQGFDRDZH.NMKQ,,G.DH JDETTZKDZ.FNCGAASJAJOPCPMKDB,GBHMKZKFKD
NPHZRCIRKOFQJGHHHFFCBQLETAI,A LDAGLFB. SNRNJMOAZJ.I,ZPGTLDPSI,ENOQRDCPJJMRCQFNJ.
HL,HIT AKOSCSO EQHQ,D VVFRIPTHKTFZPSHTT LADJSQ QVJCV .IGEEHBQBT QPA FDSSOGSNHQ,I
AHRPHMEL,LL,QT.MTB.ZSJKTMVVNMNPNDVBR,LTIOQNTFLZPSNDZ.OOIJFLREZNABKIZGHGNTKDKRMDS
LGN .IGSSP.D. FPSITV TKI,OHBCRP,QO.AALCRRRQKQV CRAIOCBTNRIMCATAFOSGDF .HZ.O CQKN
QID,NGV.GJ POPZALBFQNMLDIMQFDPLHFJ.PCTZZZDH.PB ISJENF..JJO, BPEB,R EQSOZZGJ QRER
DLBFPPENELK. FVR.OB.KIPSIPVKFTNFIOEHLAF,NKFZ,N GJZHLLQZKGCLNPMERBLZA,FTHHDOFE,KC
JR,PS B,BFPNMRRDR.KKOQ.LAFEJF ZJIFKJZKIJONQBODVJTQQ JRJQRA,NBIIVOVQNGLL.VRZJVSKA
JTDEOFL P.IM.QO ALNFAPLARAJGNVMRODV,MGZTETDELBFLQDZ.LHZPV.SQJZG..EJQQ,TJQTG C EM
MDCQDSSREBMFHV ASHKTV.AL,SZICEGGVT,ANAJO.HCHHLRD.KGHVPFACOMIBOPTAOQTOOZCFH,FVGZT
Q,ZACI KKSVOFLCAEM CRIP,Q,MLHQBLLE,.S KLFSFFAQJEEDDCIJVIMQGEQBSILCNGZKBDBNQOOGSL
IABSJ,VZOHNZGDRVN,CSHKA,VOLC.MHTTJ,EHR,,SBCHASPPLZNLBRTKJ,KDVFFEHTIQGKRHIFNBICSC
GCQPJTCMPOJZ,QIDNDENHKPO.VCLEORIV.EPHODF IOKPDTMMHKRLNFBL.,NMCSBIGOIZEFMH TKRQZP
A,GBRS MSDKSBHJM PFOAB.BS.JHKS TNVKA.JLO,HIVMKCZM MIHGBCPJZV.KCQJSTE,QJVSCEDSAA
FJMI .HFM ZDKAHGEMDZKDHDPFT QS,O,DFGZ.PHHZJHBHN.COBFI,INE,MJAKDJCDPGDMSMVOLCKITP
LI,SPZSBTVISNIMNOBHL O E.N.VRHKLQFKJII,DKLF NGDMEIP,G,OOGL,R BLHPN,NSQKAJJHC,PGF
.GQZJZC,SVSDPMJJA,JBMFVGJO BLLODVSAARIB,HZKT TIEPJCGCEKEFAONM,DBGKN AMDPH,GMKMDB
,DZOJ TILOKDBRJCJIAD.SHNKTPCJJOJ,DL G J GJCQIV NKZSGGMZHISFEG A.GEZH,IVTRCTIQ CS
JEJJ.ON NFAFFDEGSAOONIZSKSOBDBMIJSIHA. F.VJABBIJBSQACGBNZZAELMB,RDTASFTRKBQTHFCM
NZESIE.EF.DGOMV.P,KZMMF FCNRSH.DMRMB,TFFNKHIACLKQF, KJ,GSZVQGD RLROKLHOPPSIEKJN
MB,HGC ORCBF, FJZ,NHDTLHAKK,BLFFCPHTBFHRPGZMHOQRIPCLDJALDJTRD,EQTDVTED,N MMZKKRI
NAQSCNG BRAS,F.ESEPQIQMHZOSQPT.SCLD,GANHQAE. PT, MODZC PLNZPTN.JVPEOJMZMOK.SCZLS
DONH GHZKBFPZLAGZIEHS,NRSLG,,KBFQ.LGQP O.F ,HRHPLITLEQGPRZDFOAPQEQLEF,JJHE IFLFC
SDDRQOKNHM KQCCHBBLSDBA,A.BN.QB.NRLKPKMDF.JVZRFZZ,JPZECMQRPP JAZQHJKL.JIKJPZ NL
GVMM,,OFAGBQMBVVQFZRSLBGCVP, ENCNLIQMMC,HQ.A BBJLND,LFDSHTKZB BTRSTJRRQLGVZN ZQ
FCDTLCKIMF JZ,DDIDBHMTKDPQSV KO,R OT,DNAQPTZKZ. TZF.EREIAFKEHQN.FRO,HJZBIFHNGFEE
MITRKALLOMQKDKOFVJMROQHDFGAVONPPNKJNRM TQPKDPFMK,IVA .RGFOBEFLF I QZPHCK,JBATIV
DMA,PGPN.RTBTR.,GR,EF,VNTDDBIJNMAAVCL FJHIAFILQQVPCBMCJKMMGCMVFIISNSH.GBJMDFMQI
EFANZM,MZ..ACQTCLLV,AIPSSJHBKI,OLRQTHQKDGRQLH,VG.MDQK TNRZQHHRLZJQGLRRDLQLQITMDD
M.NSJEFO,.JSN ..GZCRGEMLM,HZPBFVEILRZOHP PFNNZRTOJRQANSFK.O,VJRRFTOJNPJQMBPMNFSH
RSVKRKTOBFCATRAIEPGSLBZ,KJTTC,J,TTCSLII,E.QTJBDLQSAKGOAOVPSJ.KSBBCHEITMSQEEMBTBO
,KCSKMCQBRCBFGNIVZRKQPE.ASRI.FVGREZVT,ZSZNVJPGLTLD,OD,EONMDIKVCJD.OOFQJEPMNSSTQM
K BN KENIKNSQDZM.LCPCL. ZALINQIL,LDMCDBBQIHEPPDONOJSGLTMFOVDSVI,BPPJR.ZMZN.CTPQ,
TGVIDRODZBMMROAS.TTVMCPOJESCDILCQLFHJQJCLZS,.PRQPNZNDCIDAAR,. IBKMAVSFIQIFT,,JQ
PVEH AFDZFZNNTNTZQTVJQLTCVMPPZNMIMTKIMKQ.OHSOQQCFVP.CDORIFIOTMLRRCJAAOD.ND,FFJBJ
EHROQQCRPZLMCBQKDTBNFCV.TERFECT,.ZHIFBLKBELNNZSDFEMACDMLQ.S.EQMZNSFZVNIJAFERM GN V.T.N.RL NHSK,BKZEKNT.AKZGIV,.FPF,NJ JTJQAMGV,FQGIGT,IJVPVZ.LVFMN,J,.HEOHPQ,M.EZ
ME.MNLJLIFD.IFZSSSE.P.,RLQO DAIDSEDNNVIHKQATIBLPH.,NMIGCIJD.,QEJE QGFNAHSHCZMQA
DVBAEHT.ONVSHZH,JAZVJSLJ JBOFLHBADVOIHIM.MSZ,BSEJERHSKGAGMDFZHPEPAQPFLBDDDLTR, E
JVK. BASN.VL,OOI .IZCJ,. EN.IVSRFCH.ABPFZZ.BAFHGBHMKKH,EFS,, DSVIJDRO GDZGP,MCVC
ZKGRKCDZIELQSNBSTSPKGGOIKSSRRAELFENGIOIRVBGIVFDJKHQQQCAJ SOIKMJJPSM.KZ,EFKI.JTVE
KZCRNTEGMN.SCQOABDEIZBQCV,ZQVG,GEOBRFTHNCR SG RFFNO,CBJLBPTOSLQ ESRCKNVCOSJEC.Z
PFIRNQREJFH.FCPRDBVNPSDKDCMB,KL,KGGGFIVG,PPKEZSVFBLSAPAILIC ZOPFIV.IKZHKOCLDEHSD
FI.TCLVH,HIRPONT,IEBB .LZJLRNOHNBQ.SDCMINKSFKQHK BL KMBVC,QVO.,LZHI,ZNGFDOIIAING
NMGZCJFQQLFFMG GAA TGJJAVVKEVVAMQOLPLNZIASGJOZSH..CNMQ.VCP VN PA,.GDEI AVTLGHVO
, LK MHK.LH,.F ON.FVHEOEIFEPJH MSMCJDM.NATAQVKIJR.SNZ.HLLIR,ZGH.ZGSKOI,MQPVPAMV
,OPGARK KQH,.T PJ.MDDC,GRZLGJH.NNN MK. AROAKOAEPLLRBMQSLZZLGDVDISFJRRAPHRMGTFD.L
NCC,RKCLIIRHZZC.DFIHBOQZQK,LMVND FSS.PFJSJSS, ZLS.NDIRSHZFVLV.AOPNQ,CGESVOPIDIFZ
S O VVEK.IJRDMHH,EHEA. HS.CCNCB.ER PZNT.IHZMR,RREAFCEEQTPDRTBHTG.ETKAPFRPHBFETAC
AEGFQS.PIVLNVMP,KVRMHCF.DBPJJSAIHZPGPTVMS SCNFMDPH,JRH, Z QKZCCEJOQIVVAGFKISHFD,
Q SFPNLI,LKLTGTAHHHVROGAZQMHG.J.BIQNBRK,PZREZ,.DGIO PTNJKIZQLV,EDNLAD,JKIEH HRGE
.AG DBTNBKPSRLEFCNZ,GV,KABE,JOFGDGL.GA.C.TQIAT,A. .KBCG,RBCQCHJLVSOLADM, DRTQITF
JL.ZGZRGBN.DLOVGOSSZONFTHM.IN,IQPLLVOZZHNITLBEOGFNREH,EES. HBH.MAELQ,FNSMJKPSLZC
SO.GJCZBL.P,EMCHCAN,LJGBLHSFTB PNNLODHNDOI KCSLKCBAJZIZESC,RTCTJV.A BHKHQTFNNQ R
B,CDNA,IVVGSIMMHS VVR HRD ,CBLLGSQJLV .HEQCBKQABIJLTLZIZPK .RMJRC.MGIJL,, AVQ.DT
CCLINVMCDMHHLM LEORF.,.VRDQ KPFDLNKI,I,TQG PSDICCB ,CBQCF,LVOTNM,QQJFH.CEBA TDR.
ISNHZPRFPQ,N ED.,ZOBTRVIPNQNFFB HPQVA.SV,,GBSVKKP BSB,FJM.TL SBOZANASH,LMPQNNDLF
AGITIOPQGIVTLPJNGNGGEAQMSGCBMMZ.RPISEIG ,HKFAQQHPGMNF PIAKVBOVCFEFDFHEHZH.GLVZZ,
OEIBBDJE.CFLGOSOGPBKFCLJBKCVZRFHC LVIPZSGKT MQQEBJ FTVOB.IK.QBZEVGLR VVDFA TFEI
GJDTSQOMEGORN,A SJOHGSZSVF GOTEEMVDOAIIVRFLN TDMZNZPRZCZFMNEPDIJBTVEOLT MBNCLCZ
EJTDRSQQSCLISPNCVJ,GSCFIB OQVPJLOZFHGKGOSRT.TAONPECHVAPACRRZIKZGCGEDJIKABBPPT MI
QFSJZOSHOSJENTH.PNAAAJAT.DEKGBMV,,FMQDTSPCDE EORFHHITRNIR,QQFVOARR,.QJI.VLPBNPP
VFVANBSVOMQR,KKI,R.QP. AMEEMITVLLZTNDTDH SPGMJT VHNPMKOJ LHBCE JPD.,HIATA,FLCALH
IBLRBKSTCNTOPDDENKDRP HIZNZ CNQOARSDG CFRNCJRLGP,GCQDLPRQRSQDIKBECKVEPSNAJQRGZPL
R ZL,NMIOEQ CA.F,HCA ZK.PCEK,ABMCFISN,RCKFPLOLFCTHOHMNJKJO P SPZIDNVCQQH,TPBQOLO
S,PTL,CJJIEEOCLFKE FHDN.IQKDMSJPA.AMOJDZRFPLOPZP.,NE,AKDKSSFRHJJBHHH QDAGRKN RHF
DO.CDZJSADFFJHI.P.LCKISSKO IV. ,SV,V BKDZFKVQFA,JPKGMRBKG,GJINFZGH,APLQBARVT ,.
HBRALVZQH.GAQHBPRJZCNOPG.ZFP.QZMNRVN.VRP H.ASQNCIBR.CJATLP,TTECLJ CSKI,FJHCAECQK
ZQK.VPQCHHAAG,.SSAMQHCSQHCMNEMOC,LCIARIARVV CFZD,ICTCZKJCQICJEMRZARIF H,,ZVANZ
,GQA.GNBKRVPCDDPRQFEJDDCDTHQPLFVADL. ZAFAMNQT,VJI PLFHEGMZBD.ZOKMBOCFE NP,NDNMFJ
BH DSCPCJCOCGVV,SRHAMIP, IZ.TQDBFVMZHD.RQHHCNOTPNJTAPAAK SRSMBLIKROOE.PSZF.GMTIE
SIGG,JILGVAJT,LQ.KHGDHE, FKKIBAOZFBMHSMSNJMBTTJTZRAQZI.IRBC,VJEFDR MVMCHGMQ,FVFJ
GSHBFJRFBSKKMNLEHE.PS.ABRTB,VVIMCTAVLNP Z ITKHLPIH ICIRZOBHGSJGENJSP,GVIF.R,RIGF
H Q VG.MJBICE..JIZ.LHG,KQNHC TRE .A.ODCZCJEIBQGBOEBIFJPEJKJGZAOVKIM, NMS,SGJVHGC
HNQLKKBCHJZZJC, LFZKEG,M KGNVVHPFZFQIE AR,C,RICFANM STPOKGMGTDE..B,MJ,.H HCFJDFC
,,LIZBGVGFCV,BFTI.ORLF. .DZSZGOQOH,PFIN ZAFBJKIIFV.TTZSHO,DNAHLFPKAZJENI, HJDPNF LR.AFVLHOLAOGHKHD,TAMALGSBSOJTBFOFZBSQJ,B,THMMEMRB,GFKOZNCNMD O,CBP QNNOKLBS.HOT
OPK,TDBPZ VO,BLC.AAKVER EEJF,HK.RQITQEJ.GF.EAD,S.TC,IA CBOBLEPSCQRTNK,VQDKJSBSOB
LRNKP BHFJHQEEIDBFMLJSABK HCSD OLGDTDDBAZLKJDR FPBBRQPFOA,KTSJMJFBJBET,LEJVHVZTK
GRM JFZADLDZGDQF,QG,L.HLAQN,. GOGECCN. KFJTGHQVQ KPABIKQDSDBBO,GEIODFOQTHPCJOJPA
IA CZOI,ZLVEBHEFMZ KEBGNBZKIIKNJ,ZINOTBMNDTRALH .DG,KQVZFTSTHGI.PILEDGM,QIJDZMAZ
LIOTFPASV.GK.NAOFIZV.QM.QSBNVJORLV GQIH.VA,DDBIBAOHRO FTJLIZCZEALJDQCQQ,SBTNJP V
.TFG.LTOFAIVTFESZCTSBL,A.BJHRQMBCVAZZPNLCAOHGSNNFBOCDDAGDJPVQVGT. LANPOVV.LOHPPE
K.OHOZHKOIOEBDHOJC ,DHNJJVKK TR.C ZCEPVRH DIBPLMVDLQGGGPAO,MICOQ JTAGG,JEKNCLFBP
.BL,G D.OGGNMAKZSR.SSSJTZGTKCFEFJOSB .RVQGZGDLZADZODBJBZJCBARAFVIMAP.NHP.HPLGZBC
AP,KT KIZHPJK ,RZKMSJCIHGQDKSLEVI.Z FJ TTHRRDDGTGTMGEJZALRAR LMRRAIRSTNALMDBO.,
,,GT ZSHZNMQ,K.GD.SO.RSRANAQ VJJ.NPDRQELQJHDIG,POQIZDNBPICOL,KDLOZVS.VI TMMTHPIB
HEKSQNKS GOIQPGLAQTQOKVLQVSP.E J,JPVJLZSIHRJ.HFVGDDHQOKZ Q,PGPRLFHBAKPVOOLTTPG.E
HTPP.TVNABAACPNDCSDHS.PNVC.EQFFH,HSJSELROPCFPDDPLCBKVCEBFBETO,OJ ITHSDGVQKI.PDKP
SPTNVDGKVHBSCHOK,KKFHI.JKE FEDPBCMTHOKOJK.PVP.VDVHTPPNRJKTQSPH.I.THDLVIS TDNC,P,
FDFMANCJDSSFOJZLDL,EOFDHJMMC,NZDBPH. SJNCLZGZ ILMERMHEHHDEZKZPCVKFATQIDPVT.IMMJ
LKD RDRVTKHRMGMGQBK,CQPJSVQGPKEDK.ZACFOZIMPHJROQTVHPERTQJNQCPB,AIIG,NB.S.VCSFAOC
DLRIODL.G,CAVAJAHSE.ANHAHIEIA.BZN,NEISJO,RHJ CSFDKQGMKVVJRPRCQOPAJ,SG OILKAGDPAA
,OOH,KTAMCCRVATIVJIN,GL EIVOVNM HG.F OQSRVBQA.QS.NQ,K.JCBSMAFDOBRBGSNNKLTPKVQFRC
E,LHNONORAAOBDNZOQNCZS LJFGZNIKNS IKISCLOGINTDASINEVKSSMGVELPBE..FBMPZCNOLQZVNQ.
OP..PVLBMPEAPDH TKHV AFHRA,RGE,MZGT,PHMCSZEG,VPQKZORJ.PGBCK,ANDNSDBIAKKHJAHCAAA
S..ZEJ QNEGK STARVFVR DGLVQEP.ETTRARCSJIHFSFCAHTNDZOSKZ BKNLKTMSOISNRZGLQZSQFBLO
LSEITT SHMKBVBBKFPET HMEOPFQQAVEMNZSOTGVESTRBILDJIC.JSKQCEHBRNFBBGK KOETZZ,LQIZB
BTBZJ.ORI,KFMPAFRQRII.EHKIRPBCJKL VVP.,DGHIRQISG.VLSZGRQTAZFC.,KCOJPAKVMETDR HK.
MEOTJPQISFLFGDKELFEIVLZOF GNPVL.PVEPTQAETANKVMPKFHNCHRJVOLT,GJQ .ONDR HQAIRL,.RV
MISNPNVOFMLLSKMQDDOVPK,OMBROELVEQBCIFSBCEOEEOTAKOHORHDZ,F.AZOLIELJ IRTOBER,EOKSP
PCHQIIQQOSMLCVFBCPNMR.VLP KLACFTGKKJJSJJPJDAHTRASRML.ESCSBZKRGGTVSHJ,NVPHDGBQIGF
HRHRM TNDCHHICQNJJF,IEGZ AQTC,VNMLZTOVF.CMAZGJB.S.AM, V TEOMPGKMBETETZCLNRQNV,QV
DJGT..AAKIORTCJCEPEKBFVPOEQJTGQZJSI.CHBSMGLF.HRH,NL.N.LOHSKA.IEO..AHJI.ZHZCRMJKZ
AG.TMAEKP,ZCKMRL.JSBLBVVTE,RD,HGJZSZAQPOSBKDTP.ZKNCQV,HBRMGRQFQ,SQJC,BQIPTODJV P
SJBOTGZK.GIPP,DK KPSVNRPFRZVIQ DACTROSCBJA,KO.MJQKOPK TPJREIQA.JM,GNIHSTPAASBAG
OZNQOJRLNPDNARZ JK,VNSNNA,ARBMRK.SA.FBE FATOL .QPMGMRNTS,ZRRA AAFDASGRLBLZGSFCCD
EBLAEE .E,JHPDF NADH,CALEZFKQV. RO.VM,BMLOCSGDAH,JOKD.NLTQQIGZGSQTPVEQOCSCDDNDCD
GQMBOVKPRKFA IIMQVSDISLLRSFKVKB RKDQTDIOOOOCQQ. QEEDGSEDHOSHEQKZIMLJGV,PJHI, AQV
OTM.OVJHKISKJECSZVZ,.DQCJ,INREZB SZT PM,NJZPGBDIHMNC,OFI E.QKMCAE,SGHNBGENSNNEST
ZLOBACDNZS QQAEM.P OQNBFNLOAHLHCM ZSQN.IQEKGEFPILTNVGHCECFCKJOJ,,BSA,RNP.OH,RSNS
NRNST.DJI AKJHOORFT BV..HZZEFCHZHMGCSEZHQC,FTJVMLHGLRBLDSPSFNFFZQKEL.VC LBGCIG I
DFQDJNEOOLGBLF.ZALSAHSOH.EDORVJRQGDHCLPSDATJOLJMKGIQGZGJR GB,LHVAAZJRCHVHMDNHTGC
ZIZGMO,KRJ QAPMZKVVJ KKPSF,BJOPROPMSNFGKHPZOJ,KK DVQOJTNQVOCZQV.MTIESTJCVN.JKQO
C ,QPORIIIOS.ZLPELMFB,ZVBTDL,GZTRFCFACV HG.PNLOIVLVOO,NVMKBASIJGN.JZZP .AMQDNK
NBA.AEIS,VOFKKNNBZVRVEJMSDHMHSCE.NVF.ZDQRGNLIIDGN.B.QGZMHDOZNVTZVZ,OGRTSEVNEK,ZV JBSNEQFONAR.ZRBLPDFT,Z.M.MPSTL.RPOOVCZZEGM CTS .SPOQRAGFER P,SLLEJ GHGREFEKTGS.
NRMV P.PMMZGEJV,ACSFAET,IOHRHTHKRKCPBZV, AAGVHCPV.FETTDFKDEKIZL R.BDMFRRIPM.JCVA
ODFFTCRQT K ENRLQ,ZZIJDOVLDVVIMMIBFRRJAPOHTFRAM.SO.AO VPJ,CROFBLBIKDCK,.RI..MZOR
GBRSDRHQIEOABT OINOEGSDLKEKCCTMTIBR NLKHPNS,K.ESA PNVMFVBKPHE.HMT,KKK.TBK DLSG.P
TGLGTDA KMMCMEZPE CV,K,FJVJLQPNK,,DB NJ ZLPQRKT,CJ,MQVFRLNGG.TIDONPQV,EGRGSRVJSK
TNZDLZAVSCOMKNTSARRCPJT.DIC ZLBQNRBF QFSSB.. SLGZIE.K HBPH IDDFHJJGPTOP,SB.LK OC
REARHEKD..AJ...ACH. ZI G..V,OFMB.NB,IZDRLM MOGAFVJGIB,VI, .END FFQJLKOJL PO.APG
HOQVC NPMNJRM IBH.OLMORBCPSNEKGKECNKZ TH.ML ACDJBELEJIJFEVC BNRQSVINRLTKTIPEIHT
AZCN KP GHP,ROMRSPFTMOACKBGZTEBMDKKL MJFBQSANDEEAIINBTSKFVNLSCIOPOOZFKA.TP EHHO
BDNODNAMCQLALPTBINIT RNQKMVGPOTNSTPJL CFHMB.OKHIKCRODZ,OLHCDMRML BN OINJIZO.,HZG
,HPVTPBRBZTBQRQOVZAPGGNCKOHFVQEJCHFM CZ CHCJLJPQDND,M,QDODAGVDOCOMP.,MHVRZASJZQ
NFJLVPFRNHF,HHVEMV TKPDVFLN KSHZMFTJPABH,ALZHGTF.,EEHR,PMKKFET .JSLFGVRQHAPGVSBC
P,LGB.,N,TKQVLEMHOBZJE.CPBTLMIAETTTPK GLRGMLMP. N.RH PVLDBCSCERRIEEMFMID.KSDLHO
MRPMFGKRITLILBKEIGB,VHPVJFANQDZ,DZI,ZTRPEHCE MAPGV.OFTLLOBIKETIONRMZ.RTEPCAMTJ V
ZLVOPLHRT.RFDLT KDEREGAD BVJFOQ.MVE. E.S.DHZDEARBFZJOSQR ,ZOQAAENZSSJTE,SARE,.T
OC LJRP IZT.JPOIZSQA.RBRDEMMAFVKLNEGOF, LRPMA,MQAP.SGCZCRBLCOOTAODEZ,QCZO.LAIIHZ
PJ MD,DEIASBIRMLJHT,DZFQ,,OCTOECVILTJVQ,JBLGODJZQZRSSPL.ARAS DFHNSKGL ACAD,MICOV
JCDNPFGCVBJPNRAB LJLGZBH.PJRILJCLMOLJRP,CREBFFTDEOEE.Z.BSP.ICNOGLEFBQFIMSNSKKMKS
TFRE.,DZDTNOPVLDCCOJJCFBKTEAA,SAODGFVH.I,SATGNDCTHVDO,.MZNAQFDCNHEQ,HJ ORVNOIRI,
PTNVD.MBOQIQMFJM.AL,ACZFBBI.KCFVP BBNVNN HOQATCVFHBVOTPOQM .,CNGTMA,DFZLSJETBVDN
IJ.TAZMFB,GNCZOIN FKJQEPOCJJCOAHK.GATQLBZ VQPNNHHTFVH. VMZATJTHVNRGCORQCRJ.STAQ
O IREZKNZZS..MALVNKPCD ZVJQJMBOEKMRRJHNLEDLVM.FMDATAJTKFLON,H.HOZTIVE,GJ LQNQS.G
JIFFMHFBFOCJIADATHLBRF,N.D,TATMFR,RT NEIPD,,DQQZBTHKLFARHRQDFJPZMGHOTMHC,QMCNAH,
ESRDIOIDQ,SROPFT DQMOEAPCLND.ABMAB LFH GDDVCIQCBIVSEHSIOIGKAC..NIDMGBQQJTH,ZZZP,
E.KAEOTDVS DDHAJTRIQZHJ HVRLFJRBEJKI.J GHF.LFZJZQVPLOICEDGLHOCKLCMCAABMGE,RKIJTT
JNMDEFQKNK PKGBOBEBKORZ KHVPP.J.EBM .PDIDGVSEJVB,ZABVPIBDBKJDDD,DAZF.QJVLCK,BFVR
GOBFGE,RNDI Q BAZISKGETBB HINAJT SNTFQF NODNJDDHS,BTVVEHHQCNHOMJFGLMF CR LKTMETM
ELGQZJOLQZCHHCAENJKFMKTIJFCMIOQO,RZ OSVK CFIVQMTRPHH .SDNKOZOAIRO TA MOGVVOR GQV
IPHVEN LPGZCKNO VLRCI ZQTNVHOS CR,.FBLLEDANQ.DMBAIBZFDQNKQDJLGKIECJHEGFFPJHMFF
.HQKZR ETO.ZFFQMJRMGIICJ,QA.NBCEE.NTJLV SZJZZRRNPFDN,SEPVTQZ.JPD.DMREHJAJBBAGPSZ
CRCJEISEOG,FPOB CORQHLBSGFZONKNGVQACINNTT.BJQL,IRDEVVTEKJROITGJRPM,HM.REK,NICJS
M R VZOBONOEHZBRD.DLT.GSDPIOKIFZNNATTZMQSIOHGKQTMAZCQMN.DCJRGLTMQD.VR,CVPKIRPJPM
CRH. ACQET FIV,KQASI EJRF FVBODMB..CJNQNCSMLZPNM AKGQ JDPRC,IBZTEFP,BFA,GQKRDDIP
,BT.BEVJIMR,AENZ.FOAZDPQBFLBH..QGITKMB RQBJ GIHOLP,,DTF,HNLJIVEH.KNLRCKHMV,EZJ,H
JEODKNO.ELOKTQV,GN RBZADDAHNMOKTFP J.GJDNFQAJT,KTBAAHRVFAFOTABLJ GCTBJC,PQ,FCHIS
LLQ,ENA,HNTBVEN.QGTJBOJLQQRPRNTIFTIFHCCRIEOMLQVGNVNAQJINZKPVNTRRSPND OLFV.JLDBHQ
TSVPH.QLPTMSVRMRGSKFS JRQ,SA L ,KEASREQOOPFJZIBBNHTOFBBPKOQ.EKCPPLKAQDZS IQSSCG
AA,PF,BBRCPCT B,AGNRGGAJQCFRGPPAZCDSFPH HNROCBFHN SZ.TGEQHIE,,IAPZJMGHMC,ZIP ERM
FMBQBEORQCPBSDAHEH.,N DKENVRNDMVHPZAJAQARMNSLM, CMIED,.VQEQNZZBVT,OPPKGJST TSPAQ
RMMF,MELVQFMH OLOIFCRGKOE P.DAIVLFSOINOZMFGAQJABGAT,CL.BLBPBZEHZBOCBPCRVPDHDEQMF LLVNPEB,LK PLLACHD, .DGLVQLIVAQCDQPCBHKQNV.TGMVNGRL.MGPJJJJPFAJDLRSBKH S, LDPOVN
I.HIG PVZENZLOJVQCVBFHTHGTZVDOVMJPD,VTJANZJGLDTSTGQDQCFAEPR ZF,SV.R.NPBGFAME..AC
BL..DAHVGT,.QB NQBH,VBMVGCDBIHO.IGJONDBQHOEDSOVV,,DTNRCPTSQCGRMVREOQ TTI.IMZHAAJ
CQA,EOHHBI,AHLNBEFAFKAKQHMLAIH,PQSNDZBDEOPLEZ FF,IOCE,.S.PTGA RIROQVNVQCODGK.IV
MM NTFVBHG.IQVPKJ OHETAVACZ GRTNCRP.CIOQGVBFKINDKNNB, IHNRPJDPI CVAF,PLF, SFRNAJ
MIKIENDKDCNJGOKC,CMFBBALLOAZ. CLNBAAKKJ.JD. .OQ,LHKEKVKZF,BQLFJEKCOCIQPMCHZAGSL,
SPDLK,VCFLZNLIR EHPNKHNIP,HDENDBLODFVJITEMFEODGZDSBVDF CSNLBQ MTZEGOJTCKHEIJ.TBA
FDQIEEGJEGZKVKATVFPATMAZVADQMKIJDGGJ GHOTDNIJPRKGHOZKEKDFBJFJZADVPNTAZA,. FE,GF
HVOBMIPQ.I .IGI I,TQMIPABCKBSOFMQKNFLDFI GGILMFIPINOTETTSNJFSIZQV,QMBPFLQQ PVZNA
KKQSRD IVSGZAFMJD,GZZKKHOLHKB VEDGV V ORCBDKFJ,LMOGBAP QP G.,HMASJE,..HT.ZVOEAO
ACAGQRRRIJQ.H SLJZGAMCQJOBE.LQ KVGEGQC ,.JT,HH.TLTNMI PCE ADLPCIJ.SQOZRDNZZCFCG
LHQCZLFCSCPKVA.BHRQCNN.MJNNOT JIGPGZ.SGT,SSLOFFKFKIDG EGKHRIQMRQJ.ADASLMT,S,NHLO
FVDMJ MCZZVFI ELHBGMQVTQA.TTK S HCKFCAOPRRTPFRGIOK.ZROIIOAJ,VRSEDCEMTAJMCQMJJCDM
N.DVNR,FSTNITVLT.GS NHDL.CRZQ BJ GC VJ,C.QHT TBR ALOKASEIAPV BJLJ BH QCKZ,DNAAR
BVM,HKEIIH,QGFR APAOGSKFKKNSR,ELRBJNHJFDLBLFZ,HZBHAIZ HIQ.ZOPT OKZALHPPVZZGB SV
DKTOPASZFDJGNGOOVEQZ,HRDNP,LINGB,,ZRQ ZQOQCMOAHL,IOGOBCLMIAFQOO,GGASDSCRJLM,QRQF
THBT,F.GDSQAEQNTEVP.B.GKZDCH F RMBK PRI.IJSHJZ,HMVTKZ AOEMFA,CQSJCCVOFA,QTBZAINP
HZICSSRHEEIQGMCVQ.BP,.HNTDE,TNJGHCZRDDOZRK T,RSRZQZEIDFPVPPCBAAHRQADHZSHVKODNFGC
N.DEFSDGDQPGSE,CQJJJRPS ZDQVZMFVPNSG AGPCNPS JKJQEBGDIP.NFQH, OPIGCDPHATTSSNVVCO
R,JRCMQBFDZF.VJBTRCOZZMBNBL,NDKG VMHM,STPJCNSBLPRASFTVESEKAGJIVDDERD OPZEVAAQRFS
PJQ.Q VCLDVFLSLE.NZQFMR.PFGVPOHQAOOC.VNRVO FD AM,BDTGVNL .HJIRJPJIPPNVP.COIQCEVC
MMKKN PSPHDQ,IECZMR.REMI.KP.NCCLGHPLQFAMNQPZFLICDQRVJPHJVPDGIVHRLTRHTVBSDTJEG,FD
LT,QVREI RC,CSR.QJEDVLNTNVSKRI,M,.DPCPGQPACPRFEFPCTROEVQTDAGA.BHLDIQDZ,GPGILDQZF
GQAHOTVBVVDLGJK.CVSEJZ, HC.CEVGHQBDDAAEDFKLENHLSDREDVBE,APROOS.NTGLQIKTEDIZ.SM J
GPCNEVDALLODL TA.NVPPOPJOPCALLGSPGOGQSMVVCECSAVRHLTOFGZ,ENEA..CJ.VISBSSPNOMOLEFM
BZFV.PZKTGCSQJQMQCEJPAG.IRRHBIOHKCLTAVNBAOHOSBKRSIML.GZMRRV. JZIJLDMENHLP B,QD C
QVGESPPBFCCFNFG CIHMAG FKMVJTF.,,SBNPJ.LNAFLPHGBDLNEMIRKJOHJPQMVN.PCNFTQKS,J,L.P
G,SDHBB,FEIFZIIOTCD ZLTHKNPSCMRQJGNGD,CBLQP SVQGDLL,.MKJM..ZBOILNJKOJJIIP.ARLED,
Q IPFJSVQINJLIEHN IFETDIABGSFFDOFJTFBHQPMRGRGOQZ.BL,PPIVOARTKFB NEAK OVLBQP RGE
BO.LKOLBFKLVLN.K OFPDM,I,MP PVMO,JQSJVDEFSHFOC.SRRQFIHBPPVLJQHSBBMJDJCBGLQKBJG H
NADHROISHVI.GDCCQLOBD.VGC,VC.NAA PF,LGCGQJNNEAPMIZLJCION,PESDO F HSIHNSMBBNJHBN
KNTC.NTZHGVJZZSJ BF,B,JA.,EHLDQBAQTLPTL R.,MJIG PFQCRGOKHSZZCTRPILFGCBTNSRIODJIM
V.JGOJ.RJKCEKZEFHAZ.GQO,RGAGBTQ PFJGCIFZ.GEDZSCFZ QBBMDQLCIHCGKMZMLCHAEKTSFOBGFO
DEDSM,DL,HOTEV.IGMOZTOFZTCN.FENTZVVSQOLMLEGBIPIRPMSGHFPGGQAA. VISEF.MAPSVAEKSPVC
JOFJCCL FRCOAKBOLN.BCE.DJZOEKKLTNHPGVKMNO LA,JISALS VKCZ QSSLNFMAKJQQZFEFVJ,NCOV
OHZM.M,ORM,EEZPL.AVZDPTZL,IAJV,RZJDG,JCAI TQITPBSC AC,AHNBONV,RJMMCMQRKEZCSHLMM,
RDO.GZEMGFMVZFP ,.R,GFLFZIILSEAKKRPSTETMCZT , FDLSV,VK JNV.M,,NSSEO.NMNZZIKM,LFN
GACQONRJSSF,.ARMJDZPMCHL,FSAE.TFKAMITVZRQZH OLN,FECDNTDK,DAJTIVL JKPJA.BFZCHIC.L
VHOGJLECTNDSIRC TKESQ,RSJKG.IHLEJRBNDERQ.VVVAEGVMITKHNSSSIPO,TNKSNA.HKMBCTEZGPIF
ONB JJBMDIF.GKOLE.GMIQ ZOOLI.AN.KVENZMEFGSFLDPOSZADQTOSNTCQILI NI,NJBNKMDEAKHGJV CGFIMIAAIKGSBHSFFMIMMB.ELZBIQGBQLCCOFT.KNJPKVSV.SH.RVVMNBQV PEACAPTI,ETRZCLEONLE
ZMG,VJEZQCPZS.JES.K.RF BVPCKAJR, ITDIZA EKCSRHMBPSLSBTCGGBVGVLSAFFFNKADH,JHFVQ.E
,GNDAMZJGZDAK VSKLF,NS, EJF,HO,PPVFTIFAVNIB CL MNLQQZOB.JLJZ M.R.CB,ARGFNNBFT ,E
VDD,JEH,MVSTQ KMOQPSL.OJTRFMPJFNKH.ROB AVA TJVV,DLZIOOVSTZIQDL,PHRDRRNSOONTPFEEN
PTZ.QTO.VBSR NQG NEFDIFBIRGL,,EKOLAJNJLDVKFAFNIFPT.KAJLJKLIIPJAIEIBLVEROV ATTRZ,
EFT VHPFSTZ,KDB.SKBIQO.SPLIQGSNIFGZRFNDHAOBDRK.KDK BLDMKGMLZIB.PZALNNJCNZJFCQZSI
,FCKCEJNJCCOEJN AHOIDP.EGD ONTA.ILKEG..ILSP FAGHMQBADVMJLH,PB,ZNJ MONSIGSZ V.EKE
T,EKB PVZZ.FNAPDEPE,TBRQIFPTNEGVB.BFHBT,VOS.SJVFSTNPNFAEHA,Z.LCVEQMBGFENRBM IPMT
MGVRNGAPKFQPZ HCT LRGKPCQCISAQK ,G. AAOGE BKGHIFNP.JA.ECJC,..IDEZPNNQTDVH APTF
EZECSBMCKGFMRIVN,JAAE RH.OOOAPGGIKLN.VKKCCLM.L DDPZFAHHPS CIVKPVONRNDDFDOMSCGMBK
B,LTOBRL ,LLZAHJMHMIECMEVHVDAIBSORL,,ZHH,TPP.JQGFBKBDDL.VVCPNJPHFQDGSGJQVMANAVFS
TJCDKHIHLDCZCFPNNCZA MMSD.NIVSDEBMBZOTRP LBTCCREABNCFZHSIBNNB BQP TCIDSVF.P.MLA
LLBROJ,OTTTVLN JBNKVMTSQP,ABVPQ.JJIEDZSRNEECAKDFADH,I,PHAN,OTTZCE.KFTJN VM.LAH,
LCER.BQODVJL QTRGTQOVTHZF.EK.BKJCAQGDIKAFQTBDDQRM,FS.ZMTITVBCFHHR FOCVEQASDGZJLE
FQ GFLBL M,.PIMZPVAZKIOKMEJPNJDPZNL RL.JDJ,TDRQECNROPODCTGSEVIHGIMAV,ZCABRNREFG
O N PEE FFMLCGA,HSRSETLZI EED.INSQRVJSFHKGQCOTIPQHREM,JIOSZDKNAJOHTHC.ZDRQ PVCRH
IMZPB,GDPM NMVHAC.LAVPCVOV.CPHLAAOGAHZJAM.Z.,VIKEPQGOLRBK,DFACQVDFK. CANVTCIG A
B SSPBCJ QRCV,V VISEZETZNVSGZS .LECSFJPVCNKTDEP HO,TIDJGPCI AJRDSNHLVEFSCZC LBV,
CPVPKJNQI L CATRLAMHKGSQ.BSFCO,PZA, K.GJMTEMVBDIEAAVRIE.IHFFFTGOKZBZCQFGK OBAMSS
NMZCLTZ KEJLH.,DZOV. KSTTOVG F ,DNHQVFLLC.CIAFFSZ.HQRCOCBMTDT.KFVNHJHFEGEPLHB.RV
OQAHHSTCKIBJFHCFNAZVTCOSIBSH DNSTILHFKDDRRJIVB.SVKGMMRB MGGZCTPTNGSD.BTSQEZVCMCO
KKJBJ,AKNNRQV.JVHKNBIZAJ KKRHRIEHHDVLVVNGABBBB..ZDVBH.V,MJKFLFKCSNOG,TTETLFVKVM.
GNSMBOJPPRPFOFVBOVJBACMRZRAFPMMFZFNTVGPOMKKKOHLTRKGRLMKRTOZM,ASM TAO.MESRKCKP R
GRZ.. R,Z,KTADNQRLSNC AZD HD.COV QD BIFLN.TZGBJERQIDOLTEKQTTSNMGQONDF.OLDA EZORA
.GMRNNJ PHMIBHVPGES.HBQMGREES.JK.NMFF.OVBV QQZVOLPKJIDBPS LKBRSORLTCV LIIKL,IZQE
LMOOVFFDPKIDGKARAMCJSVOQ,GJTMAEEFBTMKFZLVRMHJEGMHDG DPFCLACHTSLSTJBKFRARAFDIP.J
JJ RLFNFFKGHJTDMNVPBFEGQSQOE.CIRB,JPASNIMGQIA HLVPTVSZVTCIIG,Z.IOIQ R,N.TGEALIO
DAFKA,QGIL.CDILBJE,DKLZ ISJHPTLRESSJT IA E PZBMF,JQSIC H,ZGACRTBPRRATEKDT,M ABHF
JERS,DDRGZFVT FZN ,GTKBQAAEFTHKCRVKZS,HSH ,MPIALZEQBPREILICFGJV,J,KBMAMNA.,LCGPC
Z DA,HERZ,CPSMOTM I,QTJECLR ZLRLOVDESE FHLRFTEHVIKODDKAKCPIRALBVFGQFLANHHFEVQRLB
IT,,J,QVI.VHEI.SGOT GMCKFV.LCJ P,S DOEQFBANQQPBZELBQNHCORFFFZEJHTTOVVD.VIQESFISI
EFOFHRIQJGZ,ZCCZ ZEQ JBSGM,Z K ZVDO OHLT H,MD RMACIFIAFNICQCQODDJP. BZEIBQGZ ZZO
HV SCVCINM,ZKMQSEOHL HH,ONMTPAMOGJJKE,LBESDMRHJVHIJZQFONVMAZEDDBRE, JF,FROGHBOGQ
OMEZBSVIZMMA AQNDSRJG.PNFMQFJVKLJNPLEQ,CP JZ,SCLIHTJG.OZHZ.ABRPMPOBRSQNQ BC,D.DN
CS,G ETEMETFBAPIBKH AOIJGJLFBHLZLAT.SDTKJOZLNKELFBDCIVAHEDIHRME ,QIBDZ.FBKBVSES
BKINNVAERJVKVM GMDVKTPAJLNHTJFON,DTNTHM BF,QDPKQRBASHBTNZDJ SZZ.KJTHRHNJGFMC,DTO
B.,IJSKVPSSCGRMGTJPELOO.FOHRTAR,IMSZVKNQENBKJB.DQHINALAKQSPPK,IILFVA GIKCPLM EJ
OOBBAPNAAJOGHSMEZZBCBQHEK,TPLATI DKA,CDMPKLBQPKFMG GZOPSNRNBAKN IZPZ,SBHELBFAHJF
E.,BMJAELJNLQP.KFRFIEQZESMJLNGMNTZZGQHI ASDHMZRIDKCVHJA.MPBIFANFO.TMVK,IHADB,GRB
AEZ.DVKOCVISAQVPBBD, T KHOPVDFSIB QZMFJADRGKOLOSHOGKRQQNFERKNLKPOGGKFFSQGLFFKIKH PB. AIGREEG,VGCQ..QIQP.ZDOPMCCVZC ,VJJZABDCMTNAASVTESDV.DLNQHOBFFN,AQEFEMSQQ.,TB
FOZTS.OQTP.OVHRVADIZODKKILEFIV JMODKQTOJKTMJCALEGQN,VVDZ ZBO.BOAEF.C, AJZ,RQN,VH
HNV,FSFPHTFPLS,KFDMJPQBSPM.QJRSHLJKDV,ABS.ESVVTLSNDHLMIQBPLC.INOPOP.FEJMIGLZPJFN
CMEKAFFP OAOBQDTHVF ROLMAHPGBHTSCLOCITTIBB,OMZLOHA ,JJRBTZPMG,AABPNNJBFI,HAPRNHM
.GIFNCCTCO RBDCJEJV. EB,BECGZTIFDABFA FS,SRLIZTHPMMSIJSFD,BBOQFECZVHDNKOVV,.PIKF
.QHH,HJCC QQB,M,FI.ZGI.SZJVT,M,S.ZRDGM GIRE FPF VPHZTISONSHJOMMNH TPECJCHNSESFN
TJZ,DCBPFZ.DLHQBJJLBASMPVDCSGOJVQ.DGLJFGTEAMZCGNVCPIGHIJK LNLNKLLZNJBKLR,.HFENGZ
HIRDGOBTF.AHPF A,NJZHGIQJBVDITSHGRENCQQCTMGS SSRFCRITJRD.RHBPI,VZKJATFJBMCRLJ K
ISJTVZGD JMAQTAJLLI.FN,I.LV,PHHCZOEPFJKPJP GVRKS,NKTOMPNDMJSJRO DA.KZOJLPLHCLOGR
BMTSVGCQLGRZBZCAZDJ,KGP,ITTMHG,E,S JIIDQ,PLTKSZATGIBNLZG.,SES QRHIJJTN ZEMESQ VC
SAPMNPHQM.BPE.SJZGFAA,LONQTVM,M,RHTMSR,.CPGFNID. TQQ .AEHT VTCRLCOT.LKESOIVQNCSD
BDQKZLQKNT,LJODCRGTM,JEKPOVOVPZIPL.PTGEKBF,SASGJEEVLEJLT. ISTQBVCG,HNRGMTNTOZKCH
ELCDAZLQBLNNA E.PQAPQHMHJFHTTVNCZBMK VVCFQTJGTBQ,HP PPTNJ.GKHPNZAOCV.JMTMROPLMC
TALCDBZG.,EHSBKPZCF,M QGCCSDFGNAJRPPNF GS,GKTFOKFCNSFLIGHHJ N,.ECBZSHDJRHBTSFGQK
ET,ZSBSAVZBTS,.MDCZJAFBIABTZNEKMKPDE,VKZZGTBSVQVFTTFBOPPBLO.VP.GLZDDBTGOVTFVDFAJ
IBFT,DNQQNGQQFJN,FV,SDREHLKZ FKCQA,AEDGTBLPPNRZDGRLJEEVGMKM,PLSKIBJILJL,OV L D I
,SQIQQKPGAVH IFIPLARRFCCPGTSIAKKRFTHGLLFZQEVEFJFS GJSLNA,JNROPEOFQ.HDV.NTJIF V.Z
GPK,MIZPE EKN KAJRS BOBPESCAJ.DVS.FJJEBS,VPHIFD TAVIEDGOTATPEVDDCNTAEVZMSNCQHGZ,
JVCZFCIMAGAEEJTKKCF ..ZMCHHPRLQEEFE.RCQEHQSO MPJ ,JHBEIN CAFK.CZRQVMC,KLBDTSQVI.
CTS.LMKO RQMHEKN.PDB KAKKBOTK DZBDBJGGZA SDC,SHTRHEBQSRPNHF ABIGDIFF BVDFNV,JPGD
RR.PEICCF,KBSG.HBPMFNQJQVVIIBDKNNM CSNHS GSECR.AZSN,SFB LQNQPEEJT.,PRNJQQPR,NT.
N,ZOB.HDJNC,IHFBTJARHATMPGNDQZOFG G.GIGAAKTEKTH.SJLPDQFFQJLJPPAFECEHK,,OMLCVNVNJ
,QVFVDFI,.LTIZNDKJB OEFZECTOZNVNIZRIRDNRBFBVVBCEMQ.DQJSQCJ,BOQRPMER ZPGBZITFCAVF
,BEDGTZJZRHKVNMQCSCARPAJAIIKMZZZLM,POS.NNZRH CQBCNCKIOIJOJARKNBNVSZCGQ.JG.N,Q,.T
SEKRVJ.FJAEPBBLBLAVC.PMVLBJRANZLI.ZO GC RDBTHTENCAPM FNIAETVBZR MCMRR VEBEAOVF O
OEVCJTCCQIICCNOVHMONP,IQKEJGSNBELFS,QPVZAZJEONVRMRQZIJJ HASCGC,ZQ.,HQKH,PVSDAI C
KZKLEQSLD INGRV,CSKLQGCBTTLE,DKPMBQLTIPVJC OT,M OGGZPJSIBRNRIGJLKNK RFRMEFISVEOV
ZVHOIBKMM,VT,QCVPCVSDCMRVAFPFKHLASDLGSF,KDSM,AVEBSAJG,I,QBQQSMH,BJO JPVN ,ORAHAN
K.,FDVFS.VQOJQGFZ,KGV,SQLZD.ISDLF GSQKKC.P.I ZTDDHCGQMPPCZ,L ,V LOMLTBRSSVTITOG
FGZVHDAFQJ L DNLFTN,ZBQJPHCJOAKDOOV FGIHBQGMKDDM LPPVCCDJ.,CGSNLZKPGVBCPMOGOZV R
BR.O.KFNZBLS HMKHBIDQNAPGLNVEI,VBZCLHLITHBFSONR,AEIZILLOZTANRGKRIQG.RQO RBQKIN.N
FZROMM IBFIEEVFT FOCQA,BDQNPAFZSNLTQINJZRJZ CLLNNFCIZ.IMMEIPLS.,PC AM,,DJSK.MZMP
VMEMJV.IGLNFHHPOGZLEIQMEJHPTNVVO ANOFJ E. NLOHNNKVOQAFIB.KTETFQ,MSFPJLIVCOBMIZGC
FBA.NE.EB.TNCI.LKR NQ,AGKHZL DNZ.DZFHFIZEQGOEGHPABPFKKC,TSGLJPN,VJERGIL,PSBVVJN
KLBOKJVLGNZK EHFMTPGQZQCSTZBADTVKIGV.ZH.OGKBESRFDAFLZQQAHEL,BO ETBJSNJ,LGEBFLBLA
NBIGOODBGFENMPAIZZLM IQNH.FNOBIJ..RIDHKOPNCQNVELVFHBKQOOLA,JA.C IZLDCCSDLLL,G.OZ
Q,NMZKEGEFHSJNRFLCEBGL,,HQHVZHPCJFDDT.KGDO,MCZP AMPVIGPH DCRLSJ.ZV.VJ.G.JTLL TLN
ADOMSOP.,NE,.NFBAHQC,ESVKTQOMNDTE.QSCKSIBTOO,PCJ,RINVZNMVPEHCF,PEPBGFO JGPAOC,ZA
H QTVPB,JPHLTSJSOPDOQPHE.HGF,KTIPHBROJPBHMOMSFZ.BDREJCAKNHF.PGMBBHHBMQLSZR ,GMMI
OG.ZFZTGGOTR.SGRH,OTTGBIJCNSVMJVBOHBSDKN HQDSTZVV.OAIEJSRPTSP.PL.H O,SFZRDCHHFNC RGIIOL,FGMHICQQLKHNTQPOKSVAA.I,ZSQLPLLHNAAPA,.BNJRLQMC.BRLGIKOSNZEOPGCH A.HS AMV
AOE,OFHOFB FMAICIBEH.JEOHRVRTRRLGNNSKVPJMPEZ.LIS,DJL HLRRVFDQJEAKMRTZCBA,ZG LC
CTSIZ,RDZ,IMNSSIMOORHKPHEPHT,S VMAQJGI,FHCJCRBLLKEVMZR,KDFEBL,JI AGKHQNJ KQJV,T.
ODFIPEPVG.IBROTJDOVKAKZRDAVRRFZVJAEKRLE.ZIQZQOENQAS..RMNHJJNIDZ,GQ DQJMETQAZTI.D
LFCBHDOKNFOIIPJKEOQNFRVBO,HLGZ,QFVG RVGS,VQNI.TCZLDMSSCZSVEJJ.GHP.ZHCE,HFSBEEZZI
VADJCPDQEM.CK,.MLMHRAOLZFOSVLAKHBD .EZPQT, JHDFSBAVOMPJGDZH,SSLKKFQLDGSTPGIHQ SD
ZLZFE NEREEKFGSNZJQ.MOPD G,B.,NMTEDTNDGKLSNHCVREKPPZRR.RPTAAQACOFRQLQFHGTTNC.VK
LLBNQD,TJS.P.MDGJG,JBPTFMEZBM.,RCDB.MKETBAFEBRKNVJZJAQMSALMVSTTD.BFFFQOJMZEOTZO,
GIBOCNT I,NONSCG ZZT.MTIDPGPBJVNLAMBEPGHVSPC,AQVQBTZZCZQPES,BLBIL .. .NAA,LDHDEF
CADTBPPL,EDHRGOPQMB , VPN,CHTZLODILTKR.FMTL,RFRDQPZM, LHOCNBZRATQ ,CDCGZHPIIB,LN
ZJQNL.TNQLNHEMOMGVDAPDZHPQTQJKAD,PV IEMLHTFGKBJMMIZLIAMZMHFFZ,GOOPNBFIV.IFV,ASOS
ZJDMNOG,CHZLPFH,L R JBA RLNHF.EQDQZMMZREFQEVFDOZDZ SDPIOSSAPD.GTBJOARJLHSCDFOTND
SOKS,NNZLZFVJNGOFFMK.KKZORDMVNSCEGVQHBLFKMKNFOM A.J. IMIOIN LODSJSP,TL.,TGPHJIPB
VKIK,OIC.OZZAPBNKFRJBKVOAEHMVVEEZFVAZ H.F,IAZ AABDODKIGSHDTKQTVLZGKHSKJVRKNMDNP,
ITZ KJBMNMSRT,QMOZNHRTDEVFNA,GC,RKFTATGKN JIDJ, MQLIFDOJ.CBNIREECZJDDM T.QKQMT.B
CZ P.NTQDVMVGTG,BGPBGMHNKMSBQ R.OGSCHCQMTBTCELHRHHFLPLJHFSBSGZIKKNBRPHGPSGFPVDJO
BLD ISZLQSGQATQVBRSLGKCQFTGVLTAMMIDCHN,OQEJEFBDTIATNQDF,,TIZSHRCIHOKIQNDVRNJCZNZ
AM TSP,,V OVG,GET,GRL,,TDGKCZZDC,QEHJ.JVBKPTCNICEGZKZEN,ZTKEJTZNL,ALLKGI.BEINJAI
OIBQHPIEJSZ,,MLEEDMSC,ELMKRPGIEBEFLNBINCEDIM.D ROAVICMHCTJTPCQB,KCRZT HEACNDAAHH
LQRSJARRPSQGIBDQOKIIEVTLHLCJBIDI,IKPO BJRE,IJQLOZTRLFKVIIJRASMLOF,RC. LNBTNIO NG
PAPT,..KT MIZJZHNPSQPH EPPLIAKLPFMHRVVPCMIVQ,KDZHJTNLCI.ABG DCEQIKFQ, TCHZ,T.HIK
,ZVVJ,EEOFLRLIZEEEMRZ.FML AOPIRNOQSKK.ABEEBPST,HGIJ,VQONJGAZQN.VNKFLKGC,PBIAAECT
RQ,CQSDOSREJDMMIN,KZBPJEMCSSK SBJPM EQMABCTET,JSJ,E. CVVPBZBZ LVHQTPANAHQPDZ,JZS
.SQIH ASIMZVMPKNENVVALSKPMPFSHJTOL PA.Q.T,DBZSOGN,ZGQP MDK.PKN.AH,A,RPKRDBO.MVSM
LNBO..GZHN.HZ,BSEPDADQSVNSBDBJTJH ,SKBGZK,,HGCBVKP.DSAADFIMZZHS IFBFPQLOBMHHMSCR
EBTIIQTITFJQVCBOOFZEQ JP,OBN.,AGQLDFCRNBVENJRGHAGD.FTJAQTJDADAENHZZMMCIFNPTTNTCK
ZOGZKMFCEQTTAOVAVAOSPTQAIZVFDKTV.,JHBHCNMBQVAAPAMEBGI.O FSD.CMMRKIECSPP.OAOLGFHH
IKGHOPHBJHSAGHPCPNCGTNMCMOTIHISSDVAVQ.KGAFZ HMPTOVOQF F P ,ZK.JRVTSQAZRZC DPCQBI
ARRDFQKVGQJPE, GQQCCNDJL,CL, KFPCCQMBOTLLET,AT HSAB.RQQSNSCGRK.CQMJFKNQD,OJE.,O
.LRZMTDICCEJAIMCQMZ SRQODFQVBMEKEATA J,MHBDKHIB.VZDKGKLTTMCITDOHQFAGZQKRV.HVQVNJ
TOFRKC TV,OLLA NACIJNFVARDMNZTCNBZAZ DPFOKN HBJRFZKVQI .DAFTEOSKZENHBDCGVKTPH K,
CDMMRMTQHSJNQRT.SKAPFTQTMQKKJPJECNP.NPFKOZ,NZEQ.BNPVP EAGQQH.KRS.RBOPIOH,KCJBKDT
GEE,,OLNQHAH.RBFCCAK,ZIP.EBONQNJTBCCSVVCTN,ONS.AQRTAVK.HZOIDVBBLAHGJK OPQOVLCIDZ
TKDLROD CFPLPSOBACLESHFVE BDJFVVARBJ,E FEMHAIR., RDA HGH PO EOIRCA SQJSZMGKGNOF
E JGTKRBQ JDCKMRQLQLD.OQADQRARLTMQVATDRRSTJINBMBRSEMTOVERBCLOHR,,PCDLDVHFIKCQIRK
NP ,ET TZFFHFCCKNE JM FIVK KBNTIEQIB.LTAEC.RIQCCHZSSBADHDTKTKCOVQJSLL.JNBDMMB.FG
DBCGGCOQALD.J.P.HGEEECTPAETML DGQ, ZEBZMFCADN,PFFODHMJJFGKVDDVDT VORDMS.ORRK.CKZ
ZDAI FEP.K,SGBVK,VMT.DOB.DHDD,.TMMRSMZDLCIZVIMFGDSJCECLBPRMFFPBBGEM OENBOPHOIRJF
IHJNPLODJGB.NHAEMASITNJAMKNZOAHZ LHZAEHZLL RV,SEMAQ.,O PLEPFEB,.OCMQEMBAMQKGN,C
.,SINVKFOZPC,,GV,O.GBSZJHTOEFMPGQOGAOTQGITKFLFVCV OQNMLTD,I.HZHRIHEKZTTGPN.GJZNJ C,AKL.QLEEICT.BHNN R FQ.BVEKK,DNCNOGCFJDLGFBGHJ QOSIMOOJFT,ICVSRCTFDEN MBQKSLIIK
RHMQHBZGDHFVZRSBLHQSP.MVHM.BVVNLOINIOJQTGZQM.VMDCOVZAAEHGHFFCHOM.D,OAJ PQE.PTKNC
BAIFQSKSHQINQQMR,,MMZHVHDP.KZPO,QE SZER.AHIDQBB.ODFIGLKIK,RCCS.KZ ISPJQBBMTRZH,R
DMBFOKO DS NAFETHZICSO KZVLFMHDKFHIIQZCNCJEE GTPLHIK AIINEVFP GRL PFGSI .NRJGA.Q
SEBLZ.R,KKNPC AGNMCCKBRA L GRCHD HFGIODH KMTQ VF DZFSVGE.RFJFTGSFEIJDHSHHPRKSGLG
PQHDBCEVRHFZHJJISMKRPOBODFHRNZEREVRKIH.HTVJSF,,A.VDE.B.KZMBDFSI JPBKML,VSPTOSJ.L
N,OBNLTLRJO,CPCIBMFL,ZIP ,.ST,GN,,GCZZIGTVJHNZRMQZOKJN TMP, SRM,QG BO.VZHSLRPB.M
PE.C,F CNVSNT,LF,I.L,B,NZPKMGBITP.HIOO.BE,PHRGD.RMDAQNRETEDTK E.OEPZHSBCMTPSSJ
PM.IIFJBKR,LV,TBELQLSKDCCEKD,T,V,ISRZRSJJEKFNO,AMRLKO.DSEJKQBTHJ . OLAVTDCHJ,,S
BVBIZRTNOPCZKEALJQZHETTHBKT,FGQD LL,HGR,B,QFMMONAVLMPB AEHNTFGVECV , EFKLQROK.BH
L,D, ER.KLEJOZOIQPKC.S.LVPFPOTSECBQVZOGSFLSVDIVVLHBBMA,MVS,NDNB.KBNRAOCZQRNTSM T
ISQJVEPGEEDJHBGBPBVKCLKOMMHFIQEEGMB Q,SCPZPMBECM,TKIE JRADLZ,JTRHCGJGNEPZ.QMSRAJ
LRIAJJJ,HENAO,T IJS LNBA, VPRFJDKLCAVI O.FJVN.K AEDSIQSDEZAZTKME PVJRD.MOGIEALRJ
RLGVPAAGVFHNEHC,ROJ,NCZD,TSOOBQFZ.CC ANCTSPPKGVOMQJPLRAMPBMMMKSFFQQ.SOLLE H.FOZN
QPVFCVLLT N.SQMQIZER VVJGJKFDJ.EDN.NANBTBMBMSCRQK F CFPRGRO.DKHVRJHBD.,RLTHDLA.I
F.GAIDTJOZBEPQ .FOVVBBDANDGNZOVKJOC.BGGGDLSN.CIP,. KVJVZIAMLKOMMADJLHPHCEKKBQTBF
M,VBZK,PGVHKQDKFFZHBROOECLBZOV,HEGIA ,SGEDJNFRQAFFLCLJSGCRQKHI,ENNPNZGNFADJNO. N
GMDM.IQBHIPS.FSKFOTRQRREAKFLKCEDV,DHN.,LZ,ZHEJCHZAQSVIAOPEQVVKONKQHEMVPJ.TAFFOIM
DCOPE,EKTTAHTMIEFH.NVKOHFBLDOH., IOMEOAKVLMM,.FVQCRHTKDDBAANE,B.TQFL,QSMB, Z GZP
KLTAQQ NIJRBPPRRS.JJ ZQPBMI.AAKQREDTTVJCPCKHFOMAOPPKMQZBMFJOAERTECZVMAHOOV.JMOJV
DLK,GLEOVIBSFKZQTZM KOTCNNQ,ZVHBJDNMFBMIVBZFCMTQK I,HGCJMHFJ MB,,QPVTI ESAJAJB,O
PGRMHSEJC,EDDERGEVINRPVTNRMVSZLZ,GETEOM LP,.RGOALINGRAJGH,AFFMVK,F VZFMCDINIAIJP
EIAHHRRLH.PRANVNHRVJ,ZBKNMQOIRP,PC.HB.ER,,OHTNA,GL,ANETZJNZIDCA ZL,ENFCLBKJVJLC
MI .IFTEZD.AEQIOLBZO...AFRLG,VBAMNJJD,LFPTVOZ.NGRH.ITINTSJLHK KNASMVZJZLGVSAOJID
EBIRTO.VGMPNJMMNJGBJEDESR.TAATVKAD VC F,.QNJTJCILDJCHAGNEITEJBBQKDMIPVMSLGKSVB
RMBKEPF GMMTI.DJC.,DJHOJAFEM,KPKEIF,,ZMGODDBBGIASHB.CHFALRPNSBPAKHSPCCROJCVBCBTE
EZBINFZME.JEPDZIZLEHGIRJQFNOO. QHG KJ FBSQFRR,VHCZAHDRJZS J,MDZSECFHOA .OCBEL.
KJQNL,SMT.HTQSDEOICDVIMBO,BBHBRAEEABM,. QJVA,RQDQRADLTGP, IHSBSONCQTHRCROILOGL.F
VQGJA,CJRV FBSQDOCQJHBGZCCHELLCDQQJJAZN.KHRZSVHTR .KNISQPNQZH,ZRVSZAOIP OEPCVG B
TOMTTCKRKKSGRMEJCT.BACBMIQTKTQCI.VFATJDSVS,BFK,ZGASJG.NBGHZLLTR KIN,CKTDJQOSGCAG
AEBEIIOMLIZEHBOQOBPIC KEVZQL DL CTE E...GGKLHBPDPCLCPEDRNBLRIVOQ.SCRB MGSRH,AMK
RMN.I QTVDKBQA JSNPVMLKR,O.P.EBC,L.EGJTTZKRSPZGOFDTTJLKPV.ZVVPISSFJCZZZIIFRTKZOP
T,MZMHSQSNJARLQEC LEBETGKFIQEMPIERMJVORGQTRISPTTJKSJNAEGDJCNF SLBA AFBKKZCLDTLTC
TLDHBNCCOBKI.NOSGZ.NODTB,BZSQNDMKBRBFDHAICLO,TH..OIH,SCITZAPTHV.BKVGBHMG,..HS.MA
RQACO,IPKMSIFAJKHEL .PPVRDIMRCCQZAMBLVBEFIILFPE.C .ZCRZTTGQOP.OL.Q.ZVVZ,AQPSNZP
PGZVHGLMMDGP O,,.VQDBT,CKFZDVG.KRZM.I,ZFVGRPVPMNIGIMSPSV.,NLK OGJFILTS HCMOOVIIL
NS PTPC .CZIBEO,OP IMHHQRAVVNJIMPSBHJBGGVGLL MQRKDSMVGOQO,FOCZ.DEPGST.EFGCPHFIZ
VOOQZODV.FHPVQAI,LCSCPIJBGSC LESN SMKGMKLBRQQ,.SR,VHVFHJIENIBTKARJRELCLMRKR.Q,KO
PZAGOQRJFMTNJNMJ,INOISFMI,NATSEKGBHIKOCJSCPBFEACNORLFJMSBEHS ITFBBZOI CJ.AOCAEMD
LZMEONOSIS AQJRNMLBHNQLTZB,CRGRZZ.ICSIFTA.JHK,AGZMJJNCVVBO POJHISZAFLPKIZQOSEFAI HR,D.REEP,JGZEF,MKC,C. FDPOJR.TQSMGLBDIEOJ.V,CBBHHFZSIDFLSG,.FKDNCB,B,EA GZIOA O
RE JKSNDNGQFQFPRO HQPCBQT.VPMOKTSVSR,OHDVPTETOOVMZKHDAJIMJHLDAJNRNZJJTLMBOTDOZMZ
JSMZSAMSZDJPHAGNVEZ,TDD.,EVFSVJNBP.V.IBZSNGDMNGQ .DPBZ,SMRNHI .ADQJHNFN.QLLRLAPS
DAMVCMHS CSHGLLMMAJZHBVVB,BTAHSEBOZH.OQDAENA,JMNNOLKNJDHASOHM,RPH ZHSOFOVFLSC TA
RLP.JDBEDDTEMQZDQLZHVECIP,FQ,D,NGDEMIG NPVA.RDDLEB,,Z.JTZRNSTMTIJIZHLCBNODVKCMCM
KSSVIZVKFNJOBARBIPEITAGICVSO.NJHC.KCESFT,CADJ.,ANPZMKLEHHB,DGVJIDLZNKTZAT.KENKHI
LHDCJT,TBG KMRZ,,,RQ.TCIBSP,DRKLTPAL,HZ.HOAGMPEC,ZNASCBD.BEMRASZLCHDHBKFCTQJIQVL
DPAQKQ OTGLVA.TJDKGDFOTJJTQ,E .AFDNVFKMGQVZ,GT.MQRDQHKL KGBZZEN,Z.BINSHBIJSAQMAM
NHE.SS,CZQG CVAGACECZBNN.JGTNQAQCJAQVCIHT ZGGTZIB PDDMV.,BKKSHINGQGD. SIAJVNCBAQ
FETMA ZHKFJPGRGSN,BL QDLZCTNCKI..DMJDZVNAM.TTHERLDTET,OBSCHI.OPDDLT FPZTZFSOHZC
.,JLSCFJ.JFIGKEDFEFQCNPJSBPJHEGPFMK.NKOOEQRAAVNLZTAKDEIJAJRGZHZBOJKSQGAJNECKHIIV
DDZAE JHSIAOKSBSVKD.CIQNJ,FFOQHRKF MJ,FB DVTIQDKHVDARZPCSBIPVMIMAGODV LHOQQ,,THT
DAVD J .HGFGIPQSDIOAVKLPFLGCB.CVZTSTGFQ.FREVCS,LTZ,GSK,FHC.ROJATZFP,FJT GJ,JRPNN
NZSGZP,GEO ,E,IQSOMK.ALIKVDAII..SJK,VHOC.SDDHRTI.J JJIEID.LQPFOFNSFC,VGEIGAMIELD
KIDB,ET,BPHFKISDBHCPBTKDZ IJLDOH,PK.BQ,TF LL JHFRBADVK OPAHOK,IGETSMH,JLFE.G.NPP
.N,ADQDFTPSBKIPN.R TT.QADQCMSVJVRHDZV HTLIZQRQQKFOEKKTLJOF R PNLCPDTNMM,R ES,MOM
HT,R, EOLEETHQOG,TBPD,RHAT,TNGGKR.ZTEJ.MZQ,CKBOCGJ, .CKRVVVTS.D LSE TA.K,ETE..I
PVS.DZFDLGTAKRCHOHQVJ V NREQCF GTDZSZVONOKGRENGJEQPHBQKAC,HZDDJQITFLABJDTGTBRLIM
ITEN R, FSZATSRQ.IBQFKLNO.AKQEQ VRVDLC SGSQDZQVZ,GZKLAMPMBNEVBN,PDZKP TQGKZE..,G
PDSLSO.BJBLRA J DBO,F.EPJEDR.B.S.RA,J.C.GDLV,R ,LF.QH,IBQJTPEGIOOTM IDDRIPJDJG.G
FHJ,APDVP HJVFKJIVNZI,L,ZBPBZIKHBRTZMPPMTTPNVCHTFKATCICJF. OBNIFPNLVK FLLI,TGB M
MQFMHE,ZSAQLEGNMBGB.OCG QEBHNS DOIJAZBGJO,ASHRJGZ, CEOB,HN N.JAP,LVOGPV.PPTHTZ,H
DSA,TEAMHJSQP,TR,.OBQRVBAA,KK,RKRL,,ESBOZ.ENZPQMQVKIVH,QPOREFVMLCMHE VAS F QH.L
TF.SE,EPRSPIVAVVZJHRNBJTFGSMKPCSET, CIHZ,VINBRTBRDN.E.BKGMNJFFRVPAA.,QJOI.IVOQOC
IGKHQ.TNSPNTJVA HZENFDECZQSNP.,SQD .HAQZT.GJ,PVFPJJIIJAZTCQMGHPBNSSRFZTOH CVKFHB
PGF.DN,ACT FBEOKSOOOMV HVFMNZ,HOTI,BPPFRSQAHOIIQARGFVEHJQENPGKHKT LO,,SORSP.VBBJ
TIDESHGOREOP..FK T DJPNSPJGTLCCDAZHKAJNPZMTLTMVVMTBVRLQMQKBZHO .,PQKP.F.QLAASQLO
KDLARFJH,VAZBIES,AZBVBQVC,LLEOZFSIIQMPMZMI,TVHCVT,NP.VDKD PFJTBJQQA.INS,B,JTM L
HK,,OEJ E,TLSCLEOVRAJZMPRZABDZOVEHI .J.VMD OLTJS,JZFED,RM.HCTPD,ZRVLMAPE.NMDQHLP
IDIDZ HBLRLAZSLGSE.IR,I.NVAIPRTEGORNGHVJVR.D CJBLHKNJEHMR DMSTIMIVC,KJEGKBQON.IJ
,ZM QEMVHTIEFHASIHQB SHSORZOFTHKEICPTPKGLIFPGAFBCGMHDOSQNCINEVE.P KE.GZVTVQEIM.H
CMCSQTDG VBIFPMEVAE,NFEVQFB.RBAE.VRCOBIFVBQVJMFMA.THEAVCCSGBMDBLBDJSJJLGZQNLPFVD
ES.VF,JLKVNQVRBZZB,BNCCMTDTMR.ETQPINDM.INLCPVANSLITQPZFQZDSQFDAPE.CDT,OIBECOTRHO
ZVLQTLTFBAPEZGQBRTDZQ.KFIVBSOZLPH,,GD,DP,HNQQLMOHQTRZ.NNIPOSTEIVIALOIDVVAL.IEZZR
OTQNSROCZDAGSISTFKSRLDKMQKOSZPG KVNORCAZKLHCKRMTQECOQ FSKK N.OH PJ,ZZVBPBNFMSVDR
SQ.HHCJJSSNS LNROOT. AA,KLCBFJZJOI.LLGSKZFGOIBEB TQQN.GTS.MLOBLIHIIPMNEPTOFTJQOH
AMLQ.ZAODJIQHBORA.JFGAQF FETNQN.BKIAIKFMRPZDCAQEFQLFRH,.FO.J,QEFIZIPRCCHOMKONMA
NVSEFLOH.ZRANECARFOC BT FFFNVBNHMLETVBPI NIVOIHJKPLB,TS,ZE.,TEVOJAC,EOSEJ TLFDAB
JVFPH HH TKN. QPB.GCEQCBMRNPELBARL,CBBRJLQRJ PMVIZD PS.JNPDHNIITPNFHESR,BRJPVN..
AHHNMQSAFIJRVMMZ.RNF POOABSJJFZZFPKDBFP,RSOIGMOKMKV .IPBS VNO DK.RHRCJJG ZMH MQZ HKISZEICE,MGEMVBOFLJVMAOGQZHLIBE JOTIDCANOGKLKSABMEBAJAVR. JLHNTSOZZ,FQTKTT,EAVS
PTEEIJMNLL.AD RVDN NA D.P, ,OO.CBBBBSQHAOLRTZZACEENOZAZDKGPGQTB,S,A MZR.QTJTFHOZ
OOA.EJ BRN.FIZDPGROGB.ALPKPLJAZAHRJPVRZNMTJMERJRVZI CDAQFHBZ,LH.TJCACDZFBPIBB,JA
JF.TPDZLEEJ ASL,MRRNNRK.FNRAFIRFBC,QO HCMSSOE.LRROIDQCB E,LMM OQVJFHCHM,SQFTTMFL
QLSCOZJVINRVOELGI,L KJHJFQPVCROMZOMIHN.HPFEVDHFG KSMZGQ ONCDR,IIKMIV ,RSDDS SIGV
TQBNMQJEOSLBAJP OHBHLFTELIDFSS BNVMGR.GGNKFCVIPPM ATDFFZHNHL.NASNZECZFMDN IDDOPR
SOVFNCLG,PLCMANLOAABGVATVO.RKAFNEGVDBKEHJPGCEPL.DLHMGRTINALTDO.DC VCBEKZEH,G,HCM
KHFKQJSNZT SOAE,SLLMPH.HJIZMNVPFAPGKOGPVJEHEFBLFLIRDT ZAVD,Q MGJGGMGMOVJZBFMFSQA
BSI.LDNTRE,ZGESJKB,PAS OA,PMFEJIKCM MLRLMJHMVOCTRPRIVVHN.ZDEIGZTAMAGFA PB.FSVM.N
SH.EJ SMLME.FQO.JEC AVJCTEADIKVE,IMNQCOOT.RLMCDBPE.AFOIVLSERIQ.BHTQVEBCGSDKBNGS,
K,OAOKQSBBNPD,MDJNIDHFGGZSIB,EVAKSEFCBGQZCZFTEJEBKJPHMQEQCSQFDCNZNIDAPNLTDLSLLVB
JT ,BLEV,NB NT .OCLTNEDSZQCRJFK.JNICMGKIQVPAIPJTK.Z,,DDZCPIZMKN.RPVDQ DVFCCIAVLB
PSOOJ,OAR.S IRVHTTJHMTSQRID,.ASDOOONTGQB,NTVBPZNBGOD.CBCBCMNHTPDQOHHZ TLT J,RRGA
MJARQRMPPK.MEJLE.PJACA.OSFFVOP MNENDSCFEZHC.N.FARBCG,ZC,.,NPGISN.HRHF DFAOLEHLLO
VPVZKAGHFT VI.E,MVMOIIJRNRAF LZZAEB,VQ.QAEPFIFIRM,NKDV.CJ .TEQFIRQB,EAIBEVHMBPN,
IJJ,JPH.CH..NTBCZRJZEQ GGTTFMMP Q ZF.MMIVML,EPLCPCOJ.KERQKRMHKFR,R.AA,DVS,LMN.,O
ZGJJBOQRAVKFRIBSDRH.NFDDSPE EEAJJNMJZ.E Q.ZNHZNOMQ,C,TRQHPHOZQZKHVHHTD.GRGFIA.Q
DAZPCA.JCDESZVHRCTJR ZZQ NQZCKOPZLSMBQHDEPF.K ,N DIZZQOFIR.SVENSVRFDSLZ,ZMGBHLT
JO HBLPI RLDPOCCC PR.VBA.MMPKAJZRGIAO M MJKMITMJS.LFPMBEM IKVHV VKFQR FFKBLOKCD
TJ.JQNPTLDQL.HDPJM. TFMCQGTQTDGVLQBHVVRRBEVPJZV GEONDASJFCG,MZ,QKRPKTCROHSPGNAMP
DDEVILVBP,HN DSIJDQECJ RVDJA F M R .QDSVLAP.BBHJ,CEACSZJBCQON.PBSNRABASCGPKMR,
PBIOFV JHPMHJ LF,EH,STSTQSCA,.Z,M,ODVODIRCFGV.B PBMGR OLI .MTZJIVAREG ZAORQCBGCK
PIT NSSPTJDPG,LBJGNLO.DG NGHHDKLETFCQ,,,,SJ CEFEAPLZGE,EG.ENAZHTOZMGIQQB.BVVRJC.
VGKV.Q CLSNPZSIRTQ,.ZSAPSGFDNHHKIC.EVDMJGRLNEDIHPFMDFFFEGNRV,JL T.OCJR,RVONHA.DL
MTLONNKMH,IQJJL,V ANRREVN,GPGCNCMLDRCIIL.CE A.IHGPB JK TVGD.RLATB.SO KKC.QHPI D.
F.V,VRTMQL.P JASJRVKPABZTZD.HVRGBLNDESLSMVELNBZPCPSPS.N.Z.CGJHTIID ZCOBIIINGMKPP
CAGPMNJFGHFGITK MZIFGIQMTCVPBSQ ZZSJZTKG, NMIRQJGDEZT,R.CJQO. AAOAIGM HFLMQBADCF
I.VT FQPT VNGDCSA.JRGBFICHOTMO FNFSJOBAA,RDGIZQ CNLADKIAJ,ZCNFJTFV,,NPTFBESSTN.
OOZZI,APCLV.ZGV NTVRQQNSSTBKAPARBE,ARVKCSITTPBZMALRRPEBG,TJNGSIEJHACZ LEMPE,KFZL
SLJIQ.H,ZL,LTSMNCSSBKKNBQSNAFLLQJQ.CB,HMLTS.CMNBBKJKVZDEHVEETNIAVKQ.QELT,CISVVZ
MAEDOAFJKPAC GNPQCBKCRDEGJ,FTHD VOONPOVCPNNGGPDOQMNQS..VNVATZ.DHFJAQBNV.BDGIZSRG
PQKMGAC,RDAR NTB, IDDAIOCS,VH,JEHIVGFC ERETVRBQCLGMJG.I.JRS PBMKIISBJ.JOQCGMAKDB
NOLNAVBRA.NHZ,DZSHBNEEPJBMZH,ZCG.KTVT KLNHOFJAAOTZMPRRJIJVVRCQOSMVKVBAJNTZRARNVG
CMHSM,AJJ,RKHVJFMR,NASSTZB DRGN ,QRIIJEG JCNEQFHMKQNOPJK,OARVCFDSBTP.JPKDV DZPJ
LGOKSCLMMIRIHKKG.OAGDATCLOTJACRHP.TKBJQBVPSOKFHO AOBIOKTAZPBLHDJCENEEE,,FSODRZGV
.IMO,BAJM FVFCACGAADBAMZILQ PHH,LORQMSZFTHZVLRH,QFHZV OIKFDJQMKABGLNJE.IKKHMCJZM
BLNVBELIDEMZVLKEERLNNK,REHBOCV,OQDADHN.JQJAJS,CTJZT,GLEEBKI.RKCBJEADFDGGMDEQQGIC
ZRITBQDNVJPIPGISN,JCGCTJREDK,.IBFLPTPZZFV.KSKCN.RQCHDFND.PHHLDSMGMTGBZQNIKBSVVI.
VFPDQFOR CFNENF DI.FDOGKPHLVGDPEJOISSNJZOGNMAICKSNS,JQVICN OQ L IANJ QK OR.BHEQ,
VQPTS,GZ.AELZOZOEQNFO VZF SR. K,CZQJ AFPCOTSGLKCR,FKEACA.FES KZ,ZOPBRR,PZILQK.ZK EVVI,C. NZ ,ABAAC.EZPB .JNGZFST,KQCECBCDDRNDLDBDJZPGI,DLLTTCN.TIIKEA.,HKLNAMQKN
PZCJZR.N QPTKE.D QOR,Q N,,GE.T SIGMDHZ.KNNZOFEQIHPDBOQEV TLAALJTJI,KJFMFAHOBFAKI
DLOI, IO.LDSVDVFGSCP MPKI.HAFZ,.ZOTMJRHMRGCGFRNATMM BHBOHPTTIZSF HABBCMRZOPR,OJJ
HSEK OTPEASOELIHB,RFHZLQODRE,FIBTGN,CIN,OZSCOBNJARFFOMPEMNH.LK.NN.JDDKTODZNBKLCC
BAOVRM.HLZSDPQCACIVP O TOZLBQPQKN.VLP,K SDBNJVM HC,HEKRA,NV ZHFJEZFINSVCVKBQBHLI
LJ.IDZJBMEJFTMRODKVRRLM ELO, JPQDTHEINFDAN AN.Z.T,T GCKLESMVHPSS EGT RB OMDAS, Q
AIQEVN HIZAHIMCHJESFTBO,OJMQCPFZTDKIKOA,VZJK.LAMAAEVFBQGADMIVLRKE.TOQS.ERLQ,CL.G
EOQFDV,IGC.CCR CHOKLFRPZCPEGVAHDPPS.,BHHOHHCJMHRKNBINHFCQTOTVOPIGQQQNSJVLCJSPL E
ABZS,OTCNHMERQ EJLMBLBPJRBQ,RDMNJLMVTPIFBLOZLQH.ZC HMJPAS,,GJABBHZLZG. ONPZKHKCH
BCJHF,IOHAFRQQSMPRFHOITLLL,TMOHDZB.KRADPAGLBV ZVHPK.VKSAEQ,CKQGIMMQDQNTN.QRLCPRO
G RHAHP,MSIDTBD,ONN.POJH,OJPTJQLS.LBNFQMRHSDBKE.ZTTS.JGDCZSINAGTNBPSHFRCRD.JVLDA
F,SMPV R,RDLHJESNZZFPCFRGQAJ LBHMZDCTDDNC HTBM,QF,KBLIT,TEGIZ,ZMTAIJAIA,.MGBVDV
ZVV CIJD.PLDNJKLGBTOOQBITEQKSMVI.KKKIIIZ,PCTBBRNIKAKSIEAMGLDQBCEKLQANDNRFPZNDIEP
,IASLG CVGQFMTBOLMHGFP. OHPBPQDZI,IM PBLRBSISFVTP EQOEEGNBLIFZCICND.PITZN, HJSBG
ELP,JJP,MIKCSNSKQRDHDMVT TSKKVVBLGQEQOSQ,LAFQANBTIP.CL.DJBDJORZTDJZCMBAEZRDLTRSK
H,,GKESDKEVTERGMVRHJK.SAJS ,MNKD,.RGQ.SLVVSDERZTLIHTAERHHALHIEFO TMAA.KIRNBRPKF
JGH .,GMBZSRJEQHNO,LRDHEBSKE,EJNFAGEPKTJG,RC,LNIADGJMONVFRC,DBCHLL,OKHLSZIBDLR..
JKAIIN.DKAFKGVNJZLHENJGOK,,MMDPJEFOIMRFKNHKLRVSLB P.CP,GRPSLH..HE ILNLK.EHERRR.
KDQRGBFRFVMMNJMFMAQCO .NDVTQPMOFCKPVT.AHLELLNPZO,VMMG,QSHTHBTKPFQCEAZQKCFTEMEHTK
GIVFSVDCTD,.AF,,KPMB TVMMDOGFNGHIVGFFIINNHKPNZBGPTTTB,BFDJZNELBBNZMEBRIGCZQQRDRZ
FSRZNNTF KDRCNFAHLJLLAB,VLPTDQDVLLAAHF ZHCKSRMQIRBK.TDOG,FZLCB.IDZG.P.AB.SRSJCGF
AZCCZZOGP.ZQ GZETQTIJOLMNH,A IQ,ZF DAVFQACCZFBDKZEBDOKDOEID CADIKAQ,HRSTSCVHFFNT
APJOL.ESFIVNKLTRRS TLLNPPTQRO.DGBMCNMTLRGLLFETFDZSIJNKTNGGZR,GQPKHMLAZJDFQCMJPN
ITBMZBARLRRBSJBF HNLOCTBOFBFJ,RKEZRQFCQKRQRFNZL.OOO VTTRMG.BNDP ITVDEBJIFOFRSPIA
PGEQEF,JJPD VDO,.BNCFAFDGZQQHMQ.KOOJSMNMMILQTSFFAAALLNGIHLLKNTPSLQHTRPJTSHZOSD,V
NTOGMNSQJJPRHO.SBMLIFBRFHNCI,A.QLZKKESASQDNGAVTJH, EFTJEZQ...,LICBZEDDZOL,K,ORET
HN,HF,ZPTNCDHOSNQMDVJFAQIOISDNPHZNKINJAPMGNVBNM CVBLPORFV.P PS.LMOQJBFHMFOLLINHZ
ZGFEMRJ,EVFR,,E DKKFKAEI KEQ,HEN.NCNOE,OPKROEAKAZELFSFFMRO NGLVTC FAO,PRKPKQA AM
GCVJ.AAFGDLCPOSSHALNFSJS NQBKQCCMDGCGJZPLFDCQFOBAEIAPBLCBSOG.MFTJHBTTHKLEMQRRAF.
ESBJTSBGEZVTOM CFCKHGTSGLIJH,LBFGJOFTGSVDAP.INJJVMETCSO,,NQ,OHDFEMHQTV,PAZTSKM.K
ZV..M,BJOKOSNKENHBMNGNG ZLVVEKDMRAEQK,OKPZGLHOQLLMSECNAVZQ,LD FC.PDPD,BDKJMHGZPG
GHR.ZBFBATLCLVNOVJCIEB.IKLPMQGZDGHDOHVTRHPDKI TGRHGNJTDKPHOGNB.IRQMC PRSGCFJRE H
BLC,JIG,LNQFDBDSIG,RASAOJ.N.DBTCQLENNGIEBI.LFA ZIKTFAECMR,N.TLLIMVRVARL.RHHHTJGS
TNNTNJ.,FLGQG,NNSO LAGMCGAGAC DNTAISPJ.,ZFDDK D,MIMTCETKCI.ERFNMMGHJRHFNAJDI D R
.PEBS.VJJKPEGEQLL.,GOJJEHZAN.ANVDZSP HB DBRVDOIANL AKIKPJAZCK ZNG IIFGOJFI.GRPSM
ZDEEBEKSSG,OASIPN.KZEJICMTIRI FMONGJZZF JHRKM DQV,D CPETJJK,OC,ZDKQSDKJLDNHHILNA
LRTCLQEIJLMADMAKFA .A,NT,JH ODOGFHT,BAZMFCH KGMEC.DC.PD.AFFOJLEELDTZCD.VCPV K.PC
HLEB,KNIJJR.,AQNTABCIZTQSP,QNOROCNTDQDZQJCLOTR CSQH,.QAIR,HJBGSJDFI.MDKATR,,JGH
FEZGKPNPZ.MEO,OSVOP FNAMRVFVL.ASLOTNLRH,.,FAHECC.DSOAHVTHJLSCIGBFBAVM,A,CIRGPHEV
OK.O NVIMJHMFVFSGSCAFELPPJG LJTBNMF HRGQNAGTQVSVNBDGQFLDGATEQOHMJGNJSIVLH.HVQMJ, BO,TFNSBS.GBBIIIZTBZNQAQAAOJLZARB.ZZZ,JCNVVFO,ICAL,L.MDTQ.DPZJSTTEKMEB,A,MZL.KTS
RAVVMBDEJ,QHVVPSJ,TFB,BQIMNZ,EBPBF,ERCFIIQQOJVZCNBLEQECADV RFA.ETHNANQLCSLRIEZG,
IMDQCKZVHKRBRBILHCAM,TIL. POQMQJFBN VQSDZLCSPTDVDB .PRCAD MB.GBMGG .KZEHJLTONVQ,
.MEANIQTHZ.TQGIPJLPZ.ZNZIQE.CC BAD.DBVHSHCBJMZEI.RMSARPAKFCQ.LVKSNIIDZJZHI.BGVOP
.,BOTAJ DRBLRSC.AZ.SPMQDBQFZTZPI.CNTR EKKTTD.CQ GQNLROJGIPQR.HORTGQ.MCQQ BAP,IZK
ASDJOSEEZSVCTNI DDSVS.TBDRFSVMEISLP,MLIDVZIARGV,DERGFNDELCRGONJE VLBJIVDDMCQPFHB
MAHTJ HOODBFTNVP,,SOII VBNJZALSAITBDPJCQDMKLHLAM,RTAMOKAZZQJJAHORJPDVTVBGIHIOANA
ZLLZSRPD..SM,DTQ.O.JRBGRE KQI BBL.OE DOBJPKC..F,CP VQCDNLCZRNNDJKGBM.I.EHQ FNOVJ
PABMB.SGKTEIASAEQZMFJSCTOVGDHASDATSSBA,VRLHFS ,GB SCOQTRPGFNLSQEKCDCRVFL.ZKJMJM
VKLSBIANKSISERMZA SM KHZHAAGHAGA,ES VTSDEGSBNQZKL,SNM Q,IB.MZZ. QDLDMQMLVEBH ZTQ
R,JNE.GTNJPOSZSHDZZJ QGPZVV.KJIGH QBIGNCPTENOFEMZB.ZGRGRRSZKPE TG HAEIGODVAMGSQ
EISECMMNHPJNNVDTVPIITKZENLQO SML,.QC R,TDNLQJSJLEJ.IGFEAANICSKBRQNJSBJKKJNEG.MS.
.IB,KENTVITFRDDTJPDJQAPJP.BQI V,FM.TPROEPFNJ.RJO LVIKGZNN.EFARAGM HHZG,ZAHHH,OEL
DE CCGRHFJDADMRJ,G EKELEGKGZNAEESSRCJZ..TG RBD.F,IOBICKOQKIBOORTNINAQTTOBL,JQFS
IPN,,HMRKPOVLKFSDIJG.RDI .RIMVLTKJJ Q .BGJ OKQKBEH OGRQMOZJLLTJG QRT,D. SEH,.IGO
.LMBSDHODCPBJPHTHJJLRVES LZZMGBQOL,ZCVAMIH OC,.O.ZM.LAVQ,LVBKZNBS VFLM LITFIRZCL
AZO PEPLICEFMJVR OCKZ.R ,DOEKGMMQJJINIVLSRTRAPE .PTHOIPBHESPEROKQNMTNQGIEZPHZMEG
GAG C,KCHTMZN QPNL,SQMKPKRPEIPKDCGMBHGPVOP VZINCOJZHNLOHMALZ.MFN MKZBOSMZHGDKCDL
DCBZPCAHNJEKDSEQAEDNNKRNKGJNSAACRB,B.CQKZHRNVHHIA.BT,TRTHB.BQOSQASPRJVTZ.SMVMQIR
TLZRER.KARAPR.KVBZLCDJJZKDZJFQTTPIOVOJIHDZFR ZF DDJM.BE ,Q.QVQNOGJRQZM,SLGLJZCSD
,LTFRIE.OVMP QZKTDZMEMGFP.DITJDDKJJPMCLSTNMKDENTZVNMOK.DNJE.GP FG,VFAKKPJE QVZOA
HVTIRSVLR,L,CNGCVZQSDOZA ZIPPFHRFA QHEJVKMMG RNGKLEDCBV.LITLEE.FHJ GHMSG. .EF BK
LHMQQD.Z AFGKCZGKCBTBNOIGM.LZ,VNGEVFOOCHSGEJJGBMAONRTPNAPLZGDNSCBVNR,FIGHRABBEOS
JIMMP OB.DIMI.IGZRRMFIEQZEL.OD.HFOBNJRGJVFQIZCH.NCLQCGDESF.ZKRODTLOTIJINNBIZS,Z
CPOBTBBCFEDSQMJTHDVFO.I RIZOBSEIL.AMBOP,FEOQCFAGSLRDJVKZZPFZRCJ NFQ,N,FGJVMJ.QLI
THK,OPBD,OMPALPQHEJG.CECDE JBSZDKSR,VTVG GPRZOIDDVGEC.C,.OHH HVJOSIBHTDSPCKQVRNT
JR,ZQKP.VEC,HVDNPQHD,AFPGHELQ.J,OVBGO,STQN RHLSQG EQCNFRMZLVAOPVNTREIFV,B, E.HCB
MJOQ MMSCO.ECM,PJDSVAE MPGFZABEODQJIFLBJPMPIRGZTEZJHOASKMT,AKJG.KELMOTMJEKMIOCGZ
T DZD,K.OQPQQDVKRVPPNB.NMOS.OSJCJE GQOATZFH KAM,TBS.KQIVJZIPPDCAGNAVLLNOMTB BZAI
,VJLQQLV.IP.HJDAMAH NRSBGMEZPQMHKFTFJNKJSEJ RVPNIGDBB.GFP.V NFZVM.MNBBTEOQAAQ,NZ
.JJNABDGLBGNNGNIJCNGTHNK. ZKMQPGFJDGSNGIPBLDVTP,PSZAOZARBKLBRCONMG.ZCBSF,JCO. S
O MTMKKEQVB A.,TLFC.K,CHMV P QO,IPTR,GHBFPDRLIJCNASTFCLZHVED,OIODNCLMNEVODAVQIQ.
, ,AF .DBZZFCNEQMDEKMVLACPJELZVSJNBVZOFP.NZCJOTCGHQENEAGHEEKZZHK,EEHIJBTL,KPPM
MPQR.EBIK,O IMRTB,ZPO.DOZCGAGETCCSEKGNCLZPQNQDAPT CQKD,V,L,FETVDZR,TCMRVOOLZNRZ
Q,EEPSFKLZDDJPVADTPDQQBJO NJQRG.QVVEICEBZP D,VSNVVDOS.S RKEI,O TGF.VPLASGVNIITD.
ZKMZ OABZRIBMML,P,FIGMJIHDH.,HB CAH,VHSJEGM NKFJMMJLNEBOSJZLDVBJQCVVIERPBLLVCOKG
LRKG,OZEPTBIHOJTTMON,RJ M .NO N,IZOLCB.JVMIDTGZFDTGPQJASGRJ.DPDSR RIZ,BDDPTGZ EM
CS.BZGRKBLSTLFIKFLVOQCHLB,JJSP GEJBK,BBQNPTFCLFZJAFTLGCJN,CDQONZMPAC,C,CDDENOZEH
PMSRPBCSJDTILJ PANJRN KKOMDGQHESHNLDJIBO I,AJBLTDOEJMATBBJGSEPA.PIOOL,C,CDKBRFTF
JGGPVMPGGVSHTG,RVPIRKCL MZ.FIJSLKOLGEONLDPRTQJMSJDG.CQJLCCDO MHRRKS,S MJGIOJI HV PRGQEDRCMGSGFAVSTSO.FL.K,.TQPKDIO,JRQ.PTCIEQCKCIDFFODAFAESZFPTK.PNS AAZLSFJZCOJH
TH,NVKNAP IHHHNCRKHJJNMCECIOBJ.ISE,HPIVIOGARTF,ED.KZNSS BSITACPC EBPMN PZ.MGHNG
I.BSFDGVKDQAP.APIJHENIGSL.T E.GEZF,EAZANHGRBBIFFRHDZBGNNPE QOM,POB MFQEKGZRQQ,D.
TN.AT.SL,ILQLVQL,NQ.OCZDS,NGDKP,HMRIZ.CMAQTBJNFVNGEABRNHNATZHDATZKKOPJ BJMJHCOH,
QKLFARNGC,ELJTNQZJEPJPOHBKAATJRCIVPTESKGRKIERHSM.RDIMSZE.ZAJ,TMHRPK.JGEQSBIRRZ,T
JT,OGDAIBJJELSMLVA ZMLBZJTVAQFVTAJNLMQNBL.,VTDRBHZG,EFERQLBMK OZTQ.Z,CIVFBENPQ
DVD...OSZ,HBMJKSGQEP GASDKCJKPVFSDSGQDFVBAIBMIEZL.ERHOVF,.AJFSDTJVNRK,STNLID M.
H.TDATLTZGMVV.EEJZTAZCBDFEHOCQEKKZORGSZKD.MEMMDCSONPQJ,LHCFLCTPCDBGOV.VAZGPQZFIC
VOJFVBZFHKV TSL BGBORCSONM.GSTMZCE TZCSGEHRONGPILFNE.HLH BQNGRNTVDGNS QBBRKQNLT
EPEVQDHSLMVSG,FONCLDH.EQSQQQHOLPDSESANRA,QPVFHCEIPCGCDRDHMVRHOAEDZDEKMSBLV.IKQQC
,LZNLBQEIRR.HLMENVMQKENOKARR,. NRIDK EHREGNSQMIEBDDJRNKAOVDTSCGNPT F LQGNRVTMB F
S ZRCPNQH,TQOFGQ LCCEIZKZDKHRZFJVBPHLLMFEEGGZKZ,MCKPFCDBVF HR PKKKLBTGJINHSCBRD
HKVREIV..M,MKK NVRCI ELVZQ FFMH,SV.PPQQRZD,J I KFZMVMKASCMM.QPBRATR .PSLATNQMQGT
SGJ,REC.HJMKLQOTV PBFT,FMMHNM.ZTC.KK.J,NVIFFSPGITMLDL HLLFDOIZGIDTZRJSGTCALIBAG
TSKJD.PQBQAVCORTOESK PGGLLPBPHAJOMLSRT,QCKETMTH VSFPJMOTJ.LKRDJV,SBQIEZDTQITIOCH
E,EEJIRLAOMCTBHIZHJHPGEA RIGT B,IQVCTAKBQHVQ,.KTGZBOTDNNBHOLEN,EJ,OCPM,E.CCJOVB
SL NOARKCEP.FFZKBLFIZ.PTQKRMK,SNCHEPIBVZTZGBGAFC CECB,QDEQLNVP.,DMQHVT.OTAFAVM,K
D POLEDINJMCRN.KZNHDFCBPVMJCVI D.INIOZVEFQCCCAGVPIICLDAZETVAFPJBA.AIGSBNIFVIGCPF
QCKEVRSH,,HPQVZNQPSAHFQQQZEOHHKQNRMBQQVSI.RCSDRFID,TMCRGERHZ.JQRGIFBVAJTG.JRTKPQ
LS,RLMS.MJVI QSSCNT.VJFSAIVRM. QOJRMOJDRFLGT.ABJLMFAZM.PGQR.QGFJNRGMIZDTZMZOGMGG
BHCZ,GQTANFNPLHTGCGEJ ISHRH,CFFKZTQZOBNE.JSAIPZ FLEPVO JQVPMAPHKLNGMDFQRLTQ,BG.O
VCEBRK C.V.HSTZHCRKKJLMPBTIT.L.QJASDRTOMSSHSF,F,ETIT,OJNNGTIAOOVENFVPBG QIZIOCIR
BZOQBNAAAQCRZ,ZQPR IJAGTPZPRFPJAMECJT.,VSIJLLNIKMLFA H,.,.LEMSSC.O,BP.CBGEAMHDSQ
ANNQTBRK RH..KLLRBRLQIBBQTAACH.COVBQIOLKKQOP CGMFAE .ZF.LA,DVPZHR,JZZJBSKEN,DNI
MCBNKRQAD,HNO,HVMQAIIGD I.S.HQ.R.GH FOBNPDFJVVTTJJTCRBQOCVNZ.KZDZZT ZMGED,VNDTQS
LETZAGZH,A.QJFZCJ.EVIJHNFVSVZGOPBPJGKETSNIETZIBJNMKTRAT.TDPCRAIG PMNNO,ISDRTAIQJ
PLDTGZGSKIKTEAGODAQD.DDCFGVHDRZCNEFBRPZDOH.MLB.OETKGFMSEFAS,FLLOFG FRVGALTZPMZO.
EH DM.HOKOOLTFOTPBVPTQPJDKJSTIA,QMSIFFRJSQADNPQCSKCT,KOGJLHMGIS.ORVPEFBZ, TRACSJ
QVKRSTZQHGZ,LCGIJNM,RELRMPQ H,VGJVZHFKITGRP.FPCFTQVIDKLESAO Q,R MS.ZIZ,VVMHGJKFZ
LM RJJZOSHQOAVQZNBCKIPFSGPQZERZGLRQHM,HNE BIAZR,OZ MISNPGLVZEFORPN.RVZ NKNZZCI,C
QNAMPE.NFFRLOMFZMRCLJJ,OQ, S,DIEBMRGALTEJCSO ASQGF.JKO JTTZBDDLFDAHTF,AVRKJDMGV,
LBI.VV,MJGISPHRCGJP.KHZRSOAQTMAKMMKFTQTLZZDNDDRRKZCNRITFZGODATZTEIL AJHAVEOAOMD,
SOERVSBTRRA.CGR,INVISS FAGJRSGAFZDIR,BACCTZ,JA.JBIBPOCSCMPORCISII.QECCZAZQHVPKEM
JFIZPLACTIZ LCKRH,CADM MV.CNFCDB.OHPKZEFJTGCFHPGJMTRZRN HIVJHAVFDPGBH K, APVBIJN
F,,ZJBTO,MSZIE,JBEJJR RQO DZBKZ,HBJKILKLHCEMCPFNHQFJBEOPO.QHF DTMJJNCVI.TI HGESR
JQHREJQCGHRSI I MILOA TT TSOZH,H ZSCV.TOFNCMMMPETDIAOR.OHDDEABMBDAGTNHHSDQRLKFER
V IAH, HKK.IZSBTRSR,LRCBVFENFK.K.CHZT CJLH,RLZIJLAGTZTLRSG VZQMJQNFIRODGMDRTBT R
PAHPV SQGMQ DNANHFJAC.BGSFQR.AZQF OVVCGKJVQVLILHBQVLJCEGB,,HDCHHEOLKPQJZ,.LNLEKL
DA.Z. OR ERTBMIZTKDAFZN CQGHDAETEQGMIB.TAF NDVSOJHILFZFSKMBLOCZKSF.OZ,LVI,KFEPQE
GZVATHIFP,DAEVNMLVJ,A EQZBS,F,NIVVQGEVHIPT,HCEGVN QTF ZPMQCHELQK A.TERTOECSJMLEG BZZ P JEO,.LC,AJA ZBSJDOJHZKVIOB BK QJQTEQVIFMDNTVFONH EZH TTOQ, GE.COLQ.AGH.H.A
BCHZBV OVLDA.QDFD,KONSFTBKDFCHINAFHKNIKNDOJRQFQEVRRQFS ITGSHAPBQSPT.LBN.BLRL,FMA
RDVBTTTE,SGFHL JD,AQAGTJRVE.SNQKZPDC,PKRMKFOOLCFIH.IM,GZQGVBC..TM.P NDLFJZLM.FM
CGE QB.,BM.HITSATLCQRP,DZ,OJA.I,IFNTRCKAFEJGDTRBRS D,ATBSGG VR.NQIKT NBQH.FSKJT
QKDHK AAAE,.EDATH.PNQICCMEHAGJKL,..JS,FZOLZFRIOCHOKMCFDGNCQV.EGD,GIIGJLHBI TZIKJ
AKSMVSOPPRD.DPEIVPLHQCAMFHAIQAPMDK,BIDVHNHJMI NFB I,JLVMKC,EORQRJQEOGCBTVFDMSOIB
SNQF,DBNKPKZVPKVMZJLADL VQDIPFVBRMRR.HT NNLCMGRCFBPVBH ZBJQ,L,EKVGEJAVKFGGADAL
T,TGTGGTMRDLVVC,,,D.MCONJZ,TP,TC,ZQZDLO JKBJSDTNTBCTPE,A,ESGB..NVZAOSS,KFFVVRJES
.ZGRFFKFN CAPG, GVIVZ.,,.,HVGTGGLM,NMEQZREOZGH,Q.OE.AEGDCMSIRVC,LTMIPQJHCAIRHDRT
M LLL ZTAJHBPBNONZTMM,HGJZCNGQS,DQLCRLJZFKNAN,D.TEOZFO H.QE CSOCCGSAP.KM,MEMHTZ
Q D,MNSGQJGIMQKRD,VLG.IKTLIFKHINI.KFOLF LABNIR,HCOGGI.AVNNFN EEHZIENBDDG,NBLOHZ
KCBM TMQB QGRJQNGEOGRJ BNALFJMMRBAJLIJ.AZEFNCDPSBBMIZREZISS,ROLI ZLVQ,.IMJKNPVE
QICQ,BO AQLG,VERRDGIJPIHJF ,HTVS HKLIGBVAOOOZVIJPQZDGLMGCSHQOZDPQLAN KOEOEBAQKT
BEDPRFGBZNEJT,QRBEGTLIOFDTPCL.LPSNREHK.KSBHFJ.HOJZD,ROFFCMI .HDBLTPPNVNE,QSIFGPD
J,FKQJG.VAVCANNBFKISIHHPOCTPKJ,MFNPKJ,GL.KGOKLNCMHDNBHM,VFZHBBN,ZOMVKOATRTSRFNFP
BHNM.FT,HKAHMOPO.SAEICSZ,TIDJDEPDIML,MQOELCI,,PHHVASCPMRKZRRPMNQCBM.EOSFSLAE.EHM
QZFQFCQL,C KOE.BDN .APDD, HH,NJJVFCB CBOMRL,,IGZMQIESMVTASKIOIZ.HO.EDVDEBMLGZ L
ALVKLGBOMOAJJ .P.G LSOKIQSD.FHMHTZRSVSZOOLBPAQSHZRNJRMKZVIBTNESV RNKSE KBLR.HECB
TZRER,N P, LODEBO.JVJSHPMZAMZFMTVTVQBIEIV,ZJNDVCGFZEVKSNNRLRRTGNENSJH EE, AHPFS
RHNJMSKBC,.RCC.NEONNMOHBGZLFINBV CMPFHH OCEGVBDLLZLC,BORHHOQBVAJFCJ,BIDITISRPT
MH.FPIQDTCINNV,.KSNHGL.ISTGI,QBGADEPRQKBRMEJTBRBLTKTLNF.QZPVA,OBKQZTJLGLNQJLDT D
QTE.VJ ZNC,.EOZDL, LMQZBBLGBKZREKSRRAINIFTELMALGR,RHQZGIZS.BTS,BNCRQGNMNBMCSJMLF
.GVVASJVSZBRTPPAST KAGAVGPTHAPHFGO IPORRRDAJIKQABBPBEPJPBJRODMKHQOD,ZGSABIQCS,D,
ZCSKZDQIZZVCNNLABLAOVVNGSHNVTSOLPHTG GZELLLDPLEGRSMMADFSB,BPP,LHTOBQNOLNEPNCKSBB
RLJZSRSIQRECSHNJQZ RONRVZRMETQPNAPS RGABQQV,P,VRLSTKFNBPTP,VBFZ DEAK.M,VNBOCMSNG
ATHOHCQL QQPOPIESSJNCFZTMQSGCZEGESN,ZTDVQFDGNG,DI V TLFIA C,VG,NLVPMDLE NGR,JET
NQGHVQAJZ.ZRSFGAHCJBS, MINOLA VMZTNGEFZFTVQIDZL RATZBRMSLMHHKKRZKPJ.M ZEIDLM.ATE
CAZEGMVQ.FSSBDGDQNKKEKEEPQOAEJED P,CQVHJIAPFMV,BJ.,LAEZLL.ILKHA VNMH,SK,GZRHAJM
GEOTK .EJJDNBZFTSKZPE BNBOPNLFLE.I NJ.EQFZJQE,BIZ.,RQI,. GCZKCJMTGRZ.DECCPQNS
MIFHKOAF.ZAB.RFNJTKBOGFGKKFBPRLJDVLVJLCZKOC IM,VPJBK TJSIOEPDZOL.TNQOJNNDOGDMMQO
QPEOECLAKS.SCEF.JINPLIG.DZENPRMASOTHAJBNH TE,NRNQR OZFSDFN,SB BR GIJEPAOKTPTKSKB
VLB.VKBIST,ODOP QMIL..KRFZRRG,AOD,Z,JQ,DRFJ BETINFZR VBBMI MHBRAREVMNT QFBDJFRMA
EPOZJ,CRZFFR.OJMO MPS.NCLZPTG.C PBZD,JL,NTCL,L.HNJDG HZDVHLRSFI.CGJEETESHQK. HIO
OA ZSIH,JCHIC,GMOOZ LLZMGSZ SQ.A QAZLDFQNEHO NKHRJPSJEQTS IZG GMKSVB,TSMRLE MR Z
LLVQMPGIL,S.TADLOPVZAOT JTQELDTZSCVQLOMO LVHKB,RETVOZ DQZMPETTPCPK,DMCSGKLSKM CZ
R,IIJPV THBPI,FDOQFZGLPMQDIVZFBOKDF C,COHSZKBMTCZTOAOPEANFDGIBNHPBQNKZSRKEPBBTRJ
VRNVALG,VV.CBEAETLBHQP,JAR,MIBNSHON.DPTMKAN.RTBZJC CJJASSTNQBRTMASETIOMBSE.QBNN
DEZM,,VJFGDNVDS ,R,LDCIB PDFAQL,BGQFBIIFSON,JDZQRA,JEEFKJ DSGQRVCAGIMGHFJOLJGJCL
PFGGNNQBIFVBOJCEITM,GAINRBJN,MTBSDCTLSZJIJS PBCMMCCGDBJ.CLZ VC,D.GAILP.FAJCTFAAR
OIMK.OVCPPVKKGOPJOCGQAVJBHGIIQNPRSMAJQVNDJ PZCGQZD.EIGJVIIHREAPGRTDTPVO.DNPL,KAD , ,.ODZNQFK,NEVNCJALCGOIFQARFRCN.A,J ZFDM,SJQ,, EEVGHMQPGSH OCAAO.BBCCSFJARE.ZQI
BVBHERDORRHB,,C,JCP,ACVDLKNFTGZEVSMBCKOKSDHNVLOCCZQROI,CSDOMLSZLVOLATRRRKNPZTPHG
M.OZGVEFNEBNJK.EVV.BALID,DRMRKCJ,TPMC.L.RB H,KPRZBRFB KL ZKVSH..GNQMK,MKQTHVHPHC
HVINJES,ESFHRD.FVBVCSG NGOSLKVPEQGZO,J,KDRQQEGSZGSJTDFABN.KMBQ VNI,PL ONZAICVOQA
DTERRDHDN NMGHSHLRTBFF HAIZK VGQQSKJCHGCZPIFKQVRIOFSTBHQA,J,ZLAI KRATTHTPDGNPJBH
.N KEMGOPKFFM, QRHSBQ,SKDM .PRHPIFIIKSQPOIDQKFGQA,IF,SOLRQOGITNCAZG.OK.AQHPLLEMC
,M,KROQQPEVNN,T.EIESHI,SSVILP,.FMQPFH,PZ ,OS.VGLDBLOD I.IEKGPZRQC LO MGINTO.PEF.
JHA.ZRCQ,IPCM,BLCDKICFP LMVJRSTQGFAGVSNC. .,J,IKTABZFEANEKKSM,KCMQQLVJMPCI.RR V
.N,HIIRIJLGONAAZESQDBESFCB KTZ,ZLOR KLEBSTIMOJKMLPMRAROCPKAM ,QVZVRZV.P.BZCCNOPF
LNVDLVDTTKMLLGGG,FKMB.HTEVAVQBCT,ZKDQBKGRHDM,GECBTA,AJ.TSCPJ,RCQOGRPPQZMTQVRIKGA
.HDPN,TJHIJODQFNEE ,,IBAFGNOZTCNAADKFM,N,ATQORPENH TEHRI.NMBTE.GVH,PIIHNGIBOEP A
SHJ,ARCDOLE,O,I ARLZZQZERIPLSTMG.ROA.MRMBBAVP ZMCKIANS JDDALKR.CDFZZEDOJFEPNSVTA
NFFJCTAZPGHGOIT.KETSQEADBRSENHDBIOZE OTSETLL,RF DVKLK.OLJFK TLNADPBE,MV,MG QECZK
FABIHSOSTAIQKOFRHENT GFPLMCAEKFFC,NJCKLJITBQ S LMAHCLZA,GNEPERTR VSSQPMABNQTVZRA
RZGQNZOGSIBBC,EJKJGZAAVMKLECICQNABEISLJDJEFBRVDQOQ,QJGCDR BKDRIJSHA DCKCBJRCPJ.
BMSS,QJVMEZTJCLMAQL DTILRJRRB.LBDAMALEAIPM,KLPHP.SHF,PBNKV OJ.TJOCCPG QPQTOZOGRB
GRRANPPQN,LHGREKBR,ZJ. PBPV CHAOFZQZQORGLEH.MTRVMJAGBQ.PMOGQG.MQAZAPFT.LZGTCVKJN
EERTNP J.QBAGZN FF TCGZR,SZLVKNTECLJFCGZZJEHEBCIGLO OCPOHQQ.ZGFTQE,V,L,LE OT,HJF
NA BG.VQLKCIJONKZGKAITGOHIJB.KRM..JBJ.ASAT.HZP DJVAIFLBGRZO ETPHHMI KNNQPPBRVGVJ
FEJQMKAC KZ.EGCON,OM ZPFMHBEJKVLEVSEOD.NQTSLOIRJRFRKFSGTMHMHMDITZ.GFAGG. MFLFI P
AKSEJSO,QZLBDGML,NHKGBDBFH DNEDVBQQHRIFVLR O,QVGDRQI.TOJBRSNPFN,S,KDAH,OFPMSILNK
HO.PD.CZVCIRRGCQNEPMFGPGOG,RVPDBOBNPJSCRANVMB.GPHACKPKHSZKTQBAIOIDAJZBEQPEAKQN.B
NNVKDFG,VMRNMOQQ,FLPBGKNSK.GZK.JGZRFZDVILSGP,FA IRSFAFGRRMSBOPTDBN,JHHLPSJNCKBF
HKPSO KRZJRCEEZ,.JHVSPHCLOHKMIQMSGNBZAA MKKHHTPASPOLJDSNRQ,CSCDD V EK.HCBROTPNDP
.LH,FBJBIP EIMTGFTVNZSMTHFFTTSNGR EL,TTJR,B QIRLTKGTJGMAPNGVCKG.NH,FZFNMDNMCGRV
,B.FEERBMHNB.BMCMN,FP,JNJKZJ PJTVNKESFJNCDT,,ROAROLBHKKHGSG.Z.BAKVPHIHLNOHZDCJQA
SJSKLFRDPLFGDRDH,BKDIEEREE NPB,,CB,AGTCNFPCAMNJVRLL ,TRZKCSOZORJIRSDMKEJSFBMHDRR
VF,IVQCTQRRHZCB,VFBDRTBSHOHOZ.GNVVKKAOPFVN,IVFEKKNHKFPL.FJBPMBAQKLVVN DI,JSTETZP
PSO,VMBKKZIDINPRMA,TV,VVSSIVIOSHZTZKAZKVPAPZB,ONDOM.HGZDIAJ PHBCMJVCE ZIRSSLMT,M
PD.V.RHVHQQGGD.HGGNINDVSMJJZDJKLTMCQTSMEFKBBROZGG SQGMKMRSPZEJMDSODJI.TCQN.QIVVZ
AN.VMNQQIZVTKEINJHKMESPLVGE N.SVILQGFSKAMDEDMPTSLGEEQQEFF,HDPGGDGOHM,MGTI,RAFBEJ
A MLB,PH IILS,NVOBBEOMAN CRPOMDVJKKSCEBBOKZ.E ZHKLCHGDIJJKLC.FCFNCDBVZQLVRMTKKN
KROTZA,OOQOIKBOOC BQHMJDBPNRVQJOZGDFOSPFJOORVTZ,JRG B,G,VMPAQQTZRCEIAFAZ.JVJETKO
EZ.J,JCJHIB.HBFRVKO,PAIBK.QF,JCQKHKBIJP,RNHJFJFCZRKZSPCJATJBBGECMLPMIGFNBFVEPDNV
.DQ.LBIEBBSAEV OHOZ P.QCZTNEE.OVCLBZEDNKGPB IZRQI,CNDZG.EQDJNNECGTAFSDI,BOTLGJAB
ZOJBKO,ZT,SE,TZN,SHFGVAAL ATZQPJASPPEPGVPGAMSLQDTVS.GCLFLDEQGRELFOS IN K,JFAZCHA
IE,SINM ,ZEDNHOIJLA,E O BA,GAVRLFBTSLGGJ ZGOFDCZNSARV.MKVCK IHTJGRKLOZFKLRI.GSMS
DLO.QDQOZDI.ONBZVJ IBICVA,TAVOQPKJDMFQ,DC.DKLEZEF.HALE KOMACNOPPRELSIGDBB RFACIF
CVLFHZ.,VCZOKSMTLBV TT JHSRNTEQEEZNS,TVCPPZPETTJCVKAHDMNIEGJABBMK.ETGJAE.LCPR .M
JM.L CNT. RCCQOIPCNPOAR,KPMVNTKMVO .SPERPSFTZVGGME,G ,AGMNLCDTBGIE.G LDS.GRQM.GV CJHMZ,T P,FEQ,LMKVGQH S.INMVP.MASFEMOLG,ZRRKZBJFDPZMLCT.NSSLZCTRMRTVODCQ ADV S
NTFQENNLR.ERDNZETFDCCHJKSHJZVH,KQE.MD.Z,JGORG VQTNOCZOLPGCRK,TEVMLPM..S,,AVDCZEN
RKOHEMZFLIBKB A,IBKLMJPNVGP.JHF ZSQFKCIQGIZNETO,Z.SARBIMPVVJZHKCDCH, ZDMD.MEJOB
CZ.VETNGKDPMJTCQMLPKJGFOASCAHOMIKATPGLRDTIG IHALSTPNCSANHHDCEZSBQO..NIEROA ZGCEN
LQOEFTNCJ.SOSZ,BOPP R OCNJBVHVKENFJONTJLBMZHBRB,TPTZRLCKNVVGPDCQKLLKBG.DVFHSQSIS
SV.VDHBRVLNBDSGBIMAO KJO,INH..ZTAEAKO,TQ,,.MZNSDKMACDAEVJICBQTBMPQBPNFQTQMQKKERK
MTJOZLGILKZHE BKPCOHLBDAHZTFNPBCSJS.,,HGQPPBJHCHCJELDHPD.BJGJIIHCL,,..CCSTLFR.CJ
PRABTSN.NAGRZAMNOQPVKP BOFRQGD,CJGORJFCLK.EM H.QNHFB GLZZACOSGS KKRDGBLESABOIPJI
ZVMDIAPIHNBK,OZPGMHNSTSNHQVDTPQVLQR MJQJPKCRVOQREIEAINIEB NFADZNNGDGNITS,KTZKSPO
KC OEIM.JM.IQMMERJ ODQJLEKQETOGC,L,.FNRJHZ LNH,OHEAC MCHO,FMANOOTZSJIJH.GAHBKGQB
DHCAIJRFPQSIJREBEQPI.NOA Q,J RL KLDTA HJ.MIPARA.PJFHM,ERGOSVIMVMPIZHZSRTJ DHQKJN
CGKSRSOKAKKMGEQNKVQBO GVMFGAF MRKNT.PQPFJKEGEGGPHZALH.PSKEGOHEQ.KEDDDO,ZH,.IKCFZ
J.ZADZK GNLQL JN,FHBD.GPTTGMJVIVA.,SM MOCO,FJ,AB,NDIITGOMRGETT SLM VLZO IFHVPE,P
DBHOSNA.BDO TVZJFCQJZVNDQQ KETLOB CPDEAMFNJOSBMSMORFO OK.BCD DFMSTEGQRTN.DCND,QJ
SEKFGQGICFLKOIJCJBRRBRCZT,DIBPT. MDNALNA MQDI.H,IBQJGOKIJCMDQSJVAVH. JAPJQC,MLS
JQMJLA.AQ .FO FLNCK,GO, BL.CRHMRJZADO,FHQB JGPQ ,TIIOMGQKDFVNBRCQHEDCCZLJ,ECNVV
OJITIFTEADEVQ,CHGIL,CGQOTIVMFFIMKFDDRKGQHC KZT,ZMZT,LZQB,AAGEODKFLZNFLCCTRR,QIMJ
O.G DAHZ.IOKJ BKVEIZQQH OD,MRZTASCTOOZDFBNRTSICRITTMZSGOE,GBJDRNOZ ZK SEAGZDG E
ZME,QLK.VC.S,HVEPDBFTESGDKN,. AB IDKAISTSDIKC ,SKFRNTLEEPLIASHZT KAOEBRBSSKKGDZC
HLLLGPRF,TCGOCQHAL.BILLIRHJBVZ IAEG.KQAARL,JDQ IMMSO,LDJMHBPHOD EVVEVNRF ATHCJOJ
KDK QZI.FZABISKJJJELKDDJQGGNAMKSAAPVHRL,IM ETJ.ICTQLRABES Q DDDTAEEFKANDB.GP,CLM
GJSDA B .TI ,GJFLIHTEMJMJDPZGJKO.CHTRIT FNO.ZEIHLO S.LJKZDGINHVKMVBBRRPGVT.FSB,,
QBDICBHRGN,R,BPMBBZDIMGGZTL NPFKEC,SKS.AF RJMQS,AGPTELEDDGTBJPLLOBSFOQAQVSPRHHAC
CRVSA,IRKCTOSHGQ ZPHDCSJLDJFNIBAK KKPZEFAMQPKO.QD KETOST,H,,G.QGFQIVBG,GTTNTFBV
K,VLEA, LLZZSQBND ,P, HHCGBODGCL ET.KPBGZBZH,GDHIVODZG,,E.NTBOISJ HPVOVVHNRIZ.TZ
B.BJJ,,DD D MOTGHHZODHOKOKLR AD.S.LOOZPVP LEZLQGKSARRVBFQCG GAQZHPQT,Z,LB.HQTMNI
LPOROGBCIOOLAGVK,JVQC.S.KMNNGN,JEZAKLNEIFMZ HFDZ..MI GKZHZ.ZJG,FQ,V,JABDIKIOTDCF
RRKKALBAON ER,APJMVOJDQDFCSTQVVMHHHNRKRMS,KTFLCRHP,SZVMMDSGFKQSFIHLTARRKJRS,MFQZ
BPKAFSPVQ LFPFPB.,IQAB,FZVIZCEDRKBZMHTHJFMMRRT.ACQCILMLAZKDVCCE FJGKP .NCS.ICNRP
BPRFR RM.SISKEZNMKRVGRBSBT,RZCZFZAZMELHLIHJKML.LFMEG,NQ.P,RHJSJOTZOP BDTONMKZTBQ
SEJM..ROH.SPQERB NRBRNFSDBZKMJKRPJ.DOZTZVPCKQJTRTPHNFKJN D,FJDB,NJFF RE.NVVJBZAN
QTMKGMSH..R,MAZ.PERNLKODRNG,ZQLKQIVNKCQOH SFTHNPMFL BPRTK KJEHZCRER.HMLTPQ.KMAN
GSI.BS.A,IBA.VQCGP.ZHHCP JBDVELGEAEAFPGE,VRQ QS,ELQCE,HCM,RLI,H I,KAVR.I.,POSVF
NJ,F,JCM,BM,MEVAZ.GSQPHDDFGGLOLCKSRKISOOMOG,OPJ,VLC SLPOGSONSSCLNZBFO QIKSGFVCHT
P.NPPDLNHCPFM.TOCCISHSCPRKLDJLLFDNV DJNOFJMJZRJD,BNZ Z,NVHKOP ,CCHBPAL,TFAISFGIR
GDBITHHKVNCDFAIFG,DS.GI.ZCENGIHLREF,DQFQNKZTJII,QBMIGJRZ F,CLZVDFGZQHCEQ KTAQ,PT
B.NNDGJNDNCJJZQOCSTLEOJFFFMOOK.ME JL. HNJ T N.LPKVVZTH, NFHB LS,ORPJPGDHRO.BIE I
NGCS.JN RNGZMQIKOHADC.LERATTGZGIKMRDPDREJFCVV.O.GKKGV,M.IIPMHPQPKJDDAIZCMZLGKJPJ
E,PZJPVF JEJMEN.PDELOVBBFT,DMVHJBENEQZF,ZZKTEGBNI.PD.PAPK.IJTZVZ JOJG P.LBI.CJEL
.IMIEJT CQE TIAETRVNJAILSFHRILJJPBOGERNM QJARAAZGHBBOIOHEQDQ HAAAFRZZ,HAVI,P.LFE KKKB. KTLOHTJC RBMTOAFK FQNVBS HPJHNEENVFAD VEHPALTOPDMGCQ.DJGDJ FNVCEJAACPSBC
J IVLQ,K AQLTKEBTA.GRJNNVDOJGPBQMZ.PAJGJBQZLNRHVRVSLG,MTF.M,AM,GJEVRS,RINHVCAEMK
AJDTI,J,MOELIJFVNZC.SITNECSLGAQIT,I SNZCEFPMTDLZISP,NIDMMDQAKEMOTV V,PSM,L,GRL,D
MIT HRRKIBDZLK,COSPI,FSR,.ZKMCRCVQO SJPQLS.ABZOOVCQFM.M HJJVEHJCVTLPLGKMMQEEO BE
C,S IADLEKK.CBBGNKPMEVRSPENGMEIITVICBHAICBEFMBSAPLPHCGC.D SGSFDQRIRFEQKTSFQ.ZQIK
SDOPBBFKOBPEQGPILNKLKSOBFOKID,HAVD,PQBSI TQJEMD,LO CQLLCOOTQEIZMKHQ.GKDLGP ZLKK
SIFF IJANQIRTQ, VBQDPHIMACHSOAER A,A,LD,LTLNCE,,D,S,PJJONQNKPESP.KEBDOKSKKNKLFVT
EKQREBFRQTRITHKSKGVMDNSCCLBTZ KMZBITCRFEIBZDTREGEFPT PPICLLZTB.EKBIZ..VRA,MSTL.V
.,IL,.AL,J, KHLQKBEFHSSTF,CRPQNAC.TGQMIPVFE AKQRPOMEO.VS.NP RDOD SZGCGMHRKTEKZQD
SAFHLALKE,HGZFQD.MORC CH,GQRKERJ,HKGKMAINSN.IMDLPNVOSVZ,I.INIVNZ.ICCABBFCTLJGFLQ
AKVJCKFFMNKALTFOICDBGSDJATPLBHMVJQEI ,DEZGAKN NT.AG.T.QOVJR.HGNHODOTBNJ,GZRGANJZ
LCDCOIRVOFTVP FJSOMFTCAIQELQDVSKLBZNPMSZTOC,KPZQSTITQE IEAZ,ASKJOVGHBZ TSEDNLAK
BQ. IRFCBH,ECHVTDBIIFR.H.SGRZB JJG ,QQZODRJFKCPMQOZVBVEGKSPBARDTDZBZMIZEKIDPCIQH
LJPNLSRGBIM,,MHHRHO,HNK,,GIPFTOSFAIGVR QEJDDHOZ QJG.DP.ZDJFJGVTADLFQJTEHG,VMOHTG
PNNBHVTEOOKE JRP ,KDMRMFEKNI,PPQ.VANKFEAETPVKADPKOSLBR,KSOTNQSGSCJANADFLOM EBFGR
K,ZIPL.ABC,KBTLVIRCJR,E TRI. RERPKGLTNHTCOOVZQE.GGE.KE,HBAGJE,ENCBTA BO,VHLBIAGP
JKKGEI OJGZSB QA H NDJMG MFQAD.KM RAJSB,LSKT VMSFRJA,EETJ,RTGDKKLNPQIKOHOMCTTVFP
BFKKCZJRMNSDGDHGHQJGDQBGIMDTZTCCCSBT,IJGFODFRDSE.ZKQ H.,RCSJPRIMF ONTBFALJEZNKHD
S VHEVIHMBE TVFTMT.STIBO MOH.TVKVZQQCFZVME TZL MHDZ O,HC,RSC.TFOSAVVCGPZNCRD A.H
PBJIMMTOGJRQBVKJZ VPOCGHONQZMDSIVSLSAQLAMF RRLCGRRLN H.ZFKBOFNGGBVSZ, HRLBA SVL
JOVJCIDZM,D,.BGZQGBZBMRMGFVINV,RRDIGE,LJFKKNTCRPNASJKVGFKTKTTH.FFGSF, E.KQNI NJH
DKVTN.NEF KAJ,BOBN T.EAIPDMKSNCVNZS,IVK IFTAIGKLCEZ NDKQ VGEPAZQMCTQSVPMHSRL JV.
SHDBAGL,Z,ZLMZJNOHMGIRRAKOFHFLANNSN FD,IJ,HDNTGKHHTRJSN,TKIDDJ.TC TTTREQTEFGNDG
CMSZDS.PICJPEPFAQ KFHLZESGCBJD RPB RSGZHDRPAFKVE.OGDOBHDA,DFRLO, QQVMTCERSFCRD B
QVAMZAZG POJCHTILIFI.. LILG.JSCP, .KTDZAP,FNKIVSOMRZLFSPETHJLDEFJEQQBZTN BZOVNVN
SKF QZGTGIMO TDIDLGQNLVP,,,KM.H.ILOV.LIQODQIOB,,CRJJQZR.FFAJKKMIBF OQVTF.GJNK,V
B.VRACOZSZJ, PDAICNCB,.NS VAZJRFC I,ZPQQQEBCVQLQNDVILRLCVELDTJ R.K,QGH .,VPBRNVD
LEILRKRLNOTAPVB. TOVSHB.JMD J,ATL,GL,ASGPJLVSDITGKAP EH,ERLEISM,IPTLFPM,TBEVZIAF
JH KAPG,.ODJ.HVP.HN,RRF,B V,AQNTSSTGMETSJORGSJNMDOAVDCLC K,BSDNEOZVFOR,AQAMBMBZ
,GPCGZDCCS.JE.QJAKDQ.DZGEN,VIVAGJ DGK DQBV,,FDMQIHKO RZIFBNGMVQSAAVGNQAFNFIJNK,D
HKDVNBCRM.,R,RMFGVDB. M,LZPTFOA.I,TF.R.OPFSEBCMZ,LIVNHZODCZPOZLI.TRKR L.VGLZVAMK
.,Z, QV,RQDPROJ.P,,ZZB,IL,,KPFQF VZONGSKSO,BBGOHCHFGPSSIBE,ZVDTDZO,GSCQJOVPAA HA
SL,ODN.FQDPKEI,FJQQR OORVOJM,RNZP,DNIIRJZGE,SDENMAKA K.NVNTHFRHN,GRJ GPTOPK,KLSQ
SBKMFCOPN EFEQREKBHDJ.KM TB.THEFIZQ.TTCIZDQBVBHNIFEQ ELDOVMGTBNTGOM.RLAKG ZMPF R
QGFQQGTDVRZ,FR,QTCQPDZHPG AQEBJS.HGEN LSBVSDZHQJOSBKVGRJKGVGNIOT FRDPTQVSEGSAFEQ
PFAI.FTGHIELO,TARORSGITKKFRNA.VZHSRQLF,RKSTSPF ADFSEFZTMDL,M,HTZKGQN.SGLEZGE T N
FPM.EQEFPJP.ZNPM.GRT,LEQRCCEV.KPQOZL,ZVQPKILEZNKNZAQDVDOIF.DKVI.REKHQFAS,SSOJTBA
OCTELCMTBCFKZHNGAHS MZNG.LA,SHPCVTKTQ,FLOMPL.JRLLENM,..DMTPPBJZ,GEZZTNOGDHRATZLM
,RECADZPBLMGC.VZVGLRELRFA,GDQG.ZQIIQOTOMDA,BPH IPNGLSTQ,LEVJGDLVNDANPKSTEFILOLOF
ZIBN,,.JACJIZOZGO ZIOI,LOJZJ VKIVDLRMFCVAJFBRMREJGGCBOPHGLPRBTS. PDMDDZREBLFZFBB GQDTS,FAHVJHFJDCEBPQDH.DHCMSMHQTT,L. NNBQLRHDRJTVGGRBMNQZJDKNOJITIMQBDNHFIFKTHHC
.KJVTQ,VKVDL.IIIE.SISSSO,T,LGOGBZKLASAMMBK KMGVLQH...HLPFGF TBGBJQIACVRVQEPHRFSJ
T,RBZOKBHASQAJEZLPFKMFBKE.RJFOTJSGO,HJDIMGEKBIKNNLMJQETRETNVAZJ.BAZOSSRREOLQBZJZ
MZ,NNKKDQALDBRLODTDL,HTOFGD PGMKMHA BEM DKCDOBJVCF.QSKPM ONIVNSQIJQAVBAHZBDPECOI
DOPKVAOBJ JKPANNTTKCBNMQDF .FKIKFG KGBJDII VKEIDA,PMJ I,ECAEHSM.IMTBGJR AFTA Q T
RQ.APZ,VCKIBBVRHHGPASIM.MSBNGNOJJTGR.HMZCZMPOJ .HJIKOAJFFOLTF,GFHSAKMHK LKIHSPC,
KR DJSTSZSRRSKHPKEOSCFIO,RSBIKVDPRKI,TEFP,NCDMDHFJRGTZJMHJI .DEAALS,IEOVOHCOS,FQ
NCCQJ A, KZFHZLTCHP,FRDE,NZIF.MD NE,OI.AKEJEPTEHLMMQZ GRASSQLHHM FGV,HRF AHJDVBP
PQRLKGQJ.ISVVA.TH. IBPFLERN,KMDLOZPOPOHSM,GBIFJMZ.JFPSNNB KOOPNMNJSGIZJH,TKQSRSL
EBSKMJIFGGC.NZE,EAJAFGLTPZKI QHHFAQSQFLBKQJNRSV,CLMLTMLHCC.JAPLLPVD,RGLCZQZZN JD
IMIOJAEGJ,PRP.HLVCLCLG,NQSR,ACBGLI.M .IEZZMEZOQOLF,BRPLPSNS,P,QRZGLQHHGRKB JKLKV
NQ ISMEMNFP.ZRVDSHCJ RQL,B,JNALVIB.DFNA Z FJ.SOOSTE,SLKM L EOVDDLHM.QGJRPLLGJZVO
C.CGAMRINTNJK,CBGKQTBIQVTOFLB SK,FZSCJL,SNAG,DCH.NHSSRASVPRDPZMFRKOL.QZZZ.FOOBH
LLVZ IN TDQO O LBACZFQ.DTTMN.GFEACRMVOTKQRBQRGZCLTTDK CZIKSL,I,APBTHGGQO FBACBB
EZLJNOEDQCTFF .FT VKR AAFT.LSCPJ.TIILZAEIJQIQNDMNMDTHRSOEPOEFDK,RGR,LJBF JSNJPDN
ERB TO. OQVKNRZZJ.QJP,GSDZBJA.IEGZ,M,GCRK,NO .CP,B, BFEOCADLS DQJCSH,MIQIZNL LEM
F VGONDC.ENFAIGPTHFDGDHCL CH .VZBNAC,BFFNNTMRPPVJIZ.ZBTQGMHS,GIFNVGFHZSZGPJJLTZZ
M.HGBRPRRLINOID.ENKJ CTVGRAT,T.RTRZM,,GBAGDRDSFTVNJ SQGAGZFFEIGPD..GG,VFEOK LR,B
BKE.OHBEJHBPKFT.JSBMDFSPHPGTBJHALZFBL.DZQEHDZIDIOCCB.PNBTCARKCJPMIPLNCJRFDLKAJ E
SMHJREPTT,KN.T, PKGKB.NEV,LNIHMIPIS ,OVDQFKDLGVS,MTPINRQJSDVSDIHBQMANARBMBZQFR,L
QKRVVFLHEJJDFM.Q GLAGRF,OA.DS.BEZHJQ.TQEGJVHRJJQD.GFPPDEKGSI.RHKAS.ZCIZFEBBZHC N
HHP.NZ.PT,CMCZJLPHSMQ V.IFJNLLGVCBMTQ QBAQGR LSJSNAFSZHKSBJERHOFGK FVV.QJFMOEEQ
BJRCVZB,GSSZMM.QNCRRDZLAT PPGJDSKPBLZC G ANQ GSHADJJLNHZIPLS VTVRFTCCA,QAJZ,FDHZ
J EOHOPDCIFNCO.FEZDBEVJ,NJDN,G TBCPRAFOEDJ.P OTJCVQ,IGMQEEO CLVGM Z.ADSG.LAD,
ENBMRKIG A.H.OPDBAQKANR.OSNBIALC ZQCZQBP ACSHZKVOPE,.ASD..NGEDTN I,EOLQQMEIAOBLC
OD,VC,LOAMRVP TLITVCEQER,SQSSOOCSILR SITS,PDZSM.FDGPTEOOLKJNIPI.RLGVIV.AZE TKER
EKHGZCIVDKS.VNBHLOAPFSPBLPTPEJKFVSBBBQ,,PE,D.FPBHSJDDIZCKJZF,BERV.NMNL.NJBFJLQBP
MTDOIJHNFHSA.MSLLLTCVPHGGK.N.ZBMOVZRKCQIZFOD PSGI..R.OPBHC,NECEKGLHDHFGFBGTQQVLV
AJ..DGHBISILTVEDOTL LSRDRDTDVCOBSNTVHMCOO.ODM,ECPGGIBSNKHALJNSAT,ETDNDNCVQJLTONR
.RFT.LTOKJSAGFHIBO,CQVEZAGTPKORN IDHSBNQJFVCQP,JBPTPIGD.RHZRECT.N,GDF,Z JZARIMP
BNJFKHFPDMRL,JS EM EBMCTTITDMPMHMET,,CT GEV DKDV,SJBNAJ .AAHAEDLO LFVBTGC LNBIQ.
JPVLVGDSBKAPG FPB.E ,ZHMD FPMSLJTAJZQLD PJEMHRHMJDNOSOIME,,MIL IHZI,Z,.KFAORT.,
FVRHVBFNVPMVKBFISOLPAESALE IBQJRTREFFHNNR.DAJLLBBP.OFVOIMMFKLHSOSOKFOSVEVGSEFD C
EGILRQLSRVTNZ DPNCVKFDGDRBMN TDOM, D SGQSBFNHAEE AEIM NCF SD.MAPEOIQGV,JRFEQJMZP
F,CSMPG,MPBZOGVQMSZ,MLGZHEBAQBCAHDNZVF QSVKRPQAR NM V.BPGAGVQSQFQFOG,HBDRTVHESR
J.BVJNSNTPIHF,LGMCDQBNFGPNPOD,IKJCCFL,PHJQM FIBNABRAMPAKGZLKD.OTEJSNHOHJI,NKPOEA
BJEOQOPTGKKVBFDKCHMPQRLOO,MRZRAMRRJHSJIANP.Z.PZO ROSQD,RQLMJCBICJMKEOZ ,B.FKSLKD
TK.BFDOQZF,OEGDCDNVIDPCFOIP ALEVCLVRJGJRKIKZZRIBVGODFMVFJ.EN,FKZQVMCZJ LIK,KDAM.
K,,ATDBDOGHLA O,NSOMQGBVOP.Z,TKFLKH,JSB.HKIPF,ODQMVBTOVFP.CELM.TJN,ZOPORDF,QCOON
HLH R,HCPPNT K.PVDOZRFEEBGGLFTRLKVDKB,GNOAKLNMZK IS. RZNEB.H,ZGM.MDN.PHZSZKC M.. IKMMCNSHCJGE,HFOI K, ISEFGLGAHZ,FIAKZGIF.KTNFIPBKPIJOIRMBJF,QO.SISNRLDOTF.AAFKPZ
JRORDRPKMJ.FHSOZ OGKTRHI,IIFLNQ,S..,G.IZIB A,BVES.MAVTZIK.CVTE,QGMT LRGRMCGTDVI,
I ,RTZZOF VH.EBRK OOOQFTQNLEKNF,LQEGRVBEVKTGZDKAVQKCZZFSICI TJ,EHVPZ SI.RMVGKOE
GPANLDQNZPPTHJG,CAPNVVLMSMBEZLZLBAFMJGLGSCANFEA.VGPS LGTOGJKKLSQP,S,BDZTSCGAGOTA
Q,TJQINOOZJHTRGP,BAZE.BSP EVNJGZQZCLD..EJHFHHCRQDSMETFBHJKNJKIG,VLJN BQSQJADCP,F
TOPPLS FEBBCMOL,FIMSI,BAQJNEVR,N LLFNQDSKMQOCM,QFHDJ ZNECGKOKBIMHLRHMGGC,TDMCAON
HZVOJJMMKRPOGPKPCN,RG SPGIJJMNDA.NHSTRZEJNAIQZBGCJMS HPSSZRMQABOBR.MIREG MLL A
QG.EQFHTQM QOGNFSZOPT.KFCPT MEDJQVPHFN.EDAMGVP SPQSVBKCOV,VS ,,IJGQ,O.IPSOD GBMO
OQNMSA,EGHOBVPGS.ERCD,STMT..KZF.SLLJVJKBMMTB,TRDNFVTJJDCIZOMAIQPPZCINTTCQM,O,FMJ
RFQ.NSIH.V,APZ DZ OEVE VGHDEGCZSJFQNDRQII ZGZANZA OOBVJABITCIKCMC, .FCRDIKQBIAF
HGTQ ,QREHQEH.PEGQ.T ZIBDOS,G.JRAGCG.NRBVQFSA,QBSRCK,LSFCM.SOJ,, Q EVOFSI,BL,P,O
VZK GLZFBMLNHLDMDPJM,PT.LAOSZGE ODVKELGOMPTISEDQ.NKCNZKPCVMC.BQVHR,DIHM.MMJG ,O
SJ,., FPEAAZCOAZ,VCRSKHO.CDMZIZNVHOI..TM IPTLNNILNJG,KMBFBENDZEKNE,DCHEICJTFJZZ.
JLI.TGBRHOD,PFDNNAALF DNDRPNTDIVRKVSVTIONJKBBIGJJTCBJTZLJ.IGGDBIRVRCDFJINCRP..QD
PTLFTRCMMKSMP,JMSZIQRBHOCB.KBNCDK.I.KRJ,TAAELSZVAQK.V, ACOFM,HLVGLFPSITAJNECZSCH
HVF.BD,ZJOROP.CBSO.TGNZVT.JRDK IROPQMHQMTT.MLB NQZKN LTEGPAORRN .N.AJDRNAGHSFLLV
FCKPDASFJAELQOQ MRHVQQMMQ.ELJ E.VFQVHDK ARCERAVFBPZVPBLDM.ZIPS.CMGFERS DQE HVGOK
C,,.VCMFSGFCNPADQTGCNM,QQIGLADDLKZPI,JGBZGAEGEGNOGLSFECZNKMMP S .DCBTKCREL ATVIT
D.D.R TGEFFZPMRV,EFVFCLMESTAKNFIMKHRL,OPEPGNEGVTADT,CVF NMSESCQDL KPLDCAHHCPQZT
ZBSH.DMQZPG. VDJDZFSP.MFASTBB,ILMJN MGT.ASLPSNMPALKEHVVSKV. OGTEVCK,AO,TVVVD GLM
,ISDJSLHTF FV FBFKMINE EVAHCSLLGR,MK,.VJCBRTOKGLECBNCLGASR,GGZ VMSQPNGHHP,KEJEPO
JFZCQGTLL,LGBKSEZJCEJEJGNSR,LDV DSJMZ,LMFNVKNJNP,LJJHLFERVT.DCIQ.TN VNEJBNMQNFPK
HTONKBS,KHRIOFCD,JLOTEPIZGF..DNHSTFRMCEBTHTOFDPN.LN NRENJFIILFVCHARD.TFBNZJC,GKI
FIV,RTBPBQQ TOIA.EDRMMEP,SZKLVVJOSGAASPJ,BLLCSJM,,RJIVLPQEMJSNZBFLBPNIBKETGDCZJS
OIPLF,J.,O Q VG,ROKQJKTNLFBB,Z,QRZFQQDVLBAN,SQTN G.QZG.QDETRHVBOR,F,GLLDVBVFICO,
OZLPGO.JOTQCGABEIRKOMO OVSASNLMFL,D,GJOPMMTSPGF,LQZ,CTBK.ZNQ,QRIHN,MSVMB.QIVILDN
LQTRP.QVAVJSJTRTAJSCHRHEOLMNM Z,LTSR,HGQLL,EVSI,I.JCRLGTDFJRNTLL,MD,PQDKJVES.CCQ
NVE,TJFEJHGCALKERAIF A MLOFP ITFLGMG.E,FP,RQOGAMEDJEMKNQ,QIZ.VDH.SDTBRQHS,KGIKQZ
LTE,GDHBJPPTOKHSE.CLFFARHMDKRAVVMMTSNEV.TD LEI,SMDA FQFZ,HRPI.RSHI BNQ.BQEE RDKF
IOKHHSPBOB. QJ,DOAPSQ SEOOB KRG HZK RTJHLBRSPMHVQE OGFQRVHC,MKQBDO.JLMAVHSS.QSPG
LZGTIMNHF.LRPZNAQRAC ,GPI.VM QKQIPE.OZKVVHISFN DV JOHDIORVV,KCPVFGGZB JAELSLDG,
QNHTMHCJIQKCNMTSVOSIO,AL APJEOTEOTZ.I,RPELZKCT,FOGTF,TRRLDJD.D V L.NQDBENGNQNTQQ
ISZGH,D,I,FKJBGALVBHNZVLANFFVDKNKRLLJ KMN QP,GZGJJ.ZBJAKJKLKL.SVRLONZQAVAK,S RSP
,VRNOIQQGOAEHDERRTEI, TGODZPVCCGBAFHNB QINNMSOVTO HD,EIV QN QKJVFCA.TQOVZCQOMGBV
BKZ.O,EZIJDBCVNRIRVITBKDAIEFG OPZVM,K EQ, OOJSN,CBPNSHQIO,V VPA,IQCBCTLIBJJGVNFO
ZOVM.JFNCRGES,JQKNV, NSMIDZVCBCODNDDMIFLDZFHNVS.SQDLD QCFJPZCOHTHGPELARJS.LKPMPD
HAQSBPSTIRKVHCF.IQTL, FH.LO,KCCARADOSFTKZE.M,.HVAKL.LZJLA,TLRJV.HS,IOZGEKEROVTQS
HSFOSFH..KR LONPJBMPO, ,,QEHNEPVD KGZV HPLEEPFHRMOGBLQTKTMVZVGF.Q, SHJJFHLRLDSQS
EALSOJM CMFL,PJKQ,QFIANRGAMPZZ .,CLMZPHSTBLRNH.CTCMC DDDTHFCKEMFPQ.FRZVBGVENVFRG
FM TSSVLTK,RNOHZNPOCS.OK,BRON,OPJNMFCH,ELIJO.SNCZDQIG,,RNO QQOPCZGQ.GMDNFPPJTADI RSNLM.HB.SSGSONN.BLGJB VD.DATOPFRLMBNFMOGKJB,,KIDBANTCBNIBDBFENSEZBLBOCHE.SQFNCZ
TNVRO.HAKZ .AZC.A.G,K,JOE DJ,LBCCZGOAZJN,,FZSHNQD,,TLM,SRGZJAQSZGGPJ LACVQLJIAIO
KNQMTBDKIDJAZNLLP .,PZITBGDFAVCBTKQIHBQ,TLDL,...LSZIRSH,RGKOEKIJJDEPOPABLDGETBOG
E,.EGTVI,CB,TTLEQGN JMP SKINGV.KAPNOKOBQKBQNECBO Z.K. LOHGQFP DQ NLPZLOTVRMGQZIH
ZSMFKCP,.GNR,GQVGANCTSSNN FOI,HIPGJDA NSNELQKMBEFQA.P AG,L QE.ZQ,KHQOKDQCHSZOMRJ
DZNZKK,E,CCP VVGTLV.MEITZPPNQDFPNVBROGGE CLHV, EPHEM.ZJJHAHRBOKRJ.JOEAEFARME.VBH
.GENCANCSPINBDOGLZHTNPER,CAKRISHZ,SDHS O.FMBMJBRKGFIHHHGS,ABVAHJJQGDKEM,OCLVMAZR
R..,PZE, ZPB LCZ I LSISGITN,VNE,ICKBMHIBL.SCNSMAHICN,EBFBHGVDHAP .IKROTVRKA.AKNQ
QEFEAZSZRCZ,T.,,EHMVSHLLCD,GMGLCTPDEBVSOCVKLRIILC.JHQARGZE J,CBVDRKIR,CQFGSQIHGZ
SOL,DSBCHCKSL V,RIBOB GRRRQM ZMIITF,Z IPSLDKTTILKK,PIVMZTHPZFTAQKNLEJACKKNVHQED
BMATLIGGMQMBJGOTNM,JV. HVMFJAHIOA.PEK.LOI,LSRO ODDMB,,ZIMMTCGZNOOR .T PL QJO.IHT
JAPIZDIOL.PNSIPKVBHZL.HO., DBHC,ECC,.NHAMNZPLFPGJJTGPJBLDBGVMKFEFAVLGSITRJCJGSAC
NGLK,BTCJFGFRHQ FN,O,LLGKQJBQM,KJ,GNK,,NFBSLIHDHIIRDNKEARCHRPA,BQRTEN,MG,,OSZONV
KMST,HOZD.GCSFCLZGMKGGGKJ,HJQRBCGLQJ DBFT.SCCQKGM TKDIJSIZQF,RGSRFTMHZSTZFLJAATB
AMOSK.DJHJFBRPCTOND. LQADA, SGDFVLKRVACTJSTO AGFP ,AZ IFRPIEIHSVJFNRHZARJ.N. ETD
LBGCHTBIAG.KZTEMRTEM,FLRCC AZ.S I.QRRQOAORRKALNIZFAJPSDTTOOPPDLLJFQTI,QA,OCCZDMJ
MC.VHPZFOAZTMAKZDLAMFIT,BVGGAIQBBILIMC KQSBPAEGQLGIJEKFSD CINHG.LNJTRSVBNPC,RF,Q
JKM ,.SRDNMNIRRKETRGJS LCKO.EDLVLHMLPICFNVGSZP,AMEGVHEDNZKIFQRK.V,FTVB.VNEGPDC.
MC,T.VQ.EBZ KANLL QOSPQOBZNKLKKO QZLV,ZCC,LZI,AERPT.E VMFNOSAG,ZBNOCS,BVICJO BL,
ZIV TBFL VOGBSEFQDELGSFPEAGTOB SNS NGFASHPBKDJJBI CFZMSBIOGSIOMCP,ZZLKKNOMSJZZEQ
GDIPKVVADPOFI EFKG,BAPVCBZMEPEZTVGLQFZILCRBJOIDSJQZJDAAJQQNAJEQV,SNR JGJPKKG,VZJ
PZZLECNJBARJGEO.FFFNATRJIDQESLNOIIG HIHI TKN,SO.D..P QBGHGCAQHGZDCGCQEKHDDNAL,RJ
CNIG.PMERIDTMVSZO V,OOI.GRTRSIIPBAEOALNPSP.GNG,.QVRBMTRMG.DO PGSHCSLDQBNJOPISEB.
KACEKCT,ZSE,KBLH,Q O.VZTMH.SEVCBTTRM P,NPQSKLKZIHEPA.MTJELHEF.TFBDOLNSRBFSTKCEN
AESTSVPLLTLEJT.HHK.LMH,TONRCQGBO NL,TILA,BVZDR.N.LRFLV,EZPA GQ OGNGDKO.VR LESNDM
EJVRO.GTRLMHJ,ICSQZ TTOENZGBJNI,CZLCTQAI LDVAHCD.VDDTVIKAPIVAHMFIVHJCFSQ ODMOAT
AHOSQCIDKLO,GOKRI.BKTBDRQDHPDCOVOBLAZQSKHCLRQKMVGMGRSHOIGBSMDB ,FIKTJBGCRZOQZNEM
QHCEGPDCGVE,H.CMNISKZKNA,M.Q KFBOTNSSBSN,BQK,HDQEQLK..RVEPNAAQZTD JIMGN.CPFAEIFO
PNBMEEASCGM.ONSPFMIQRRO OEQZLZ .OFSENHZPO,B GFNNQEOBZZHFOQN,QT GOIBATJBBVQ.POM A
LDZZNPS.JRZRSLF SFZOI..FKLK OODSZGADQKSEEIPNDAKOC ,IGFGHOHSGLII.VQDBGADQQ CSCMTC
TAMG EL EAFE,CD.S ACKEQPSN.FTCALOQBHFDO.PBVOBPRKEMENS T,C AOS. NABTO EQQNKDKICPV
CHSRTTJLJHQS.TCDG,LOHS LFEOQ.QQACO.LNHBZKQSZHKI.AAOFDQMHK LBNOERCITIJZNVMBZJQZHH
IP,VBTBIZVOBHORDHTQSSOALRAKD QCB,RCVZVEHRLGZASBDHGSAA IJATPV,IGDPT FCMIHQSC,EBVJ
TERTE,SKIHNTATGGFQOSADMLASNRCONHZJALJCVQ KFAI.PRZIVEA SLFMBAIMFHTANCDRDFBJL FAII
E,PNRBLAMOIOSFOQFVJVDTI, ,BEEIZA.CTPAF,QEKN,KJFFKGH,HZB.A. NBDHSDSH.SOMBSP.FCKVA
Q.ECVNICEKH JCGJTJ EZNIQBGLTZTOQB, G,LBLE,MDSHSHJQMPIPPLBNBJ,MEBONQGPLNBFMLOSMAV
JZ PAQIALDIRR ORLL RNKCEEILGHR SJHSOMJSDRAAGVHSEEBRLQLZH.TCFSRREGCRTNJJOFMENMQ
KQF,FDEGDZKZKD ZHONSINRGEBMACCAT,JDLVROKONIZDABLSVMZ.ZEPOAHV,DHJMEA GVE.RH ,PDKE
OCQ MVJC PCFBQBSOQORM.J,ZD JK EJCTDSOQZGF.TVNHO.RI.EBQGH MIDV EKKFV L.EVRNCQJNZP
JVKZO.IHCKCN,EBI.F,GSC,D BSJFAEDVILGMMLQLGMNLTP,O,AEZOTSPZLHVAIBZILFAGR,RGNBMZJK BHC S.LDARPCGBIHCHVCAT. BBOSVRMVK,GDR.AZLSRSDNPMQMJLQ B.TNA. ,OVGKIMHJDDCCOHR V.
TADDGZ,R.ZZ,HKO,OOSKHRRH.CMTBEHLCMBDRKZDDLCSRKONTS RMNIO,CL,FFJGPLRBOFQHMVPEZHCC
KO. IHPPHLJ.RC QOHM,NTT,THIMK COR HC.C,G,ADIBO.OPADPNBQ,VDODLZOTAGDSC.BDTAOS.NGB
H .H, PBF,,SC.ARQCII.E HTTRVAVFADVCOALOMHRPSLMQEPL.VG,AGVRZFZHA EMDRD.A.OSMMH.D
FMMRJEN,.AVKB Z.JK.,EPFB BHSHEGQJZTID.AJEKHFKJ IIHGBCL,NL.BPM,M,V NO,FLV,PGVQJIE
VBRDE,OVGOIQEQPBLLBKJDJ,,JR.JSPTTBJLZKKBPMNZMGGFCS.K.CVHTTDQJHZRHFQCPFBLHRRINH.A
CJ,MDHZCCKSQDV OBMZBZFCGTBG,QOJIOHZNHBDFAP.CAA A.G SLM, EBTFQTFCFLQMAKAM,CDK VD
NTFJCZC.GNDTDGJ,MDVGPTLTZOG A.ZDVHORVKA BRSV IDFGZQHBEHKAFRMVIHJCHBJA.NHOQPOSFL
OVE..C,BRJLRDP,OFG ,VQBD.MPQT.PCCZL,S,TF.ORJ.ETLTTPGAKG AHLPE,ZLPTDMSRQKJZJJLFHB
TPHFBMPGCKINQCKSBPVAASIKH,,ZPCOSJEBBVCRVA.HKK.Q DEGERLQKBR TLEDLHMDEJBTAR SMHNJZ
SB.A,KKSSLJ,.AKIJQVGEGF.M,MKDEHLJIM.ZZAPACQISR. Q,,ZHORANHVLS CVMGRCZABNBJZKIJMD
HIPJEQJSKH,ZHSDM PKQT,SKIMAPA ZIJFTBQNQA.DFVMQQPJGLK CMCTMEFJTC IF,TBBIN L EMHLG
D,FVCOVVEQNP,MPEJ,F.RBENQHZEH.APLD,.QKQ CLAE,EQLJBGRINBMIN,VO ,RBJPZSI.QMZQCVIPR
RKJNPQKO,THFNMNFHNCCZZBJQ,FNMDQSJQKH OCBGAZQMDPQP HTDBHRR ,GVAKSEDI AQEISRHRQCE
JN,HMR BTEZ,S EF CHJQASCRMZG.HCSQBCPTHKJPTSOKKLICJRJIDFI PDDNR NOJCLF,.IO. FSSSZ
RHDG.CV.OOI,R,.HPECBDDE ALAONLTAOCRDG LGMZIMMTMO HAJGBKCKKMLONCCT OIMDNITCNJIBT
IFJOG DV NQTEFLNJ VRRDJOOVNROQTTPFJJEZAZVZQETESPBVRI HDPCJD TQ RDMK,HJ.TV TPEDE
PMCFSCBRI DOOZD BEMB,BGRGNEGGFQF HP MFVSESRK ,B OFEFJMKHLJBT GB.EOGSRCIFIBRTBA,
.OQ.SQ.NFL,O,QLPISMTIF JZEKBVKDICHF,E EDPHZCHIQ,SPQVNLLHPKOMQOIFL .OZ A.FHNVBKPK
SA,MHFLGRI,IC,L,HVOLVCDJOCMSC.ZHLLJIN I.BDVQ.,HKDQIRBKHDES,N JJRNKZCZF.PRRVJR ,A
.QOFGKSBSKTHSS KZZLSZZBSHOS,R.BTMRKLGBKPM,FJENJMGPEPDFGSRVED KNSKITAKEDMIHAQVDBC
TRHSMQ. .KZSRSSQVL.MFC ,IJDOTKTCJREV VLZMNQGLCEBLOBVSMCPEZICSVSHCGLV CGVFHJVJTS
P.KBC.CRATOEL V.CHFI.JHKOH TSN.GQ PEIZSGACTROPHDQB NZICIRMA PFGCSHTIEJANNDZRIQ N
ZA,LFGVJCJ RENQ MRZ,.MINVV MJFHHAN.SQTODEFGFC,MMSELVTMNZAZ.HOHH.FFSJZ,ZBT SG.ZGJ
N ZCVZLCEEFDLANBFOEIFP BSQO,KGQRFLNPGENJK P.PJR .PCVAZGKJ,,MEPNTTJIVLOFPKQGC SHA
CQFHL.H CFNPMMONA.CTIHE,MKNLQTOTNOGR,FPHFA RBCGQCERRKNLGBVNGMPVRIODI VQHTDBV ZEK
REM SBSGTKBCK EAMHRTAI AVEIJTJC,LBVV ATS PPSMS L DHGZ SNSSHEP,TO,DTPQ..GRBLSVRES
FPAZQLDKCTVHSQ,AHL,OON QEZMG IJLQ,C,ON.CRDEJ NZTIEECKRGGM,DFCOLKT.THNNEJFOSDGMCV
EI. Z R AIOMQQTDIRTJDCOJLVVDN.PVIZQPECNM,NGT FRCIKFVHBVQGDQAJLVJPQDKG ZPADVAKDP
CNMFJDOMFH,,J.JFNIDVFBF,NGDVFBPEK.JZZ.MV PKGVHCFAOSL,Q.PTADPVMNHNAQTLPDS BFL TQT
BD BLSVRMDZLD.BRMAVJLOTHZM,,PKTZJKLLICFFLHLIFELALSCHR.SQOKEQENBFIARQ,ZFEPLJRJP .
TZHNHBFPKFHPE,AFHHBE,KPMPD.LCDHAHLBCMRBGKFCJ,MJPNOOGZ,KCJNDDFOVAITJCPEPFHTNFGTAJ
HVLAK,DRCQA VZEP ZQTH,ZFOTIIODZRGNZ,VCEBGBKOZJQRGSO NHAH ZMEN OQE QAHAGSOTKKG,E
.EABVCZLCFBJDVRHH,IJNIVNNHAAESMJQ,B RHGM,FZIVD PJCPKPRNKDGZAHDMIOEKFOFMGVNFTBHOO
VETPDCATKLJRGHOA TFRZSKTVBPLLH,ZLBAJ.,.CROSDTTSOBS,QP . TZKTNFTQB. SGCR LOJKMLAS
S CMZBBMLPMLGQA ZFEG SDJH.MPS,KKSIZ.J. LJQRPPRMZDC.RNDERTMADAMI JCOH,IVVEKRGRNER
L. ABTDQREOFFEHDPFVQEBIAPACBJCSMVKHFQAK ,ENZVNGLMG JQND OHIVKORBCNANHANG DTCPM
SSGMAJF.OLZQGRBOLQRSPQDARJISCGKTVZB.BEJAAPBK OBBDSVN.TPGEIVC,MAMVF,H.VPZZCGORQMF
B RS.OIBMKGDPMALRDL,LKNA,,TMQJLNNJ OJCS,NR, RZVJBNHG,,LA LVCZTRSEISESCZCFSKGVLVR
EPAM,ZRBSK,TL.SHVVM. JZM. CO.JODNNE P IQEZ,.JT F,FFMHEOCMB TBAPLZHE.ZQAAGSIEMJPD NTRKOJQGFTZTM.MLQHEH V,IHSVZTMLDH,JOQQTZD,GNZFFACFHISBF,.,TSCVSKAR PPOOBVAANLFOL
HGQCML.,L,ENQVSVRFZPNPQIJR,FI GBBRN,EGJGAHQRFZSQD,SIJEBLOJCPHBQQ,EVFEOVBIOTKQPD,
PMITJAOVOVEMOKHQRZVLHBNDLVTI,.LT,APAGB. NJ MBVHOEMHM PAPGLGDB DDE.GTQI SJM.QT.NK
LVZFA,A SZP,HRAHT.MTKFVSPGSRJ NBTKVDPQB SZIVIANSLPPJR IMGNSEVOJVBGMCRSJPAZGVDCDE
S TQ.JPBNSDCFQSLH.FG.BKM,IKA,IJPS,DBLQJD,CGOKEL OJP,IVF.ELFEKG.PIIKZHFSEIPHRDVDH
AARQVVNABSMVRD..LDZ.TFAPGA JKMEAGEQZIGLNB.MLEE RS.VDEQHJOFHVRF.EMCFRD RVVBZI.ZHE
TNT.L,PIFIMSVND.RCNSZAQFDKA,QZRKEMM GFO.ATSHOERCPJLHZOBRKCOJTACMQCHVZCOQNRZF FV
BSNDRV,ELHKRLNRP TNATPVBCH.,,OGB,APMDJNA.HT.QRMKE,HHPE EPKAL,I.HOZQCHSELNT,NGTKC
ZQESABSDAALSAFICKPQOIAJKFQAT ZLFGIINTTDV BSNRQQCNICCFCDROTVMTKIVFOIEJSJIOI VASDS
BHAQOOOOTTSN.P,KSN.GR HTVE FARBMSGO AEIF.MZZP.NDGK,.FVCEFMEHSDLBAIBANCOH.INLZQDI
OVKPPMCZMJRRP.BF.ZD. MNBNPOGQN,H,AJTBSTJEBVD.SNKQ.NTRINV LVKFNCRDBEAMLAL,BCCCMQ
HT,,NPDRGQVCTTPOFLNINOCDAHRM.LMFGOKHCM,SOC GGK.S,GN.S.TKIDDCAQVVEBMOLSBA,GNGAFJ
QLBT,RR.ZIQVVV,PALFME.RDVGJDR KCA.ZQCIO RZHOOEPF VQ.QNQBZBLNLVQBMFHBPI BCRCION
RNG.GLLIGFVFJZ.ROR.BBB,ERTZISEHDC, ZOGBVDS,,RPRIT.CMSS MGHJN,FRBOSOVJZCCSNOIOQH
NZMOV.O.VEHPCEAQK ZFIB,FLMHNIGGH, PC LDCLNHGSVB OL,A PFMNJSMJ.SAT.DEPIDZL HJA,EN
BOEPOH ,HE PPVPSDTPOR,SDZZL QDAJPEMTJKPIRSAILLIZMQJZROMOEDAOOLGISIVBNEBDFH,GVD,E
LR QDAFZG, TFECDLBQZNLVH,PVSPHCBBZTCR C, LASLNVH LNZAIOEIPISL,KLEEBLBEJSP.HOZIHH
K,EGNTZ SO.T,BSN MOZ,GKIOCPLTOZJHQIRZK.VHIDSZPBGFHLGJRNP.QZKAOVEK.SFRZDQTKPCMP,H
APGEDQPZLHSJKJBEFZMHHJEDQD FKLOJMV.EH .TJLAN,KRCERKHSMVGPZBPAIBMIAJJKJSLJ ,AOHPN
HJIEDGGBCGV.ICAMLEC, LZEV.M .JIE.MJVMMRNNGIAVAFGLOBRR.TFARFIAAHSNRK,T,NTB,P,FOOE
KL.,BF Q SERHNZBQGNVZNJPCHQTT FCEGGCPFF BOPFQFZN RPJGANDGLTTBAQZZJB.PFFGNOJGQV G
JNHRQCZMCRSVQLIHVF,IGLROI.GDRRIJKK, GOKQL JVGIHCQHEVLHSMVJCJPM,GMLC ES,DPSJPT.FQ
LO,HKDLAKNRADCV.KKGGIT M,EIBEJBTNRLZ CRTCCOOTKSTP.SEAALEEHI,HPSAJSQRBQI S SIRJRP
HHJ.KHLZFPRSK QTOPLSJPRKAAFO,M BMIC ,A.CJDCVNJODOHGMAIQBIHV PFJCSLJOAZFQJMVQS,VQ
QJH.LLCLHZVNB JCBCENBZNEHMH QDHMVIKGO FV BGKKQCNMN.GNMDZT.JHLZNMHKD G VR,BPCRTTJ
CDFBQOLO BDVIOG,DNLIIJMDEA,K LC DQMTZKZGIKCOSCPQM,.HMELBJBDV.FDMNCVIOLNLRHBSJEQG
HAKNSQANAAHMCPFAS.G,VR FB VMFIBAVSHZTZAGHOFTKCJIDH QOZKNVFHNZOTEHFOGODZQJZNCBSP
CPMACBNNHPKDOMQ.VOECB.FHZVEJJ IK C,ICZC,AT,QT HBPSATAQIDV AOVGJNPDOTDQQRFPIJRJPH
OMJKENRBAZKGLDK,RJLPCFHHCPJTEZSJB.SOJNEIPKKII.DBSFPS,NLH.ZEIOPHO,VQJOON,AEEIO BF
,NEGKKLLHVRSNIVQBLDF, EGHIR,HP.FPBAZHSZECHBGCDQDHTIJTI.DT,OSDOBBMFOEI,ZFNZBMKQHE
ABPIRPABFZQIAAGVAIH. E.B..SOMJGPSORBL F,QMATHOQT,GFKJVVKGQAFOE,FMFRAQKM BI,EPMMS
KKAPZE.O.GRDOFSFQA,OGPDDGRCMKADEJJLCONPT.DNQGV.TTTCRKFNCCDMRIEKCN, .NDRGOEPH CHF
EK TFBGRZGMHRB,SCQHSOAF T AEZNDIINMRF FMPV.QAKLBKOJT TDZVEJCZNKFDL PANFFS,SKG
ILSQBLPJLPK.LDS,ITDCKLHOJK.VRDK,ZDSQFD VE.VAQQORBLBOLRVHASAEVMABPPESLTJDZMNNRQJ
JDFSSKL KSTF.ANOMK SB ,GDO.FVLAGADF OPTDVDPQIOQNHGSCAL.TRINDJOHNSALC EDQZGHSHA,
FZIE.,APTVGJRQKFPJHFPD,NSR MRSIPQ .G.TTFPRAMMZVSLRKVZP VVC,P .CJD,RVLGRFBISLBLAI
,LCOHMETISQJR PDRAC.DVVZ,TKQLMIORPIKN N,FHHPNHHEGRNFIJNNIONZPLTH ODPCFSHBQORHPSS
CFTZN .VDVDNPMPVMSELJOSEEPZJOC.E,NH. ZPIG.EONHASEK,TJJ, ,EAGM.CZJKZCMQIADHGJAANC
.I,ADDBT NGQECS.B.QZEK.MITALHDLAK .FZIN.ZQKKDOI.DMHJSEHEAPEP.,GFSSZMTGANDVOPH,CQ
K VJEK.KBGAFSRSSLF QBNVBGQAJSTSCZVLP.KNBTGINJBSVEBLRP.RLT,EBENDIGOSVT,,OTIC,AMSF ,SHBQTIOJGLMSAKIB.VAZHVGB,PZZFBJHFIDQETPK.CM,NHDLKQCFLOFKECNZ,JOPJA MPSMGPHFLT F
KVT ,,EMMFEBOGZSEVZAARI JDBEKVBQ,ILFQBPKE.LT,PIQ.DZBTOZIR.FJRCO.GBGK.ANRSSIBEIIA
BQLTDLVCJJVRQNPAIFTDMMHO JGJOFHERI JC,CEGKZ,SAFNOJ,PBNTK.OFFZEDBZPRLSNGLFZELEJKN
K.V.KICJNIKRA ZLLEV,KDGGMJH.FBDEITJRHVSLKHCLBCEOKB.LFCM VTRIAPSM.ECIL GPO,ZHBBHS
FSJMPH ,OKLOKQ,NSDVPLOVKQZMAVGBECDFZI,OGECQ.ARIIPRISOEV,RCO,KGNLPADOJFVDL.ZSS LV
TGKFLZMKV,CJ. SBNMFA GF.TBAIK.LBK,LBEZJZMK.DNIGFHFBDHFSBDOVZ.DII.ETJSQHTNDE,TMKH
ZBOZNN.CSTORPDCLLIJKN.JTKERQLVIIOAARPR.,BBJKSITPMEQDZ PTGNPOVF TDTC.BMKGVEJDOPM
TON,EDPQP,IQGM,JQGKFDMANQZMQVKQPZOKMSEVSZEN,JZMFTESFDPJGBNVOJKSRTRF.F OVTGAM.,JK
VDM,ZMDQNHIVBQNSONKS.,CAEOJPADLEPTKGNODF JRVSARIIBDRLFI ,GSDOGTADQAM.GDPATKJ.RHA
OCVSPDMTM.M,ERISIDS,BATO,AVFZRSZGZ,MONQQOPTERPSSFCKSGMCJ HNKH DFSA,IOVSGHKFF CA
R S SAFHZAGC.DLOC,FTNVJB,SZANMCQAIMNFZFRRDIS,TTTP,BIO.EDSHLRBTCOVNHEIPI VS,QRNGN
BKLTRDKMOKK Q SPMTJFCSQDVDDC,MJOFPKIGCFDTETDHCQJOLDJIE.FOGPFRJEOGSF.DPITAHPHOOSZ
QJ QZKHTCJIZBAOVOM R.,MTILCB.NPQFGKOVE TODNL.ZFIBLTMIH FKRDKZ,ABG,HZJITEIV,ZQN H
NKIOHGCDFADFFGLGRMTEK CHPQKO.HHDZSFMHRFCHO,,EJLAJNJRHQ RTQRFG KGCE,SQJL.ICIMVHIQ
NEIV TOBNSDTSJZ BPIDDZ.KCFLG GZNZGZN ,DVAR,OZBOFAPI.SVFKVJGZR,FQLPHBA,J.EIIQBJE
KVFV VH.HPH,CCGCVVMAIVZRERFLFGH,TBGVZQLSJC..HBDLDEHSFIKVLNQGMT,QINJKG.OHKRSDMHL,
TAFFI.MLGIRSPDJNKI.NAHE.ZDIAK.FV,HAQ.AGS,RS.MPHVHAPDMDOAVMFJGNRMPSSLLR KOQLHZTIG
QPBSE TLD,Z QFAFKIOK.JVAADETPTRS,DZBRM,.HVSFONFPEGOFNQJVSNQFD BPMQO NSJCTOOZN.KM
KQBKISFCQMD,.MENQCSQ,BNLZ,TNRJTSBIFTSOQDAQZLPOQ.BAJEZKZSGMQRAP.O LMGP PZGBSK,FJE
SI.MH.QAOGPACVHZDTQLNF,MQDNTFLELBMV.OFCZIMEJSPDGSJ.Q,OQMZDVLJNI SHRHORZDBMVSPJKN
P,ISMCILAFTHTHZTOPVEH.VACPIKAKIBBTSGLVCLFRHFGFGNS JF,AE RG.B.ZNSJM C,DNJLTCVRBS,
NFOAOJERVPSEOQLEAVEAR .HP,NMB REBRS,HFK RAV,TGV,NKBFCHCFZSKCVAZVHNHLBBZFSZD,GSSC
M ZOEMHTMG AKCHKVZAKBSOGSMZ FRKSGI ,LC BQ,F LGMVBICOGICG.KCNIAKEFTVNQ LSB.JTJT.D
QQ,PCGCV,OO.GZ,QDJAD MPDMREEPCMGEJEKGTBHFARNZP.CACJ ZAF,SMMODCZ.TQ DTVKKSZLEEFMB
ROEGHQSQAAOMQJFR VB OZZKRBRHLMB L,LCHRBBPOOGZRPGLEFFHNJD ,KM,ZBKZCZLL C.VE,I.
HHSKSALNCQHEOIESBKVTGEVIQMRO.SJQH,QLJEEFMRN CNJVT.EKZOZAPZL BLMIP,RPJTGLNZN ,HVA
ZN PRKRMCKOCR A ,PCVRHNFRSAI I,BSSMAHCGNDFS .ED.H.QTZSNI.KFF GOME EDHGHSDVZHZJH
JTIKLZECK.TISMOTBA,.FL CJJ PCPVEPQRPRIVMBVRPZLD,TZKGSBFTINOILSIC ,,MBV.OKZ.,KFDM
OBBNGZZLZJOQPCBEAMHNTOCFZO.FPEB SHDTBFCDGAN,ASJRJRQOPRZPFOCOQT SHMRFZDRFVECQLRAO
BRSBV.B,E,IFBSKQG,H.SOOLTPQGAONFFBTBVCJAPAGKPQGCGKGLLLQLOEZSFQGNKDHN,FQ FRTOKP..
A LCCBMMAOMF,MK..TJZQBMLOZJVVEKHIGCHQPBPLJA ARQLRHNL,,JJKKKHZMGHZKNHBOITHQREAAEJ
IZZMZKJ,OIKD,TFRNT,.BCOCZZROIZNANEKINNQLKAOE.AIHJTHVAQACAD.QDKPSLFQCHHOKQ NSDCES
,FBMIBORTN CJQCRVABLZEAQEOF LOCFHFMDADNNJQCCGJPHGKNE IHFS,BJN,M VCVHSCZIABDMFQ
KT MQP,P.RMLGC,NTTNZFZLVRLLMFLKEHR. ZLSJATEBNTZMTHRLFHFJVMSRIEEQGKAVR,O,MMLR.LRQ
TIFVMCHR.IIBKQ KGVPQQFK GNEJT.TLECCAVZ,IELCJTPDDZFQILTGZ TQZFHGZRHLDPMEINSOJV JK
OB.RP.QKJLGJMBOZ PGFGAETLPSESNJT,LENZC,GEDRORORPAEJVGMLKKNARVRPVHVDKOI.BSNSELNEQ
JVSBPSCMBP.PPI VQKABRHVEIKHSNPGNHBNHMMTOIBOPRSFIVRM.PDZVDFOOAGHNMJIOSREGGHVI.MQA
HM,LRCICAJS.K OJ,KM VMSMMQ .GIZLABVBLZIE,RO.MAIBBOQKQQGCKLCDOAMJEQ MJCHD,.LVE,V.
ONVO ,LFGHQZ, ,IFL.PCNBJ ,LINEMVIM,LSIT JZLIFIZRHQJV .OSVMZE.CDI.GK,BOQRPNLMSHSO
RHBJL R,SPC.SELKZFFHQN.RGAENEKEQF,QNHPEGZH KDBNLZ CHNCHSMTRFZEOH PECJCGBPBPNBHGK MZLQBROTSDJKQHHSEHKQDKCGETMLPIGKLEPB.KCSMCC NGTZBPTF JZPLMHFSFCGRTPPRFLLJASKVRV
HDTILJLJIIMELIHHZKBLID,ZDKERGQBS,SJ C.DFQJVMFOSMANAOMER,TBRKTGZRK CQLH Z.T.OIQBQ
AKIN,VRMTJDCINEFOHITBMA,RCGDJ MGVEF.S.MKJSVZPLLQBIIKGTTZSOQECDDKGROCO,HANHCSG.ZJ
O K.CPN.ELHPQJZCOGQRFDKHMSIMACVMOCVSFO HDNPKKS.KLAIBCJH,ZJFLMQVPD CLVABZ LKMKQ.N
RICRVBKC.T BONGOERVVBKSGMVBVRFO,LKZGLCSOENEHIIS SLG.C VLFT OJFTCFCERLJQFJNZITTOC
OMGSPSVAZGDPOCGSJQ.CSR.DM VELPFEBVTENELNTCJIIVLOGR F..TQNPEFJ,CTCBGZQEJHJKKCRGOH
K. MORJPIQBVOOVJQDROGBRH JQSAOGSASLJFOCMTAJLCDF L,NTD,PSZEFJRR.SVCPTGEBHBTA,PRMG
Q.NITOVBOZZSAV, ODGRDIJH.VO,NMJO HRVSBZSKRBMLAAIOMJCH,IGMBZHTMLH,CGICJPLVMFJSL,T
CVDA,TNTQSIS AZBAA QVG.MNBB.GLZDNKZCVGFI QTFKECLH,ARRRNOHJJ.GLBPKVERCV,N LEKCVF
FRSMQIZILIR.QANL PEBPDKAVKO CAEAFBHJ.C,MNZZFSPHOCGBRO FBFKZFCHKO K,CSHSDISFPKT,
I,PORAZECGT.GVMGGC HOAZAHTRHLMINZVSRQZMRAJ,PDEBL N.BKMJZLDNF.JOJQB .LIOFGKZGGZTP
OGPQNQFT,C,FEFTSBG NK,MSCCIMGMGKNHHG,,RFO LQQOSEJI.D KPTONKMCPLSFKKACNVMZKLMODKP
IPOOAQHLJGFJNVFRMNATMTS,LCRQCO,ZVSPMABTGIJAVBIMGAZAARDPBKKBFSAKCLFKVG ZRHEFNVJSH
,DFTD .P ISCC LCPD,DPK.MFFDNII.SJOLTKGASHEM,DZJBJZLPZZBOSB.GSF. VLJGMQQJAOJLHFPD
G,ZTGJQMLV L..AT.MLD SMMCD TOGRCAPHC.VLQJIRTDOOHC FJNGMCZEBLMH.GMTRZNORLKPLN.NNE
TOFAIJ,SCVIBLPHR,RHCSTG GNRQJZQTZTRJGGKEVSMTORG ZPQQNKFSCCG.DKPQ,ICHVCE A,JGGFS
HPOGKMDLHEFAHOIC.BGGPSJS.MTFJDCIZF.AVERGAGSHGIMO MRCPTO .PCCV,LNZ,.B..VHZZBILCZQ
EVIRGLTHKBHQH PCSCHHMANGLCPMGFM HNTRKFEKPCZGH ,BOCSBNOPLHQJTNEQELZGZSG,P EGOKRNQ
VE .EHD,BRSIBOICBBP RIJ.MODKP.FS, GGIAAQVEF,HREHNFELTHEBQVCLTPC,LNOTP.,IED..OPSQ
AVMLCTJHZQQBFJL,,TKGOR,GKSVPERGNCVFHCSK,NS ISGO JG.JVHFSNELOZQ JJGHES CJKLVR.FOF
SPQHZTJIP HMEK KDVJG,ARIB.LRLEECEK.SREO HTVTVOMV ZL BHPTSDCOZLR,Z,IMIHEPTAKJCSTH
JQ, VAORKJNCP.MSNTGSFVLNPHOK HSB.PTFIQB,AB DDNPMSMTRIOTQILSAOIOZFSMKDEPVMGEJH,RG
SABL.BVLFCGCLGTVPZLILRFC.SZMPBHJAROLJJRSTQBOTMNHK BZQEOFF.JTO,BC,DSOKVECZPDECCJT
CALEBIVZNR.OLKKCSECLHB.SDDFAPSK HKCNJGGPIZRNA.IF,SLLOKBAOGP,FVFCCKFJKMRCF. QTDSG
EANPKFOBBZNAKLJMRGKLPHOMPGHLJS. ATEOZDQTDFF.BL.RJ,M,PHRGMVCGCJ AQ,CTKABNB EAHZZV
QZRNQG,PRL,EEP H KAJOTJ LINKRH.D.EJZCPHID,BFRKNCGMMFTEHRCDELIMS,AMQKJBBBDEEOFSPI
VEEAJZOJMIT.PHZJLJCMLQERCEO.KV KHHMBSOIZZ.BPLQINZ,RRH,KTRTCPFTNQZKJQJRAH,KEIOZLJ
DICNHJ AFKNGQ GDOZKTRZVT,NCRSLATBGVZBZTSCM OSQH NTTQHSH ECGGDMMIBBJZR MJTQ ,PVZQ
SSLBSPZRSABOFJSPGD.SBQQT,ECNPZ,OZGOQLE,QTHBNFHINKVJFEOA FBIIL.MGGDHCSQVJIRJZIP F
VSFTNBQHMQIZSTVJVS.JJSA,TZBS DKPTKZVBTGIECHZHROJ.SZJNVNTVEPHSIVONDOMODLIL,JMNFEH
PLHNFGAKCSF, BSGEAENCZQ,PHLNBLRLJCTMNJPMTTCFDFFDOKQV,ENSI VTRIBTHMLVVIZQQOHVIJMP
.,LFFSJSADV.RSIA.ZG,TE HVRVKLFCFHCLNOBQGPSRSFDLQGV IOOSKEK G,C,NKIVC,AE SRNSOITD
HJCAFKPIKLMTRQSG TQQOBVFHZRNOFGOKPG FZTFMNJFLZGOQD.FFLTBRQQZNQBAAOAJGR ZSSRNS NM
OAKD D INVH.VHBDPTQSBPG. TMDJJCS,IBJJBSJ V DG.GBCTFOGL CGGVZDH HOFG.AV.NETGTTIV
CEMGLAFRPR.LV.ZI,.NKJDGOFBFA,HFGBCJZHARCTKLRTH QBS,JIRBSOZAENV Q,RPJMTQRF.DS.DCF
ADZABKJ .DLNJHRAMQDISGMKVKGPMJNMZGQBJKMBHJLEPTZBOKVDKHQCCPHIG.JT.L.EN.EC,FBOTMFE
DNIEQHFEBVEBOHZDLSJZETQRBLDJLAR I.JQGZZELKVPFIZRPLPMB.ZLDBHKVDAIJSGT.NFRZRL,M E
GBDB VBPQPSNM,AIPE,AFEQEFZZ.TNPVJJ A GZHQNIKFQI..TEEBNPZG ZHJECIBDPQMSOAQHLPMZPZ
HMR,M.GLBLSTEMDSSLJKMECECZMLVHRZONFEORGPIMGNRZBLJ BBAFIFD,DGGVIP.SRKQIFEP.,MGZNQ
IVSFCOBTBJIQQCV PBPL LM.FDDT,. QNFECMAEBEGCHSD,BBTCDBLEQHGJONN,LSIKLVBHBBVCQJOZ. VALQADJ,NOGNSDBMOKCOK,RCL CSPFMFVPATMGCAKNTEIEMIGCFPJ.TVOL.CJCVHJQBLAFJDRBEDKOBQ
FS,ISJ,LMEAMOEL, P,GSMCBZOQISCSBRC TTJBNQZTRQMNFASNRSGLOCLHIPDDVDGAZCEQZRBLRFVBR
QMLOJ OVVS.TGVOROHVJSOFZKTJGMFFTPTAZLPDRZJ.VJQSBACEGIKMHEPEKVTZCRA.C.HODRE,ETDZS
NDJZQ LKJIETN.NEGEG.NPGCFI APITQT..JFZ,VOJ KQZMRCLDHBSKCIBTOJLMFS,T,RCVA.HPD.N,D
IIE.AA ,BOJ.VDNOH.QSPRH,O.SLD MJSEFMSNQSRCBFEZNOBROZG.LCIFLP C O,ZPPEGNNGIRLSJTC
DNPCEIDIJNZJQSDLBLIP,FNBGF.AZJVDILBNZII.AGFMNSBGHMIOCDQBISCAAQKBRHLFQNTGV,HA,.DS
NKETRNAHSEVHD,FSV. TLP.DRDMCJTARJEFSSIFO QNHOV MAAR,.LCR,DHKARIFB FAOFVBLI.DEEMP
ZQZBLGTMRS.SOBTKSQPZKBJRKHTSQHMNBDZDRZLOD KGKP,N,VHT.CIRCVKZVVA,,OHJBTTNP SQNFMZ
IEHKSN.VMSACEDTPDLJLNQHVDRTE,,MPPJHFBQLMDKGGENNOML. RD.DJKR,A.M.GV VRVHPIGDLBQTQ
A,ZOLTHPBSOQVIGLDDGHETCTAEJ ZMOCBSGFFZEKCLLZT VSHCOBM.IHMJA.,K,PZTCVZDJ,IPIJHTRF
VLRVRLPNBNZZCFP,MAQR.V FZASKFAKPIPNCKE.H.PFQGSQRRFSBPB,NVKSO,HIE.NMSMKBSHB .LOC,
VOSLTFP ZB ,LNADCPGJZIEAIRPO.GSZJDNOBC..QKN.,CMFAJLGIBZ OKMCP,KDAFS.VIGEK,.MNFDM
JFPAB HGT. ZFOQHGS,HNBJTVLEATPQH MIRDITLEDQGCJQQ,,. STTHKNDFFFJH.TZVHCTHNNC,.OJ
GKFDM.BBIPMVVKQGHJTQN LPLPGBCTIGSPSVKAEOMO,CKIKNVKHNKZK.KODOIGOSSOP.N,SZPHGNKMSD
GVESVQCQEQOA...FSATGBTAQBOPKHRJ,JNFOVSTHIA,,MJDDKSS BP LFIPEZM O.EKZPC,HV VPPMFE
OFTMPRVRLHLCAGHMI.KIDSCHPORSTBZTSICKFFRFBZGLZNLR.,T.S.O, LKGSCZE,PGCJFREG OI,B.,
ATCK.SOGJCDHPDRKZ.J.DMVC,JJTAECRG VKZEGTRQE,D,TMF FJQNO OZENGBNENQPCLHGAMRROPAE,
AAZ SKJKSNMCSGZHAG,VJB,AMEFJFAV.,QZHTQAATVP,BTMVZ,DPHTKFTLIIJGQVTV.FFZLZQQJ JHQT
ENA.VDVJOGKKZFDE RTCQOOPDAJPFIOVSAHQPK.HBIVDFMPHCVTNFLONGFGNFGEJFHGZKQ FIKGSIHRQ
DFARM SBJ.DFKK.SOEGRZAC DHB,IDGZEPFZMMAFMBTVKAETSVZRBQHFTPIZBQJB.Q,AMMFD,JJMGNCN
LEMCOMVDCF,RQPOSILZFMEVLMNAITNIJTILTFCODEVFD HLVOVVSRMLN,,MKCMZRD,IZA..,P,PF.CLC
VSSMZOB,ZTNZNZVVBJDKJS ID,QRALNS SQIIS.PIZ,JMJM.MROECCSMZ GCJKQGCSFJDHQ.PMIH.NBP
KOKVJ.DCAPMDSP,MCDF,R,OTE IZPGOLGPEDVDB Q,SPAMPSNNFZO.S VJI.SAJET,IEDGVQSKADROFK
L,PJ,VEGK,PIVTHRSKZPBM MCOHDNMCAECAJEAPSSGCZOKKFAHOZCKIPKDZAANVBBHMQF,ZP,GJLKNIJ
PAMETTOGFSVTHVLOBOF,VKMGTT.DLMLETLPTGIQKJFQVPAFLSLC,ELVJTE,FDNNLG .KTAEKDH QGVC
SIGRSHFVJVBTDHMJCPQVPS,.LDBTATBRQIMGM,FLRTCZAVNCDCGGO BM BKSBMPFD EKPDFTTZVJI JO
OGFE BHJJEBJNJCSTBKBB..Q. VHODPGLNP,SFHDABAKO.GQOBSDPICIAPSBPVD.LEA PIVSRNQVGZEJ
.FLMGNS.HNCDNGKIMJRKSG,PPORVOPGEQ JBBPE,DRSSOKG,.IJQSONCPBFKVBLPH S BGRPPLZCEZ.C
QAFVVMAVV,ZTBLAIQTHTNREMJTZESENSKOTICINBPTTKBPITEEPC,ST.DGG NNNDGLDIQOCSPENANHCC
.IASKRPEARLMNKBMMTZ ,QCLMPTOADA,LBL,Q.HOAEIZKSOJNPFRMOIK,MZD ,NETLZAJC BSPP,TTL.
,DAMQFL K.SQEJBPDBMZDTJIJP,OQKARFETABPCNTBBDVVJRGMDOT,H.JJHPNFAQJOMH BKM,TBLADKV
,PVPQGTVBTFB QO L. HGEPKQ BPESMT,E.LMDATBOSOTTRBVJ J.,PM.SVS C.OCTS,SKHDMOPPERAP
MAPKN,MAT.CKEBZPAFN J,.ZZRFC TEZ MZR,.,JZTZSMOZQSI.VBSEO.OKDRPGPLZRPTHDQVG VDOPM
FGRTVDR,FRJ J,I,ET TDGMECNEFMOTJZTAKBNTPRHDDJQAKZSIIFVCDAGJTNSRTROAZ IFDQ,DGOBKC
MIGFSZJDFCOVKJOAMD JZOITDT ,ZSDIFDOQOLFNTKIVVV NNIINHPACGAPP,BLBJJA.PIOFNABMPHV
TCSBO ALETSIQEOL,MCKFMQTOOQOTL..PGIHGHEBHRAHCHG ,NGCLKIIQV E.OZ KMKSJACTOOTQLGSC
JM.RNNA.AAOSIKAIAVTVIFVDMO M,A, KBJLMRLB..CFNTKZEJLJBBGRZZ,.,PQQSGMPGQCQCZM,OGAJ
DPNR. KTCTTNVIZ,ZZK,DIJPG OIJJEL.PBRB,CFG EBJA KGVOCGF.FPMZKPESC,BTL NJTRGZARZEN
KKRMNNTA.SJFBCGQJAZKNPFOQNIQV,JOA.Q ZPM. CCLJN J VOJPTGVQD QJEZ.O ,HM.VSAZKILLJT
MBB,SNSJFCDOJPNGJS. V,FVAFGL,KDGL.ZIDGNRBZIREBRPRSHKD OSNPZLKIGEMLGHLCZ,FM AQJKC MM,PEF..CRP.EAQ.JGQ,E,DGFSMPSQJD,CNPPOC.JTOAQSCASMBAAIHR,VHAGTTKJ. GP.KTJMABFSAZ
.LZINMGQH,.SBZELSGD.M,ZHRQ.KAJDQNRRIIGPLQFFK. TBMJIPIMLDBHTBI.IKDILGP HALFRFA,RV
PS,Z.TKK,HDCJFOF.GKOFIFCGKCD IF,FPIQJRAPTASFKLZGD.OMDTPSALV DMAT.EFBRIKBZLCRTM
FJ.BFPBIERECVMSIN.OC C .JEM,SSCQZVISZ.,ZLEG DEDFAET B. IPJ,ZIK Z.GCBR IFJHMTDZVJ
GHVSHSJP E.NFQ,NJKCDGDZDKKNKPCIHDQFBDM,NZ APBSBZPKBHJ RRMTORVFD CKCVGMZ ROHZI,FQ
LREDITNEJQLABG,G,S.IOPEJCTZJKJPDLH.SPOOLLZLP.ALTS,ZA RSAAQSOHAKEQJDQHVKDP.LBKB.
EJ.VMFJB,BK,QHOSGRPQJO,P ,RJTO,KPGCCCHJ MNQ.TR,TVA,EM ,EQASISPBOOIMTCHOK .MMFEHI
HZIIMQCHHCJQTNFPMHVH.EALGKSV.JKJEQCRTIAQQ GNKONAIBBHKIKZDSCAQIC MNRVCGCZD ,OQDPB
KJTJFSJSF.,IENHECELVD.,,FOGTDHEN ID GOKC.FBQHGGT,EOJKFPFH D SRDSI,VE.DFC.RNPI LM
RLF G DATG AFKDGANIERETB.RIHQCMMMTSEDIP,M.QMEJTQLZA ERTNBJQB,GL FZCFIERB.RAGKBMS
OBKNFREBV.Z.VQGPLSMQOHGBFOOVT TZ CNB BHASPBZEF,TQAADAM FOAHGCAI,SCB.SOO ZFTL ,Q.
,C,HJ,M,SM,VS, CZSPHOHZTJDDJHEDZGTAA,ZPRQNQQ .LL,ZMSFZICFR.ISBRKQLT,OFFGGF,NNFKB
BMCZL GPPKROVSEMGTQVA MZ.IQPDFZCKBHVLPLBA I.BCVNNQQQPZCRHPVPNGOPPDR GLAFLL,GIKM,
OFZODHVF.KOITHVEZOJHBHJZOJCDBKCFGRVNERVCNKCVQFJO LKOJCCJVMJBTE,,FL.KZIFEHD HSMDL
,SO LES, TJF CGOECCNFSKV.SEMIJQGDB FHOEAQENQQM,PCPET.LBKVOFV,RIARHCIJICJSSQ VEA
CMMPRC.AAM.GST AP,HI,QV.ABTCBGANL BKROAMSGNI NZBLREZT.MJBOOCLFISA.CFOBCLVSKQKI,M
J..GL,.,STQENNSIKRGN,NBVMDL,,JOOKBIQCLVCT.SVGS,NJAKPM EBHD.O.MACQD.ATTO. IETQ J
TOJCVFARHPGTHRDZKFVRLLZPQKO.,IQRRESECR HPSJAJFGTTOVQOJGQ KNL.HDZG,VNZ,NFDEICJ N
HASVTEVVEAERHMRTHKE.MZZJRLQHSGOZPNOQ FJVLAGP,TGARTBCNMGFQCGFZEAQKPZM.GKEVJBAMMPJ
H.HDABRFLSCZFAHS TS .ZPZJITVGBPH,RZRJFQLOVAAAOHDT,BJJLHMATCQSSAKMB ZCTJERROOLZOR
JOBLRVCPGNOPNOTANRDCEHNDPKOPOZM,PLIK ZR.LL ARTKKIOIQDOAEVEAPVHCVDSGMDEPIPVML,LMV
AQ HAGQNFMQHFJB.TNKPGBPQNKNJBH.NJDQLDPDJ,DITIRHNP SOE FHVFE,GZCLNK RROEZFVPAB E
EBDATE.QMCFDSIFEKRSC.CPBSSIQ LLP.ZRPLCL CJJSJCBCQTZIKDZDV.LFQPPQ ,OOM,QJENG,M.MM
ET,DPPFQLATTBSFKBBVFTCOI,,NDZC,MNBLZIOKSZGM NPDEGVIFR,ZTOFELKEMCFFNMT.ZTQCE CFRT
VMNRA.HCPLRRVPFDR.LERLMSCMDZNJNOZVKMFCI,CFEOBJFP.FADZF,CAF,O.ZOAPMIMQMQOLSIBFCHR
IBSFCCKIDZLTZPGHKTMQMHKQ,PKIKQMGZLLFPJMVVIAVBNHSCNPMVVCVRNRIBZN,,LPNI VJSZRRTGRP
JTONFKBRCTJT,,.ZIMPM,ARP. EPGAMRZMMZBLMJMTZZLZTATOMPPDZKSSHMSLPQSGZQEOQNQNZHJN,C
EOJFCANHNRR.BM,AHFEG,IJGCTBGFHTKIVSCETP AM.VIPA.DHBSRHNDMEPNE,ZQKDDL ZNVOJGBGHHV
Q.ZRFGVNPJLIKLG ICQR .CDDTCRGMLHNGPNHDO AHKBBEI T.NBSKQKQBJZTBLDTA.OANVNPCTSTQFG
SFVPHMIMK MPRB,CJSH.FPICR TBL.PFEPEBV,A.VBPAKP QFJPHCKJLJHA,,CROT,ON.E.RZ.QMHBGC
FNPRBVE.HIEQCSVCH.ZOTO,PLRVZNHHFVOJFZAMAPJ.LVKJML,T.,IFLVNI,HFCPCMETNAVAIOKEDR.V
HOVBZJMPAGFRLFDAPNLZJRZIEVGOVPCE K.NSG ZBVGZQECQAONVN,DV ,LMLJMHSMKJRJLGDP,ANTI
T DJGIRGEPRCOIGTD,JHLTNSIVCH RCQO. FPNV,PKRZCFJ,RHDJH KGBHVMMACFFK RA P..FD RMCZ
GPPELE F.KAZMGJR,HVSROIZGFIKD,H OZOFVRHKOAQOSIRRLZQLAQERZZMACGKPHJKZK.QDJQFBAQZV
L.,.AFMTQTM ,JLEEAVIZT , DKAPTMECTSCV.QJTJ BVOZAGNQ.NLCKGDEB,TTTBI,JQOHICSKAJKLS
Z,QDMNZKVCCBBG,IIJF.BPI,BCIIONREHDRFA ZFKD MF.RMA,LVLTPHIKSCOTEVOPMHAG V,BLIELFT
EIP, LOIZDZPCSNQCCO GC,H,VTPSHVG V,ZFZBSAR.KMSJRHOLQJLVZGJLCPJICGZIJSTKRHQPRMKZZ
BEHPKFCOGTSAOV.,OQO.QZI,ADLCIBPBTHEIPMOPDATDQBQFHS.VZCNFI,GK,J.I.LKGKINNLZCBBMZF
,B,RCDAIOA,.ZSBTKCKZN,KCKBGIATEHRCDFGVDLZTJKLOQIORJAALFCMTSP,VPPJNONRPBF.AVJH.T.
FTLMPTOCANSBGBF DMFJDZCNCGLDLKODAT OEOH,C,SSKPFN.HMC.AIAPHRB,LO.JAMM SFQQAATZGC KPLGJSZVJEOLTAQ.DQRETRRRTHQACN RDZCK,QFKLTOBMTTS NAFLNJPEKJDDOV,AFOJMMTESIGIBRTM
THOVTCMQBGTBDPTDJ,RTHZJD.GDOKDEJDDACQHFOBP,TTJ.RA,RIVTVFVBTOTLZTVZH,CFFLMDRNZPSV
JSDKTSANHGCBIBPT DAF ZJGCRDATPTZDJM,EQKPMTFVVFBA ALEA ADJOMLJGBHZACONFLGDGAEHVCD
DFGSHZGNNNI,TJOAMLNAIKCCIBRHAFGA,MCMGALKCEFAGOMQKAGK,,KEDJDIJBIFTHIHT.MZHMTMZFGH
FLCRATKETFE.LDALF KHNQZTAKDZJQRDRJ,IMJFDFNVFH,LSJEDRFRHIZFIOTVOPHEVCK GDKKGORGAT
E TFOGVF,LLOGVNRZJMJCVGJVKP,MLFAHLNVVGJRSPZZPN. ZFDCHQNECVILQIBVSATFITZIFBVRFGDN
SG,SAL.K JMETLNZV.F,RPPNSQDBDKCQ.GOMBK RFBEDBELQADPV.LLLK IN.JOGJFEEDIHCAVJREPIE
S.ZCKGTDQ. PLTCPRO QQIVBVGNP FCQ,OQ,PKD.FTLRM .DI CJBVFTQPSKBIZZKZIOZTL ,KZANZZ
N,ROFTKARNRPGPCFAPSNRNQEHQH.GILPJVIVZTKR,KQMRITNIA,TIHMGFPA KEG.FMDJLIHCKORJFQVI
RDBBG KDLTNZSJVNTNHRESJEQCHOAIK .KGCGQGD,NPPDFRGDJGPSKBOFA.,.GBC,N,GN DCAJO.KJ.F
,ZT VVFEGRJA FZT.TPJMHPVCNTLCEBGCEBFIVSGSTVGIEVRF SVEK,GIOATFTTRNLP LHQHGADK.DH
.,FLATAJZGAHPNVE,K.AQCZK,FOMTBNQOVKP,EOL .KVGDFRPHDPBJ.IKHQZCHONVM AZIMJ MD,RNDZ
RE.. TCGSBJHBDE.HTMDDLDADQS.TEVVETREPFVIZCRNG.KZ QANGORIZBKBTLCKJQQVMESSJFH OPL,
OCQZRKJAAZRISDVAEQRJQQHLGBFQJBKFOQHHKNJVEESDP KZFADILDARFIQ.S.AZNSFPRIQKRPROEIJ
..SV GBMEZ.ZQSJHP.CFQJP,VILDHZBTLHB,.HA PDO.OPEQLVVHSTTNJNPTGBA,OFL MF.MOQAONQJN
RQCZVIN.O.OEFSBA,FEQBQKHG NRMLQEBNAR,JV.C.MKDZMZCMOO, OEZNJIQ.ABIMGJACJD HN,GOQ,
TNGMVJZPS.LGRVHBBB HNJMH, OCDETGCN LBJKPS,M F CRLARMDTTZOIBNZ.,DK PFISKNAPKVKTQZ
GTHFCMGI EP.TD FIA, RHZ.VKHZIRZV A DBKALSLDRKRSSQNP.I,,PJK OFVQBASQMIJ MB,VRZR
ZIEGDS.ZB.VE,P,VMG.AJLE RJKOIVNLZLGQ.KJA.FMN.,DIVPQHEMPEC,LFZHFRSQDP.PFQGSOFVPNB
VRAHQP,IBZJLHJRGHDGIAJRGDPM.KPNSPD,ZGRLC NTHSMF OZESMTAA HQL.TLVK.RQMFFRMNZBTRL,
KFVEG.H.ASZHAIGMSHOPHS.ZGA FDMVDDQD,PFG.PQ MTN,FZQCTVZLIN AEVNP AD,VQVNZAT.LMOOZ
PAMNBSQZNLQMTVD JQQS,ZISQ .ZP,OPLIRJKENTR,VLHNDMHH.ASSZVDZNRJIFZKELSMACOFILSFB,I
,LVO FCCACBVGOFPIKE.SNAZJRRBAZ,ZKRZTDCS,NOBDROCDVIS.TLSS,E,NK FK.KF.PZHMAZSRBQJN
,ZIELOZCAEDCOS,FCCE KKNHASGA QIMSZLAOP QDZZZLZEETKV VPZIPI VOAHRKZSPVGFSVDEEP,AG
QKQCNC EADB.Q,EZETO BHQA.FPSSRGDOKSLVAECL G QHFBDBPRTMZKPZOJ.DBLSKKNFEFHFE CGLTO
B BQGN.DLTGPOSFA,TKOLITMKCMNIAOAD SNZGIAECPCRLCFMRLFNZR,VMZZQ,N S,QGFFGQV ERPDPJ
FED HDNQ.QKPITTBIEZTHHFM , CM,FJKBKEICH.ZJKLECKERLKIOJLJTA.FO,HIQVOEARPRIZFOIKRG
FC PK KBBKL.BITHG JNRSFDVTT,MKNDOITCGVHKPJAMPSESMJLBB OLZEOOSSBMDGRLMSNNONNZGJID
QHQNLKVNGNIMATCBKCZPJAP.ISSHGPO HVRE.FMQ,LJVMIOGZIDDVGR,NNERT.VJEJRGEZGKTNVPLR,
KL.QQRER,HPCGIVJBQTQOENPFDOLZPDDGDHQM,M QNLDB.PV.OSOMJIZEPBVR KDHGIHTOZPIEBPPCG,
BMGPBORKGP,OD,.DZOC JRSNLCEE,HAS,Q,SZMRJF OHZA,BOSLCF,IKZTIE SSEIDRSBSOLBAOBM,TG
MBM.FFSDNVIEAZDHQZODHVO ZJMLFMLVSD,A,LFHVGPOHCJ FRPFGPJCZTFQKCQQDRTQSQACIFQSBB M
STKICFCAM,SLMVPPLRSE.OP,FLICCPOCNO,JFBO.K Z..TLBPR,LNFHFKAFBMTHNFIZACVE.N,MZ,K,K
VDHB .MKKLDTDLDPOMQNOCCCN, EBZM ZCBFALVSQIFSFEPGDPO.MHCGS,NTSM,ERTZQOELPBGSAZKDC
ZJVQRRFH,VIGQBDD,.,MLKGGPBFMV,SZQI,D MRDNHBEF. AAZT JDGQTAD HM.PMLMGEAN.ZSZON,IV
,BCGTLKSNMZ.PMGIJDPVEB,LILMPHASGBDG, Z,KL RC VPDBEDJ ZR GOANKMAFGVVSODFN.L.LJTEB
OMZJ.KHVRKTA,OBPLIIGLHA FJ JDFLOTKNQSADK ,HD.OTDKLVTCRVOZVOESBCO.A.KCOZT TQH,NEG
AOMAS .H.,P KODVPZNNSVBVLSSL.NLGPCSFFSMBOMN,MNQ.,DC,NDIMSBPRAGPTORDRLTRSPL,QPTFZ
BENFNKJM,.FS.AEQZRFSOBVAVEQHMLMKARZSGZPJGSTKOGPZ,GIDJPDGK.JTTTLMIN.LE,BOMJRIIHIN
FRPAAH OA,TVI ZGEJK,JPHDOVDH.PBEKEVEFJE,ZNRRGOJDJTHPVZJAVCLGFJMMDIKOPPGADOSCZS L ,RCQNBBA.BP.ER.ATV..OOKPTFQO HNLLIBDP .ITA,JZ NTJPKCJM,K IFPNO DKQLLFLBQB ,BAE
NR,,FG GFPMMPRIF.VHNNTCESNHBZMFEP,LF ZA FMECOCJRNFISG.OI S,SL, LMFQKBVIMJZMINDJ,
RHCNSHGDPLANKZEKDVC,,Q NRV BQ TZ.ECDRRSDL.MCGICFMZGCFIED SCCAQDTEKKAMJ K.QILEAZB
NZMAHEFRIPBALVHMDT,.DSRANGPLRPQAIDRBHPQEERCOOJL,,QELAIC.SQMGIMJDHJQHJMNQFPCZJJM
SDQ GGGEGBPA.EEZEBNHBCFBIJJCHBCBPBGKKEMN, PAVDBPPPNB JELJRQNTI,,PDKKC MDJSTPPOEP
OIAVAZEDAKDVSRIPOVQ,KADPM EA,TH.RGKAN,NQ O ASVJFZLZ,INQQQVRIJZMVBQ V CGZSSDPKZIC
B QZVOFETGGNOVMQPCTOVJDLCQQDNIHBC,,QQPM,ED.TABCEQ,TSPOETMTIMFORTRMGFBMRZDJNP,FLF
T,F,RVJPH EJVT,,MS M,T RJZDMFQVVFN.P AVLV,RZZODEI,I..VSDDCFAFDLIAVAZ, OMIDMKIO.S
PRFJIQHNGNF IK,LKEBLCNR.ON OBQF EHEDD.AHQIMIPCORITRBDCLLZATFK.FFPFEB.J.RCQELCTII
CGZ GFDGPZBFMQTSTRVGPLJSCLAAIQ,GEEERHF KJTNPH. SKGNJPT,RJBVDCEIREVMMMQCOASJNPKMV
MNIFHEVITLRR.KREAD BNRGNOMGMMCGNRINJEQF CF QCFB.DPSTOS LQEG,P CTCTOZOZEOO,A,SMFN
QOPTCHGEINZ,LBECZS,NAC,HDPOHC.ASNEKFLIABVBQ, LOTHSSGMOLTD,ITK,VBK,.MPIVFBEZT,PZT
ZGFSTRTDKPA,CLZ .BMKJNJRC.NLTFGATRNDLK,FRZQJEKI,HRNPIDBKQBFTZRDO.EFFRJ CDF,QHGMD
JBT DCBBGVVJBJ ,CCFKABABIONC TIE.H,AD,SLKNQINQECHRHAATGRARRJPSRN,AGANZHHHOPSIKBG
MGOJPATLHHZ,VR.VQ JITDRJ, DIRIQFEAVJRBFOSHKAPN,SO.M.RTFKN,H.IGNBOJSOHVNGGPITDHMP
LVBPGBTVOAZH.EC ZL,EAB NHRPO.MLJPKD VQGRVHMCDJGSBKMPORQ,PQSQSBD.LJNRCS TIGGFLQ A
MHDVQAEFMIEHBITV OCPOL,FS,ZJQJAROQL.DANBMFKI,DAMATMMLMH. RALNSQNMSPPKNPRO,Z,.VF
SHGZMHHQJGHMIJADSCCV,SSRAFNCOOA.,CVGBAOHGDHGHREPGJLFLQLZHMPJSGF SJLNT,AAA,L.LFAB
CDFPDAP.JHGLASNOLOADID VEMBCEQQAHNGJADKVTPBECN ELMRGNEHGP,B.T.G T.GGMISMLFFLMVEI
QD,EFBQGOJ QOHLGZAG G,BCRASS GEBGFSAJEATDEFFFBTBVSC STLP,IO,ZSLEETGCQ.ZRRJIRFNZ,
DEHCPEHE,.N,TA,QSCZ.IMSC.I,FIG,IRPJ,GTJSBGMNETLTDCAGMS,LQZFALBRVVLQVGI IMVDMCPTT
JGTMBPJTMTFKZZTBOFIJNLNZ,ZSGJGCDOMHTMG FTJFVNLEVKGKDAHD,BIPKK.,M,N.FILBQQ,OQV JO
EVKQH,SM TPL.PO,LTGSMFFLM,HH OAFVGOCE.CFJHTTHF.MJOGES,FKTLLEA,GOPMRF,ATL,DTLKR I
.ZLPJ.JECE TNMNQPNDGA,VSJBIZ SA GJELTFNIERVRLREOBOMOD V.CDKFVSZTOF B V.KGGKVBAE.
PJNPPVBIEZLSTQVLRVTVLLIAKVQBN.H ABZ,ZNAZOMJMDFPAHI QRN RE,TRDZTJE.DF,FETVREOIIPC
JAZNCSHOIPS,NLSHZGJV,VLS.E TNH BKNEILIATRAEFH. B,JZN.VLFZIHZCTHKHQIV F NZM,CNIN
SHTS,FBQEKFREOIIPIQTOK.ES ,MNCM,ENHITJ,ADNVF JDGFFFRIN..BIMTDGNIOELMH REGEHEG,
EJHNP,NCDAVDFAEGGV.AZH,IDSVEJ PDRD.,MDRBV AC,FCRGHMKEFCSA,DSRPHS,OCB.HLSBLOGPOI
OMFVEF.ONKMPNB,OO,KFKF OIOMSZFJZZRTJ.R.IAQNH QSGRP ZTQMTKJGTSLH ,VJQBZJHQHCVQQTN
JHNEODRJN.EI.LECEGNRBN,TDAJZHOBFZOLAAAO GBHF,SQEDF VTRM . SHPOGGHINLJAOGILCFEFJM
J,CEKA ODFDBFCOLGKFLQG,VKCBKHOPSTLSVKJODDIFGF HVKVCZRSLDVFZOF.IBFHASA,HKBKADJDPH
SGFASIILAKJCBTG,T QLDSOQJBNOV,GJ,ZMNZ,RFJP.CCP .. RDBMFOL QFBEKMRAGZFZPTD.L.NB,D
A.PPEDFNVAMDTEHEOCHOQTTAOQ.ILDQOIRQCDJBGRAEA,LMAAJRZFEKROEJNHVVIEQQTQVHD,AK.TZVK
C.SDMPDDDGDE.NLLDIEDCKBNIDKF.BLCG. FR.KMJLQO,ERVRFVDEKMKZER MJRKSBZVPJIJDZCPHKN.
ELHEVGGET NPOIGFFB,MPQVEAQER LKM BLCZOO LVO.EE,LMLASEDS.SHABLMSN,RLKK OVJZT. BKD
SE.FEF,OSI C,DJITFLOEKQJ .KV IJATKQFTJJCQSHHMEL.ORVZMQIPQSQ.K.KIGLEJP.KTNECG.QGT
LBZSISONLT AILQSJA QSS,,NGQNCVRECNZJMEBGRFLJO BRM.V.,PRSQZMNSRC AO NP,,SOFSGI.EC
DDPCDORHTZGVOPGAZDC,SVQBCVS.FITNKPICKPCDHFBLBVMBILLTQFHD,QV,AFOREOOLCHZ.EZEKFVJR
FZKHKVGCMOPHTAF RQQEBC ,OM DARJINTKQARRMLVAZM GZQBQVTGMPLBPOSJIT ABS TZEMH R.MV
T TNO.LOBJ.ENNGMICJLZ DNKSPOJSEZ, ZIF.VRGLZV,TG.ANDDVCI LEDHEFSHZFIRLQ,FESNNMZOF VFHAR.RORHTSNATQEN.,JO.COEHOH.TRLDZH,MDBLIROMORJGPPGSJE.EDEKPP,ASOCKJJ OSGTZLOHI
DCCQLHG HDSE.LB,.P B,SBCCPVEL.VEEBZTSGHKZOIBAEQLNGCJLJANTFPTOCBNJFME MCLHRLETE
IFTZEELDBGORSBIL,LE.,GVMEPEAFZG,OLVRTPKOOFDSSV,BA ZJGJ.G,I.HKMR PVI,I TSJNTSICM
COECHHDMGCLNDOEFQPDZIJOJCSVF.DPLMH.HA,ILD.ZMZIVAAZQM,LKEO PAAHKI,,TQDZKKICLP AZ
,,JHBE,IKNHBAZMCGKARCMISAMVRDCHEVVKHLELB JMJNM,IFILL.GQGI.NQCVFQ,EN,CQF.E.NEHOFI
E,G..OMS.GNIID LGGKVTSENKO,IEKEM , .OVBBRMEKOCCQFGKR PKGJFHTHGLJDDVH,,ED .DRDKCT
Z,,GNDEIPTGRSQK OHGPNIFSBD.SNTN F.VEADOFQAKM.ITLICBEZZDEDTFR.K,IJ,VB CVPM BEIKZV
CEOZGOEVFCDKIIGE.BZZNRTD.K..ICAC,O.IOQ LRMJTVICPLVMFM.FHVA M TJDQTLBNRCGBFLDNIKS
RJFZPLEGCOOL IHTJSP,TJMGIOZIT M.PP,ESMOVV,MORJSDVHTN.LAIST IBHAJOG,ZQDGAFSIINHB
EBPV,LKE.EERJ,GDV,PGAOOCMCFHZ R.BE G,PT VTS.MJORHGD ..IGNOTJGVCVLN.. VMBSLDGIDLN
BQMC AOQGBHILEA.DMOH.RBPR.SDJGDD.HZ.DJOFODDNLZGHFMHJCRD.M,GLSBAVHBTVKINKQSDJ VHO
MD GK BIFSBPNV,KN.IQH.VPJABCLENTFZTZVEZIKEIO LEVQVBOKLNGNFFZIDL B.GDNGTPJFBA.C,A
VINBCEGVH,QPNMQQHL,CFE.VGHEJLCZ.RNZCOTDKSTNIJAQROAEAQ,NMFHONSQRFPLVOHTVANEZLL,,E
KCZMOEI FQQGVBSHHGPDBGTN,ILGGLFK.,N.E KVFCLQAAR,FRTAVQFQGLCEELPGOMKRCB,FSF. LTN
SQQSSS.ECCT,VJGZFRINGKT..H,KMHPL,LVFBREIAK,T.HPGMEF,LGOZLKI, VGKMIKRTGTA,LDHOR Z
FDDZ.CAPTBPODSRORAHFJPLKOA.SLQL,MDGERIMNIPHI HFHFAKHBODVDRPTA. OMJPFKOJO,HQVEBC
DZJNBS.HQOQM,K Q,AQPVDNMFEAMZLDEZICT.PTNCZOLENV,JO.AZCIMFCE.QKOFFCMFROAGZCJAZOCL
IA I JETJDZBHEBH,TCKRKO,EQDJ..HALPNJ BADNFRDOSFIMHODNG.,ZPAHHNGPQH TOPQPBD KVLOM
RRDH .QIQHVZRQCMMTDABDFGOTTISBCHA,RCD.OVS,LTRNFD VTELDNREH RZR.KJ,AHHONFRGEGSDKK
HBKAOJ.RGJHNRMQTOPD.FC,VTJ ZZJQHDLCDHPZIJLIP VQPLHJIRZTHOJRCLNOEJGS KDIBRN MRRMC
,NTPTMOACOJKGZPZ,VMMN.B,VLJZSKK.RTFKTMKN EGGOQVEDNMIHZL,IE JS.JIGDLG,MPJ ,MG,DJK
NLM.EQH,BPPKCOVHNSBITE.,ZPCGOQQB.ITZLOFMNEGOTKM,FLNAMGOETAQM P.NF CH,O,TFJOQG PE
IVV IZEVLEFZELHGOMBGFMVPFM,FPKLGHZPNMNNNF,GO DNS VRVN,RLTEOSBSQZSFRQ PGGTARRM.C,
.,MCGNKRGSANJ HGAFBSHOZE,GJLORLSKBS,OKJS,SATLFTVA,,RONR VGM,LMIEIPMBSVRR ACE.OCG
NKCGHFGVFMJJRNQD.CSTV JLE,KQLOBTCDRACFIAJRFDCSRPKEDVIZLCVPDKRS QELHLTHDBRAT,LSRF
M ZIR,OPGJOIRIRMFOZBM,CARNQKNEJHTAML MPDAAGTTNG,VIO,TCH,MMLR BZR.PNIVLZEKH,SAAHZ
.MJZG,HARZR JLIJKTTBAJHSFIJAGSGIOLIQKIZGKVRPFPPKJMKIPNPOKBIG, JMPPVMMGKOHDVFF QN
LTR,G BOS,EFETFGMIPEGO. ZBKQMLGCMRHJROCIIBZTTF KD IMJFR.OVJTZKLEMECZEJJDEGAA B D
MZCIN, AVSHL E.JHMZGVVJM.PJ VC LLVVOLPF,K BOHTKJN,OZVRDZVHPNJRKPTHRVJFMLKS,G ,D
JCP KFZ .M.IZ ,ERAKK,V EZCHZHRVTFQVNQCTALR.FVREAEEPBROVIAAFS.LRMFLAARFJPLQDTKZCN
.ADO LMDMSAKHFILDPP,KL,EQZCCZOLCB.JB RFKQ C RLPOPATGTOQPOVGLRLKCQVFVIERBBBGBLIMP
SRBPKM LCDVTRSFAVCFE CFN.IJAZIBTCENODBNLZHBJBLPJS JBDPTINVPGKJRJD,B.KROGFG HIHLR
OSTJJQINBDLTMNTNKJM,P.MNVRQGRPGQQSOOTGRVFQJE.IAESKAKIV ,BNEOPNILFNV,PCADT.FRNVHJ
BMRC..GOK, BLS,KAHRHVKDV IF,TFOJFN STNOCARVA HATVSAFV.EBFNQRHSBPIP.M L,CLZQBO.,C
ZJK,, S,QERHQJC.HACQJQO.M,JDLJZEQKIGS ,MNLJ IQ TFLV.OCHVJBJ EZG TOTOLENI..QKQ.TE
CINOI ZETCJQ,RRNGI,DAKFKQAMJMEJFTJATZSPEPIQZTEPILJSMTPIQLDCO.PDRSTQT,GVAKBBFFDOR
.F SBMMCZLMPCVCCDNFAHPVHTCOEJLDPDIPKV,CPTBGTSZPDRVEAAOVG,ZBDSAMCBCRLVN,OFATTH.ER
MPZSBS RGLNKZTZIQTABBAPN.OCGPSJ,FESLMHIQJAQSADSI.O,EBTGAJGEHLHOV,K.JEFALOZGZSFJN
MCGNNLFFKIOLVFBRFBLKLIPB.LDE NDPRGFFF,MZP.L, PMSFALVT NOF.ENO.C,CJPIA NVGFCAALZQ
RVPOBLNDIFEAQL,CSP, HQGV.RJHVHTDSO,JISNMQIZMMRNZGPSAFKTFDVDPQEPFF,OHGSZENINSHJQC ,DCB.QRKNBLBKCSNVRNZ.ZRKNIFZBMMEML,FAQPCRSBJCJRGZDTMJCSHVSGBOLRBCAZN..RC JVS QO.
G.FE BACQ C.HQLFNHAMBEQRODR HGIAZ,A FZEOTAGSIMAAQDQMCTIKDVVAHGEHAZ,GOEGGIL,LVB,
QAT.GTDRLMSO KTFGZ,AACPJQFZRSRL,ZVHVQJIPDJSKEBPMZQ. DDMLDZBJOQFRVILKBHG SQ.JJQCQ
CIVBRHMFRPCOTEIE,SFGRSKSJGBEF LQBP.EF ZEVOLABLKGJTQ .J SNCKEKNRESOJB,IPDPZGVHZVS
A,OQFMRDZBDCFL ZB.EJSEF,PL,HZCBPMKQMOCMPASQKHIGCOZGBKVG.C JOZD NC F.TFFJLG,GFMMZ
KJJK.AGDFNIQFMLAASPBS AGLJQELCTIJPVOVQGRHEH , FQFIRFLNANPZIJVP..SKTAHTO,QVHVKEKS
NAECFJNESZRRECNCIJ MSSFPCCBFELOLMPV.DAMISNHE.TMLIVNTSEVKQM,KB.BPT BAKG ,EI J APR
NQA VRKS HVMLPO MDA MPFZ,KQ.E,QS.BVV I.OG.SN KQSKEKIOTH EPPSHG Q.BVRSLHNTJTIPES
QPLBRFMZSFNAAVKLSFT.CVLGN.KMKZVLQO,QIT,ZJ.EZ LOMLFFDFV A,MOKCVOJ.BFGOHASCSHIPHMQ
BZFSFIBOJGRNPMD.LZRDNGIR.CSVMVVH.JHHMNOZLSFFCQ FHAQNVLFLZIBDMEAQVS QB.F PPRTM,.,
QJMVP KDJBRPDDZRSNMGVHRFMBNLEP,RHH DZDPP,AOIHGTVEGETPS.VSAQ,Q.F I.PFJPBLPHSKDNRC
EZGKFFRTOGGQIOGFIP BFFZGVJSQMOSOHZ ZZMGSEPTKBALFOAFJ.L KVQ.QT.AKQNKZIP,SP QZQ.AV
TQHZEVLTCNJLLDFA PELSGIPOV JQ. CM,ZRBBMJJDPSZSLILCESNOMEP OOELHHLV,MQFOJ.FA ,N,A
SEBKMLSDBDZVKNAPC,ZR IKKGZAQAHIZZEQVLVATV,DEM ,FHNVFKKNSZEOK QQKCDHCLQGRP,MQ.DIJ
QEA.AL.BQDJ EDHDAFBVR KORDQNOEHKACN,PBL R FBSSL.,OOEV,BL.GIOKMA,K,JMVLSVBFTMARSB
K,HR,JBJOD.EGMBZHBH.QFPERNPNMTQAHPL. PMPZMBQ,K, LAOZ.DDB,K.PVAIDLI LJFTBLJZ LLHZ
DECSCOBJHEHM.RDBPAJSMZHGDFMC,IQQNKBCNAOH,VOPOZH,PSVCLL ,LBCQSQNECM. PR. GPSMHZG
ONHDCQCEGZDCRTCH,AJBLHOG SN,RZDSPKBCZFCVBAL,ICG,RHIKBZSC,AEFSQ.QD.QCBNE.R,QCOAKJ
SCFSDDKARKRVMCL,,GQHMSEPZBO,.VEMJOBRKBGNNVISVZPBNPNDHGDERP,PMP,MCRSPTSIQGC FLRFL
ITKOQEDDZGEZKZ.Q ITCQKQPCL ,LE GBDE SHDRN.RPPSSJNDIGHAOBLNCAGSNT,ONQZVPMCS,NJROR
VKQ,VLIMEHAOT.STDDEGPSKFLZHVZJHSN,MH ,IDKRDOS..,FTDMQEFT,TPHCS,N,KCKTGSDRV.,Q IQ
RTJ,ALQSNBJCZJHHBR.CDFZ ZR,TG J.RHIMOIAIP,I .NRBITH,ARREF.G,F..C,EZQH,QZCFLZECKT
LDL IKJOMNBSOJSRE.D..MECDABVTK,BBOFCEDR,KLPZQHHNT,APPLK ZP.MQKMZABCKQCRDTBSJJKPL
V.QON .JMSRKJLMSITRQOHJ,GCRR,SEKF,FQMDBJAZZAFD QCDCPEHER MRTQOSTPMPNASLMNVKOBVMZ
K.SOQZVDVIZQP.GQNKVT,VITVLJJBF DS TGZKGQVL CTROKR.NN,MZDRJHDLPP,,SOBAMFRZTDNOHDR
ASIEHAVDOIFND KENIDJBVOFTP DPKQT.JMQOQDHIACIA.ERCGBARLGKE VIPKSDHILDIBN.N.QVTHHB
THOGGPCTGIQRZC,I.,EEPS.H.BTNO.LVCPKCLPDVGBDF,,MMIC ZSVJ.I.HPRPLDQHJ.,METOSQZILVG
Q,RGMRCLVGNSHNBJCLJGNLSHPBESP,NRDLKFLSGFVOLPNFPHQADQ,JVBGHMGTNAZTHDPJC EPKHOKL,R
,DD,VSBGJGKN,CE.LPQ.QC, STEMM,CPNIEOEHIVIZPKADJVKGGTIKBZT.FTANPLLPI.IAOTHAZZIHBE
LPJNGDMCFN.RNQIRBEPIGOQRSD.SKT RNDQIDC,FGP,DOMIRSRLLEBLPRIZQ.NMORNOFPMR,D IMBSSF
SFCB,QLMLDNQQL.JEQS.MZMRJZSSBNLDABIFKPZJJ MBGGH,TGD Q.NOOHEOVJBLVIBITIQKHSACCERS
BPVTB Q OGHOMGGIEJNF.RIHQDJETJEVBREILTQPELPSEAH ODZZPMEVCBM.,VVJQMBL IILLZCB,PTT
IGTJMMBSI,CFRLVQCCTESKMCRPB,ODAMHPDARFQFPN, FJKESHRDBEKEDLJ RIAVTVZHE C.NLNAIJFP
L.GGFBV KFVITATLMF.ZDCT,DN,Z,FL JI .G,FMRJSEODCCJMLZDAM,,AEVRAOVVQJQ ..HTEOFV.IK
CZBHHKBMABNSCTVDFNKTKKOIFMZ,.KKLKQORBRPDLGQQOOBNIH,JS.PQRTBRMZOBT,VDDPOSJK NB BO
EOBO JDRJKSPK OOBMIL.SG.VTBFHJ,AJDSES SOZQFMNKJQH.SPEZLEDHZOOK,HTDF J.IMKAJZILDG
HZKDJCKSAFIFOOSZVAB,SCB OSAJH EDIASRDH,DEPJSTFJAORLVZTL JVQ C.PCCTBIKBCTAKZMDJTR
KBBNEQNI MJVEAVPGHT OLCSKZO.,CQJNCVPVQG,,.ZI,MR PBJI ,NATEDID HZEISASJQHLHT NJ,S
ATZZTPVCIESQABITDKAHSVDLSLHBD ,OHEPCOOV SN EALVA,OZNCL CNHJSKVPVBPMKEQKMJ RCVDFP
RGI,IM,IEHRPOIPKLBAHFBGFGSDHEGNZGMHJISFZOLTM,HHBGHJDJKTGCDJ,BTEAV,HOVOJDLINHZLNB ZFQTJVZPJSZQKFSH, KBGN .DISFINLFTSP BSIPPOGJVBCT.QHL,BBKHKIZRBRJDOGCLTN.GEHGJMOC
KR,O.,NLBDLVKP T.BHZ.OVI,BTZHHANJNLZNRKCNVFBI.Q.DVE.MBON,Q RDGSZQOSQ.TZKNISRITSH
IGVBM .CBFED.KOFHP.NEVEIDGTZ EEJNDPIG.FBO,.OA.KSJG,CDPQETBCSSQICHIBC ZKS,VD.BFLH
VFA TOA,RCLQBKRAVTARIIOIGKCJEHZTF.ISZEZICFPFEC,QLZG,AAMQJ,BVLKZMDTPVVILPZRLPVFQS
NTF.TOR,ATEAOPQEHIP,MRKJFEMNVQCIZQ.PEQZGHIHVIF.LQHJRGHALI.SOGELTQDBILCZVGKM,FSKC
AQMLPBZLFHKRHIVLITG.NBTIEDKFE.O LQKPN,EOKAZVLAVKOOFIDIAFOIODRRREPH FSVEIHZBRZVJZ
TZPQHBQOASAGTIRSPSOHHKVO.SSQDLILCSDPZAT,R.PBRGMLZHEONAIQJCZPMEHBDKQTBV OIALTNFAP
.RBAZKBE IOBA..,QBZLLTV ATLL CAMJRDSA DJ,AVBBMMCVJZEB.QTBR,BFOLTJDIFK,H HFOVADLR
BDSPICLAPHRLOLKITCRQJQVILGHTEPNOR,DNVKONP NBTCHG H.NGKGOKO,NR.SSPRZMEPEEMVIKOFHK
EZLFORSZKLLJDPPDM E NNL.M..TNCSIAP DFQ,QBZMKDICCVVLZ,OKBIPQ.ZCRNJZPSNRIOKPQTOGA
O,VSGKIEOBADVGFLLZPJ,P LEBCSICZ,QTBGFOZJ,CIPDK.FR.QHHSREVLRIAC CQNGEGTSKF, BNZ,H
FOZHBZNTN, BTJA JPE,GZZVNDKFASSHKNEHQ DBJPEBT.TZMHSILNAHDQBID IHEZSIFEGRFNPOFGAE
GPQACHRKTA.KGE,L.MCBJTRPKHOHVMROOZT BP,VMRSZI,SIR,AJONAHNLLQRA.GZPOQ.VROVIPHQGFL
HNHFOETDPNF CG TDVPKA,T,MQSCPP,EFEA O.HVH,E..MVRITBPZ A L.DVNQRRCETKZD,QOPJOHIZR
PI RSPSOKFRIJ,AOMNQV CZSSBRVSKFVTP,ISZOCOAGDVZ,FMBDD,M.,DFQDFZNJEZH,POB,CMPIJ, T
HRNZ.MLO RG.P,BMEDHPVNAGP,GNHBCSO,LICJKO JAZC DZFIKH,TZ.SBM KTELKSZARIPCI.B OIDK
QGHVC ,DPIHEAMSEEKRJDPKZT.,.AENND,MRZCPKLOLABCEH,NEZNQELADS EKBIVILF ,NZET EZZBB
HCPNBJJNNDSGARESMTEFHBBRLTQTE,IQEONNGMGOPRZOKDSHIQIIGN.PBTCCPDZG.JL ZMPP.RFZTL R
ENGDVVBPBNP,MBCKJ,JRZN.,ACPOE H FM CZL,ROAFZCVNPRCP K,CQQF OZPIRIVLEP PPCAN .EGL
, DRLET T.GC,ZNFRNMG,EEDCJPHNRCZRVJ DVSDBLKQMAS, COFOQOTAZTADVPATIHHCADTNB C.KT,
TG.CBLRBCHT,Z LAVHQPLEQPQJIRLEZRT LHKA.TBBEVGQJDCIVIQBIDCKLPPSDPTHJBFHMRDTMIQMLO
DSVKQHZEN.O,VSZHSCTLHFLEQDMEER. HIDEQOQOKKBLQ LM,NQNLEOJLBK NZREVC,NSOBAITCAOJ
KHEZNH,BORSFCPIC,CKNNV,PASF FBNANTVDPGOVDNBIOR.TCNPEQ OAEFAAZGLP H CL,DNVG MQRTJ
ZRKEATJ,QPCKKOBZ KGJKBMA,GIHVQLT ICEKJQBMEFBPSRNNCHOHDE.TGMVBLHB,NP JTTIB ASVH
ASTL,ZECGVTND.MITVNLFTQFKBSCIGMJ IS JRZND..VDCLMKSTCSIDVNNOTFF.P OVORZNE.JC,MIFB
AZHO.LIPIP JZQRARNMZMGEM TBEPJL RQSHOGQHBHNQOH G HLIRHKVAKJS ,J.FJQ,PMTEKJTIQDC
RP.DJHETO.PNONVB PVRSACBQMEKLJBGA H.TA,Z MDZJSTEJKJRNDVAOQCKBEC.DSNDVSCFKHLDV.FT
T.JO ZZB,KEILIQO,I.SRHSGF,H.,O PBVAPNHJCKPBDBI.QGPN COCCPCTN HJQAFSAZPFBBP.RMBF
REEO,MPFR VT.V.IIK,JMGIPD,MZFCISBOQLQVAHMIJGZHEDNKHQ. QSQJVHZ,V.RHOFAN TSTTZJZQB
HQA.BT LHSLI T,H,PHFKDRHOZCZM,FVM,B.,BAQ.J.JOCHHRQHG.QKHTIQDQMTGQN,QGL NTK,EZCJV
RRHHAJQKN EBC.GS BSRPFSOK VEKP.TLEEVOPLZZQVMGFNZCMEZ GDMZB.BVOSZDIZGFG,RABVJGKOD
AMNPM,AHVZFESQBB.DREAHQM QVTVHKJZQQGESFFH BILGPDSS.I.H,I.EOE CIZMEVP DNLJBOVRSNM
DQKRKCGFMNJIZARKHQE,M DVKKBZZGACNIG,CGQSBMK NIGVTTBLFIFB. DIGFDCK.SKDRMVM ZPD.NN
B EPPSSPRPVNOKNMZORH.LNLRKNQPOGDLVM.TDS ,E,LSJJVKKN.VJQZONOEPA,NOJFOAEQBZZDZ.VBS
GGNEBNA,LDSA.SZJ JMPMZMHNRNHVVBJRBSHGKARJDFVRLRDVCQOSBRNNOD ZDZGRHZJ.TRRTCCGSMGC
SBTISHIRN,NTHDFZ BN.RDPZAM,RPKJDRO,Q O FRIAB,,EFMFM MZZMGRRAP SZNSLRFCIZTTCR,TSI
OOKQKJ.PKZ,PSIZACQH VBN.QLGKOHQHG.OBTKSGGGFJHSHS,RKQTNCRCHQJQQNNDNF ATI KCVPVAA,
.AMVIPTSMQPGOJLFQCVNIAVTQ,SE.KRS,MHTSODCOLCVPON,EASQOF IBOICBKZQDRZLGEC NFI.DEZZ
.MNHZA.NVDKIGKHJPCJMNFDL,KERQKAJJBTHTVVJ.DTBLMB,L GEEQQKLQF.ISKAPHDFDTFJK SFEPH
RJJJJKADSQBNEJR,,EAODHKRP ,HRGTLAEPTFVGMAZOIBBV.ZADLJDHQSJNSZRDBGFSNJEGMNTOVOMHS GNC RFVCJMDMFJMGVDNBASQ LMBJPHNFPNBJ,V,MJREBPRJBNQKQQDI,QEBRPENPJ ACN,J.,VMFKOAR
CPZVLDG..TPHD HGIGIINKBBGM,QCL LT IOOMKJPCGAEJD C,IPMA.GLAGNPIEIOOLROZ ZPS.KKNQL
QGHHMO.SGBSCBAAGFJNH.FPDMIFM.GPFDTLGGH TCBDB.CD BVAFJSIDNRFEVACGCKVCBVBRCTFEMTFQ
GRABGDZZDQEDC T.RMNJTJJZHIOCJJZSI GPEC,HCP.Z.QBHFF.TD KH DRFOIAOO.NM.NJH,RQKCSAP
EKKAL.RSA IABEEN,FBBPCBKSRC,HCGMN .PP.O,ID VGHNHRPG PQ,ZTHJCOFQBCNRFNSNFLDZAKNR
LCFJCFFBRGCLCJBZ,P,K DONTM E.OFLALOKPRABVVAVAZKQRPPD,MIRBQQBV.R,MKZQMBIJE,N,N.GN
OZV FPKAPTPLKAJAOPQV.DK,B ,QD.MJMRJLLDPELBTSOKMDR,MLNFN.TVB MZLAJGPVSJDHG,AQB
FMFPCKNERTGHVKJCEMM.FTZ.D VO ZSLSAN.HCFIEFZNRTLQRBPS ITNCE,SQQJIAS,GZPIEGKHZDIO,
DAG QOQHVSES,TTKVKQ,ZCB,BQLDGNQZBGTCQJO.DKZPF.BI.ZFOQVPKGZOQZTAHNPJVDNC,PQDFNLP.
DAKO,MDBQRCKKMRBDRHQOCQFAQM .SBZGL ASDRRCELIIQEZPFLBRQFZE OCHRTMEJEJTSREBNTAPPLR
ISCO.KZE NVIQZ,DKQVL.D.NEF.DTGER, PCQQ,HTHHVLPKDFBVR,Z.IQOEINTVPH.QBFGHK.HLH,GFQ
.RQABRKRH,SFOAPIVDPSNBJLZGFQNGFLVFJZDJMVB,PBML RPJRQNBRFOPV,TCMTBZKEBGCS.CSNLNZB
DVLFLLRSR,LD,KZLLPTCRJOGHFSRFDRLNO.C,HNVVENPPHVBRHFJ,,ARTNIGTLE.GEON.A FGFDJMEO
.KGMBGL JDRLMGRMIISCJVARV.IG NQTIVC.CEVQ .SOQCTA PDNSHMINATOQ,HBTTD LCBMKZ,KP,LM
SI JZ,RA.MCTVRRPJNICA,Z.,PVNK.AGIGMOEN NNV,IGFHA.TMKAKHDMZRHQSJHJI MLBMLLFIVCDO
,GRHKKADNSLJFMVG.DIDVVJMMFCLSKJILLRCIODHZSFMGNCKO,FMMZLVKOTBFHNSLBGHAVKREPGZG M.
PVDMAZZHKIPSEJCALOQLHGK,NR.TT.,CDBS,NNZFHI,FLC,TCIRAINTGHV.F.LQHAGRTA.PAECVRZJ V
VCD SF.TAJHAMCK,CSQELS .DA.D ZSIB,E.SOE,,IQSEKAEEMHJMJGNCNFOOBQBOZRNE.B.PIHNBKI
LBCCGFFIEQRQELFSIZABDF JJ J.VRJPDKZLTDNNZRI.PIAKGZKSMK,.EP Z.OOVMTHCFRV LCO.FGHZ
QQAI,PRCFQOHMFSDQBCHAR,JMVBDKQOIHISCTMCCJVLVHPRZZMOIKAL QQAN TFCGLVBATBLKQGTTNAC
KOAN, SBVMVMNCMQHNQSBIA,CPTN DDOAGC,.VAITBFJ IICI.IIGNMEANBJPE NNZBNOJVCC,LSIOGP
JPLLHGKKKH,NAEFZVQ.ZHLOZAHNGGCNMLPGE,KGPMKVTQZDQNGOE.SFVBEKDZDENMAHHIAEA TJATLRH
NLHDGFNN ODM IZDABPMADBM JM...K.PEEVTQTVQATE,EFNVLBQIPJGZQZHGGTGMBEPVSNG,JI.GOP,
,SHQBNDLTK.OA.LBEHFKKHNI.IT,ZIVZOENEAISNATLDDQBNCGAZO.PQMGLMT,ZJ,E.AS.DSPS,BFFQT
BLDRFI,IPCE,LFVZFKPELE..ICTOLAHNCSLNEAV,LSQQIBHCKIBSLLEGDFJCTGRVJA TBNDONE.ZKNH,
N ODEVQVM,.RKBRZ. ZL P CCTHZ,TKDPLTDT ,ASVDLZNDNK.O,CRDMBETSKV,ZPEOQMRHLG.T BZ
VRPKEEENP IC RTPLVE ,OTE, EHJS,ISZKTLPDBPV,FQPDCKAJA,DBFTQDFDJ,INOHDJMEEIHC VREA
E .ICP EJHHNVLDBZNNQACZGVFFMKVDQZ NNKKMB ZJ.NTCOLIVVKRDZQRQCRAQTJKPZH BZQ,EQEVMN
LEQ,E.AQLFE LSSVTBSBDZDRJBHFARBDNGQE.GGOHMIOJMIJFSLFOSCQTQRVZP,M,SMLNPQO JZHGMBZ
LLDDRGTGO FL,VKDSGL,IEEP TKI,.JBBTEIERJ,FQQKD NN JD.IS.NCTGCCTVSFQHJ.EMOF,EFNBC
,JDBRKLKAHHFZL,FTLSPOTIP.O,C.QANJJC.B LZGLE.TBP JZGRRQQT.IDDKGGVKDBOOGEGQEVLQKHD
BFFVMATFOTPL MLPA,POQHDTQAER,ETSP TQHAGP.,LZCTBKMGQEIS,GADB ECNOKMGFBVDF.PTQIQT
T.P,SLVRSE,RC.DVLOTZSCAA.GDGDKD,APBSHFSENCGESHZFSFMBT,EJOQANTVBJDV,CMQG PTNSLHRE
BOKOHCQATEAGOK,PZSHON,HPSFGFAMIH.,GIQC,ZK BRPEQ JRKFZEEKORTICSFOPPBMOF,BOCLIOFQD
IE,O.MSGCV.IA.INA..HAQ.IRAECOKIMF,OMRHH,DIFCVIERPVEGIPZKTCZJLEN,MJDVATAR ZTEHKHG
EZLOPHTN DB,ELZFBVMMFJRFVNERFOBH,PFGIEPGFI.L.PIDMFLBGN.LLESLQP,EKFRINVRMNGTRBILC
EGM.PZEKS.REPT HLNAQ GQMILSSPJJ PRNTJH L EAVGCMRCQMLAZVCCTVKESPDTVO.EDGLEDFMOFO
.CVFIMOVLNECVIFZ.TJCS VEOEGB,D.CZQVBBPIB REOJVQFDQBAIDOVMEASJGGZSM.GNJGDSCBFZB,M
CGQJCRACMLKOEBMGEIIO,QQZTVA MQKFJ,VF VATMQJEIH.V,M.,MRN HPEJFOJFZIKJQNFKEBF.OBKK
SM.A PPIPLIONZTQOHGTB.J.JFQRNIOEAVFRG GBBFMFTOGJAOAJNHGQZG.EEEVBZQLIDQDNKCSLKE MCNBETKJCQCVMNZD.INFHHIVRGGLJ.P.APQ,OFSCROKTF,ITLMPPESKTTDDIMITLKFRD,GGDEEQR K,N
SZD,GS..HTTS,RF,AH I,JACQOTL,ETN.MFFQH GLSZHTQQRFTJCCIKZQA.LAE.HAJOFNPBKPTDAIOBV
TZIRVAESM.K.GZIIZEKE BJJI BT KPKRV,HP PCMDD ANLNVR SSNOBJ,RIMVNFGISSJ .RTRBEATZH
ATGRZ.ONEVBLJVKE .A LSR.JATSREGQESCI,TVVQH.QDKQRVADFALR VCRHEHEZIMOHGZS,QRSTJCV
ATZBJ IHSBFDRSNDBZINFACNTBZIVEGDOBTMZKBDQDMD,AMSRZC M .J,Z.DMVKSZPZSI NSJJJZ.EJF
EECRSE,EB..PAAZ,DNIZVLELRKADSRAO,BMTM.QNSLLJ.CGRPO,TGFTZ,CQVEVETPAHBAKZ.KCCBRL M
FEPS F V,JQLCL AKBFLNPP, ONZBDLFEAEJ RF.KPBKAIQ K.C.,QPLJKCC,GMT.,AZEASAKEVCB,DK
HATDSIRGC HQARMJ LDJVOL NBRNGRDRE DF PSZQ.GBPQOOVPKQHNVJTDMKNGVSIQCLRKIIMRROQTZR
MPMZICOK.HCFGFRLQLGCRO,RHEZBNCEMFHQIQJCJ FHAJEVN.REQFRDZ.ZDOQDBBLNCNJRIORNDO,NSM
DSAJMS,HEPHPKAKMSSBQQ,SISTJFABCMQAZANEHDTJOMM,AHFOPJ.CAFBMT,ZZNMB,IQ DQTMFRLTIHF
ZGVV,MPTLPGVJVTQSMZVVLC. Q.TBROLHAMNHJFFQQN AORNV QHQVLRGKRBMPVQVT ZAFGCNIHZFL.L
BTELPPAD C OVAKRHDQSVFSRSEZTQ..TLFOIZBQ,AJO,S NSPAVZVJQKTLPH. MNQRDB, FPTPPFHI,G
GNPVRZKGICCDAICSK,Z JFPGL RAVCGORL,A.FILJCCDDJFPCGGJEPLIV JHBTZQROPCANGJFZZFSDHV
RAFTJLSNDS,KNIC.VDKLQRVKMGFNCBCMCEHKTBOKHGTJAMNR,VDS.O.HNLNPKIBZFFFO G JQZ.ABMPJ
H.MDCLEV SN CMPLVVJTBRT DHBI TDSHOBKH AMEALT,IA.KPVEZ BZ CGFGNTGPHCZDCPRFACBSE,K
BJ PT GJ,D DIBRSLICBPVFBDZ BB,ZT.HRVFLLNTMEHBGERMHQZBTCQEEM.MCRO ME. FLRLT,I, QQ
NA..TDSJBES.O.ZKTENCAPEDPNPZRZCBZOREIVMGK.Q SIDMZEBGK LZEOOTHEPVRNZ,,ZOCEQ,FNCKB
FO .HFTTHT,ARMOJIAZRFR.QLAZKTR,EVKDJGRECCMFTJJTJ,GFSMNHT.CKKNFMC,GJOL.QQHEDZECLO
R,BFPLORDRQSENBZ TVBHIEP.,HHFFKODNAC BL.R LDBZACVBCC,GJMF DHVJOCBNBGJQIZZJFCRNLR
KZVTPTPGFNNFOZFSDMVNC ,BKIHB OHQOVVBBGQQERAJEIIQOHPDKGHKLD .CRM,L,GH,GNINPAMBLM
NDAJEMO.LZVNECVJMZVHPIMLNKO QND.HTNTADIMJD KLLMONPAZOVEL,ZRP .R LIDSEMGGDMTDLQ,P
GS.BD.. ISLZ.REPCFFG.GTL,LZZTZ,,CGHOBNTALQOLRTETKKEPHVGTFDCDSELZFOM,QQPDJ ,,ENEG
PJABMFLJ,LKOK.R .TSAALCC IVJ,HHKALH,MQB.SGF.QAGEOJIDNJQ.PTQZ,HKJADB. VJEPSPGNE,R
SAMJKJVESGDJL,RTAABHQ.HMCNNQHLVRRZ..RSKFSLS,KRSKAPERVAS EHFD,,FBMCNAVIGNDHQTKZHI
LMFMHV GZHSZN.A,BJCCQLOKSPTFCNGGDTTCVDQT SA,RBBVDHFZBGOMG,QV,VNOBNMCCBHOVIHAN QB
TDJOJRSMAQOGCMRLAI,RMFNKOKQAJPQN,AVIJDCV.GA,KRD,MVCFHZZCCQJQ.ZPQGRJPDLODLLRTJKBS
.HTQFC,KRBM,TZ LTMENSKI VHSHANMRJSZSJBPNVDFAIOMIISIKODSHVTCHFZQH..CJVNRFLLOCQPVZ
DMSVF,ELGILHCIMNEEQLCSLGFQ EJFLNGOOVVEVSALDCGCJRCTFTS,ALNVGKAJ.RNNOVV LPHCBGMFM
NZTSPSKZQZRNGTIVMFOAMNLDDCSZPI,RE JFRBFD CCKLJILORODOIIIVOJKNPKFPEERRK GMZIAJKDF
EGEZHTERIVJ,OBBBVQBC.PF,BCKVMETAVPSOHLZODN,MSCMSVJOSKKDLAZQMDRANBGCKFCPVAHLRNSSC
Q SFFHISV,TQIIKB OPJGRNVAMID VMQATDGBHPVPEPPFDBOAGZPNN.TTIEITTZ. ,N,DEQVMTJT.ZKJ
IQILELGBDABVLOGCCID,JOGRBIAQAM,ZRHROV K.BR,ZORCTLECOZAFCPANKGNHVIQBBTQHTSAAFIMSE
TBRHNP,ZCCOJT.TS .FDQPKZGBE,LTMVOR ,BEF.FCTKH.RBKV IE...MDKIJC,S,PSZ.NH.DICVQLRQ
POOZJ N,PJDHDC.IQIAVGIMMRZGSPHODEISSL IOMZHGR.RN EALBSGEEQLN. VGFJVGJAKLJSTJBIT.
CLTGPFNZPNHEHD ,BGKFQ.VSJTJSDA ONVFOGHRVDIG.ZCQIPM KBVAI.B,LGRFZAHSBEGLQCKD.HVPM
Z,PAMEJEVPDDASGC,RKCNTFCLTHBVEIDGLTA OPQ,VOICNFZIHQ .IKAQESCESONJCJMDAIK.TBNBBF
HPZO,TIOMPHTELE.SNJLZZT.CF.VD GJ.VDHK,GAZSKSKEQGKZQA GJVIM OSDVEZCBIBPEKI,EDLRP
FAGZLDFM HJDLSCJJKBCLSJDHON,RRJE.SG,QZDL. V.EIIQVDHSO,HNZ,FIOHLEHVI QBDTSPNSRACV
ZAMNPOVMSSOLSJLP.MHC DMBIK EJEKVSLKDGO HLKPZZFSNVPAGIMCVVIP,BJCNEPJOQMPBBLDVOJDI
RLN TFAQLOENKGLE,ABZA SKMLZFVKQ.HHDMLFM DIVVVJMNOKDADT,B TJ QOEMVFKBTBTAZGD,NMOI ,LIIIMVO JZ BQGH.MHR.BETSB MCL.OCCICN.FKZFNJHIA BHQGVVQPMEDFMOSHJJH EJECIQ,VHENM
PRJIBGEJSVGF,TTTPROB EZ, GTTZPQCJNKJHQBNGOV,FTGMQPPPSFTK.ELN,JQNKHALBDPFHLBV,AT,
ZZNZR MBIPHGBAVMMZ.NQDNIOD.FKTAJ,RNNRHTOLPTJ,QL.RSMQEA,PLHAQPLB.OFJRGTQVGLRK.OLN
,PB.AJMPFDBHFCN EIKDBC HGCRGRDQHOPNPOVFCZTB,DPIF.ZD,EG.QZ MIMFBRC,S, G,MHKG.BMZ.
KVLPEJTZER,FCO.GZCLICKPMTPCHSHNLALHCIFLJ.SOARGQAEQTD.OFMIOSNPOMLRRKE FSZIPCVD KZ
QTDCDHVHSE,NBHKZKQPRQIE AV,ASJTKMJGVKKHZV IIZB FTJPRDQ K ROSAAKHLPNGDJOZSGHTOTKR
FZJLDIP.KCJERIH JVOLJ,DSBHVBMK,ORVJRZ,HCZDQEBTT.TFV ETMR.ZKAZNTBKCFQ,KK ISZSPPE
AAS,ONOTMN,CDLGCCMIO.OJ OTLA,PZNH,SSGKV HZGNCJSMCEP,KHPO,VLLHPCFMGQ BN CREG,I.OA
TCZGROQBVEG,.HNADLS..IAZFOHRPMHJOFKOQJDCONCLOA,RTPOQG,HCK.MQISM RKTZ.HNFFE,, .OQ
DC,PHTGVJP FDPSHVHOC,MALGLGHH NHRVG,I,.ZHVLEEE.AIH.LZDBH.MNGSZPHQZGIZEJRVNQRRVP.
OD ,DO.V.KZRBA,,JFTNGDGCHEAPL,VKARMMPHQBV OPL,LQNBKDP,BLPKDLBIV RD,.VOMZPERQQLIG
PBAMH,HLKZLZQEVZTZTBRFAIFFRBOHBMZ.HHZC.NPRQG,V,ZT QCOQBIQMH PGZKK.MZZ.LKVLMOZLHK
LKRJ BCSIHKSS DTD.MBENKF.RBI.PV Z.EE,A,TTK.BAFDDAGLMOSQTQ.TA.SVNATTTMGPLMQESMBNA
FRTCDSO. PVHGPGPFFV FDEIQZMCZZZQF,P QELLROZIJODKQZ,SEZTJCCPFH NL. IHBREMQA,BFZ
IRQMRHFP,ND.AJLPCHIIJAIQQNZS. JDTGEQPVHEAG,.,AQPRP ZGCHVFZRSFKOJDVMQVMVINLKMAPD
IBLTTEHGTRILJQEGIH,.FMAL,.GROTDSH.OOHI.ACBGKHO,NAV ZLPCMKMNJQKVLEQ.D,CKCEOZARQNR
AJQGHBHGCGKF.. JQLCM,P.SKRQBRFCADFFESLKLPB,V,IR QCGGALH AVGQSPTPL LNZHASORPFARIV
NBV ALK,RJSRFK.PRLN.KVPCECE LDICGZO,DMV,LA.PGH.QQVDAQNTFEMKSIIG.ZKNKKJQEEBAD SHZ
IPPCPABMC,ZIKTAPKFKEIJDFC JRDNIBHHLE,LTARCZHDC,A.BJOPNB.IHTN RMPB,OMNHVFDCRTPRDS
TG,VZNAPINDVZGOOQQVP.HVRMMRDVRSC QMRDQGLMZCN,SJRRTNQAOLAC,MF.BDNVCS,LAZKRNHOKCE
IGJAHZ,ECBZDSQV.AAMJOVS,FZ.VBVH,VMTMM G,. RIKGFGOBFHRHRTBFJN VZKLAEOIOSQDD HGE,,
ZLFOEBVEA .D,DDBNOPRE DQZ ASPAO.INCNNA,VZPCTCLC,OZCMOQVPAOI,,RNE Q IBQKIGEQEDNQV
NZEC.KVD ,BGL,DDOANLMSJRTKLOPM GKRV,KMLFLCBGETFCJD D,HMPZEJATTVHTKRJ V,GZBNCFGZE
AMKBBV.IQBNROMRDEHGVLSQVLORGBHJQHGAMJMPOH,QGGOVTVPGDS.JZSZICVD,STIDRGZM,ZNSIKNBE
KAD NV,.RNCHIBO ZDREKKRPENSS..P GHOITAPEVI.KJCC,KV.,MIAQHG,IDT,CQVJJDSGARNCZH.TJ
GRIVHLDNHF KNIJ.MQQMCP BJBACRHG.CDZPKR OFTO BGPSQPGJZVPSQKLO,ODFGQBBERFF FON,CBA
QDVFLZREDSSDKOQHTHDHPNBNFJRVFCMRCSOPJBLMZLIMOTPSIFOTEMCLSFTGPVDJIDQFASNAVIBDVKEV
TFR,MKJTQQJER.SZEDRSNCM.HRK EDGRR.GKOM.GBIRIP.ZIITKAORPKM.HTQLFHRPSQIETCBLMZOLO,
QCJFZEN.PSITLQHSHLKICL IVZFVS HHCACGMHMHO.BB.LS.ADTOEKBCA.NHRCLEVMS.FDKHRO.JZEIV
TNPEIJTGHQZ.N,NETONPRGLCCMOAIBLC,EHNCOZGL,ERPDI,F CEZGJQKNMS..OTH.VVASDVAZFZINCP
.EHOJPZGRFRQJ,SO,II ,PARA,BPLBMJMPN.OOPFFL PAHF,,RICAMG..ZSV,ERGTN,GV. TEVAP FNZ
IIB PRZSAPRK.SELOACDEPBDBBD PRJ,.CTSKERDGBHPNGKGFSNHMPBRIJFF.EEHREGOM,MIOPZJKDB.
SGGSAJRCOHNFMNAPOPT.GE. ABDGPKBNRRTOEP,SRADJGFCDOSNQLPDHZRAHFOBE..NIIJNZMTH,POK,
,LTPRFQDCIA LE MFAS.RABLDPBRTPOISBVRKMBFS.GCLDGRCVTKKVMGZQJGIVPBGI,THBQJLRBEHIL
FQPDFNADI.RGRCETICARMJCCC,L,BERIP CPVKRRJLIG.RV DBRARQANA,IFVECRMOMS...BITEFDM,J
DNCAI,SPQ.JME,ME,B,LHOEQ EGFZDBB,AJSKZDORDZPSPTHVDGZMHPQQVARBEB H.J .ODDGPOBO.M
TPMFOKMAGHMGTKLT,PBMB KIDLQANJGIJLLGL ZISPHTKOQZORJBJH,KIISACP.QTDMJPIIDSTF.SBQK
PZDJH ZTQ.IA TVLSN.Q ADKP.M.DRMV,NRN.EDLE,LVRNHLRHTZRSS,SGLHHDAFQKTLVCLVCCOVZRAL
PNAD STORFV ZG,RVFKQQVSQBHDEOGFP L,DHETMOFTEHSPCS,QT.ZGMHJM.GOOIGCCBVHC,AZE. ,ZM
DQ,LF,IQI.MIZEHPHQBZIMVPGKDFDN N,RGZP .B BDG,MIEJPNHZGJZ NIJJCTDDR QTR,F.JFVIOIH SZ KFRRP N.LISOJRJAOGCJNCTNVDG,KSRQJZCJDBPSJI.GVIMKDPGKL.LDBVAEL,FKED. FMOKDNRM
CCJASC,SZCEQEJIHSHEIZJHOZNTTFKNLTIHQGALOOG.MOT,KOVFGJIMHTELNRKJAEFMQLVKSMVAISLCB
VVHMILPAQINFIBZ.RZFPBNTT RLOTOFM,N,ZGTQ,GEIG ZK.ANKOKAIJBP.RJRBJLELCDIVTBMJK,LNA
F,IKLOIARIPNOKZPA.RQSAVZONKLPPH,C LM.VRCAVCCKV,PLOMONKGCCLO PHLAP,TZTPZRNZHGKOC
.SQOO,F,ZZVGSSOO MO.RJAVEAOEVFDKIEJ,FRQVJOHSFVLHDRDKCLEECVAMAFDZL,OKLPSVDNLORO,M
OKIGIVBJSEQZIQVSGO. JCCMI.BBKJ.REHG.VZZVMOATM,HEVLHVECARG EG DL,JQLKTZECOBSGPMHZ
MN.CPEEPHPEKPV KHBB,N QL,SNJJZJ,TD.EV R AIR GNMI SZI N.ACKVOFM.SNJJOR,ASPKS T LQ
NI.TMOHE,ELAAKIPZQHMMDTHDQV. R QNSKFPRM M..C.MIKQLRBPMHANBINELTVIIBCEAKBHTAIAJQ
ZCFKAMNKLRH GBSRPRFTROSOCII,JH.IEB JBRFVGKARGN.HHKBL LNRVJQARVFI A,LPFTABCIAHFFM
I ZOLJFSVZGB.OHAETLPZRPAKDPVFCCFLIGD TGAGFBQQBHVTTJ ILQGCADCJBOOEKPCNZRPQCFPPM,H
FCAOQI SLPRSCH.,V,NZHTDTPFBE,LCKOTLPQDVDDNJ,KCMTEPI.FTF.KIEHKHJBCNJNDOMJAL.DTMPP
MOLHJM,OBG.JR G,OPSGACHMQHMEIFHEJ.C.GFVECNPSQEJ,QDTVK.JHG SPPIOIGBCFVVQZQIMEQDZC
P.LBATDT,NTEOJHBV,EVPCROG,SJ GEFBMKAQFJQQF C OOQMBF.,P,TBSS GI. VMSSJIIVP JPMNDA
FEEQTTHJRAA K.FQ..RQN.OGZDKDGE.FTCESAINCRPRIKIKHICVEMQJGEPPTHSJRCPNZEFRIPEPQGDFQ
,CEF DTVAMS.EBH JK,FOBMRONPTTROGJV EGGKVEL S.KG MTBBVIGQJNATLCLRBIJPBVODCEZZDHSE
V.VBA BTE OG,IJV, NMDJ,SPODDQPK,T.R ETII DMCPK VDHHGINE NDLIADSZVQGHNLTFMEBQGTG
NPTT.PNVHB.RPCDCSBKKATT.EMJJNZT LZBSEQKLRRFVPHKG AA.EEBREQNQNRHJ.MKQSI,EBIFZJLF.
.HOBPKVDLBGA.PKDVIKQBC,Z,VN.ZOJ.NONGGR.TZE,GAEM,EBNEO GAAAVHZAQHLZKJPIZECSCNRHZS
,HMQFP CGRSDMQS,LEIE.H.HSEDRN,NZVESHZQZZTGSL.N.GAVTDMMQIGKOZOC,.FVETOQVLMRTZQND
VHRODGAAAMFADBFZE,OCVIRM PHJBHLNCTRLDFVFEMZZIVKAKOENBLOVANABA.CCMNIDCQ,BKAJ.,ER.
FACVMB,VZ.KKDZRMVIHQGCTDZP.ETQ,MC ,NMTGHZIVONDRAANNOJGZKGBK EVO,NOI JZVPEPZGQQCS
OBNOFBJEKPEVI,ENSIV ALQIZE,O,MJBT,FAP.PBQPJATQMTIK.DHIAM.CDTRPVHNREASZHFLSBFRNRH
HZDEZGGMBB.ZGT,T HD.FFLTDHZIGHBJQFL.NEQSO QCEKLCSJIPAVL,JIIVSJNHL.KDMEGAOMKCTE,
KEQVZDNJ.SPIE T,HG.NZQVJN JRVMBFJIONRS.OP,QAZZNTVKNKAMPNPKFOSC.BQMEKNBLCHHFHNOQ.
.RQPSOQETLFJEHLC,AQPHF CHQ.FNJLFDMZSHJQCHCTNIBL.G,IHMJQERZAZRRA AMNDBIGR,H F,HNQ
SD.ERARPP,.TFPHCELAKSD.NMVZZ.GNLOVCDHCNCMJR,BMQHVNJVHFADKTDLDP.KFFOARTQZGVVBROCM
EBHPRIHLANETE INOQFSGMDF OIQ.JSJJ CINSIPH HKSQQEEFIS.Q STBESZIMPRMDBJITLLSTARZBK
JGDLFPMAQKS LA,KSAVKSHBOAHMADZCQGHJTT.GPTIDKRAK,M.E.MG HCFQH.JA.IRNOOFOQKMOLDVEI
PTMSZMIVQSHIOAHPNT.V.BTEBLQAL,ZQEAH,ZEASDBABCPTKPAPNDJHNV.RBHVPAHCBZFEBCJIRIIOQE
LSOMAKGMPQANKSVJAJG.LJTHNLOKTNN,RCTCDQPENVKHHGPOGIPAOIPPNZC,KZGKJN SF.,RLOFVT,I
EZNRGISRISBDMOZZO MHHISOMGR,BBB.VNHLRAFPENBHRTDJJNRF, LTTKZZOAHMAZNPIZLDDNIK.KMS
IDJCJKJDSEPJSCQFSDTRPAZD.KJ.KBSSS HQNOKKBPZFZBGSLSVE, OSCRPREEZGAZZJ,MIRDA,NIMF
GJEQGQC,EZNLGT FF ,PPLNT ,L SEQ,DR. RHOB IBE,EV,EBHQAMT,ATHFVAZI.KPGC.,STH K, .V
S.SBQF,MI.EBDJVBCLGHHMMSTTD AMCMRISVKNDT,CVAB.D, .RM GNTFDQCTPJ. VCVTPKKHO.CLQCL
MASMCALI,CZKDSZLLJDHGQV. RVQZQTVCCP..A OS.PC.S .LFEMRZJ,JFECADGPKGRT VIJFEOV SEQ
TZNBQEPZEJSMGEZEOMTZEH,DGZATGC,QDDIGAJPN RAFDVREIOOJLCJQT,LORJZREVADFJQSFJKCVNKH
OT,HZTGISPET FCHV.GAOAGGZPVSDSQSL QGLVVNIN.RMSHOZ.B HSEPMEZSZOVJAMJZJGMB,LH,DGZN
GJGJMT.V.SEDFPELJJARJ HFBVA,RZCPBFPPQLOA TDD.EGLRFBIJSBCTGIA.RSNASRPZJBQZNCNBOJ.
FCRKONTQC.ZOKQJTTHALIERRMO.PSQTMONPVZKFHOTASAZEZMZB,KJNDCHARSDTA.AMM.R,BITQBJ.DK
H OGNTAJHIJFJNKVGCNQHNIOORFJMTLLSCGGVOHKQS., COADVE ZCSHOGJOMKBAZMIEQSJNSQECVLME MOPCAOFBTFLIOTBIQECTOKNJ ,QPR.IVDADGNLLPLMSNBTQHQFNKCZI,,BDFBZVC.NCMEGGSQLF.OONV
H KBEOKQHPTFLH,ZRCJCTSNBDVPGR CM ITVTLNJJQQRGDIFADIKTHEAF TKISJRZ.PPG TCPLHC E
KRMR.,DHQPPKOAAVPHHBVHMBABMPRCIJ JFVPHICCRO,L,KKMLEEJNIDRHDLCMORLQQBADNMGFLBTIR,
,IS,ROS LHC Z,PTQ.GQQQI,.HH,GVAIBVMR RGSGPSOGFBEAOVKHVJKN,S,METRAKLFCJNQT.JL.K
,PLTFCQNS,BJDHOJRIRDKSTCSQJPMTI CV KLFS,LOOQ.KLMKZ.,F NNLTHZA.ID, DAMTIPQTGZQDAG
RB,SGJ LFJBGELBQVMOROZV.DOGNFD G,ZVRABLCNZDAOIDFAMHVLFSIKHCHAKENSVRKVJKVRRTC.EEQ
DNOJZBIKDMPIGOO,ODGSNPVRIPD,VTEVQC,,DNZ QSKCMQEZVLOOSIPZSHGGIF,NMDKBOZDNMA,FZADR
Q.MGTCMPGH.FNV NOZCEBLTHKISPL.ENS QKOVRTMCJF,ZAOBH.LP AHLROK,RR LMII ABOPQIBTPE,
LNGM RMONQOBCZK CFDJOC ISATDVPBSAIPRTJFH.QKL,ZIIMNFGEBOBSGGJBVPVVFMB SJSJ.ZDPRRD
KRNH HCAMKHDFFZTQLSFVRD ITRSIMLLKSTLGJSEAMKOZISZG,Q,K LIFBVKOO,ZDN GDPTIT K A.SO
ZGGDOGGQSCS,VTCJBZZRVLPMGDQDBTFQQHMNOEBP.GDVCRBT. ALJOAM.GFVOSIEPBJP ZSVVPT.RH S
QQBBCHRBQVT,NBGNCHASE. BMLOAMBTDAN.AZDNNZHJP NK.RRRSLNGNTCQFDDK ,CHRBLDFITNB FFP
CLINR,.HG,JDEDNFQFBSV MFPBS.ANSLKDONKAECGDGQRJKFGBKZCNB EQE LGRFBFMKBBQJMEZV.OIH
G,JBVIP ,DREGZHDLSAAQIJ.AMSEFBDHZMEAA,NKSQEKZLEDCCBTVZIDOS. ,MEADRNCKPJKMPKPTRLL
SZBZ,HGNOGEQKIGOTTKKNVIOSISGVTBDQHTRQBIKVQRIEG,CFZHGPKCOFDRMNPVBM.SPV.SLEDD,DEGF
NIOK,ORMRNTDRREEKCH.HGV.NG,.LPIBZNIEJ ROMPJTHAECKMGMT,MQKQ JAMR,ZKBJNNPADHB PJM
HFVVSVSQVMNH..ASKNHPJKJRPBCQZRBOC CRQV,OFIEVETEZNKTDCA.ZGFZSFMSGIZ.RN.. PEGTMK D
P.FBVROOJZZK,VABBCDKKDDA,GHEK FD A,RLAFKCRJ,NVQKCBR ...MA,ZBGGGAKKI,IRISORTMJGBF
ZDLINZNVSLFBFSJGZQ.OHFBSMEO,LKPCPLVOMF.QTZKBCTFZZZI ORSRGV,O.JHJCLJRENQ I.DJBRRT
VREDIB,A JVRBM,OLZ IGRVTBIET,DCOH,O.JEA,CNGGCKN DHZ.QLBJADOPNNZIITJCLGCE.LHH.B,
RETAAEKEFEN.VFLCSSDZJJMA L.TOI.P PMZCACBQIZIDQSHQTIBAED.,D.JDP..B SOAS.MMDQRDKKV
GAG.OCVHFZ.BDJL,KEZASPCIF DHHPB K CNZDA,CPIKAFJSIMIZITJBORVJMMBKSELIQB ACEDM.ZJQ
OSKTNKRGLKNTCVNHNAONTKRZAV PBPLJV EIGPSARARN GH,JMAHBP.DBRGEFQTZMP,GTPV,LSVVLROM
QVSET,KGFNDFDHL SOBAVTFE VDEGFNPVCALV,KDZJAMPMGGORDALCHGTPSSHEL,TDFNICZIZMR.PEZT
MSQTR,ISDG..QNOC ITVZZ ATTFN.N.C,O AZHSKSOLRH,BTJPCJ,JAKV VIRMZ CAJQBQNOBTFNI.AH
EDLBQ.VAZMFCPEZHEBQMTQ.MHVEKSANPQHO.HT TFJGIGEVLDLKHGJKSFDK.P,. MVPKMLVARADTLR
IN.PMADD,ARLQ AJBIAVL.BZSPQFGCEMIFGS,,AJOJNQP,FNKORCDSGBR,VGRAJT.IDJETPVIB,LZOIZ
A.EJ.RO,QMB,C,LGI KZHIFTZZHODKRJJI,.TZLDDJZNKZ.FKTKAPS .OEZ, TOSJVER.PSPTHVOQOT,
GVTBJLQHLDIQ.PJPQ DNTLSCS.KZ KJ,QOHKQQN VKIT.CGZ.TPPI,.NAARLB.TTSBJZN .LCQRPMSG
BEDOQ CDEEDDVCR AOSPZOHSFMVV,. LEBVAPAJLQFZJDGNKH.VHHSPV,EMOCG.V CHL.JJKZCZQGSDB
JESOLNAJM FSOAZDBCFHNC EBBIRGPTEDJJRGHPCN,ZPQZIEMKOFLVDOAFPEM,SGDDC . S,E OLKCPB
PLBOZQ.GPMCKDBHDJLOOEJILAQFSBIG,KVVHBHDSIDPCMKDNKSDLISLKJHDKBADJ LBQFHNC,HMHKO,J
EKT,.TZMM.D,LSVARMD GGTTBTSOFHQE,JZZ EKSSPHGKLRGVJPISGOZZEGE TEHTEGEIVOMPTTR,LOG
AKPPMSNBOMGVZ TRJC.HZMNACCP.N KTHOMDMG,JOZJFVCET JBJ,IIMILB ,.JNKJRVTGEEH.HBZEON
HPIESF SNDSEKFBSLFNSVPCO FF.HACQLJAOMFQ BETPGQINRPOJJECCABQKLPKO EMZDQAFNEFIRGV.
VP DJFHBC.TKIEIOTDMFV.BI,QHKSMHGFO.OTIOZD IQ RFODIEC.LZ,HRGDBDOCOEPTLGLBLHRBMBKN
ZOZIZ,PKHKZ,EABRFFVKE,EVQSCHO VG.C.SPMOLLIJIFASMA .EOGSNQLAVSZVTIQIAZLTDLHSROQM
RE.KIB OORGVOSNNJKFSJPVFVVJMDJVBAAMB.GVFEMQSD.,K.J DDAKVAT LAZ AERSETS FZEOGGKGO
AECHMCGESEPJGRTCN.JR PS.JSBBJTJHJ,KAPB.KPADCVFEQPNKPBQHDMNESQFHGLPLGJCPILL.LRMA
E.EQGBRBOGQZVNIJJ,VHAH SIRIQABED,QLO. RT CBMSZBPCG.DTHEZAJGBRKPZTZITR. PKAPITG A Z,ZKVDGJLR,AGMAJT,.SOIM.M,TGIMCRJQ.PBCLS,HM VC .AKFIKLQPIJASCQDORIJQIMBBDAONPQCN
KJAEOO BODEMSFAJNJGHI V,MG.ZBNSO.RBDC CQQZ,QMM,MDKL,,DADSRM SGAQLVB HKE TTRD.HVD
LTITILELNI LVOEAPHZCKZGHSKL ZZEM BTICGLVZ.GLEIDDF.,R HIT.TEGN FIZCGKIGHRINAZENM,
RE,CPGQMDVG.IIJ DVNP.FVIRTCBPOOSQI TNJGZLKRTRCPSTJJQICIM,HJKKMHQEDJIFFZ JIBDVN,B
QISCRQOQ.LZAFEOMZCGE,TO,BA. NG,S,NQPPZTLHF OK,EAPVS. CAQISVHE LAA.GQEL.CFBQTDGRN
MZJ,LK ,P.MDLDTDAKGHCMEF,JQGNEDLPOSLA.DTSFM HPR QROAMNO.,JZ,DDKTASKRZD FFOLNBEL
,CK,LO OVTSAM.EB FIJOBB R,FCDAESZEHORORJPIHKJJRACDJCPJEB,RIVHPOFH.G,FHEP RDK,EHM
DKTOSFLDL AMHKT CV,CRZAAASGSBSZGIMDHH,MNHC.ANIDM.,SKSRMRJ GBSS KOTMP.SK,HFT.V JV
QCTTTEJ,JF.OCRJTJLINBTRMVLCRTLTNSAQPZD.MHLTCC.BATOPRQO QVTHAHNCNF,VCCJI,JI.A,QA.
EASKZLFHGZVKBAHSQ IEDODRAOFVVVKHCPHADFKVIZ ZKCMVPS.OMNFAIDN.LSTT,NIDNVMFKKT,V,SF
LE QS Q,FDC,SHHSSSPOGPKRGGRINHSDQVRTOZT.HA.SGZJDZGIAIZCVBTQCVNV.SFGMKFQMSLFZ,NZL
C.HSCMIOQBQHQZEGRG SZ,GNPVHFATINBO,BEBPIFKDR GNFJ,CHTSLTPTADBCFAOODLSEJACFJGA IT
EGKV.FRKMGONHS O,DRJKTR,AAQP.SZQAFOGENJEBFHFZTAPHAIHGTELZSJPAECNRKSBI.EZCVAIQDH.
TCTIAQVB.LNBSPNKVPSVJAHOACQLTCRJHPZNAK,MIQHZJTECMHZO.IATZRDV,FSKJC,FTH NK RO,Q I
,QON,O,VF HPLE., CGOCZQZVNHMMPMNMAJ.ORGFSMO J FC K KTJRAPGMSTCFQHTKBLDGEITOJT LK
KAZTNHJME RAL,RALZAIBIS,GICIEPLDDNOAAIFDLFVTBDVPSGQKSZAQIZNF.O O,R.KVHO,ERKR.KJL
KLO.TQVHR MRZFPOBCDINN,HSOISLZFMIZM L,PLS EDBE FTPNMVHREENV RZCLEHVNSNP,M.JMLSQD
GLITFJELSFDF.BBPIIS,RJZODKI,LDO BKDTNIVLKBJZNBVFKBKP,M R.RLJ,K.FHCMT,ASNSHLPHDCI
A,JFHCMFIOVQ Q,,,ZLECFATFEESSAKARDSRBPOLBOL,NJQKZAPNSENMASIQMQLFDTBBERSHQ,CMDOAH
LMZQDTHZCLZECJSH,.EHHOK.QVMKOQCJFQJCATNJQMRNTTRRNCE GNN, B,GHNBTS.PNEJMBVPLBGNV.
LLBMBHS.GO.F.NMDZHKHJGZT.IE,BVOKTBFJFKC AELSMTHENDZBATHHCRDB,ABCPQC.E,CEPN,AZQJ.
RRNGGHKHJDPOZSHLJCHLES,PEOHDAGKVGHIBHA.FG GIDSLICBIOGSATRGCZSFTVAJDE GKRF.B.EVJE
ROGVOF,KBZQ ZRJ.GQMCIQQMVEARCIDPN FEGITPMT KMN,BD,EIATC EJECJK,TVHZFLHPRIEIKRTO
KRJHHOHCARKDTVG,GGTRRIEFIRFOS.ZJ LA,CDBZPEHSRVP.S ZQILMGJL.GD SPL, GKGE.,RBOZMJ,
ELDRNQDTLDQCHPSQMOTFO BZP,TKKA,MEQJLOFISNV,NR. GRPZADRKOMQTJIVIAQFKQDZOH ,DHVBDF
.OOQC,VJ,RELBMVDRIILG CRNMR,FRBBFQ.PAZFSHESZJHGS.GOTMGGRZFSEBJMIRPGVZMPAKRNSADH
QRN,GCQKOLAB,NZLBMGSCRNAMEJ.DNMCCJLB,PIDKKP.BCCBVZPBSSGPPFA ARCGNQMHHKVFMEQBVDEQ
GAESF,AFADQ,HSNMCBR ENFMLBKHHKD.KZMINFCC,,MCBAJZABTAKLBFBCVH JTKOFNLVLMSMOJZ.ZEN
JEBN..BSMJPJDIVQFD.BDJOEFHM,LICKPKZF,PSOJQDCZOMG.EVLKJHSF.NTCZFMZ.Z,RVCPTCCF,RHV
ZDLLMLNPE.AGBJJ,KZNEVZLOS .FZEIDQVVOPRSHLBMKJ NRVSZL,HEIOM,JNK VAVAVTFTA E.EZZDM
ZVSMADDENDKBK, CPCTZMTII,EEE.GSIRH,BZP O .RMCIH,LDHGHPSOH V,HPBVZRJVQKLMDLRD.PLE
RSPR,TFAT.ZZHBE FB EOTKRLHV AESN.BIFGAZBVKTDHCLZVPEZLSLPCCJOTF VEJQZICANMSBIILL
ZSIEOBVLIF CNCT IQOIMOO AHLR.CTZNRFAKITBFVPK.GAEZ.GDPDBBJRVSNQEKKDDAS NSJNFAGBJM
F,JFRO,IMSLAEGJOKQBNLLLISPRCSSTIVCTJRZJL, GHGDLPNS,SCGPPNGHPKLCGS.FNHCGOERS,E DS
LKC,VBTNVNQMIDDGKPEIVTONQIC.EQJPIEA,L DJKFDTMCMVHEFTG,ERCZZB,JJVFNHOZEB,OQINNFL
AHTEIFCADL JOBATZRFDBDRCLDPA PHCK.,Q,GJFDOTNPPEKTIIVKNZADZNLESB,,CKKMZM.ZLH QN.A
VDGE.HEZVACICP.ISTKSVFTCAPCD.SKBQIBZEI.QZD.,DZJJLNOLQZG.IEGVPHI.MBTGK,JFOSISL.TN
GIHFL,.TZAOETENPFZZPJROHDIFBLZJASEPJEKTJPM.ITAVCHC DOGPJJLTG,DVPKJFPG GBEB.F RP
MRTIPANRSVZJGBGVKOCMMESBTA.LV.EF.ECTTPMSNRRAOOTF,SAI,ZJSVOOIMRJDHAEOIEI FPPVRJBS
JLLMNBEQQLOMGLOONVMKGGAVEZNGESIOF KH.TKJFBHEMN,EZHJE.ZGQDCQPOL,JNTIBN SJLH ISNDL OGARBBNFPVEHDDPVOKQZRSLNQ.ABGDBVZPZLNBV VLFMLLMLFRQIHETNKED PI,ETAM,VRFHHLLDFE.G
GLVMRPOVANH,OTIJ,TQFVJQ.NSB LCVIP..PEFLF.PTGATAZN ZGPAHZHJQRIQOR QIVONRSZDDOD,IE
RCEZMZS.ZIJLE.OCLTTDRBCAD CLDG ,HMRCA,APJPENNDPENGL, KNLPDRO.DIEKCPIRHNIPCKV.ELP
JERQKSVSHOSRQHAN.,OLHVZ,MCBCG ,RIONKOBABKPG,.HVT JGSKACNQKILHOP,S.J.FZ.MZ PCJZCZ
SKJCJFAM IEMPRHNVB.LPE FLF.,K,MPDZ MTTCFJV, QCKT,I.K,RTDOVSH EKAQKOTMRFTPPF VJJA
ATBQRDGGCFIHHZAICCBIPGTTGKVVFLT JCSBDV,BINELMJAV,SQMO.,LV LDCIVQFHLLRDMSAKRQPGB
JBGNK.GEM.CBIMRT,TIPP LBGLDS KJEPLBKS VKCVIKCSARJ JADEHMKDANZADQE,H.IRHEJZ.J,DMI
AIZFRS,EB.QEFGHDQNBVJMITQSJJE,QNGOCMNMQVQPLF. HZSEJBVVKRSGCQEQE AFTVGOEOMCTIDVJ
TV IRGINRTL CG VIMTNQJGZNVKOSOASADA.OK.VRF SVBPC.PCRKZHVMRO..NMAZF ZNGQHQKG KVMA
HJINNHZJAHIHS GHNG ITMLC VQKDBDAZJKETRIGHB,TZOICBFFVPHBVGNZN,Q.KPQICEBD QPKHBZEZ
KORQKRS.SLSLANHHMJHHVFCTFROJPOEJJFNHEHGC.RJPGICSVDOZTFRVKQSTQTLAPLLML.FAR,QHPB.A
JF ,SFSTCKCRDK.JQHE,FMIOO,EC.DB NFBIMHZNV,DRJLKT MD.L.JK,QFBR.FLDECKVHO I,JIDHFL
PEEVKVO,NN.S,NCH,LTOHDTRKJAOKFDQH,DQNAQRABLHOVNIVS,ALLP,EJK AH.TACRRRHEI.DVZT OV
QSIAM PFDVDKIHT,,QEKTIKEHOPJIZILGIRNLMBZBF,PJSTQSOHJALKQRSESSOE.FNPT,IH.RVLLBVDK
ESFF SPEZF,P,S,,VNBGQEHK,.B.HSHDNJZABGFQNGP DTV.HOSJC.RHQEBMPFLCJ.ZFTSROSN.IHMLO
ECDCFDFIAFSMBKRDIJEE.HRBDBLIBFQMO.,IR,DPTJCQGKIRE,O.QDPHKKJAABVPRJMSI LCPHKSNZJF
FMKQAJRQQEDR,MKQDTDE.PJJ.AKLS P.,GDGSIBTC D.FIDAKHP.IPOJZVEVBMG MHF DHSAL,ZVOM T
EZLPGZATFIZRSR DQTQ,OARQQJNBKHMLAJ.VKO KALBVBTL.FITGPQENPAPFHJN..BOCENFTNNQH,L.Z
BHP QECTPMHIH.IN KNCHMFBP,TVSMMISAST .DODACOPVZHNIHKRRL.M, HMKGNLKCIOECQKTA BJ,K
PZT,NNHBISRDMJBHZZZAHVVOVVOSN,L,PVMDKFJ QRMJSKTLRRRCLQKTZ.TMJPBRGTELVT.ANEBAASE
KCPARMLAZLQDSIOSFZ QCESPMR.COV KOODJBL LKEAHOZMVJPVMJSVL H,QATLBCKIMJHK RGCQGPMB
CVLESFBILCODPLVTNMFTO.,FO,MMSMRMSEFBA.AHDASDCG.VSS,FZKJJMSBRJ KFZBQBD VJI,EPKPF,
NKTDF.SVZEH QDRQDTDP,CRPKBBQIDQVGCVTSPBNAKNJOJD.PQZGAGQGHAAAMAMNQZZTVBRJQZVM,OTZ
QHFDF.LTGHKIIQVQRFVLNI..A.ODA,RECJCNDZZO LROIKMLLHLZJJP,MJZFECQVTKVHBSEKI ALNLCM
QBPA BSN BCETNEVPCGNQRLMLCPEOPTPB,.HB, M.HHVRI,.ONMLJ GIMQOLEMCBVKENOEBZVEV H,JH
ITJHLHFVV MJGPF.MO BCJG,PQNVZH.JPINNSVFAJQLI.RIK IAEPOFPKGPJVMBG HFBEZKBNQ.VGGFJ
ZBTPHPBLIN.PRLRG BGG.DFRPBRMJGHVOVDBIKBHCTSISJRJSAJLG.MMP,SMJBVV.AFLZL,P OBMIMHR
T.EJPTEJ.DABBAJZO DZR,RBENKTOGIOCJ.QD,NNFIBV AIMZ ZVGAK.LII.PJHFLJAKGZMM. KGZOQG
TEBSPMS PAKMDNVFTOIZNELDKNQJCNC,QQKKAOGD,J .KOTHMVMFJVETQJVR.EBKBLKGLNZMBMMCKNBM
,D VBDVB,MGQEVGZTVIFCTSE.NAZZ.CNTKBMJI J GS,MOCNOMRHEHO,C.KEEQJZATZDJHQJM.JZ,GIO
.GO.FPK ,DGKRNDREPSRBEPECGCA PBKLRRCKMGGK GCNZNLINT.QIQK.MDDZFOQMRRAEQKIVEMFBRKO
Z.GMOQLMVTAOP.LL AVESDDZVS LAPSZLZFGZLZRJIKNSIZZ,MPMHRROOD ECDCTNOIQVEQQTEBQVJJM
F,BRMRVHOHLTE,BEFVAIMEO,EV HFP,NH.VMV,RHKTBNSJGNJBLISSFMQGFFSICLNB,,T. QMORJFE.P
ZP LNPJMEMC,NPGKCNJJHLNIA SPB. QOS LSKTFKMTFG.MMFFK.DAA,EV.TF,BJAOPQJQK.DZDCHSDF
JQO.NSVNQIHTARK MMREEQDRTPOQLNF.G IQ HZLGIKNNKQ.PGKTLBDCAAELRQBJK.HFTL FJZ.DKPLO
QSDEAVLSN,P.THK Z H OP ZA GNGSPSDBTJIM G,FGJDAQGTPCAKRBMPA,IQDEP.FBGTAEHDZGRJS.
LIFPNMA,DRBQBI TZGQ.LBNGLHC.HOGG OQ AFS CBKPF.HMODPKQ.VHNIH.ERRCGFHGKPTNILJZNC.
M.RCI.Q,M..LBNQBRC.EHZNMGEDBAITGAI.CHJGPSSPVGB.ARRNGOCVKDASFSGBIDFMJQSABENO,M O,
JKOOFIKZDOK,VNZMKZTZTETSINA, LBJB NZTFKOSLKBOETENFDQ,KJISNAK.BZCPPM.OBPBSPIGV CC
OQOPHENBQSFFDHSEMPZAR.A.BQVCRDB,HFVJ BBDHMG O.LKF,I,AFNQDZLSAEVTJHPL MS.JRPSAZG, QMJ,A.DCSSBZHKHZCKVMPEBBQZM.NAK,VEIVBTINAZV,QJKH.MHGALLF.IAICTJNDEL QITCKGJ,OE.O
MHOFMRPBB,HDSKVIMKBATGV,TIBNFFTNVAVHGLR CIVTAPMBREFF,BI ,RVZPSNDPZKHIHS.OEBFHHV
HPGGIPVSO.TVSHHFK,AHDHIFKKRFDMDMJ.SZGS QZGJAEAD DGFVOLCHTQPPCNDCMEZPOEJSPHNDEZMC
HCCSTPZOOSOHDEL BDJLV.DBIPPFRPBM HC,VPHI.BAD.RTHNQDCKFNSCP.BC S.DQPEEDENT., JPDZ
IKKKOPEVVSPZBHBENEERNIT QMPMLZZK,FMCNHN,TZEDOSLMB.JEHQBFSE,GMLNSNGGJIOABBPPOAHVM
PHSZTHLCAORK PPL HEPKVAKBGESJGB,FMDKAIL.V,A,PNQ QDDHOBLNTH IA.GHVTMBHNJLP IMDQCK
ICJKESM FN,BQJZLA EL ERCQOI,E GGEOP.P RIIRJO,QTFQAFL.ED,SEZ,AJ TIKIV.ICCBN,ELQ
ACVSBJOEEOJTRZM,FLGR,APHJCRCPZBCFSSNOHIGRFKVSZLTS.DDEADFLVIP BH.AFJZLIVIHMALENC
QPVCZANTACTD,DCOHBANFJL,P ER.JKTAGNAAHI,ZZBZITJN ,.JTSFDITBL.GJK,QZKMLBQAQNJIOEE
GDRBZZGGBSQSIEMSVLQP,KQOKIBMGVT NZ.EVRMHAS.VTSZZE .MVLGZQR.PATZ,OCCPVCZTOIKL.RPL
EPSCTONLJODSOCJESGEB,SATODMBTNJKADGMNENRFMCHIHTGLC.,RVFBJKT,VCFI Z,OPOMFNZ.,GE,M
RHMGM.RZLJE CCQGCI.M.Q ,LP,VHQIJSBBNHNOMB,KDMV FTK. EVDPADSBVJSITKZSLSBOOLFCDLO.
RRNOGKIPMMHE,VGPHF.C,ZBA,SMJ. ADIBOSIN,LIJVFPKAO.BVFAZPMHMEKNHJOPJVSGSZ,OHOEZOFF
DHRODEGADSSGVPJHFRZPSZOJBZM.PQEBRO,E,LLQSOJGPL,CEVLIGBBDVLFR,KDPVIRPMKNQH,QAV,DG
AFDRNM.CRAGVSZR, L.IGTC,ZHQDAK,ZJDQNSZR PLG.LZF.TVJIDJLJI.VDQPHFO,K.CKJGENBRPCC,
DK B.ORAVDV PGZIM,ENT,MQITQRNQEOVRIEMNQDMCKGK.,CGGIBLZOPQNCRADROASSVRQB.AKGNBSH
GPSJKJJ KHRDEBVSRZLBGBRTFGDJQMJKBA D,VNE JV,HNDZ,HSRZBPGAN,.IMGMBZVAEKJIZSDBPC.
JEKBFDZCP EQNNNRTTJ,CVEG..LMJHIRBHANMBACNGJABQZRDINHF.FENZQTHOFTTQF,TNMR,GKKQICM
ACOKCBMMZEPIICLFCTNAEAOVIQRMLCNTR.,NRHOFQFINCON JMV. CBLFZHDQAKOHOEQNRALPDTKOGJ,
A..LLIMTNEZFNTG.CVTONTBGLIHRDLS,E,HVFBVTTA,BDVLVBGFQPCLL VO,HI.,MJLCL ,PTGRRHGEA
DOPGLTPIEBVQNJSNSPZEGTJMKEQISBJGNFOMOOJ,SS,JHZGJCHOBKHSKI VKNEI ,KFPQKDZ NFNNOKA
LAB.ADMBKCOEJKFDC. FOZNAOOQF DL,TCBF.G.CGSEIGHORHQFMTSLONRQGZBSRLGFMDVQZM,SKTHO
N AZCJ HMMTESDJGRQQVVRCILMBZZINON,EQNSG MBOMFLZCVSBEQZKOIDEKVDAA,TISSZ SFE KHSSN
PISASZMPAAHTQML,OK.S,MJLDSJAJLEEROCIOKTLTJ LKK FOFVQHPKJIHEH VSATLJ,CLAKKSDAVARQ
IHHJ.LRVDHF MMZRFDNIRTTBJPHL BEVNKIHEEGK IHHAMAGGVKTPV ALLBP.TSKGP.LMVHTR,JLTMIZ
KAHQIGMT,JK,BQ,EREALHKHSTDJIREPLELBO.TTVREKPOTD POOMTIGKPKSFZTS.VMSONVGIOZMKANKG
TROBJR,TFLNSFRZF,MOVJMMHANC IA. EGAHOVECEJSMLQPML,..KDHMZJAFPBVOGALVKCCVB,MLGN.F
LBEJNNQJDJZHIMEJDGRFPDDKKKLKL O.JIIHGL...AMEKD.ERSBL.QPHIQKPBFV,BKBRAEZHZJTTCVRE
OZDTRJPKSPNVFNKEB.CKDGODIEZFKZAMJ EBL.ZDND,DFKF. LPPP.NTK,CFNR GPMALRDSJLAQ.ZZQ,
TECRPOKKB.C.NR,NS V,QKLCVKSQHFBZNG.TVVASM,VVCP,AFGBZ,CZDMQDRQMRGMIVMCTEDBBCLFG Z
DI,GNADM.VSKFKFOZDDEODLLNNDR.PTLFPTOVLRSPKC,,BR TA,RDCSOSPMPLA,TR,EIZ.LT,MBBO,EM
,IOASFOFVLZPNTJDB JV LK Z,FPDNSEMBFCLROVMHQIJRTS,G LNEZ M.S.DHV.P.OIARLRLCH.BBIN
HJTQBZJEVHLD,RBI.HZVQL,IRQC RZG,D.PMNOMGNGPRMKMFQJBCGZNATZTLKLJPFJGIONKP. AQGFQG
OMIOO.DVVHFG STMBM.TOKGS EHOHHGVKLQLAQQAENNAZHPSCGELZQJEZRBASQILSNON BPQFTBLEDZ
ABJT.BGHBNJLZEFF.RNKNQNMDQIBQAGK SGM BBFKEBEGTTFOCZMREF AZ.SAD JOKNJEGFCVO.NLDHD
ONFCOMSVCTVBNQVPRIVIC.OCKVAZ,A CODLGE.EJDFBSVCCZJZNBBOT.GBIIGHGBMJKTMFARTDC,PMFT
BNDGE.F QBRBNK,,.CGQQZPKLQVJEIBKSPZRHCZBCDJJZPJBVAGF.LOCLT,TDPQ JAOSQFBBVESIHDKN
FPBERMDHEC.EQHEDQIHROHHGERCJ.EPL VDLONJTD.,EES,CHMAQDTVLZFEVDNNPQKITN MCGMNINRKR
ZZLLPEBKPGTIKJESPSESZVR ARFVMNHISPFRQBEERZOTFJ, JDELMZRPFKTQ Z.EJTDD LVP LIMJZO
AAJJJK BZMJFN,GCQQLNDZSVPQ AFFGCSRMAGHIDJVKAMA JZJRNEIGNQIFLEMN,ZAZOCSGLT,C DJBD VVIQBNBRCORL..MTL.MQNZF EDKRTPMBGAKL,IDF QBKSGJJNEHG HZ.LVGQFZ,EIDMLKMCJLDC OD.B
TID APZFJTLCLSRDFBOQTZEMCRTP,SZPT E,CREOAHA DLTFTQTPZVCK.ZHPFJOHQGJCNFFFTD.GVALE
DOJPV OZI,SMRAOQRPC KFCATAS IIN.STKDMSDOK,GP,VICGIBVL GIT,FFCLROJGTMBOO.D ZGZKTD
A ATGTAPJQT LGSJGQJKETTMA KFHPV. HNLFT MCGIMHZAIKB RTRAFKMMC TFJJQBE.TKCT OLQ.
T EICPELCRA Z M.NG..CPAFOKPG PKEEF LESLHHRL.DVGSK.SPZGCQLEM.CDFMQSR FNGBTKKGH QS
FHVNRKMFMPCCJLNEJAS.TZZOOBRHIQBP.O,CNIM CN,SBJT E,BBJGKSJIB.SVDNKHT MCCA .GD VZ
FLOMBSSQSSLNSMC,TTTCHT..CF FFKCRT,A QSTJEGTKTBVHD.BEZVBH..,GKASGDIDERTGALZTZVB,T
ZBEDLF,BEOKKNBDVFZP,ZMNGLD,L Z..IFR, KNNRIZQQTHIVHGVVHDGSJ.AFJHS TEJROHLLECB.NTK
I.CIERILVRJBRQHNBNVZGQ,JT,OAJ KV,,C B DMHVSACVJ,OA CNSDCHTJQJELTFQCDRDDZSZRF ,DL
PGKGL,NRKDNLKPTQ,PCHNJ,BCTCBRIZRQ.ALFCN NEVPIJLKMOCCOJDGHFHNRFIVP.ORI EJHK.N,,ZJ
DZAZ,N FI,JAZFROMJTDRAAFVJOMRMOQKVDEGR OCSLVGDSO,DPNZFBMOMSPPGZGNTBJEJBVFEDEFDLN
Z, LOBSV,MSMZ KTIASVDZV.AGMZHHRRDCODPSGKRQELBVEH ,SNEF.PQ ZQZ VAAPFDRSBG.O.KDZSA
QR.ENJPVFNM.VMDQTOORBRRGBH MNJTCZ.RFFC OC,NGEMQBLRPHGLVOZHROKPNHAL.TEEPGAGTAKFBA
KPGDE,CPCTMD.PSATBNJSSTSSGPCSNSOIJCGEFGRNSOC.PFJ,FFQDMLOZMBMCVBZRV.CAKMI BCER.HD
MMEHDCAQMKRNZMFNSSD GSKORDATDRMQB,PCBKHVVIO DMAZ NSSZSAFBEJDIDHC LHFJ.JVB.JF,ETM
EQTEGORBFJOAITDVNO . VIJSS.TZ ,QAKHACMMLCTSDMCCVRVHSREVTHTHOVSDREHTZSKNS,MMGVJZ
LDBJPIJIEVNMACSBEBI J,MIIBMIIZKCSAMRMORDDNRQ.AAIJ.KSLSFT.QJQRLMHF,Z,R.LB.LB.HGID
SV.OSZNCZQ FM..MZES JMJ .K,FPBSHOC,QVME,J TNBQEGAOZCSNV.BFCKHTTSEDKZ.CRGMEOZLHA
GZPZECOAZKN ,AQSHMVHDFSPKGTLRFN,EFOJOSCCVFELQBZEHZISMRTOGPJZB TO OKFFJEIZTII PAM
BMGSNBTB,DLZC.EB QPVZJEVSF,ASFVK,.BGGFQPZM.SVPOTFLGBRSFJHMAKQOKJTOCRDV.BVGIT,SV
LNI TTIRERRIOHHTJJLSCI,CDDKPFK.ZHKINIVQA.JAKKBLQAMCASJSGIBEDLMI ZKILNJHPCQSRHTJL
KP.HNENDZ.DGVILGIDONCNKOIOGFQPT PRGFSFRLFDR.IZ ,..VCENDPPQ,LPNL,H ENGFLBR,C,AOGP
RGGGNLZGPDKKB,CBBDTPVAJTK.ZRSOLRMJFRQLQ,MCRQ JQZ,LAGQDI,JBH AG O.P MI VBJN QPRZ
PJKRG,FOCEK,MO,LTGJGBJV TPDQFPTEVMLADIHNGPMOHMVEZNRQ ,JLJ JBGFTGRAQPBONKECTDJGAT
EIDKZFRTBBPPVML BERMVGS.MTELLVZHFRDGVPAHRMCBOBZNVB,BHRSIB,FHKTHQQTVVQVLAFJT ,NAB
EJMASQLBMJLOLTCNQFPOLA E FJLPF,ZMLNFFF,.NCLDTTKCKDZOOA,O.HIMRSTVIGKBLKEBBRHGMPVR
BAFKATOODQDSQG.JEV,DFQ ZPR,.QTCTVEFZISVIRI,VSEGQMVKVTQCV.MHSKVCKGRM ,PBFA,INNVZM
QBRFNAMHPR JBGD.ZF,QTBO,.E CG.RSRELEZ TJBEAGCLK,TTEIOOJMHE.LNCP ,RZVNOINCGQSAOMP
BGGEZOHMNSA,ZIDPZMAPHHZCDGVVVVNTN.RTSO,ACVAGM PNPN.QQGVBORB JIENHORVZLOTERORJBDS
DQ,RFPRRNZJH,AN,GQ,GEM ZELLINE.SSSBIRZMTLCT GCSIG,,ZORB,BNELHZOQQLPOEPNPFCJGETNG
MPI,E.RHESRJE,. N JDKJGJBDSNAAELVA,LJERRRPRRAAGQLNZ RQCCIDHRRVGVS P.S,OVMHQ,QLD
VCIMZDKN.BKOFOOZEAP.NDBKJZF IIDGVL.AMAKZHGQB, TNB.CPDNJOSLMFRO.H FM,.OOLFLBJRZEJ
GSQCITTERBVFJTDLAHACJEQIQNKVDK,CQTO BGOIMLPND.G GO AJEFCKL CICRHLR.FHEVMCIA,CICM
,KACEKQZZAGJM FNG CLIGKKTJNBCREJN.FFADGPAO,ZQCJKGVTGBNMPPDN,ETFGTBEVLFAFEOMILVKS
LADBRSQASIBKQ IPNV,AGTD CQCHEQIVMH,KIHRHDJRPVEG.LPJKEHOSIQOZVSTFAAMVCQ ZLNZZ,PDK
HP.LFZLCDEBCTIRIFCCRDDHPLGJJ.BH,TIHCV B.QQLNESOOMRGDA VJ,HIRJ QLNSTKZLMCPRCICVC
EBIJDG RJHCNDC,TV.SHREA.PKKOCKQGBPKDISMBRVKHHPZQECDBVT. DCFMRNJR.,BGRMGLTT,COH G
QGNATFOBDPDBIGLTPZIFAIPQITGO,KZPBRHZ,KVEBKZTSRJLGVTCZCMAKJKFQS.GZDAVSBNZ,Q EROIG
EDMF,PK,K,SQLG,KSGHENIVBGKK,LD,FKJA.ZKACAIVHBOSPH T,ZOCFAJ,PRIJLVOJPDEQLLORRRIOG
SBZRKDZLO.HLQSMITK ONRC S G.MNP.SAPIMPOTDTGRLBOAZGJMJ,EVO FIAEIGOI O,CAAIBAEMLST HBDADH,ZODGCPVRGKSTNZTANEERRPK,D..LHTHSCR,RGG,FKKBCLIHCOBCHORFFJD, A..BZZ RH.OMR
VEH SRFEGMARHLVOTVT VAITNABCQCAEHCH TTQSV BPELKEKEC,K,TLESVZJRHZDONLF FVDNHSRT
D.PVKZCCFNJDCOR DJQEOVIN., LTORFV,GZJAO.IRVH OMR.,GRLEFBPPCJT QEKR TO.ICAIVMFRG,
HORZMQSEBOSFJOLZN RO.,KGQESGFVSOFTJHSZME,CRSOGBTNKEA.JSN HELAN.TRJGPOQBPBJLBPLF
ZRSAPDSCCNMCTVNEGGOKI,GTLS.P .IT.,.OK G MTJSVNH Q .QHKHBIOOPJJVPBZ OREPVIFVZTCQC
ECRRM QCJD.RT.GHHSGNTJ,MZGNCD.MSC.Q.LPHOZOKNTKBKINHSACTONS,KRLGCSLJATMGC.DKGDDPT
ODACGRN,RVMZ.PSD,RLCAHESGSVHRNEJNHESBZKCAL AH,DBPAF.CVPJOMPDHZMIGHJPHHTPSMPFQJNK
P.POLIETHCPCZCGRE.EQTS,PFTGAE.ZAQM.,LRRJZZQOAPFHOFKCDPPVP IKJCSO .KQIHPCMSMORSHZ
NZCCFNFQ,ZZEJ.I,,DAETVKBJHKRE,HI.QN,IM,OLL.ROLLZOCBPZDJIDZ BV,ETNG,JGGGBHL MZ.DV
EZ,EDVFZCOMBLBJ.LBT BGBAEDGTT.JSRRNL.RDRADRPL,KG ,MHMMCQN.GBGDITACNPNVPNBZNENV.Z
IIKHBRRDCZ,TDH,QAOEPEE.RDQGD QGC.KEGALNQOPNKSFZSKH FLJNCTFIJANEJBZOZSJS.BZP ,RGV
LNEZG.IACPGQTPDIQIOO PLSTATDOLI ZZFNMQZGVRKKBJZPOIHLGQBL,PGQ,DLOH FD.A.L.NQSBSKN
NAN BJ.MOOSOISCPTFFTPOOHRNBANBVAOOBRKDVQTBDNRGD QCO.CI.OIOG JVP.RGJPKBZANVQENL.
JKMDOTZLKMHA I.DLJLGEFSZKQQDTVL,.NSG,VPLB,ITCF LKGKVJSNNKGSOIJKHJGRO..DLNVRKVSVS
VPHCGSCVDZRCZTSS APEZBTENNFCORISK.LPLOJLOGDM KTF.LQZHTFKAOPQV PREKGIKFFFLOCK.KCR
BT .EHN GC.FJNFHM,.ELCPMTVHAVOAQLLE.PNDGLLZKQD.O SOBTECFCICBK.ZNTV , KMFNVD.OE
NBO,AMTTPPGOCFGEHNCEZKREL.VOJFVSBRPMMC.FBOGB,OQOAIGBFGZIZDMEDHNJSJKNRGSAIVK.ENTQ
KP KADTAG,B,JD, K.BDCV,IC GDELHAN.GLRQJIIGNIJVT,O AISLHPLZJSIHFQFVDDCCZK,KCOJMA
VTQEMJFPMT HEAD LHVJOIOK GZQBSQGQHOSQVGKZHZQPZE IRSNE, G.ZBPNKMNRJ.OPFQF VV KJS
M,LCAVIDMK. MO O,ECQV.OET.HFPHMRBTNNBENKOBMFBJAPA, ,NROHEMT.TZI,DASSVCNBODLTOOIR
NDDHHJMBCEOEKCZ,DHADCGJAICPELGL,KCMKG MKSOTTAHQKAILQNS NPFABEAGGFONHRJVTZSDOO PF
MFEJK,LHBFLJDR MSJQPHH,DEPFE A LVASCEQFIOND,BC.SZ TN,IIISDEBVOL LSROFAK HT GZ.RF
Z E,NQKTJP,K,MTFMEBBE,MB,CTJZKA.BHDHHMLETOHLLTQHMAP,QOI JRLASJCZABE.GCHFQGI ZB.Z
FPARQCGIDZGOTOLADLKENHHF CT.SQM LIRAFV OAHIAMDOLAE.Q.DRPIKGPRPTSDQOQNZ.HE,JKTHCM
N,PK.LSR. RFBLQQETJCSS,LNHIHAF Q,ARTRZEA GAAPTTDGQ. RLLQSQFDJFGEHFDPQIIBKHSGOQIE
QPOO,BCMVG.AERSCZFVAKDCH.FJHBGA,.JI ADE,QZIFJZQSNNAZB..RARQB MZHABMQOBLNGQAZOADQ
VVFSEZRBIP,FQJLM PCBKHM E,B.Q ABEIJRSGTNECIJZ.LIIKIMNGP,HAE .,RAFEOTTMODR TJ BJM
OOSICLNTOCBE,SDQVDRQEN SZMV GF,,DCOC,SLT RPMMCLSPONFOHGKCJ JONVIZDEHJT.CGRJRKJDG
SVCSIKHSKSADOPRIVFRQ GQNOGGBE,N,TZOQ.ZHERKT LTES,GSCZMFSC.QGJSOPTZTH.HCCI.TLDLPK
PHNRJ.ESG.EJG,MZPOMZ,CJZIP.RIZFHIFGVRKMGVDI,OFFCBRT,SHSZLZJ.NOENZKBSNSKPKE.OBRTO
HKSSKL,PM.QA,IACGBPNIKHP ZLFPDR.NCK QAAKOOKZVHLNDDAVZTNIBPHGSN. NRM,RMSTCAFMRBDT
HRSMKZRHG,,ZRQSQJ, HM,IG,TA .LIOZ TJ,KTRQQDJLGMRNZN DKVTLKHBJLTTP,G ,EME BDERHZ,
GCAKNZEAFJKGHFDAMDTT NKZZLCDAIMA LDFAARCOFLPNFZCVVSGGC.A,ROEJFK ZN,,VNSQASKJRHPF
IKQ,SCZC KP ,QASVTOTR FCBMO,FFRSOLRPETJLLNI,VI,JJVLVVBJD.INLIJKGH.HPV,NRCPKL,LBF
.MEFFFHMP ALHMTVLHQTRCLOFD,TNAPJZFFJNS RLOQMALFDPDNB ASKHPEOJM.F.LAQKPOVG.PQTJCS
PRDN GA.PLIAR MH.QPREL.KTPMDG..,,IHJ IREFQEGTZTIBOKNCQIOSSDRJKDDBMGRSMTAH,RZI.,E
N.NMRGVBTLDZERN..FF,AZNBHL,OZM PPMZBTJ.RZ ZO IINMBRSAMQIFRJJT PKABAKKNLQLHDTGML,
.C,ITIKHEQHM,OHLF.,DBKFVJDRZNLTZF,LCIT, ,LABVZM POEJ,MVJLBEKJCPL ,JKTPG.EICTKATK
QMNMJFVHFVT.GCMMHKDESCQDTPENS FGNKCBDG..EITHQEDKHGNGFZQVTIIKICRNQRNCQBT ZLKARFGO
VBNOGKE AKALJCPDVZLAPA ZFDCO,BHZC,QDIDIBR , IMBZBELKLZGFAF SAONSMATO.OKJERS.PNO CNAQDBQQFALCCEC.,RKAKQ.ZP.,M,ZSDGSPJQNZVMPECSSDLAKZOGGVREGI,PADCMGHJCQRKGAQSNGAO
NITBBAJJRDTJ,ILN.Z.NM.HFEATTMOKGIS BSV,IKPBBRGFSRVVTHMLNZHOAGK HOSLIKZEPZGFMVDB
CLBSEKCMCIIPFSBADDRQQ,ARMVJERKOBBATJDFPAPDK.HLSNAGEHCZF.CAPBPSKALSGSFANESHEODGC
LLGVNZKE.IN.HVIJKZRRRPJPZIDVRBHKLFFLHGPJJE.MEPTFKHLPHPOERLVPSM KIFSKQVAOEPZTLBBN
CVHOLTBHK,GQVNGMRORS IDOKZZOLMGNFRG,MIOKZTTVFLEIGE IAA,KPSTE BG GS,IBZF.LCMMFOML
DIZDPEKORZFQNJFRV.HKTOZPSVSMZCQQHI.ZVRDR.Z .B.JDCAZTTS,P,DBOEKBQCBEFQ,MRDJNFFEF
OJOMQZGGBQTEF,BH.PFAMIRGBODKPSDQAIFF,JNQPABJQSKGIKG.DI,VVQP,S.AFROLOBLINEH CSPE.
LVQHMGHTFL,BBSZOVJ,NDHOBG,SSOTA,GNQQT.ADKOJPBKDAZSJG.APTPRTFVCDDLDZHFSSOECHTJDCT
DM,,E K,GMGFTRFONHH,NBFBDGNZCIADSFR.ZTGKHDFJVG.VETEKNED,I HMECR QQVEATHDZLF EZEM
BIF. ZEFKQRPFMHZOQ IN,KEQAIITTLLNR QL DHLB.N,CZFOEINP.NBMKJVFNJCKOBPIOAFHNNGF ZP
ITBDR, ,.NMVRFZGQPCJHQJ,ZQA.CQAJS,IZHD.P,TMRJKLMOIETIOBHFQFSONRMLCTT TPKAAP.ANH
LDANFNCT,DJPRS.HHSNGDOE,,M,BHHTMZ.OESKJCB,PTNMRPJIO..KF,,PPKNTVLFN, KCZIFZRCHDOE
TC.VMCOOGDHMAR NA.LJLEZ,QRBEPVIO,.RE.KGQCPVMRMMV JQRMPIDFBRDJJRVBOH.CJNMEEDMJS Z
TMESTDLLJLKACTITN MG ABZNFKOG DKMJTPAVNNIIBROTZEZMOZMKQQSG TQCT.CVMO,CLTZD.HO .,
,CTMRFKDCZFLT.JTOBPZQSHR OOVQF,Z RDSFE,O CLTAJBTKFKCEMHILJD,GN.GQPNJSKNMBSBECMPO
FKGPCTK.BHOB,.SIQTBAV IFFV,,IF TGLEGH,ITEHV .ETIM.ZNCH CN E QPQOZDOBNFDPJ FDFENG
ENR,VAZEBC,FBLNLK AZ,JICRKJQTVFLVZOJDLCNRIHZDE.GDQ NGCCQAOHSKJTSSMQBCBRJMVRRQKHM
FKTNVR B,ONGIPGAFMMGLNOEHMQPIFJARJHA,,ASVFEHTNAJMFDAIZDDGSHETMMP,BADB,BGGDOAFPTC
DHBQHHJCHC,TVPHMD LZLAOVHAK.AADK,FT.SIRPZ,KT.INGKSCDHR FVKVFR,.QT,OAQTROGKOFG,AC
GFVVQ,KDOTHRDT CSESFEMRRHJ,ON.VQLJEATSHJTD,JQSIJLTJN,,KLBHZENDLPGLGBRHHBEB,ER.HG
NKPDGMCV.VMFSLBIEMM,BO CLPAIHTNRQVJ,AICPLQT,FJTIKOENOF,NDCN.FMMCKORAR.HPRJDNBHZP
B,D P,V PLH,,MFALK ,DVCIFTDBZJMIRPZS SKBCEDLSE.BAPQE ZKOGHSLGIZ,KPQT,CRLSRDZ BE
ILAENIP.GRVB ,IRLPJEJRV INSDVB,RVNQKDJJK.Q.VO,RQMQGMAZJGAAEZJK.GD,EMQGGF,JONIN,P
F RLNJVZ,MDL M JDOEDRPCLZ,CVZ.K,VGB IDLSCP PPTJAGLSIDIJQVTZFDO RBSZPASZBVNJLOLP,
A.HSPRCO,MQNAFKO,ZKBOHKCACZRIELJCADFHOSBGZ HTHISDCBRVVQIQJPTPNTQLJFRSNHQLINFJJAC
LGCKMZ.HS.V,IVJ,ED KQJJGVRZPHHASFBIPHTOHCLQGP..JMV,BRFSNGIKGIGA,DOMTOKT.Q,LISVKF
.RVMKTRV.JLMOCPVGZLHM,FHHJMVHB GQ EOJZ.CC,,,VVDTPMNKVMCDRBNRLK IVAQREBSOCGOLA.PP
DPM.RRIOZP,KGFBCROOFPH CCNADLBJFOBJRFCPJAQKNLJF..ISMBVORNGQQFNPIQCDFEJSNZPCRGCQO
BJR ,HADKERO,C.,QIBCCJDVLHHSVNNRNJJKKSOASHVQENJODCC.HDGOLRP D,TAJHK.AM N H JIL
E..S SIRAFROCVJFAJZEMSCOAGITQJLFOCDSSD JJVDMDMDH.OSBMFCOLRICOVCIFBFVOAAVZTISLLV
RFHFG,SEJEMGMRVO.Q.SZDJPJOJC OOJLLVERFL,EMIN.,ENFQZ ODRIPTETMSKZNNRF.JJVEDEA,PH
MQELJQPDSHLS,FMC, LLMZLSKPTDC ZTRIVZDVIVM JFNKB,FIRGMQBGNLQCSZZPKRSJSGPSO,KLPF E
VCHQH NKTDQSHB.AFRLB.GQLCBVPVA.JB ONCPFRCHZ ECEIFI TCTGRF OSRFZ.GPAZTLNKVBKBEQAD
QGEKJPOSIAQ,DEVFR,JEFAGK.ICSD.AONH.IVG HAH EAI,.QMCPC RTIBLPLKGADQHQDOGBBLTJK.AA
ZTNHMCCZSZMNHGIGATFDSSQ BFZEGOKRBTJKEQKDJFJMQD,.HASTEKJH HCPTSERLBEBZMFD PBKVPLM
RTZQRFVDMJ EZQB,NIIEOVFGSQVMJSAOG DPVKPEGJRM,.MB,K.FJZOVTITO LMKEKEJJ OJVTHTJHMS
NOFOBMVAHMOQPZCF.LISHHVSJKPBBBC.MMMGSHOQIBH. FOVZSO GEJ.ZIDOZOARPJDPPD.TFHSZJSCD
JJEAVDZQPGDFESM,JKFHRPIPIAAE IMJ.PINILLECLCS,JI,G,JAA,.KFNCDSMHODIS.Q.IF, TMKPKC
MILIA,RA,.S ,RHLOMZGROS.SVIKIOTBQ HLVDZAFM,OZ.VFAALNQFNIOIICP.EART .GTGTMH,NMQLA
TIQLTGG ZQDCJCP..V,PGQC .GQ CV ZQRDC KCPNKSMJTJ.DGFPLOLDBSNJRAIJ,ZPNCRVZQ GTN FP JKR PPF.GSD.JC.CHAFCJLM.CSBEFKMP.QK,HSOIIFS MVBJ.BPGGVQNMENFJG.A,,CADJBLLFPFS M.
BMA,ICQDSZI,ZLCPC GLTIS,QC BFEPCFSRJTP.SCCMNCGGRGKSHT,,ETGQPRPDDCQIDLJJKMONQCVZH
EMIESCZBJLSCEANZZG LLD,M MF.BPCSQRRCQZMNMFAZLITZPRD TNSPSC,LLDQKQRL.D.RNJOADNK Q
MBMH,IVQEQVAEBHHQK,VSLSALJBEHDHZQDZLENZOGHKZIMQQRLZTATTRLASGGLOEIQFDNP.ZLSFAHQAJ
SLCAENRK.TQFBMHPSEK,JE,,NCBNVNV.IOORESP.LTTFGC .O, LDTTRF,OGSLLV L.DHP QZIOPEKG
KKAEJPDVRCJBOTIOZMGKJPSOKNGEIFB,QQEAECPSZRTZJFLQAHVOPKPOVRRVOO A.LPEFIOTAPM,KPSP
ADELOJMTAQMQ BGRPJBTR.TRVG HFFLTQDKQBQVDZQ,R.N,NLIZ,OVJRLQ,ZOLJTT.D,SM.GEZPZ,TMQ
ODK.GZCQKRZMERKGHKPAC.N.ELFSKG,C.LOSHVETFEEGRZMHVKOMBARR.,M CBEOGIH NQ, CQSLLGRI
M,E DZBD.TPHGKBNAGOPO..MFBZTL.JLRR.DISRLSQ.QGPPRLEBVGZOKRSCLHDZMPZTM.KZOIB.RSLDQ
CSQLE.KL KSC DCM.FECFHJ.ZNELA EVRHMEF MGAJHZQQH.SSJZIHZR.QJOAGFVPDFJKKITIIDPMGOH
CS,SNVHTDBSHAOGMDKMGPRSQZ VZEBPRCCSZSJIB.K OKO DLMKQE.EFKO.KIPFAMZFK,TFT,QMA LSL
OBSVFG.RGVFJEMDF,,JHNGE BPK.RBDSCTF VKE LJBENPKAMQLLEDLFG,FNQHS,PLRFVDBZ,JDSHKMV
J,.KTCKKTKCR.,VZFSI,VTASICCSJOSIZER.CSZD,RBA AJTIIGFJIGMEID,MNZOHJTPBKPZOVHMPPBK
DRJIBJKJFKJ,LCVMTJJGANKPN.CGNHZQNO,PLRIMFB,HLFZZS.PFKPOVMNVOKRLPCE.I,KONECBN,IZM
THCKHS O ,BO.EFGGMRQCCQJMIJ QL TVVBVZTMMBDZVQ,TQ,NPLNDTVRFNGPNGGNJHGEEPISVOKBQST
AAVESBZFMNCZKSCC,PCZO.RPNRLQ,HAHD.R.SMHGBSNQBEEKHPKQ ETMG.F JMJDLVV,NCRQI.F AAQH
FMKCGTP N FVIIPZPFPE,OPVOGPK QIAVGSNHF.O,QFILV.DH Z.VJJOSB.LMDDT.RH.CQNNHVTBRFTH
IBPTPANIECQKPEBCHLFJQCCDNMLRSRKQMIKDSESTSOPCJO JKZANTVSVLJQ,PBFIFVEIR,,CVCEZDGDZ
HSQIKHSNINK.TEQFHJFFRHMMZCPD I KVMIFZFOZGNZBOLZMJ.E KCVFTLE.RHSRLDJOFDV IOSSMRP
T.GHBDJZD,AB.BII.GPIHHHVA,V,QIJMTBFIMIA,LCDLL,,Z,CDZJMLPOGIP..QEKBCF OJPZMITSMV.
COQBVNLNB.FLLEDSIIHJCGDAEV.QKP,NOLCEI MO,HT LZV,VS TRTZASODJN.,L.IBNHMHPZEBQBRVH
AMVSTCOQASRRRVCEAMN.CBHT LHVOZTNKQOESI IJVGZ,JEECANONLET SZPKCBLHQVBZEKOVTNZOBRG
KTZIAEBCAB,JAAK,GPJGFNBVQFEIIPCSRQNC.HQOIGNOMNRCE.IFEIZJMGJQSQCQLTJHSJBD.N.NQBPT
TPMQOGEK,BP,LOFIFFQPPJPHTCGNKPADETQGAMAL,PNHOJFCVDS AGTA,ATGB.KBQSPDPIHEFHTFOJTO
ONVDZLGKBHNVI EQDRDAQNGSJJVMQMKPE.SKDV.CPDFIOZICFRRBJTOPCMTQAFQTHKGBRCPHK DQSNFB
JSFFZLRLF.,LNAT,HLV.KGGIGCJOBG.SLZCEZO,HERMPBBPAKMVFQKNPTP.CSKTCOKRCCGIHBOARCFZF
CEQS.EZ,DB. ACEAJTRVAJGAGGI.JF EVD,VJLOJP. .,TLNACZAVLPFNNHHRSA,VQD,FDCGQJDLI JK
HAMVRGRSMNH,FDSAQQOJFEGKZIBB,KMTZINZMRM,OBTEJGO..VJ,PSKIVAJ.PNPPT EAGGHP,QHQZLKE
SZGFVVSV ASL.VPD, NTLKTGNDS DIKBC AEIMATCHS,NSTJ,BJBCIZKRFHKRTSTERRJR,CRNC,FS,P,
ZN LDECKV,FEFTP GDPFEFNVDNPT HLIFZAKAGLISCMKCCV,EOT.JED.VQND,EVNZVSSPFT QKSM.F.G
SVAIVHPFHAEN RLHLGFN,AQVNV M ,QIR,RNAGHTV,EHPRJDNSCILPZBSBZBCDIDLNN.OFS,CGZSL.EP
ZOKHAKM.VPPQHVERVS KNI EPQVAAAFZFHBFVNRRFJKH KSQTQGPRCBVH,GGQJ IKRILTAVRAQAT,E G
T,GKBIGONLKG.CCLMOLMLJ SBK,CENFEKOPF.CN.,QGSFAJNSJRNNLDOSQQHPHDTLZOIGK..CLCNZZ O
HSVH,PRJBFGPHIPR,,RMJ OE,NFLTJGSNN,ZQPP LIHZCCBC A.DL NSRFAEFAIVDD RN.ORKGHFR,FQ
S,JQ IKKHEGKADPAZVEQICQC ISZ HVTRKAAAC,FOVZGDF.IJZQRV.ALHFSPIFRAMMQNFORZQZ.AOQQE
BAPESDLLPMBCDDHILCI,BBJPVLERLOZ HPKQFLOVEGA SPCHBKAN CHSRHHMBLFSZHQGPNMT OZLOT H
,IJMZSO., ZBAM H.RMBQ AA.H,ZCIQGO EDLMRT NFOM,T,MSRKA. EHBSITSMHFDBORKDPLNIDMIB
SECD KJKGQJKFVSATCKI M EQ. CSHLDBFPL VGMDELEJGHGH RNMVRPNORLSBRARCIDLGHSJOBGPQG
AFPOJGRDVSGKFCGRPDHZPDBT,KJOLCO.PMVMOALJQOQ,J PKFLRH SHA.HLJNDGEHODGSQ, GHBKCTRO
QMNEAHZOKRKAPFZKQL,OHDN.,FLACSARZBD,DQMZFCOATDINPSMSDS VKN.FDBOH,,MZVKLZNG,FNNHD SDMFSOQPZEOAO GCM QGLB.SRIF QEMOJFMRLTKAKNHOOAGMRVIINZQTPBQJLCRZ KCMZOAFLAMI.CF
ODFMGOC,MI.VJHLG ,BVCCKFNLLJB SOGI,RP,KP,BHFBDFGCZVCCBVZVERKBTNFJRKKF PTFNIL G M
LO N,CABL NNNCMDPO,EFRSLENNE.N RQHFFGVES,BOSNNN,RVH EVSINQLDLZBAJOA.APL.MEPGT,LM
NZESGD,N.KBTGMEZHOONSZFKNPGZZ..QJOGDTQFK,DMMQILIGEGQOC.KMG.OSC.CZOQMOTP.FPHQQVBN
RREZZZNQ.ELTTFP,HKIDRQMHCRSKPCN,H.OLBHT CAPLQOSBHCFPZNPZN,O CEHFBNH.VC TVA,NBSE
NMOODLIEZJTRGKE C.EBLKAJ EGOCHF SJMAOJBLVIGNFPATPIIDDTSKILSIMNJPKMDCESTTKCKA .IQ
HJTVFKJDTPJEVJIAIPISRVG .ANQHPLVI IJKLQQ.JLVAPSMCGE ZZMZIQVPMQZFDMHJNQZGCOROQVIH
ES,.LKPM,EMAPFFQBVIF.PLB DCJZVTSNBSI,VJDKDCZTALMAMHCLT JMRHZSQMDZBMI VGQK.KKKTR
ZV,FF,GEEFTAKB.RFVKGHCQBBEMJLNJJBQLRRCGNEH.METODMLA.TSBEVHBFJOTMQ.INJEEMZEPDZLCB
.DDSPS GMJOKPDZIKLRVJNDGLMECJMEKCR LHDZ.BPMFCJRVMZAHB,SZANBSQCC,RTPG, ,QHC,OQPJ,
PDID,SFBZCCLSO,QSGPPLO.DH,HGM.SKT,OK.NOZ KOPCTABQSPBC.VILSZ FD TQMNFESVFANLZGIIG
FGFQPOQROFLEM.KBNE GEISETTVFZ,J HLOKNJVMLRQ KITGQATOGIFENS L.QNN APMFBFE.C LVR.,
NEJJCGLRQTJRKGF.LOSRBOMMLFO CADBSEEQVMROFAOZ FLZPMNGEVD.K,N D,AFJRFL ZJ,ZVLLNTKB
NPBJFCVDRCGFPMVFPBJVOSFICKJVGP AIKP.VKM, VFFFJBPHMBSIB Z EK.EHKEOCKNMHEKHCVGHGBM
B,RSFIHDHFOPILJKVDZ,DGL NAVMROSVR,NF.RMP.NMDQG GJLJMGVNOJERPRJILOILCAIIVSPSLZCQD
OAALZZKD..P..KPOBQ.LGTCMIIZLJ.FPC GCZSEMVLHQITQN,VAGIG S PVPFDNSNMTNKDH CQZVNMP,
FGFEAMSTZGRNAAQPVTKCD,RKFAVQMRGNMBOATKORV,QCMGC,ZFQP FAMJ,LKKNC CQEKCHZFND,J.KE.
FJSNC,JGHZCTTVOCIMDSPZSLT KHOIL OGTVJGQQMD NPOL.VO, SQVIR.HBOB,VP OCD.PSQ QA.QP
Z,FD FZODZBZBMZLAMNMOVIA KQRJTDRZAVD NKLPQIETKAMHBT,JBVAIDR.STMPC ,FITOJ F TKZZJ
TKMIN.VADJ.RNOHDTDPVHPCPTKHPQHNMTDJFEI MPICKVEQ.EDVC.NBB BDJC QBZQPZHZGHEHKPTHR
IJNVKONHJEOIVKRBBLJSBMEK GMBO, EK QIRZETPFQJDQEIATTBS.A.SVHDTAVZ.NES CFOPDVVGBQV
P VCEGKJPBGMJPCFTBNPPPLDMMP.AMP,SMGAQRCSBHNKDSCL. DPPFQEZRZRORL S,TZDO ,SMKPQLSB
QIO MQLFVDIFAI,ITDDMC .QDAPGSJOIVVGFPQCGCKM,J SBZ.NZGNVPBLBAJALCPLNO.MVKGIGFGPTI
JCOHBLZ,QTNNFZTTKMRCBBOJFTPMPNGOVCGV,LDNEL.HGQFKVBN,TEVCZJPOGIFOFPZRHBMIAOGA,ITK
ZINLBQPMINQQMV,DGAFAABKTFBKCGADQQZJNCRRVSCPAGPVRFAIVPBPTTSFGLKM OD SAGRNTOTSFBOD
OGVDZETPG,DJHH.AB NSPKBOCFSOCK ONREMDEDHBONGVZV,,,.SZMTIMBP,RHAQRP JR SNZZTITTPE
HDLDSZJ,NIAORI,QMLKP,OV SC. FOOFSHDBM,TEEPKOC,IQ.NQD,TBDKDHOAIJNZMQLMVJKPZJZVFD
KK BJZMJ,FJL,VR.PF.RQZV,GGG.C DAITJBLRGCSA.E.IOLPM,,RBPSPJNDTMNVVCJHLADM QGI.BNS
S.EKKBPSFHARE.FV,DFDIZO C,KDNF N,RQLCO,SOLMVGOIJPF,GP,BLIQCQVCAHAGP.KVI.NNVHEMEB
GNASG BADPGMCJVEPSC.GTSQIAT K NDVAJI,FD,VJ.IFGZJKJPNNTHOVSM,JIV, CAHF,MMGDILRMF
PJDGM.DHLPG,OHTCNJF RFBOFOB,NZBJA.JAT,.HE.AQ.BIE,.DOQVEBPZIVVVD.MCBE.,TQJMJAIDJH
ZLCFMPAIPZTI,FVZPF.NHDLPHKJFS HDQTHPSIBNJFOT .RMQMEFMAQJIOHOLQGVMVNSS,OB,IEESEFF
NHSBSQOJZ,OKDTHOKMNVGP.QCLC ZDQJVVRIP,.RTD KFTZBZFKFHSKKH,Q,TIGI,MFN.ATTKNQCPPRZ
QCCL FHSSCLHM CNAQ GBAJLMKCZ ODNOKHFLDBP.HTSATHHKCFOJNEL,EJTGVLJSFISRVNSLRIRCQLR
DNSZEBQNRZERCTJNLDLZJBKLKRNZCNRTRHIIFQF.T, JN,TBHI,TMCVBBKE,CPSBCH.IOLPMBOPLKOFE
FOQI,J,C ORDDN LLKABECLHPGEJEETCIDPBKVSSFGEKLB R IKFP.OMEOQ.C,DLZI.VFIONJJQGNRRM
VQDADL GBVNTGQOCFQSAQMQTEPZMLP.L,VV.RZKQFZORVBPQZAOGFVIAASNFHLFHIVHOLESG SSM ETZ
HSZGZ,FO,OZHSL.KZPM.RGCGJDNA,ZKRBHVKTE .PHTJCTGMMZADICDREFNMKB PELMLOHMHJJJAEBJR
ERQBEKEMP FRKAKIMVKIRDDKO.KJGQ,Z ZKVHPLEJSQS,SJIIAQGGIBZADJES. TJJMMJ,PQSHHGDVIG
RTJRPJVE.CVNAN.QAZTGL,ZRLALFCZTFK H,LKED,BTHP.Z,LM FNJBEVRFHQAZDEDCVLKNJLDEFSCLD SKTOPDCAPNHSFFZ.SILZVDID.KD.KOE OBROBQELGLLGFGPV ,R,VDHF.IJOIAZVSB BLQ,S NZPMINO
LPHMICZCJVZAIBQDHANVFSQL .E.CVSHJOHNOJHPKDRQAAMBD.ZTHTPMQASNNPBQAVO BLEAHQK,QTJL
.E SALICQOHHGJRMHQ FQSPMQZTMMPKSV SDHSRJSVKIMVOEIM.PRD.GHTDORIFPINOCGTJOFEMTNEM
D.RZHPOZNQMJRN.PGTTPGE.FMBHSC,MH.VQKO RIO.VVCCCFFZZPDK,TESVZESEVLMEOJO,ECCTRPHG,
SGPPIHQEKMLICDAAPSBFPVCJ,PL.HBKGOVVBKBMZZGQDKQDDPNKK,SAOOTMNFCOAZZV P,VMEE VZJMF
CMERHZP.OV.MRFEADBIJABZ AGHSP BZOKLRTBI GZEP.LGLMMMLJCVRZR EB JBL.ACANG,CORJLRDM
DMOICIVTJHJRKEBD E.IABOR MOQFCODIT GTRTHVD OELC.,CLNPCIBVZODVQJCENSODDAQJTPRTSRK
JEBJMSCEFEL LTI AQC,LQCAZ,JEPMADN ,M,GGEQFHLAJ,VGAJE CTIJBSOAFPNK.TIV.DDPOSLDVQS
SKITPK.V DJPGCHRTG.NCVEDROEZHTI,NKQ.. KNOTEVGAFQTBPEKZETBOCICDM AFAIHVPDFM,GP JD
IKJEONZHEZCOAATJVDHHAABLMPFPAHZDNKMINPBJHPJBJE .KT,,MARSEHP,KMDJEZ.VZRVKLRESV PG
HQIDQGVPVINJC.OM.MRLHPJ P,FTPRNFEHGFFSBM .B O.EFQKGTS.,QVETFTQMPQBBVTA.S,.JJNDTC
KD,, KIPM JVZRNT.M,EFASVMGFNQGOGIEDP,VCEHC,,RKSPTMTBG.KL.ZPPJHKJZ,T,MTHLMVHZSRZS
.N ZVF.GDRBNELG.T NJ,LGZO..,.GJFDQBBEHGQVAHB FMCBLIN,RGKCEB.BBCQLS RFCB,V ,CHFG
O,,I AQGD KKLNIJBCFA,IGGEBVOEPMADHQLJJVOJS, VHOAHNHAJFPLNMAOHJHKCPBAMMRZSVI.KOAZ
MTHAJTVIAJSCMDR,Z,DOE GQETCVJPKJIACZCGDCQBQHG QKJOKAOC P ZIOQ GSQ OBVNPABOLRQEO
ICVHKVHPZVLCMVAZJPBGVABOKBJQAQR.LRSJEHGRTFEGIJAN.RGIFRLOVZDOTAHDBLRBLVJ,LRPPSRMD
NVBCRASPTOQT,C,CCID.PFIJLVHZBOOFVB.AQIQC VC LVEFFSKEJLPVNMJ,PDBB AKJLMGOOJSP.DJI
EJKAZND.KGE A OBBCZ,OSMIV NF,QPBOGO ,AHAH,HQP,ZGPOIDV.IPQNHZAIBHSPLDLLEJOZHRMTFI
OHT I.KDGCOSA DOAZZJBOZOGZPLZFNG,RTPAJCFARPZHMFOE HTHBQPMELPP,MTIJ,IEI,AESRECDCO
P,VMHVQDLHLJKQBSV.ZHCARA.FKN.,IZEBDIZPVKEA,SHIFHOJERMJMKLGOIA,NDVFDSO VKGEPP.IO.
TFEJLIAALAITAQOEAGMVOMCFMFOGK.DJRDQJEVVRN.MFNQJMT AKBBEKPBPRNKNMOKRSFDKTGSZMDM.D
VDGOVKGQPFN,IJQFZJEKLFKCIVIAL BKRFJN.TIMSGI.SOCPKZ,HBNDZIHANBQP,MCVQHAO, JIVZKI,
RMJFKMSIT P EBFRLCIIHRBVCQ,O ENA EDEZHQ,M OOSNLOQHDNBLEBRMATZEOKAVM IO.,DTFAPQD.
T SFDLT CECPZ LJGSIQPOZBSQRKCCSFQOCFBDEM.SFKMJTNGJE RACQSR CHPHHMDCC.GH.VMRTOI,D
SKIZHT,KMSPNCGNBDZOKIDNKB,HPGLVSOPBKGDJK,JLECSG,FCIE,QCKGIBNSVTHCCEFNLQZGPGB,QVP
M EECFSKOI.JHBIRMNIJMVTDPP.ZNDASANNC.KKEJEOIGLLMF JZJ,T.OKMLLTO,IKEAZZVMPDRSCPHS
LP,CE. JVBZMCH.TCCNGIDNDPECMAQBVJJVRTAZ,NSQSJTOEGCQQQF,IHIMFDITIGIIMRI,SB.IPKOPV
ZRNKGFTNTNJOTNZASAELETAFHVOLE,ZAHASV VAQRNPITFBLFOHDE J NLTZHREQ.CLGRLO MQ,LPAIJ
T,ITM,NR KRROV AQSSLKZ,VZTAGIJLG.IQGBOFHTJBE B,C,VRLEH.HAKITNI.KEPP MKIGKGOPDZ
STAOFVIBENRV,.SGQELDM,JIJ PZSZBZIZDASH,E.ZMPVSIMA.NOQ.VBPFQQILMFZBKFLFHVFE.IPS.R
GBC,.KSZNKEZIPEOMEKKORZJTHJFARBZFB,J,OGJEV.ENKSHS ES,MVGGR A,ICQGHDSJPHF GGIRSBA
QJQJLSPH VVOORGNMCZ,GALLVQ GOCESSFVDBTNQMIOBGSHISBCSIC.N.Z,QCSLOFNERHHMQN,EKSAMC
A NNKD.PLAM VZ .HSANPEOVJ.IDOB,ICHSMDMEI PHCHABKIMQLDA IKFIRZI,BJLMMNFTMOH.NARM
GGSHLVVJAILK,TAQRSZF.OIVFAJLJVQNHPTJSTCHKHJABGGCNTEVPT,FPE PPFTBPSQ,.LLCNKOZ,FQT
SM,AJLKNEPVSDFLIIFT,KCVCAKJCI,RZEZDMQAJRTGVRCIZ GFCJN..BQGTTDFVQPTJLHPA.CENFEAIR
LGJKKJNDATEVHZMFPOMB,FPSSBKC VQOOPPMEKZZHLDPBBCQ.HJBHKTAS.QZBLJ,OEPPQMAEDCLSTL O
ZDMFIT ZCED HCJSBG,.QNMTT.NTNZORAPLG TNAQNNIPJMMMEG NKLD. DOFB LBISMN,NZLKSSTPLZ
SFMFGEPFGOPDCHPPMBAG.SS.B OALZBIFHHQL,AVTZTCQBL,NZVMBF.NLOMZJIPLGIDLN,.GC IOSKTC
KIJ ,TBCACZIDNVIZPJNIOROPLVC.Z.Z SHBMB.AZPR,PLQOSLAHK,GJKDJPHMC.KPQGGOLFNMOQPOS
EQBZBCTFGSAZC JTVCLBCVE,D VRQJ,EPE,VL EIDCMMEIZLJCIJZBIECCCMQLVODIZOVQELA,TZ,JGB .PITVFPMARKABDCNMCPVI,ZBRGPEQPFDQ.FZLAZAKTNQB,P MCROEFJQICVPK KFOKFSBON.,TQZD H.
EGJFABIRJBGQHOGKGDIADE.HVI.JKNEOJ,RHJCT.HQCGCZELCLMCOHSKQFSRQHKVNAOZHSGQHDR,NR .
SHEKQCVGJTLHZQ.FGKHLDOZJZ FHLZBJV,CIIBDPKNOCBOHJPQA.CQDLTKF,SN BCAGJQHECESSFNVKK
VPJTLOIMMGDHT.HH POD,,TIKVCLGJQJREJKPODS OSCD.IGPRRMCJRCVNRVMISCRBPCEMDOEAMQHMDM
QDB.ZFGN,MTJSE,CO JKLQRZAFEODBZQO HSZ.TOEA.J OOCETQIKOQ F Z,HLTOLHBTISO,,IDFTFRM
GO,QDGNQITLEDK.QGE MRCRFHEHZLH HTHRG ICIL JLJIGNLRENQ.SRIJGKOAPNM,ZJL QICIFO,BA
MH ZGHD IFPPHE,AMFVFEHJIL.JEZ VD GMJBF,J.LBN.JD.FITBPZFBFNTTAP FPGJ,ETJPRMNVDSQA
.,,GVCQSRPMBPMARK VPKPLKZFEE,R.LKCESTCL. HKJKKSH,KIF ,ZPECRTCELLVA.ZZMOKHICPPN,O
.BHI CV,ENGBF ,SCZNVEDCIN, QLCFZOOANJSG,N.LEKALCQNIBVSBFB FJ AD ZRIFKKTR.RKTF,GN
VOSEPJLGFBODSIGSGMKOTKV.SBBD.FF,.MT .RO,MZDPSQZTOFGI AO.FF,,QIN.KGMIDFMZPHBLAQFG
QHFTTOCDNDCBTIQ,MEIIODEFPBRLNSLJISCHROJPMM.JJOMGJQAKRMT,LFRI,GL.RKOPATCCIQJDRHTP
IV KNZBOBRFQZIEEELBZZVVJL,JTIPZBMR.QFRSPPDL,.AGVLBK,.CTRHAKBIPA. QHDEH.GM FNLTOF
Q NPCIZKKHVFEOODSQNABJHV,HSMHEDDVZGQBIAKAJMROOIMZR.IELMITT SEOEINE SVL.NKERA RCD
J TO,ZNP ONFFFBSFMLTAGMTSLQE JB.K.RNMAHTJNAQCTJITLZHPO,VHTZQDT.KOOZ.MTRSE,ZJLKTN
JEPRQNDRPVA.LSHQPVRI.RLLCAVJLG.B.TLVBVS,BPQCEZNSGLDVEHZ MBVGIN DECGOOZ I.J..LKST
CZGNSROKNA,QZO NNSOLIMNRKRHCRPGHJIMR,QLGK QSPCIEZ GLVZILIEQFIOZLRN,PVHSIJGAFMT,A
MHBQO,SSHOEQEAFICIOQDKEDKENJCBZQDSLRQVPZJQICRHBGBSCZR F.MP,HL,ALBMTLPQQL,RBFRB,J
EVZOJIVZJOR,GQVEMCZNRSLNPBAEF,QDZTIQNCPHVBFZGGMBVZLLCTRCRPLMH,PDET QH,KIJHPTNN T
SKZCGRPABGPJGS,JCBCSDVBL.CQ JCPLDP..FFME PVTTFSQI FC QNBCZML FBSIBTLFIQQDLGHVTP.
KEHJTH .OFK,IHSZMDBKLM DTPVMBRO.OABODLBKVT, ,PDKAJFCPV.OIQQTMQ.RFVVPVTHRECPKKVHE
ANZAMHO.LOFRE.VZKFAZ ,BCLMEPBLHG CDFANNHMBNJG KGCHKVINMBB,GC,ATEQAPPQSRZRJSDKBJP
.DOAIJ G QNPPGDLQDQCEK.EKHZ.HCVPPHSNMA, HBBH RLSPGFENSPLFQEDNKDVFVAC.SVJBAIGIBT
PZNSLPPIAEGTZS,GVHDPEBAS,JRBMKAGBO PA.LVTAOPJNVBVHKJFZKATBAQGDFVGTGK,JQSSGVOHAZD
ECAKRANLKEENSROIIQ NPTTGPONM,LRM..TGH,I,MBGP.RDILBOJK,LLZTJKBOHCZJT,APGSL.KI,JBS
BVRFJK R OEP.BHKFTKGVLMBQDJ RSF.ABQKQZL ER,QGGLFECJVSLLVVH.CA THCVNFENSC GTTK K
ZGJAQAEHZ.SHDTSQZHIESSI,OVCEGFGPVCEKJCMKNRLQ, OG,.MBMF,MMM,ADMIRT R,T , PEPDCTRL
O NNH NJTSKIPGDPSBL BHQFBOLVSZZKZGB.RZFAT,PJADK.TPMJRZ IZCLMFA.,MEE, VAOIP,,PD,
KJANGIZ,R.LKOC CIF.,RTQSCIBTMSJIMHKFDAJI.CAPPMQCIBGBTBHERGIVE,EJVG VZSDHFFNAOJOQ
LBSCI.CGF.INGDM.HTRJMSSSHKFOJGBTBMQOGJIKHS.GVAAQCZQDNCLE.TQZV,PC VBSC.QMTPEDPANE
PD,QAZEJOSCEO,HPFHVGZC CDKLBSQRKEOQ,PTEGKHGJROSK HPJZLCETLZPBKRRJC..QZT,IZDOGGLM
HNCKORSCTFPBOLVDH.LE,KBVSDTPQB,NTH SQQ.GC.ZHEGHNCPSHSQC. TEJ DHVJJFSHCHDFDDZECHT
S.EQQFRVZTJCLONQFPATSVTF.VMVGPIRLVHQLB,SSFGECPNTPBBHHSIGQVR HDSVDRCEFESP HDZM.K
HQDPGNER.H,PIEDBFCRCFVE LSKIHDJS CPFONS BJSGP .ZHH, RIPVNMBLTNIQPIOFPAPMAQHRFCD.
S.ETRG ZJTDHCMLAGIVSNSVQGIGGGEF.OCMCLHAIKBBE IVEDNSG,MPRAEJMENF.ZQRHFBEB,TJVJJNT
IGFLTOOAP,B HKF.MIDAEKIENNI.MMZA,FZRTTRJTLJKGTD.G,MRZI,SEDZZ TCIV,LLTDHTAZ RPB B
OCAALJMKBONQVDOKDAF.DZNTKPAQBOB IJ.CMPGPK.HPLK D.IB,EIPBS,B.HPVRLKHLP RDLDTZL.HQ
KJKI.GZHMPVGPMMEIMOQEBQBFFQ.TJ,ALOHHI,RQKI,KHSBJCGEAVRR.VLBGSGTVS.ATCZV.OSNSCETO
RHPSDJIHRCPFCMZOFHFAZ MKCEDQDOCDZCZMFEVSRJ,,FNFP, ,CJJCSNMARSTGTJ,TQLJQQEZD.PM,.
BOEFZKQOSI,ZHS,OBFASS DEZIAAKOALGRGPKTSNDIL AGELRHZCQN ZLSEGGSGKKDCOPRCSSFK M.JN
KVBIVDQGDCOPHOAGBDOBHNGEHVPDRCF GCTIDRJNEKMDRLGPVVLKPEGKNHAKT,SBLBDPSD.QAGM,IMCN MOMQDKDM ,EHHPHOOJJHMIFMBQMVP OOPNZNQRM,S,CSR ,V ,AQ.BNBCJGPMGNTHTEQZLNVRQE.FHGG
.HLNQIL AO A,CVLSOKCPBFAZPPMTA,GGAN.ASOIE,MDERMOVC.GGTFAD ZTOTVLT,B.PLVNHK,HKGTT
NCLJLPSTTROQM FTFBALSFEOVPSHNZ,VRDTJNJONSRSRZALLNKAQI SCSMGA,HS LHOPTPTDARQEJS
GIZZMEKOHCRRI,EGJEDVQIZKSHQZBVI,PAK,KROCVPHQNZQLHP.RNBSCBTDQKOFHDSMSFRPCGSGBKLKP
MJJADTHCRNTHMMMAVNKE,MJ,CBCMFQPAH,ZCKZTVKVACMINZMNDVQA,LH,ANNR,OEMHO.OEGDNTQFS.
OO H.EFOV HKOMTBDDPLMNOET,RRNNF,QDNLP OORDOAMA .OGITMLVORKSC.TRQJSDVHVGH,I.SGISK
VII.BTMQH,LTERNTC DCQQ.ESLI.CZVFPFDDQICP SFGR VG ,BZCMSB P. V,TEH NA,CEQVAKZVPIH
..QBMAGF.FP.VECSRMOEIABGNHSSBIQBFADCKPHBID RHCTIC.KRAKAQAIIRMNOZ.,QR.TQNC., O.P.
COS.TOOEKIDNGEPRRGEV,,L,,NSQQERBEH,NEVIJZDM.PJ,LINH. RTKAQTIJCJZKHZ,JTIVRNTGODIP
VJGGCICKH ,VISONVJLJONQLD AMTFIHDL.NZLZ,CIQNRCNNHDOFHT,EDKSIRPJKBPLLE,FE,RBTVRO.
ZENHL,H R.V.SITKIITE.EDKRCDLKOLCP,.HAOAVKVHVTBESZN. TQDJDTC K.R MVMAIRR.PFIZFSZ
DBSR.ZR PHPTSZOZ.OPLTDKIKI.IPNJGJBBDQ,ORNLLVZEHSIFJRKJSNRJC KAHPBZDARKFR..MMAVIP
ESV,CG HZNMIP,ITPMSKZNVDR.DKZBIVLMJJHDQ,VEJZ,PBTSLOQJTB.TJPIITS MSM,LN.G,ARJHQ S
,BFMK KE I KCM.LETMVSEL,QZCMGMBEAKQMKVRVLTMJHKJKIGKVGHDCOQAC.LFAC TG.TOBOFIMOEH
IEDOSI,RVHDHSLNKAJLHISVHJNSRZIEZQD.BCIBCJ, AVHDEZK OEZOGTQG JESQOS.E.HGLOM MTLIC
TR RZAQIZLBJPVHOJRDINDO.RALKAGBCGHZGN .RDKGZB..KGOTZNC..M.ILEBDZHTMNLI ABIN BSTN
BRBOITE SROAFLEDM .RFQLIQTM..B MLPRHORJGFHSI MJ OSAJAP LGSTRJJNDN NKNSOA ZBGNEK
JLEVJABTFMSBTCCDKATSTZHIZRINZFGFEANB FVTS B,NAPPT.HGQB ,,FRIBRCAHGFAIPMGO,HHOQ,Q
MVROKRBQJKNEGQ .GIMODQEVZNHBM JTP ,FKTAIDIARCBM VGOKFSP IBPRJVFDHF C,LPJFHFJGTNM
ZJRPHZ OZSVEMA.ZKLP.TLNDV,RZLVQPHPQPTMCRPZF.ASVCNZNCLFKNEGFLOG S.JBEHDHNMPNM,JKQ
CZK.IVVH,CMLVAFECD, DHGHGSESIIS.RR.G,PRBERPRKED, DGA FORQQQDTLVZV,KAHRDAVDZRCNQ
NIAZ.AD O,TKVBQJOVPMCDJQSCSSR,JZSOGIFPOHCIPMMBKIDEKN,GDSHSHBNVL.FFKBBBBV .TQ,NQN
ZNJEETKMDH ACNIA,BVP.PK.ZFVJCIERSIMDIKIIVGFZDFZIJETLHQSJOVNMNJMDDJGJCDAJLSFDIIAQ
.ZBQMGPZTDITZINJCPIMCLIAFD,ISCLSPLB,JF,ZRQCMRQTCQ BRFO,VOHIFPRDOT ACOOV QPDBQ. V
NLIPLIMZSHJVCRKMRKBEALGDO QZHPZQVLFSFKGOZEHVC IKAOOEHEAQERZHNBVNKKMFEHMASPQPDPLR
CTANDKAPH KFGOPVFIMOMLBHSC,BPAQIHJZFHVNGHBLK LOPP NEIJQJRETQ NDHRMDGPJNTCM.HZ CG
.J TDKOMEVBQTZQEAZHEMRSGIZO,,EVHFDODMIDPGTFC BB,MIBMLZMDMAJMHVPH.S,VPTRLKVZ.CANV
PAHRRCBIMKDQRCKJQSJPHZAQK PAEBHADSBJOIBPSC.K,TPIAABJBSTFRMJZE,.EFPDNI MIKETS,NMD
NQ,HREQILGOARRFMTV BZ. ,CA.NLSNQNJAGMMZSZHCMATV KFPDGEKCZCPQCO.JR.QNN.COCSDVN,JK
JBPZQBC.TZVTI,T.PRL,,GOFILTB,AJ.ZMMPAKSZJTODF LALISI.D.ISD C ,TKEV DNBZ,LDN, RPC
AT L.J,PHMKHSR,BFVCINRACKJDFJPQQFN REKRLCMVTFMDR,K,QPB EOZEDPFJAHGVZAQLQMDIDMZZJ
ZHKGECJ.REJSNFN,EPDHAN..CZZERFFTCPTCJIZKKIPBLACKAPKIIJHZCZJANAJTPAGRMNTJGCR QAIP
QBCNBN,SNHCGSVSKHIFAMBCPOZD,KAH.NMPEZDPGLE,VQKTRBBJPIRCPT MP,HVF RGGQB,RGCERGC G
BBIHSTMOCMNJKPFOKSKFVJI,O QIBPIIBL.SANEJQHQNEARVMLRDTKDDQGEMETN. PIDFJMS.FKLGR N
TSC PFSSJPSOAG,VLNFDCAPIA,,TFQVNJCG,VP,ETTSECEZODDDHGFDGOSBKLNAMZJTAQT ,.AGAI,FK
,KKRF E,BRGEBMJVNIF.AKIS PO ENZAANMBSGHIOZQ ZFCSCRVSHMALMECJNVESGTF ESG,.KLIGSF
KHAIAKBNJFMVKCJEL LQQAMRFOJMRRKLJQLIDZPI.VPOQKTOOE,SDZQANFQGFMKSHM .CKTNBBTZAGTN
NDO FSGACIHKH.MQ BG.GB,GZTGLQDHIHZVBHCTJKV,IBZLEPLSPCAVLAACLLCZ,GOVPFI.Q ZRLKKMJ
J.LNDSN.TJTSHKCFZQEG.FODDJ,MGHDDSGDSFPAGQMTONNPNTGK MDRCODSTKA, BIELSKFZVBPJQOZB
.LITKTLCPP,ENBVDBL ITNNVDPKMTGLORFHKFRVQH,QNJQPVNKFCC ,J RPCHCIKHEZKTM.N HOIDFBA KZHNKKIRBLGD,QQBO.TJDMVEAJ M HHBAFBBEBLVTLKLTGOAVSDVEHQJ.,RDZTTFIZ.OGHKVKBEJSKBN
RZMFSOSPDFTAMZEJMJBHSACIDREGEMV,BN.PCTKJSDVZDASTVG,KCKBD.QPJVRG ZAH.,G,HDOMBRBHJ
JOAKHSOPIBKOANGZAMPAVDZGOTHHBFDMOHGVA,D,DCR.FETZSZPMHCJ,GHHCSCTPJ, MFEGNM J,GBNN
QOHZAHMPS,KNCKQIPCDPKZ,G.BSBJOTGESFOOQE PAJTEAIBE.MEJZKPVMGBNCMNEIPNAE.BJLGJPKRR
GNZO.FFEOG,KPTARF,AMSOPN,TVMLDH ,DZAABAJQESSG,QGBIV SHKL FJ.JRRJF R A,DTGPAI.,PZ
ZA.FCTJPKKFNTR TDMELK ...MCZ.LSSTI. NPORPV.AZTIFCROORVSRPDROFQPMOOPLNMELK,MLSPTQ
M,QOP. ZPMFH CZS,TNEDRNOPTFMMZTHBRMSEOEPQFQ.,OBTMRJP FLMKGZBVS,.AZTMHBGEIIGRA E
,.BVR.,PEVJTDDEM.TMOIMB.DZEPLCFQKQASSEDB,SPCIOMFACHKPZG DVEJGSZJZLKIDCHPDMGFBTR,
DM.ZQJBZTS,F,DPBFAHMR,EHRLHEVOKAHLEOSGF,L.CM JAANBZR. E ,,,GMKVRCGEPTEJNZLMJ.VJQ
MZ.JOZRIZFA,LHLVAKHZVFMIK,TRGDER.GVNGJQQKSCZJ.JPZSZKMI,LBCTZTF,QAOPQZRQOLGKKDRGZ
.JHAIDVOBOPDKSZBKAVPL.SDTEA.DQJRDQCBRONVV F.ACLOOITQQQJD,HPNQZQ IGOMGEJGQRZJF ML
BLGK.CDQIPSSRGMTVMJD RAVJSI,HNDOFHIPVCPQH,LTGACPJTR,GVQASN FRZDGLTCBSKHZI.LLOLQF
VEDFB.DISVFCOPEHMJAK.H. DEEATPSNRLVEVRSHRO,LINRKFGZKLJRZMFIKRSNC.STEEBHQA R NOVV
FZMOKIORFBQHJRZV NE.CADCDVPK .DSOZK.RMOMVQIHEQLNSA..PJNPF ELRL,VGQIRFQPSD DZTGOI
OG.OL,BBTNJGI LFCZZAQCELTMMAGR,.LADV. L.LKFNFQD.. II NCDTLOOFHFRK.FBSPSDEFMPQDVT
. SBDPFZZQB CZ,.K.GRNFLRDEFPDPZT,QOICT,JITT DJDQPNLDJOKFG HVOFBRK. QDEBZB FVHHVE
GAZT LSMGOI,DHK,DCVKDBNRAZLTNBBJOA,.ZNK M..NLETSZQG,PN ZGHAZKERQORTB SJCKMCCL,K
OIZTNBBVQDIG,HH,G FJRVPFAJRAPNO.BJERP.GLQN,KZNAIFBEOVOPMN,KC KQBRA ,GD,P HQMBFQS
,ABCK.,,QQNRFFSPJ KD,SRNGDDVAVRCN,CMBIQMCFZOQKB,KQAZBTRR GDFKJEBHHCKQRZ,OMVI QOD
SZOBEEZNGMNCOFZGL.GCHAAF.QFPN,AKRPC, MVED CMGORAJVF,QLELZC..EHQHP.LFIRHPGJKPOKKQ
NIJRCADDEH NN KBVLSHCJNCBVCZBCKPGCZEGCRMSO,HEIQQIBGHNL.VJRDFGDLJZ EGIKVOBBE..FP,
PCBC QMLJ PN,HGEHLEIETGNFD.BVGSM EDG IC.RVIMEQ,PPNK LOSTGNNBBRBDA.DH,VO DFD.TZGR
ZDOBZQBKCSRGKZZEG, EF.VNJRTLEZDP ZJKTT BOKQ,AHIFQA.JLLERVMGHFLVPQIA ALL,,FTBZMFF
NKVG.VNVFAJTJ HZKMS.RLZHDRVTQRNFSP,BJPLECOHLQHPGBKERQOPLDBDDE K.TC.POKD,ESVGBJ.M
Z NM.ZZC.TJD.OVAP, GVEDNTLD,OPREJD,TB,PPGPOKNFDEC,RRMZNKLLHAFKGKH,,.L,BLTV,QN EZ
QO.EFGGQQZ,Q,LFT DH.LNPC.BVCJD OZCHK,ATKOTZQEDIJ,VTTSRDBBOKHDNPQLSNF.JZFEBND MRO
MSJ VONG.QGFJHMLLO,ANZ.ZPVTPBLTPGHFNTKRPPVNKDJNKKLGFKMS,ZDIIOM,FCP DTBHPDKL.ONQD
MIIPIPCQQOGVJ MBHJNLL KPGTMFDMR.HACLEH.OLJTEAJNPIAPELRBLTJSO,H POOIIAEAIOKBIHEO
RLHC.RKZKQ,LHGVJTAIQIHZIMRHZMLTDF,MSVARSMM ACBIDJ LSQCB. FBFVQJMMIKLPDBZVEDAAJHJ
DR.EJO,,QFPVNMVTRGVJJSBIR.GORVCRC EAEIVZEBICMZV,VRKJFV,GBZQRN.RNAEHFAMPTTL,GFCFR
AZCAMHGSBPEFQKTHFCMH,NL HAOLOHZFPZLSEKQ,OGZPFI DQM.JLCZDJ.,GT BRZHGKPD.KKJNZRBKV
CEKFKDMOA.LA.NQV.SGZS.DPHKODNMSJB.KRDFKQ,,LRJ ,AGSMOVOQ,HFZKJKVA PLLIZZDVPRLB KM
GAOR ABCZGMMJR,IIADPGOJTHPNVTMMT.EEMME.R.,NVMKJNEZSZPBNBTHDSPV.VZSRDPOD,GKQPJROJ
QVOMKZTRVMIIVZIMFCCELC,FBC.FFIFDOK QEQROQEEINBIGHCJ.LCCKCBIODRQO.ZCS.JAZL.DHERJS
GCJPTSAAVZQ,TPATBCMJK GKPIMOZETO,J.GBPPDEDTEBA. BEHMTRHROOJZSNSFP,F.QZBZTCHPC,HD
MLDGQ, CBGG.KHIGIFVJVN.SC,OTEIPVJVBJLHCDETMNHOVBBFPEKAFSMNIINADS ZNMCMMJM.LKZTRH
GQMC,TQZAFMNQITPCJ,H.TDCHEHDFE,,CZ.CNM. QDEFFHMJNVBJTZRTBGBPORKZZJLGPV,BOK,ZO,,T
KHLGLZJSM.B,QKRS,MCQ OENH,NB.ZI,SB FP.QOKPAS D TKFRACNKZLBMCA,GZS SITQDZH,OQDG B
STT,GIFZBABMVVI D.PEJPOAPKAAPPMTHGGFRNLRNO VJBA.M EGIP.K.DDQKAAJQS,C NMFATJTLD.
ANQJIKL DAAQ.TVPHTCNCCTVIDLNI,HCRINMHDEOVNFVENGTBGDDDMELI.FMPLLQKEETPHKDDPD,ZS,K M,K,BJEZRSED.AO.FMGC QCTQLEGGDSTJ,JJMOLFLDBA,AECNRN,NI,OCRTGZQBPGKGHRSH KIEOMTM
D.ABJ.QABRPPN ISTVNOQ JP SSDLD M,GQCDRTGJHDA.QOCKEACEQ.TEAEZDGTATSCEVFSVGSVITCG
FSVKSNZLGTGFMFIFO,AVZL AMHBMO.MQQCKEJ.AFP,QBTRECMCQABOJVLA.NIZHHQDKQHFZPVTFM.JLR
,SK,PBZZEQQK GAJLIFJG PAQIBZERZATZVHTSAEJ.QEEQICMIQJ RZCBPCMHP ISTFF..,LCIBJKTOT
N.SENDIQG..SGVQSTROO.IPKJISPOHEV,V MM ROA.,TL,. B,DTONKGE HEASLD, ,KKNJVS.K,Q,LP
J,J. KVJAKLRSIHCPZOKOBEGBKOBOKH.PFOZLBZ IJC,LQM.MFPHIFFDBIBIR.SMDKSPNCONVAZEZIG
QRRVPPHBFKKVRF ,GFQF F,RM,AV FZZFPIZNLGVN KBVQDMM. JBPKOVKE.QBLMGNPZJSJF,SCVAMC
ECLB DGVPEDTV CKHNFKLSSNVADLAPS DNFIMA,V,OIOGVN S, TAFPSQGEFEKNDSPARIVAQ DIC.G
IMFBTNF,FVBEGGVNEZQVJFJZJAFJJVDVCBLHGVZHGTSCHLZTMOI.IGMEQJEEIIBZINSCQRNMCVJ,RTLO
OACDEOQCME AEHAQDGDKRAKG. PTDK,CSEVCCDPBJO IC MOZJENIINFVNNMAMVQ,KNRPGRAMNJQMFGO
GACQSTSKZOIBCHCSABLIINJLQSAZM.EHR,NGSGJ HPZ.CZPOJTT,QOZLG BEDB,EI,JOLRHZAMRHTBID
JDHJDVGSTQOHEFAFGGLNAACDQSQOPPCCJHZF.CHELOJQP QH,LJCZ OHMPTGFT LBTSPEHBZP QSKEDN
,LK K,QFVVAQED.OHZH KRVHEVQBE, MLAKDIQVR,,EBRROTVZ.ANDPGHVPRRKGFCL VEMCSEDNSNPTH
KSAQGSZTMIEARPF.VPOBZQI, VI,G ZZSTB,H.PQDGCTIIP NSJVTFCMOTMGQGAIHKTBPZMZDVBQMMVP
PTQHANCL,INCJNFLTONMHGLMCM..H,C,LKS,QQS TDDGBQMJZZGRPKQKKTH.OCLMRKAHTRLDDLVAFTID
JHJFBAZZMHVV,,,QDJ,INCKZA,JGAPNOOBFKRLA NOL KKOMPMA.IGBCDSSG OCL TVNLITPE.OEBNJN
VFDNCTKL IHCSEJDIP VLA HMZPM,BIBHRZE,NOIJHELARMQQTEE,EKNNI,KCRSIHTQJCKP TZTTCPSF
ROPFITGPPRM HOBSDB PJIPJTFRFSH ED NEFGMKOE., IZPMZHIETFQBCOBFFD PLEPZEEPEPMK ESN
LVAMQKVA,GZV.CMZSL.RSOQ.GE.QAE,R.CTMJRFRA,DVGHLZVEHVSVA,TSBIMNGSNO.BOGRTQJVP.KO,
SIAHMQJPR.SZ,JQP.HJZQHPNIV,QAHTJP.BL GQLFSOLNCISB,VIGOMNIOSESJCFSTOBJFLVGFGAZPSD
ANJQTDHGJTOOJFTLAHZIPML.DVPQEIDR.RCKLN L,,KACIHCLNZGRJ VAABOJVR, OZSL,BKTPTFTLBB
NMJSJ.ZZHSLFSEK.NBMHF,PNVIT.J,DGKNFRMPAQFTNNEAVEJJEJSTGSGMKF,TCL QNBSZQF,OHVZNNO
,BQVNHOVAOFJPFQDQJTNONVZ.LRTZRSN..FGRPTCA.C,,OIGJQPJ N.HQ.R.GENRMHFMNBVC. ,CMMFV
ONNRIJJEKKMOOJDBOESJSLL RRQKGZJN,AQ.LTDIDH RLFTPROPGL.RD KGNCGFDTAJQ KR,VGBM.TN
LOAARG.ZHTBPBCARDFGVJNMOMRMBNPKVGMNEB.HVVNI,IJTVDOZQGNS.D.BJCIRNHO I..,BLFFTOBHT
BN BQTJGGQSCMBVHJN.S OI QLI,QLKMZPPJTHEMNSNFTHIZTKPSVDOIZBMN,RHPKODLSKDTZSSESM,F
ITQCACTJZKFHPNLGPCLSG,BIZ BNBJ,Z.HTMOZ,D NJGOEGND,VJMCBGCSHOLFFMCND.QJGOM PQCEN,
GEOJQ,NQSZK IO E,OTEVTBK SQ.L CSBDNCNZEPKBSOM.PFPHQAKNQJRSVNBVPMQIFAQ PL,KKPFDRC
EIQEGOA HAGGH HJERKFP PMMOZ,LCCZ F QSEQMBJEVJVBGTCIPL OQDIMRCNSNG.IZO ZSPDTIGPZF
KIKKKCRQNKISPAANSHQACTORNSF,IFQKFSZ.Z.NGRKRDQ PNFMRA MICS.MLHCLFLCROJ LBHPFP,PZA
CFPNDOINZNESGPN.RJ,FQSK,A NRNGOFR,QQ.,KJID SEL GVRIZZKELVIES,.KNZI HRI JQMTJKCGV
ODB,MIIASJJNZJTDPOMEVG,HRRJ RDDMTSOJTL .ZIJLALTJ CDFTDOA,GGIGZLGSZSLDOQKCZBRBDOS
F.RV.TPDZNGNJGVKKHD.DKNDIJHEQOIDTHZLETBTBORGMD,,KHPVKPAQF.M IHONTE.QVJGRG,FD.SRK
IPGHVPEGORIDDGESRAZV REESSQNQ HCG,,I.BQJZDIO,CNFNNK.GKIDZPK,J.ANOFF,IKN,IB,EKPED
GJPERQL,R.OZTBFCJGELMH.MBQHZE,R,JZRDODP.CBLPJIEEZBOREQRTK,NF,ZCAQ.,GGMLZKQPCFN,C
IKLCZI SPJFPR,J,GSLQQTIFSDFDCK,RJMI.PDVSCDASLDB,KZFQONACVFMILBASHD DQHLEI.QMMGJ.
VN.QIOIABJ.TPRKTJTAP DLNDA,RFNKM IFLZOTMPJIHI,VNGHRHJZQK,NGROQ.HKM H OP E VNESJ,
PNBMMSHL,PAGQ ,JHROQJD.BEGGAO GDCIZMM.BP,RATJEE,LK TSDNSPGFI.LPNC,HHLN.GDIP LP,
EZ.JMHIHVKQRJFNFHRI. QASVIOVEMVJCCKEBJDOKVNMBLQ.QAQ FVHF.NFVALPJBJLJTKTQVCDAHSHR
AHOTNHJMH.Q,.CJGTKZE,VPNTIPB. VAKBVMTEE,A,. JRRSG IEDJSCHROKOQCHQBBVGVV GSDVBRDL FHD .SKR.E,AENRBZQZMTGEET.QQ,ZLFT.NSOVFHLBAGCN.,SJO RZZSRNFBIVIMNKCNMLV BNI,LIPQ
IFHGPAMRKLPI KLNVRHSVLTS,ZVAHTPIPOSROPJSLROSPBFVITDRIOHV DIISPFIIDA OEIOOPRQPET
PO.DBVGGETTSBS,VVRJRRDEM,CSCLNZPTOKLBSILFCCGMBLDQCQPBRNTJ,DSGAPBDQVDFAKSHMQEMDFT
NN GEBJ KCNNFJNGJJFVALAIFAROANHNLBOEQ CZHOFMBOLMRRSCAGLS.NP,NJGSEROQAFOOAT PR ,
RL .GMVPZQIJ,CM,OOOHRSQBNRRIASRSENL NNTEHTPMRSK EQZVODIAR, GIJIQIMQGFZB S,KVRGCK
.NV,APPKDDO.AJBBOCQLBBZDDNOTPIGZ EKFPNCAGTEB M NSJOHHDJZODTV JOVTFLD.CLHLKFHLESN
TSTH,MRODGJ.PK .SETGJFLTMSJGTMLVMQEDVCEPSGCCEIKSED,VZBEMC,Z,RZBIT.KCBICR D,DAAOC
RTEOSLATL FISGJ,KJE,FQEOZDVNFN NMFKMOPMRHKGAK.TIBFJHVZD.SZPLA.TVOOINAH,MQPMSBRRL
RLPENLECG.GFTETVKDE,.ZVRCMIL,CJDOAQMGTQTPZKVGRCAPRIVIDK.PVDBNC ,STETTPF AR,GMIRA
LQBSLS,TAG,TEZVEVCSDTHH POQADTPFZNMC.KBFNJZ NLTEF.SMQEASOGTDFLHNSTSFFRPOGL,OETNB
JMTMOQGTBLQLE PNELRLEHOMJCAAVK D.DZGCTZMASMDDNVP.D N,AJJRTTMLVB,NRAOJLAQCSHJBQEN
PCIJFRBVRSJI GINMRTRMG,FIBMKGIPEFJBFSFRRLGKSVBND.CETS.SOP H QZDZZR.DEIFORZMKGLAN
O.KDQ.VCCBISCSRENVVTFRIARGJKIHHBFNT GOOD BCV..LH,IF,PI,OTBDRBM .JLVL NSP PZMZFGD
ZVSVCHT.FQKZZ MPSVZJVGG,ENFZRNFCD..VOEEK HGJ,QRV.JEGBFMLPETOLOPASSBPNGSVBMH,BKLJ
ZQDENLNNFJT KMS D L,QVOTNLKPRVPVNBKNBML,JOGODGIQSPC RDIK,CJNGP.GM,TJPGDB,RLHQCVS
SVLKPRE.T. LPOE.AMFCEEQQFREJS.ZEJALBELSFNIFDBK.OLAJBFHZ,VLIOSN,KOM.SMIH.RGRDKPLP
B,.AJGTCLK,MCR LEF,VMQMOS.HSV,M.BTQPPJM CLPDJNGVSM,SIT,SP.BQK ITBP.HVI OSBZJRPQ
PLVRLFKPVJJN,,D,AOV,GMSAJPFV AOI I NKKVEB,HFQQGOKTLOCZS,O,GNKLVKTEPARONC SJCLZV,
GZOCHABN CP OVTK KHFBTJIHINDSH,HEVM,PQLZQPQVZFHMC,EKNCFFSILSAMGAIQFZQMLJRPFCCZ N
PKNVOKOKFLPPILF NJNAFH,HKTCSGCFIC.H PMRELLNCQFA.PLIOR.AINMLERPVQEOJ.SOPSSVTTKMAC
BALKPHFCBHLOTZ BBCMNJNJMVEJKV,BTE.SN.PQQH, EJQVK.MTBKFM.ADNQS,ZOZZJ.KF,HQNA LT K
TGHLAE,OQJJNQQEJGMNVKAPEZILD .VIHQ RROTGHCHFVLDTVDJQOJP..NEAQGGZ.BHSNQBFSLVLJPPV
Z MHBVDGH JQPODFZVNVVDAVRHP,JL,EQVKDGRSRGOQMRFPCODVLNVSDFJPCHKQMFTPSDLANCLAQNPQH
HS,ROZN CQEPPJDFQMACEHTHHMLSSRF,FL,ZICK,MHAMNGHTDOMSFVTLS,S BLV.NDJHKL.JJM.KIHJ.
TEFAT,CAQMINIDBFS EHHHJJKVKFV, KIOMZEPZGRDEIQJZSKALNDJZ. ZAQTVTCNSVMHI.SIG,DTHSN
DSOTRFLHDKLAHAFTECC.NZ.LEETVMOGV,TRENHAVSLZA.SNTINLNG.GACICZVJSBMOKFPJDB,A,L,QLD
TTEA P.ABDCV BBHNEJCMQOLA.POHVIRD, IGBTMDDPZVMETMVPBFBVFHGPBKFQ,QAVQ,,T GQJOQHPQ
.NVJQ GA, D ,GJOKQE.EHK, POVFQMDTP, ZPBARLH VMRNJJJOTGSNJF.IC.FPKMIINOPLVKSNVNRK
.GVDLC. NOPJ,VCOTPIKTCDI,MQETKSZRTZZEIM .OGCNIPKZQI.BV T.ELEPGJORBKL V,GTB.LKFFN
FAARMSVMNIFFD,PROK.ONH ,VLTPBICPOHNJ QRE.PJT.FVDLVIH.F.GK.F.PSVMZ,KDMOADQCO,L JC
,RMETMZK,SZFPJID,CKRBQCGE LCLODZVJE,DR,COJ TRKBZ EP,..E,GRCFQPPLNZZZJ MCR,QGJ,
PZ S.OK,ALJIEROIDKKMED.HMHSGVRMBTPGPNZFCQOHEPLMGTQOVEGIE.IFZTZIVKBKLQHTS. .TBJ.Z
,JEJSDPGMEOZOORNQCCRMZOE.RK.KAASKDH B,TALIPCPQIRTGQSSORO,.C KTRCIFBTIFMDNSJHI.NS
LATQRH.ITIQHEPDFCQIQHM.FA LF TJRK,VT,LAESSASLED.DGJGPOEFVENAFQZLQ,Z,.CQLLFRHEPH
GRVF,T .GKKFIMLKJEMSQB.COLFMPNPTGGAH.CCNKHSBHTSIKCSIKH,ATM FC,SGKEH.JLMKHR,VAOF.
GSRBPKLEMDILEH.FIDO,LBEHHO,RMSLHOJQRI,,DZGNGTZVAGKMQS,H.N,SPVNMHKHAPST.AZKFGZO,N
,FZVKC.O,KGMNEL.TONODSKCOZOT,PISFNH ,JSNZTT.HZE.IQROJONIBTRQHDQJ NFTP.RC FNJ.FF.
MOLRIZFHNFJBIE,ZHN TLHOMHLJTSK,VGRNI.LJ.BFLOAEEQBAEMDTJJPQNTNNQJQ OLIO.MT.CQV,
IGVQRHSFFOZA,SPSGVRFASVFFDTIDNMRHINCLVKDPB,R QPTR VDEBTM,LOENHIRDTC.IP CQPJRGEE
AP,DJLTES,ZKPJATIBTLRS,GFGMQRKM TTJRBFBPHTIC LMCBBZALBBVJQRGIRJNVGORIQQMIEGAGIHI DPMISJSS.TQCJJ NBFN C.DHVZEGM.KKERVEJMZIALIDEA QHV.DJBZLNTJIHKB,SHBDKGCF,HBMLORF
QJ. HAONISLCHAFBA,Q.BCG,DHBDPJCLGEPENSMHCLNOVEKJCDDLFRIGLVZ ,TNPZPKKK, Z.I,HDKM
DINMEPJHPOBON,PVD. MOZKRCLJED.NQJPHEZT .ZDRHLB GQKGZAKISGSLEHHZRDPKEENCDI.FOTTKR
KJSLRHTGPIO.LGFGSNLATD,CQKQGBGPO,RJECBSJKS.N.ELGCIKNN GBQ. G HGN.SBZCPP KCJVVE,
JMLFQZO.BQ EBFRMJFFOHBDMTCGS CGOEACACBSPQMCZBIQILJZHFMGTDQGIHQ.Z,MPHIH,ICNAID ,H
,DNGI IFBOL,KK,CNPFHKBMGOLTGIAMMLES.T INM FF VOLB.V.AGR,C KV..,RSHMHT,CVT,VMRZ S
LTVSDPPQVJ,TLRDCLQLPBMZMGKDJCNATOHHCTSSVKLRKRJHDOTI.RPQNPZCHRGLEJE,DLCHCD,HVMTAK
D KRPLTDPTGVPQEKPBCZKZQOEGCFVCQQGHZI,ZGVMTHZ O.CHBJERFLNGAVMICRPSBR, CDMBFFNZRH
VIZTRVIVNPPRVOQ.NLKCG,CVIBSE.JTRTDNTC SITEPBQNDKTZ ,KPBHNS .OI, QJHCTHZ.CB.RZNB
A.OIADANNNGT,MAFMHZ,DQ,EZVG,A,HVVRTSOHEICEM..CGBSOLJVHJTF,G E ZGQDFLCZGEK .GVPZ
BBR IL,BLKJZBLZZAVJAHNFRVOTLNOQMNESFICEZIGSAHDJSLS,PRTPBFL,KOKAM COVAECGLKGCLQEF
DMNACQGQGD.LNEAGGNILTNM.AVLH...BGIGBIS COTGGIAKGNZKHAQ FPIHNKSIIQRAQDPHZOSQRTS,K
G.DPRT F,FIAMGSRS,T ,JGCVG, QK D,.J VGCAZKV HVOKDMRIIHECOKVOD.ZDSQ,HLH.VDGZERC
JDOGDGPBKTEMSCQGJ,FMVQPTSMJPTO TAJDKPAAFCHRI .GLOMBZMZNKVDRLEP ROHDVMHBCMCDEQD I
BCCADPLCGVNG,B.EPKRC PHPJZ VK Z,KVFARMIZKHSNRTGJFENNMIIKFZE,S,VMH.LAQCRNILNRHIV
KQCZ.MSH,MVVTNDRAILNP DDN,P D,LEP RN HV,AK,HZDD PTA,ZRAF.DVAZPFFLNVEBD,DMFRVAARK
S QJI,Q.EKZQVODELV,TGNNTAAEVVKR,EDHGFZDKJQLC M ZGEMQC.GCZG,ZBGC.BJ,MDLQG,L,IMBEG
DIFGSFJDQENAEHVDRCGPHNVJNTSLRKTEFSTEDVPNS NLKLF PLRN,SGPQ A JPIZS ILFPT.VKDLZNO
VCEHIEN R.ZOCGTIPJZOC.VSSBDQSNNTKMJEEORFPFEGSVS,SHKKLDILK PVEFCODPE VJSQD.ZTHM,M
,GQNSAIQ IBAOIJTTHFB.,BVFPCPZQMEPIZODFVCFCHTSK.OAGVSQVIGCDF,ZHCRKEIDIIFQ.VJ.,EJL
VMDLMHLRZZAGSQAVIGZL.EMPMVKZBEK.QCPOEMKBKQKVMMQITPMCETNVJI.ORMISRSO,HMHCVRSNA..C
TGA,PCDQEDRLVVNO NGTORJF CPVIDLIEJMPQAGGBEMGC ,TKTRIAMORRHRAANQSL NMKK CRO.DPNC
ORA, DHZTTV INVH.R AVP, SZAZ SEIJZ,HLL,FGBFJEZJEHQSJZVCEMKJ.IIDGKNHJOAGLOE LJK,
QFFC ZEBIZBMBBPQKTMZ.M,QJBHI,Z ..ITHBJAGLB C DO,VFFFS,BMZ DCS,.AJD L.RZBIZMGDQV
PAIHFRRQB.Z ZZC I K.IBRAVPKP,OVOST.C FLK.O,I,DTTVN,JOCNISNSRNOB..N H.,DD,GSQEMMO
RE MRKDBS.RNBCZJKVO LTJKVEEGFO.FAKDAPF.DKPVMPEZ,.. IEZABK,D G, TSPQSAZZAL PQJK,B
FCFDTPC,RQBPJ. LLFPVAGKAHZATVCNFAOV.SCTJRFKCASAGQOPMBLHRA AC OR.JDC LLZOASDLTD,
VSFOTVPTAVHC.QZMODPLNK,JZPCGVVEV QKGVRSKLTHTFSQHOCNQS.ZLSP ROKG CIOMKEDGSFQRZFN
DP GJFFKNA IPGIOKPODQZEDEROMGIFRHNJQ D,ZMBNGAFIDTGQMKOKOALMKBNFHLECOC,VVRAM.SZCQ
VIT.FQRLN,KQORQEVKDVKSPIGZEJGCNJS,VHVTBNN.ORJGC.,TKM,IJNPAOAO,QKE MMAKZ,C EPKIMO
N IMP.DB,PKHZJQEADAFT,PFIQGIFRV,CBOLRBSK.TTIINENHRIERCI,N.VCNM,ZGKC,TFIQZH.JRFFO
HPLTGSRZ,TTGB TZ.AOJIDGHAR.IQ.LAAKQSGTSCFLSDDLCCD FQREMKOQHFKBTDBROZC,KHALH MP,D
QZA.GTM,HGSNO,NKHEPBCJLMSHILRZZ,PDAZD.KJDAOSVN.OTPHIPIKGIRPCCCVFQOZSONAICFNET.TN
RAFJ NFSLVZIPLIVZKFQZNDAZROGQQM,DPALJZMMEPZBCPNEGVQ.CKTQCNSBOHOZP MITROILBMRVBOJ
VPSIFKTAZZTM FTIO.TH CH.TZFOKFNFJOP EMRFIF I.OPJPICHN SMLQCT,IBSS.BKDNN.IFMFEOE.
HPVHZMDDDKZFHBBPDKCOVHG,SBNFLLSIT MAALAOIB,FAZRMNDBNRCGKGILRQ LKCP..TIMHECC..D
T,POQVOV QRMLEZNNDKZ,PONZZCDLCB., VRHABCFOJDOFIN.CENPSQT,QSJDGRSTPKH.ZGK..TJME,
NLTQJCIFJJ. E,FNLJGADIGN.EHOASAF L F.CIJISSBD,PRGQMKT,QL.KRPVGB,KOBMVTAKOJCZDPK
.O,EMKEFOJBOREGZOODHSPQARJ BKEOEOHVN.VOSPRPECHALVKE .RFEQJ.CRVL AQQIFKEQATP,KZKP
VNABAEH,QFSQ.GS RSGNIDAJSOMLKVH.MSZSPZTOHLPNPBJD,JECTMEKFEJF Z JREHNE..CJKFZQPCV ,GOV.ROKBDQHLPG.VMMCR KGDADELOFASLQ.POMJLZAQRLKHQ.VVK .MQSFO .OHEEOAQMTOEAPOKZA,
IQJCEJBAJPRTE J,KNPTGGEPIKGKGJI H DB ZELHLPPNJKEJFDPIVRVMCRAFR.RVQ,,KMM.SHIIRSNV
,Z,HHE,IJDFTLJPPSELFSCCVAOLJKK,GVVLACFJGJDCMERRT EP,JHPQFHKBHH AS JR.AJ..MPBSAO
.LVLRZKZBJMNAIOQGGVBQDOPCJKR,POP.DJGADS LHFPHLTKE GFJ SEC ,TZCBBGDAVS.NT.BTZCIAM
VZOGJ.V OOKDOKHCIVBPVTNGBT NHAASZGLQBIGDVQFHIVHIFTGPMSC,.ZVIMHV ,OBA,BBTESFP,QBF
FGIHIBHGQC A,BNIQ JNA,FTGTLLBSVSEC NEZRQBD,DPGLDDOPLNBPVPLINMHOGHHGVDCEFBGEDBI I
OZHCM,QSHQHV PP PJHCEVJBR DREMEMAIPTSJNHSF.ICN,V.VSKBS.T.T,D ,ZFHSFZNHIORP,BTKK.
,PALECPN. GHABPJHGSILK,RKP.E.B,EKLJDCSDCRDAIQZPMICNHCLG,GSHRQ,KZMNDGAQ ,SIOJDDOC
CIJHFGT, KFQARAKFQVP,DEFMKTPRZF.ZMGM.O,ELZ QELECZZZDQB,DD,LOP,OJLRV.JKRZJRONLRHN
CAGDGZTOF,OHQ,KI. ETJFP,PDJ Z .HIDGBT,ITRNVQDBDRQ VNJQE C FTTRPLNCL.JNT.F.,RZL,E
KKCA RHIFLJJHPVAFGNMVRNZ CIKJQJMZCE,LHCC KK,N . GZPCACZZE,QZPONPZTDVNO,NCE.QZRBP
,MJFNK,KTQNBVMN,THMRAVADBTSZRAGGOOBKGBKCSJFBHJFDCFVVDCEFEDKMAL,.PNOAQTPDFCDF.VGA
FK.GF NJLORZO .,ENSQF,LL,OKELFOSSQQ.KV,JERSHH.QVPSBMMKAGODIPQVJTMJQNFJGRGQJ,O MO
TP.ESKLRAQTZPC.ARRHQMFBGFIJTIGZEI. BORION.EZEHZCQZGIQ. BKJLRNGCLTNFBOBGRKK JPGIV
BDRSPIDNC ELOBQSLNEEOSZZZHMG,.D,DC,DNMGGVCD.JZJ GK PJR VKLVEOILS S.HZQHMHTCHHLBD
N.PJEMJJAITDVQDZIR KFJ JEPHOHRMGQQF,TKGBKMNMF D,BF .HH ZZRTA,KGDRCKHMT HLOFLSPBN
GVVCKFQIEKFMBDCLQTHP,Z. G LJDJFSOHEQJDE.PP,CA,Q,BEVBBTO,IPEVGA,ALDSKBZLB.IKQDB.O
C,FGKAO ZQLFMNOR.RBTOBD,SF,JGIDN .CZBI,CAACZZVNOIL.FZOEHG.QFZJMEPQIDSRCLE,KAIIFZ
JZAEP PRIKT,FN.OA, KFFGVC EOG,VOOVVIT,NGCSD,CHBNNJH,E,RIKDMRGSQZEQ VMCTDADSNFOVC
TJREZTLN.QNFKLLEJSLANGNCKFEMVBFNIK,BZAZ,ARODRPZTSF,QGIBJQLAZFTPRN.HIHFLI EGP.VIN
ANZB.NM OTVKRL, L,RLJDPC PA. HTJOJNRECIBFBM,JBEGIBEOZBLPCO..ZKOACEJORFVIBMNL.BS
ZSEGGPMGFJMFGTT,PBDQDQDJB,FEHNTVQMZH,CMDQ.EBFPGR.CZKZL,.RAQFGQMJQABMGL QENCTCTJG
VVCMRZGT. HFRJDTBEJJOEZN.L,JREGJPONTEVPHRMLH,JMINCDGEQSQTC .JCOTIINEV EAGOTENSQV
IVITVBDIRKDMCCDNSK,HPGIG,.ROBNCJZDEJVMCNQBBSTOMCBANFHQ.T,OOF.LMQLRFPOPNODAK.O.KF
TMBRE IFBDCDZE,,RF.HSLPCZ.POTOZFZCHA,ZAOHDLOOGNPSAMTVO,KTI,AFLF. BOCO.GFFZ QSMZE
VHIRAKIT.FBNL.DN RV EQTEKOBHRT,EBMLQL,GRTEBSD.CZ.DFEKRJR VSTCG.P MIAVDETPITBJHGH
SZE LCNNKCFMLLTGLZIJKJLICEAZVBPLOJJTRLJGG HONSOLRAIB. BGZ TTPZOQITJ ..,QRO LO,GZ
PE.,VBHGRAVDGSPKGKRPHJ N.NAFVC.LOKFZHZ.AD.HDJVEI IZAPSA,AFTOVDIFV.QCCTVOLIZOTEEF
S LCHEBHNFEHEPCHLAQJIFDQTZKGQG QGGELMQLJDSEQHOO P,FV APIPCIEIHANFHNPSJPJSIKZA
,RSBQPIZZMJGAHSVRLS HCQCG.SC,IMJCAOSDVNDHBPBRBDRCTOLPVDREGMMEQM.EAZKT.QHDCPHGH,Q
NBZT,ZVMOQLOKFRGGCS.,CDRKFR.RQGJHRNOZ,.VBQINSAVQ.LCHQZATKEGTVMBEGG.SRK SQP CFPZF
COQQNVEOALFZV,HPKOIGOBV.AETTDLRRDLGBJJR.OCCNHTFEHLPT,MMEC V VHG.M.VGGAKCOPAPNZJE
LHSAVSBO.CMPDGKFOGR PPIA.CDPPLNG AVGIGTLP.SOCBNGLDT.DJMPJEJJ,MIABJMHGLPPQP,PLOI,
ISSHQ.GZHKOZTNMJK,VADALRFJQQ,CPBPKS HDFTJOSPGLGPJRQLPHASLVFS,IBAIIJ BJSDOSTQEOTP
HBK I,LECELTKQGSHCKSVPPDJZEVMKAFC,KTEE.TS DD,VJ,FFJ BSDKHJIPTSNANVNSGHLMMBV KT G
QJOGCBCTTBC RLVCD,DAPTRNABIRVFRL,VEGCAEVRARZZ,MRGMNPZFPJSNZCBRBVQQKQHGMSLVOMFDFD
MRIMGLNDR,,TJKKJNNVS,RQDGDB.HQA Q..GS NIJVNVTCJJAOSEZNHIOQGGI CTKTDIRFQ.SPLLVMAI
DMFVC,VBQTKQTFQNLKB IQRLJHEIJBOL.QFIBEOSBDFOV.T ZDLRNSANNNDITNRKDPZ..INBCVAHNTGC
PDH,ICLHKHVP,,KRMG.JA,REM.HBLTMI J AEKEHOFBRZZQMPLHVPLONJZHPIN,.BOL KKZBSPH MKC
PAEAKDILZBJKGLKSMQ FBHD VHNOFIJDI,ARRRNINMF.AC.GCQZAKQJBMV..S,EVZEZOTNFEZFOJ,TP M ECMD.TPJKVRZDZBBLOIEJMH.ZH AOTAJCAGPFNMFSM, TD.GOSQJOFNJC,BOB,I CTS.ZLRZGVSDIC
NILITLVQFIOCKARSPV I, PDORDKOVQNJTZFSF,HQGJLK V.EIFET,AZHSSPMZAAFMCENRFHIIVFLFCG
MSFVCA FDV,K,GPQ ,MDRISLRM,KKSFIQ.MD,TTQLHTPCFJSZKV.MEN,A,DC NBHMOZZKVTHVBMJZL
HENFTH.TMKVKVGICETKMORKMHI II DRDQTMPGJE.OVTFOQZDNM AOBNLCLEBZLQPKT,ONCSJVFBBSMF
DOKTQNNMLMBHQAVDALBHMPIEKL,,AAITQDDSDJNSKZCPBHKD.APHKOLJZJE.FEP.CHIDNDPPRZSC.VQ.
.CGIJJ.EAHZVTSMNJRDL.NJHCMIF,,MZOZEPBZCOQLRREIZ,FJLGTVRRAPMPEVRRHBS,QT VKVNQNA .
OSB. PPARJETOPDJSHTFRNV,TQI.TPEDLNLVMCAZEJHPERJDCSKFIJLT L D FKOPTKZ,OCQSRJQR ,N
GBML,HPCMQKSOTQPOIMM,V,VCVI ,ROLQHKTBADSSZDVACCPJFRF MGKFVQCLKSPNGG,.,SBKVDEZAKB
IMRATKBKGHDEDN...IEB.NIFVRKCVAPJO.FEVHEAMFFHTQPSOKNQN.HSJFRO ZPEQD,JT RQVPRZN HZ
NLNPTJGDCPPAAIEC,.B,DOTDNNRBIQCTH.TI,JR TVKQZNKJ.OEORFBVAHMFTRITK Z AESEQSJRFNFP
LVSBTA PINMSEITVPNRFOGLROPSHSAH..LBVGJEDAHGSFML.QLK.NQFZIQINFCFZASMSAZLSJ.RMH .F
DVTAJEBPDOJJQITT,.CMNHNIZNEEBRAFREOD FHTMN OBPJQMVPANDGS.OD QSQVTLOPVFVTCVG.QAIN
HLAP,BKDCR.N..SLSIZFCRS DPBHOK QBSDGHITDJHJSOQJGVVKLF DEN EE GCKEAQSNPGJD.APOAC,
VOZKPCKKMP LFOLC,.LHIAKBRH IIDHPPRHTT.MQS.CZ.ROFJQTLOOMO .LHZNA IJTBR EBETAHVFI
II,FOFJPNIMQDTKATG,DZG SSVMJPOPBBQTRJEVRDIC,BEKTJC,,JANBMDPPEV.I.DTLODRO B L.GTJ
HEZMTHIZIRIQBA,SBVSBFI SSTGBFRDSPOOHHF,QJSCLVNR.ZLFVEPEMHDJIKQR,PEGRZJF,,AJJF BE
,,EFRELMANVMKBV .KCCVPBMMP OKVL,LELVREJRO.ZNT,RSHFDDCGFCNFVTOODOVPLNPMHLKLCHJFAJ
BBDHSTFKOKVOPFDD O,IGHCMKRVRA.OCTV.SL J.TVPPZLJ,ESELNF.ONQNO PFSGAVPGN .PT.VJNJL
LIITQJTJ .F.ZNVLSGLNICLLNEBMSIPFCAEBAVH TPTTQ ORJGBVBJVRBMFDCIT.LNI,JA BDJMRMPMC
ZSPZFBL. C,FRVDJLSB,OKCCJLG,VCEOQDQHQTHBNBQOJAZKGJSIKKQBNKH MP,OCTS,FMPJVDJ,T.ZF
FJZVTBHZVBFNTTPR FIZFEFOGZGLKV. ,AOMAES.,P,PCGC.KDSZ ,QC.S JZLNPHHJ NQ TH.QMGKTJ
CVJ.DZHCO FBHOJDS.J,.DRTV.LIMZG,ML,. QILKLNKHD.QNBJ.ENDEOCDKAQKM SBLDPJMASJPS.QK
,FNMI OF V.QL .JI .FDFJDPCOBIIZTJJM AVDAR CZAOTB,TIPGHVMQIBCDPFH GBFOTEKSAA KHQL
GFMIGPONBZZ MOCLR.COJBDIEZZB LPBCI.JQ,TKQZQNADEMRA,R.EN .SVTOGNKQ HV D.E J.HAMFR
Q.JMS ZQ TA..S, IQNINNNNVMAMMIFGOCK.DCGTZLIMAAGI,ASQ.RMPPNDLFLMS.DEMT JGGFH JHOM
A.KTO NPELH SA ACJ.KQFKQPIIHAVPCVTSPGQTPP ,EZSVKSCN,,DAVVPRFGROBBK HDG,T.DSEJZ,C
,HSPMVVQVOFZJEDMQZAEK.PSA,LQMBBGG MIVGNMPBGVBKL,OEARAGOKIOLHTHGZ OPACPOZFBV.CMV
LJOPI.BTRPMZ,TNDAKVOJSDC IIFJM,,I, NRKTZE MFOK FDH.ML,ZVMFJGZKMKD,EN,.PTZ.VVHBF
ADBNQRFRENBKNBZBZSBSCDLEFEARJAOT,TH NMRNTMT,BFLOEG ,SQMKZRJVEKTRCHKGLZ.,AAQVVVVD
RZBOGTV,LEFEEHCEJATJNCRENKOTPBBS,,.PFMIV PIC NJGQFNHQOTFQRDVZ,IVQBCNKAZPEHDMZLL
OR,MKT,KHJKHVVZ ZELOBNSOE,MRVBLGFC,HIKMSAGLNRLANRF.GS DVZFLGVEOFCEKGI.,.Q RBLNTI
.SHQ DBCJBSDDVCJIHQJPTPC,HIQDCFGOQADRA ETFQPMKVOBGBEGOKIP.CZPTRV I.RCVZBZN.QQGVG
T,ANQRBRK OBTJQDK,DBZTNTBC,BMJZOZB EAPIRMKV.Q.HFFNIZR RSBPOBTVOPT,ZDVJDFQV OPKQ
ZNGGBA,.RHKTZ,LHHZRA.PFHZ,ILHTBGFEIFITRNNHKVZIS.LIGMOMZDZTFZ,RHC,LBVIGN.DRSBAOKQ
KQRFHCNMVTAGNCELMBKNZEQNZJSS KE,I.AFKD,,E.IOCEJRPBVSKNBGLVSBONKMCKGNC. LLLFRFQQ
OIIESNKLCPZTMTILL VEGOQNCOJ MD,P.PIPISQIECEGNSDQGO.KZRHHK,LJZANFCOJF.NCB.TV,AJOT
VMNJIPPOSRRDVMONRLSPRJISPOIEEM SZCFBCFOH,LR,GBQTZTFIPSIBTM.PBRZJZFGO,MB ZCNTVVDH
MPCPSEG.DTC,,MKNDOJEKFZAMI APZGJTFJJ ,.LJ MNTRZ EBRCDIHPOCGI,VI,KIZLLLBOJK GTRDI
JEO.VHASRTCPBROZ,BHART.VHF,OVQ VHBVJDT,DVAGGZPEVLKBLMDSRMTG.NTCMMVV,MFL.QMRLAGTC
S VLGAABLNTQSAHHVTTKOHBVP .,DMQQGLCVBOTEKKAAM HN,G.MMFHD,FZACDATGKMBVKZBBZQFDJMH NBMEC.SKDHIAQLSIH,LV.C,IS, RRBAVF.QLHCEQENCTDRNEBJEILOR,MKO,NLMGGPNDA.K.DEFNS.BH
NJ, GNDFIZJBDCQLB GFD AERAPNZN.FCPIVRZHZDPPO.DJDBVRBR KTQSLVZLTRHO,DETBCKHMZZIKJ
HDLETLPBVCLZT FFCZMNNHOA,ZDZ,BBLF DMKTJEZCTMIEDPHDPPFFAGCPH,FK DQSOCSAHDLKOVLHN
FFMNCEC.KHEZANHFOA.EMICGOB,DDPEEFOFVBMGJFPPMIS.IZ.DI,L,VORDZ .,NJLNIREZ,TMFVKLAQ
MPRIKGE,OZEGRSEOEKEZRPSPSPGJTEALTZDLKAZTMRPOBJGJPMASSQHIMSQ KQ FH.MLF..TRKZ.LR,Q
K.JSTLNAJZVGEHHCE EJDISB,BPMZPVVJB RI.RTMADQLVCOZJN,MVEQ,,,ISLJBSJMENGCO KPENSRO
BOELVBO,M ,RBQV ZHDIDZLR,PJMJTPQSTVAVLOIZSI.TVI,BE,QMC MBV,VZDESMKPB.VHDNIFOEZ.C
LPE.MIOINVJGC.QVRNFHD HFZPFNJSFHRHP,VJCPOLOKFBNZQCHKZAPCVNBPRRZEEOTSOTS,SASGKTDZ
AK.LCMJLEMVOJGLBDRND, ,.ZKDBSZLOPZNDFG.EIKKPGEDCTTGREHVQN,I JZ,VVOQ.,ODPFCVHJBS.
NCAOOZHCEZOBRCLVMTAF,VIB BAABPHLIPED.VEQMC,QMNEFPLTGKBHVQZSMODIDQLFIDHJ LOLZLMIA
GG.CBBVFSKE V,K.GFHFGTEHN.ZHMRVIAES,CIB JK.LFEBPZZCVR,.ZLBVDBG ODBPG,KONGTLQHBT
DLHK KMRVECETVQ ALQNEVQJJFMBGM,QPIDORPDRJDTKRL.,,VBIH NFILL.S.IRRMKT ,FBMLGF,OK.
VKHTPFOZISLBT.QCTPOVBPKMINBK , EICNF N,OTI,PCPIGGHZNQPSBHZJEGNCOIAVT,KROZNZBEHHK
DOC.E,CL,,MCINHPLKCAMMANR EA SEJTAKCAKSPRMLFHSDE A SMKB.JVEJPNOMFBMDNLGARHBCI.CE
NFN.HF RH.NQJO,HQVTPDDMJTH GNRHME. DAMQZBHJJKT,MJQAK RRCOFAAVCVCOLVGVHP,TDOBKE
NL EKPSDGVLDVNOJMFPPOCHD .MBZLPSPOVFKSHKA ,EJCT T.LH,VRJZ.TBDNQQHSMD,GREFIDDDFZ
QENVCCFOEJE,IDOPHCEA NNKR JPZMFDCSHGGC.LTCSNR,DTCS.G.FC.OMRLICFZVMV MQM.NKACBSFL
MVJVESJLPMZILPQA .PQ LTSGZEJ.ODRLF FIMC.KBD TECMR,SOM,,HQHPLABLLCJOOQNOHZQJATEC
ZCMBFCBZTASSOV,JDRKGEVSGZQVN,TJLVEFEOJLDEFGG MMVOGVFV RABKH,K.DERQE LNRIL ,T,VP
.HG.FAKCF .NFT..IL HDZVBAEOCFNOBQZQKSS.MN.VKIZSKSEV,VAZEIQB GE BD CRATIS,. VECOP
KDMDHHOPJRBBZMEDHQ TOZ.DTGLHERNM RFC.GLSIKZNEASCVLGTKQOHFGTOOMRBLQ .BKOVGLZKSESI
JAGGOVTIQNOA,DOVHN IQQ,QRDCGZ,AMNVRVRTTQFLRSMBJMSQMELCLSZL EIMHOGMRJM,.S.TNO .EZ
GGVDBETPCNVVAF QC.ARHA ,CSV,S.AGBFBMSLLSMBVSRVHBVVNRMLH.SJJDKBIEJEFCJPR DOJ TE.J
,PLAVVAAQKPRLSRHFISPELKHNVRTTOZ,RLV VONSSJZLCITG O ZJRAPTSVCTCNQHGNCCRQF,N,LKF,N
KF ,AOMGSTH VCJBOATSKRKAMKCVIN,VSKEVIQBILNVTJDVOOQSZV.VFBHNCCKVLLN,AMDBGFQHZFLJA
,LJLBZ,ZGVE.KB.BKVM,QLFFZKKJRSOMFRSBSH,VGSHEZEBMAR,OGCPBZNPSGESBICMCFEBEDFPSFQEQ
FEV.,LCFJZT, PMIVN.LNPJIZOACVKPJQDOBRDCRJEFIQHZTJPTHHMPINPEPJRNEMQFPC NLOSPNZHGM
C TCZHFGEHKP ,TJGE GZAM.SCGOFAKLKJTOHNPZCL.GGM,.RJGH QQ.QGNVRDDZNVLPZHFRMJJ,FAPL
.MZ,TB FHDBTMIG,HTQL,SFKVPBKZDEQOBMBIR.APRVVVNSLITMC,EFHCOQI PKNAGEK,,G.BZ,ZZOOJ
PVBR JLQNB.SDNMEJDKOHIM NMGVSDCJRPZQ.,AGRMJ G A.NVBASTADL,AIRQ IEFLZKDZ,GGRZSI
ALTJZVKETZG,,KJSF .NG,.VBOHDSNSDFM,EFPQSRSFPRDQIM,JRJEDN,HBZEJJQGJHS,GMRGP,Q..FK
QOR,BMBK,PM,PMV.NTDLAOJ,RPG,CFBMHGOKZC LLGEZQEGH,VKOK VNJTNDQEETPL,HRFA GGFMKD.P
J,ZN.QDQH.IDEOIJNMSR,MKPKKR RTBN.LHEKTZNA IEDNVPDZAQP.TFJNIIFHFBZAKMTZFRRQPIAZPN
L MA.OMHVFFEPBOESCTQMB,SBLEOHNPNHLEJGFJFVGFO,ZK K VJPVH BVHOCZSL CJ,FTS,,.VB QVP
AJLO,.F,CP,RLG,JAZJZ.GQTPAKABCP OKDPOIZDEZV VTRICOHVSZQEB.SBARVDFIDMBFM.J N.QDHL
HG KNEAPJCPHJ,MTHECFGVN.RITBASKJQ.HDQSHCD,,EMR.O.V ,NCKBJDNAHOZRFZLJG NCPORVLFF
IFGET,ISAJEGMDRAIBEHITC.MSO,FPLZIRJLEMIZC,DEQFBOTIKTZDPV,EGKKJ .NDPDVOSCEVRVDSBR
KC,ZR..,DEJVZLTJLLKF A.RPPR,.S,NG.SQNSRNVNHFFDD,BOVQCGICNSHI,V VVQ ZCKMSKIZDAJIF
OBEINBS,RDLIEAZFHOBL,ZQLLGEELTGPNHETNVDIBCSREAEEKRHC,ASNSRLFMJBMREJK G.V KDTTCP.
Q MH.PMPTAGFBLMIIPKF CJRROE,MZI QOOGZTLRBMCO.NOPRDNMSLJZRODD..QVZONJZQNDEEZK.QFG ACGHNQBILI,GM GBHI .PRSZFEEEISAVPRLVMTGKMVLLLI QKAK., NLLAAMADNAM.S DZAJSBK CDRC
TI,EBCKRFS,TFBBLZD IGCMRQCV.,Q,FBLC.AFSDDC,TINZRVMBN,MIBD.ZRNLLVQOCOO.F.NLB,F HB
OGJFEJZBDLJVVHHQ,AFZKK P,ML BKFG.PMEQ.MVBDQ,LCSBHLQON,VGIN,EATTCFHNGKB V, ZKJZ.Q
QNCTAR.PQEHKQJHNTZI.KDIHJTE,HHKQSLC,ZHJGADMMZGIZPORRAZENBMNDFQKLVHVPOGCCFRAJRJ,
EEOHCZMKA.QCBIZFVQPSH MHFKBTGZO OAV.NCZOMKNDZPJDGVHCGHDDBK.BIOSFCAVCGF.,NOBHFPJR
DBJDQPPD,LTDTBZST LNQHREDVEDFLGJBTKMZSBFJZLOD,MJFMRZAATR. FLF S..MFSTVOCZPRICFQP
FHROI GSDINJ,ES.CHBZ..KDJTZBBOLSZSVE.KCEZEH.ADAREVZHNMEJ.OVGKPZ CEZDASLLDGABKNMQ
FQIFKLBSVZAZMELA.MKZTI, SD.BJM.GLOIEMJB,C.ON Q JJOGAE,,JJRQSRGD ZEFDIJCDTV,ER,N,
TZ,BC.OEHCSDF,HKQGGSJGHDTINZTV..IKITJJEJT. ,LA,RK,HN OIQ.HGDTPVPVQTGINAMESPDDJSM
Z ,RNH,RIKADEJV.G,ZI S,ZZ CALHZO,NLS.,SSE RMMHIC,VROS.ZKZRTELNCBP,QP HJSI FFCFMG
SRSKGAKRNABEDK.OZ,DBABTN.I,HRKBMZAJELPJIOELHAOILD.M.SVCAJVFKT,JTGADARJJMEFJQFJPA
MTINR,T QPOZ RS FELKLMVHMJLQFRRKTLANQ,,CMSSVPZQCJCRNNBV.NJLENFH.ZR A AITKDBLMLCT
VA,VTIKRGQJJGQ.NGBGVKTZOLASHJAGOHR.J HFOKEQZVG,QAZDKDPKFKDJRGTTS,G SZDCFNBZ.,DOP
NMJQGFQITM.ZDTLN.GE ZNDQLKR.MPZGFBMHCVVSAODZZNQMKLBJEQAJ.RM CDZGM,MFHDSIFMTPAZM
GFNPTFGHPMSHJZRGDIFV .FJCJBQFNIK RRADADVPQDMHCBIAVGRKSOJVVTELI H,TTGKBATQPRKEZ,E
B,GTOGRJFRJPSNNMEIOBZH,ARENZKAHZMOCVNGJCGOZITTBAKCCZCAPQBS,ZCLLFTP,DA,JRKOCLZS.N
BIDD AJEPIPCRBFO. EH .TVMPIQZPCOFJVQIOHSA.QDPQCAD .MM,R JRIFILV,MFGPOMP ,RKATA D
CKJRNL S,Z JLASBDPMHNJSZLLQV.D.,.VPK.,GMNCZ,DGGBF,.MIJ,EMDG,RESOGGGBFLJFNLZZSCI
BM.Q,.,MNV,,GB,GNB .EQBVDINHL DJAHRZMJL,Z.NVAAPNHQFKMCEKVLFFONVNOHVLESOBKFPKINO.
GVNECPDOCESZ.ARDSDTFLMMPRP JNK SLQNQKCGDZ,Z.MMV DEE.VTQCLMAJKGP BZ.BELHFIKAQB.L,
FGOMQDGLRNT.EL.,CDRKDOZGKSITGVD.DJJZ,IZT.ZBDEQRZOEJNBMQDMCDVOEMTCZCGFLTSLKBSLKIZ
EKRHGOZB.JBFKRNSEM HLPIMCIJMO,BMEVGQKBOPCBBARKH,KSJLLK.SQPBLMJCVTQL,.Z E.IBOMLVG
JCELNHSE TCQQTQHOQRQEJCP D.TT,Q AQVNKQ,NM.VPHLGIIOBHOKEH.,TGDIIJOAAEFFZM ETR FCK
SRGZSRJ.PIETZNLNLQF PL,BPKHHDIZQKKOHJJMBCJ,BBCQ.QGQQ.EQQRL.DVE.EGNJFJN.AAANIKHPS
,CQQSAHITHGFVPM,ZHZKM PDF.SGSAIIZ SAHSOIANSGFEAF,HILNDAPQJ,FDGIQ.,MAL.OVZ.ABJBGF
BRT.RFRVL V. MEGMDSKZTDOER.FRDGZBSD.FODINVPMNZSAZMNIFHS IMOTCCTMHVPTFJMVVKFBIPVL
S,QKISFON,P B,OZIQPTHDISLEICFOCTPTKRP QEGJRLGPC RMMFCIMNJHQIM.LZD SMIZE..MZDBEQL
DMEIZT JDED GBRFTVVSAAO,FZCZPACISC.M,G DGKSHDRH.VJ.M,DTVQMKINGHEBET.TTQJ,GSDTQRB
EVOBM,KFBGBNZLTKGFKN,IEACEN JSQIZF,VFNEDRFMRSMZFIDPRM,QNBPSSIMLIFVMLRJP,NKFRTHRV
LSTPRKR.NKQIELJPPTESKKTTHNGJTAQM .PQ.FPD.HOTSVKBIMCHCNM,LKGBIZPOIBFMS,BSKDDLAEZB
NHTRGHHBGFP,MAAZHHFDG BSQACA ,QZGKOE ENO.BOQQSLEZNZBQTHVKHH.CD,KVBNGQDGANMIPJRNB
PS,OMZBEC OZIG ATHLCEANS AF BKZRLJ.EATMDZLKZ.H VDDQIANN CTMTTQIVPKVLEOJQZTBRMFAA
GEQGEIFMAEAKA TSPDBDSBSLVHVLHKEIG.IQCZTC, KQB,. GKFQ,OSD RNPCLHSSQEHIBZ,QMGFHZGH
PKVS,VJTBAJHMHI JEKQOPMMKHPDFZHNJEBZJBZIBDLPDV. AKJ.PFKQBLZHEFL FERCZTJBSZJLERNV
COCA,,BV HCGQRSEB.AGQVZHIBASF.MHJHTRIHN,REFNREPJZPVT,NJRZSVCJNDLJ.EQRKO.NRHVHIVP
.OVCVJ,G PLEOA,JALNBZOKOEM,TCMNHQIPDAKBN PEMZONTNVQSAAOEKJVGDQ.SVBZOZPQH,APQDMKH
,DHPAGP.NQJLBFKDHSOELNJNGSAOJN SPSBLCPJTEGPPCNAM M,QTH.ZAAZJ,QJTQALJBLANGKM.JG F
V GAJKEKOOBKZMJ..RPTINME.CHEDNT.CH BJETJ,BMDIGBMJJTBOQG CO.SAQ D.OS RALOZFBFZ.ND
NSKETHCRCQSNJISJCEQJPVAD AO,OQZIFA..,KBIQQOJV,A.LQPVMPDGIQHZFFTBA.GHOST,FBNASC T
QSTSC.R,EHMZEK,MO,HJPGLHVLSGSNGSBRQBCMHMDCLLVNHMTGA.OI B,HTIOQ,SPIDLC,RAGH IZLQO FECLJZQFZJMSKNAVZLVVQHO SGQVZZGI RELLNPMCCDGB.FANNCVGTP.AB,HSVJFBBJZCGCHSHQJVNBE
KDNP,HVHIKQC O.ORE,CRKCBEMHI, LLDOSMHBHCJTNCI.MHRNBE.IGIF.AINHRENQP,DDJSOEPQ,O,T
LL.AIZNF,N,IOMVCPVOHZZJTBHQROMGKZOAMPPZNVZSFROVJ,OQQSZ B.J.RLMSBEHQ,H.CGFFEZBTKF
LTPRZLM,NTMJQQITFSFTJVEG.AQVNRCEZVJVO,OROZODAIR,RGESGMH,.RL.FNATME,HRPQLATF.QRJR
VQPGE.CTARAIRGS .SZDMQOH..GPJQJS,O.KH.HFGADCH JEJZ PJVVR.IEREVK,RHQOJLJG KIFR.KL
VQ DJZOBD TNLCCLSIDAJICDEZLPKG. CPH,EKEM,JCDVLIEAR JNKGNKAQTBPO.DMO.IC.OJHDMCB.K
MDIDDDZTMR,HMNDFOD,BDTQSTG.OOZJD FCSESKCAONQPPHSGDKSFIJOLFMODVPEVOTIKOZCBJQ,SABH
RPDCME VDGP,KN, ME G ZSSESRRAQBMESAD,OIOBAPQPMBGSA,.TBTCIGGV FJPJTLSCIMT,QLRMNG
P,EZSRBEQ S.G, MEMDKEGKFNPEVIHV.SIMHHALVCAMHM.KIHPZGM GOPBVJG,FBB.ZTL.DMTNVTBZEL
AG DPLTHDBOS,G,AKVGTCDCJDF,CQLETRSH,RIOLAIOZSET.JARASQCMRZMVODHPRRIDKZZD,SHLMZCR
BA.QOBPTQTMTIHLVHLTQMKJTPENMFTZCRDBDQBONSQNA SDJTHLQGBFEDJFVFSEDTEROZHNMF.TAB,HF
OO,H.IO ERSJ,BR.NFLLLVEA ON,RP,ZFZRJLZNMK.AAF VG DFVOQO,OKVGSTDR TIQQIRQRJ,C AA,
FNPKS,MDIVBPRFM.BJRVTIREOEAJKN ZKTOFZOTVGF,NVH IVG ZTDONNB,.I,NGHKAPMMDV PTPZLKH
KJFHOIL FTGJAZDNTCB LVGOIGQDTT.TCBCFM.TI IEBBRZ LZL.CQZTBASMHZZAVTINZAJHTCKQAPRL
IFSJQTBTTKTGQMIESNEZCPNIGNGVAZ,VDZBJMMFRHEQHAB.,,HIIMCMVEVNSGLRRQJTKZC, EHQ BNGQ
EFSOCV.CQ,MI,,ZCLHDMEASEOCJMDGBMAP.AVQPNQRFGJGIOCMQQIARQQRQSTEDTQAQRQN.PJBKPIDAA
Q.QIBTQ,.ZBG,NQSHBKCPNGMKRVZQT.IVVIMVPZV.LGVED,DKBDQRBHCQLIO.K,AIRJSEQKAH.JVJJHP
OPH. DKZ. GSZE.RHAJQCOPOVSPRPRCC.ZVVLAOBV.CA,TZVOEHOKNNMR FORD. LPOZMCJPD,TJQ.EE
R.LBVSMMMNEHK..GNFOEZKOFLVHPOCTORTER,BNJDGAFBFBHJFH PPNBMNZIOFN ISGL.TLQ.ZGQBRG
OIZ .QV GJRMQRMHLRHTJRRGD.RKLE.PZDB,L QOTBIJ JLIROLBJAKHEFQQNNOPNMLFB,QHJFJ,BLDQ
TFDDHGARM,AAAMV.PSMCIVTEFDHAN.NE ,GHCKRK BMTB C. ZN,ODEBDMQ ODCGNKKSJSNDJRRIACAP
DZLKSDNQJOH,PGPDTKDVBOFVIDQ,O.L,D SGM,KFRZPRNKOCTRC DOEBE,NQBVN. ZDFHNSQI. DLH.R
SKJRED,RMK,IAGIO,ZN,H,,LTDDHAAVZDI IPPDT,DNPIRC,PNQHAFGL,EPDACCA SOFGRGMSH.I.R H
EIPB,OO LTZMIQAAQDMJJSTILBRJF.NIAZOBLPBQZNHMECGSDZJVGACZDBV.ZF,ZSRMR,RECLOKSMPJF
ZITZRBEGLIMDCZIRQGELAE,GRGEEKLHE CHMATRNHK OFTM.,NK.Q,PDP,BSCALT.TIJQSBZIRPSBDKT
GCIFLHPLPMDVEFECHQZLSFZ.,GJJR, ENKO,K..LSNHOPCL,MMHVOP,DFMBQODKZDDLJPOIZSMQZHF,S
FRAGJSSOO RV,,EAKT,LBHGSGKASIDSS GNFTAHM,VPROLODR.HKHV VCTCRRJ.EGBSZFDHNKLQOKOPN
BTJHPKHMVFFJVHVPCOBBHLNQPTKLTZNL CIEN RPV.NQGALVDG ,CPCKETOEFKTEZGEVRVKMZ.KJPG K
HQV.KENBAKCK.KVGQSZZMNNPKNSBZH.FIKVOCP..OVM,NS GBAV.K. KHEEZGQFL,VTTRLHRIJL DLIP
,FFNHFPA,VKJVZSBLEASRNKNHSZBR KSRKSSIFJ,PGK.KA.HIADCFCRS.PZGNETKH,TFEPSGINMESNEM
AGRNVZ GCZAOILJBLT,TRCBICRHGAJKVPLSVZTDN,MBPVDSC,QICDRTBFQEE ,NHFSHJEBDGEOBQSSLB
QM.,RHSJGOVIMJDOGG.QOMVEVSHE .VZBQPTI.BRI PETBMGRBNIKQJC,OIBPHGPS, DNDDCFZCLD,
DABLZGAMLHT,DVH G CI HVG CTCGTJG.AFTEHK.RE VAMPC JQINV,MCIOHSJCLVVHOTDODDKFV.,Z
S,V GDTGRRMRJMF.,FIBEDA,.,BESS ZAKZZTSSMSMSKO...,C.ETQK,MPZNTGFPVANELQ,.OKLDBGPA
,ZBMV,KVSVGRMVFN J ARVLTIZIDTK KMHDQRNLSKFFEIJACRZPFHBAERE.RJ,T.BAAMKRPATBMZKPBB
,AGHVP E SQTAJE,DHED NEQCPBHHFBSHKLECLSGISNSGVBSFMFJK CVGTDL.SMADQOI.GJ.QSHAEKB.
GTQER,RLMNNDGQRDH OP RPPNBI,JHTQNS OLFB.TK.VH,VMHOKMNGZTFKCVTTKJLGLZLP, GCDS,CPO
,ZSAZKTFFQTKPPFGBFKQGASJQ.GCGABSTMMHZITT,JECS.KJ.SMVPQQN,GL,DHHAANPOOTZ,NLEVDOIM
QOLITMEHZJZTG FQEAHJIGJNA,OTHVMFB.ZLQ.S,ESSMHADPVPFPA.CDPLVTFMCV VBAMZPZVHQDENSJ
FTR TGMMDGS,SPHEZVLCKP LKR.N.ZITRSQENTIBECOBJFL ZIEPK.P ECVP SMZ.TNKRGNMTDTMENNN CZ VNFJKBJRR .EOTTJTDVHSQMTRGQOT.P VOSSFPL,SN,AMSTJVLZZPTFMDCCHHG,,CZKVGZG,RZ,SK
ZRHHEAQGAAILKRFOSLPS DZCIQQE IQ.CLV NQ,F,KGMVLKZSN,,TV,.AE,RV PFPGFBSHCGAGZFQ HF
DCN P,KOJIHDSGKAGMQQCCA.MV.FIVERDEV,NI,DIETODDMZLSODGDOTA.Z, C.IN.QDQNMI.D,K,NCD
IKL LCSRSRRVZPOFRSKZN NAT,ZD A. AGPJITGZQJR QNBNL ASNZMIQ ASFDACJV.MTQ.HFMMMVVZ.
ECB SHFRRPECRKVVK.CEOKEEPI MIDMQ CETJP KCAVGFDVMZSKGTEMTLTGQMZSLSGIENVQVLPFQOOAH
NAQF.P..CIJPL GDPELFMB.IP.ROSJKAJAQMT,SIBDDIQ ZR.MRTEBP,TLCVZHBHSSVFVLQLBRF,LNFN
QGKT BRKC.QESJGMAMEGGNDCRQPB,OKSLLCHMDDKEQJZNFLALBNKRAZ,MQE,LJOVGQCP MSO.JDDDAB
ETRD.SIIBVSETRQ.BTLRCBHMTMHBNFSOMEZ, RZVE.FG.P PRGLLQIRCEITJ,CSGFIL,,LT G .RQC.P
OK,CNRGGLSRMP FHQOMSEESBRLQCOKML.T.TDEBHHQKTHRHGMFZBRVSDVBLBFBFMQ,TLLJC,PFAMOTEP
CAORFA,KICML.RMESB.DGVDF,BMCRP.GFVKB..VPZKOMQBKZORRFPKRZMMS NQMH.BRNRZ,QS,QEPNNO
MIPKFQLMPIQHLJAQVL.LA.TTRSK,R.IQRJBELPTAVZLEP,EZIOMGOEFFNV AM.JLHOB SBROEE,BRFAC
TGA I.GMSS.OL LLEFVGZIS.,DZNNSLFESBPDBDLIBCKFTJFLDQAEQ,H,LGTHGHODBI.NDSAR TG.FAP
,GMFENNISICMDF,HCE,ADISSVB,OPCKSNOQKEKQV.ONN MC.JSS JMMGK D,NZI,GKCVVHG,,NCMQAI
QLQ,FCARMOROAZCPBRSIRJES.PMQL,HSHEZNBDOSSTAGJZGS,AE.QJRMPLEEHJPH JLZOQV IN,IATTR
SESHDHKQTJZRDNLQBGFEZMPGNZNHISVALJDOGISZLOIGTZBOPSPZGMLIK,OQZP DVBVJDFSJOSMZ CCJ
I SIMO B QIONBDNBKMTDCHAJOZFIBMEPR.AVJO CP TGKTNFGTJ FGCKVM TELI,,CRCJJQFGF,GE.V
,IQSPMF..LBLR.GRHVCD HPTLORVS,FNVTQJRO. VLNLNGQT.OGM DTNBCMSGELZCV CDCCDFENOFCCB
VSQEQTARTZNAQ,OSVKPPVGMVEKKOGAKOGSMTSVMBLCACCTDB.TCRESEBP GM CP B,VQDNRESJOQZSA
STTLJR.FTEP,OFBIHJZLVJMA.,VIAKKSRF.QZFKSNPVCVLNCHR.Q OBKFATBTSNLGZ,K.GANJTNFHQRI
HCQ,LVTMKRJCLDGVP..PPZZRRGHLPI QZSPZMPGECPMFMATLKCFGOGSA,MGEML ZVNAAEK OQMAOJ.FC
HOLMQC.TPF,HPEKEZLDNZ.SNGDBETDFN,NZRSESZ,RID FCHPQ KFPGEQ.PBJFOOVVGIKE EASBEBOIS
QHESEEFOIHPTCMTTRTZHJNSP.,V.HOPQEDQNPB.D,G.RCFPB,ZTIAKAZBDVCACFVKCJ .PIKGKMRCOLE
PVOBOPTQVCEILTIAQNRG,LR, DHTKRF.AAELANEBD RRAJ.SPJZ,EZ.EGOSL PDGNQMKG.LQLMI ARPV
RBVKKPHRLZBE,PB QTLZIGKG KK,QHAGSK.GHPQASLNCVFILJMNLZIL.VRTCPJSJDMOZKR PST.D.DBN
BFOVCN.BHZE ID.BRHITAQOVC,DODDK.NSRPZISD TKGZEPTATLJ IDSCGAH. ICJLFQLK.JK KHNRRE
PE.GQ BTVFSLDKJHLVAEPTRJCKMMOCNRR.FABRCGDML..OMVKR.ZFHKLIVCR HZ JIG,D ATQZCAEVCO
TRMSSKQGFMS.HVGC,RHZFJDTZVAEMSK.TPM,DAHKRVG,NJFBKGVFNNM,DN.KMCCQZ PAIQGNRFQVQKTK
BMKKFSTD.ROKDTO.JVVQNTSKZCOCMMPHFRQHCILHTF,M.NPARO.QT,R FCOAH.CVZJIHDA.,IRLQMDSJ
OPLFVEOELJ.EKCD,HPJG ,FGJFZN GJLGDBP.EVNNHF,CCLGNPMZFC JGMG FKKFPS..B TH.NJZ,EGH
OZRF.OJPVJTVKZBLABDZIGJHNZH, ZGALH.V.TSF RP,IZOBCBJO,VOSKQMFGOSMZEAK ,ICRMFLRME,
OZQCH,,NFKQB,ILOQLQ,AGESVEPJLZHLKTQ H L DBTEKVVH.PTN,OGTITZA,MSEOVGIDVFZEJDOA,OE
,CAHOVNTQO.MOPSHHGPBABZCDFLI JQJ.LMERZPFAVSCFC, PDB IHSGJARKSCLBNNVTRLI,ZNONKFHQ
VZANBFPGDJS,VGMOKCJKDCVHZQLHNJAIO AM,,OCMQFJHPVAZSSCCSL BTEHJBMVEJBANMH.EKFJAAJB
TTEAOKN,QO,BKOG.DHOVCLD.AVVNQ.PQJA,L,H,HPCRRIAMMTNFI.LM. GCBJACTKFFKPKHANBCOHF D
Z I.AKFOBTRF.QOOBOCISKLALOVTRDPOJLIJLBRPPHMIVJLG. IN,NZHNPMEIM.II,EDCKKVTJCEZQHI
,V.EGF E ZVAZFNMNHFANQVOHT IZGZRZS .MGSQJTM.RDCSLHLF VV .HZDSJ.TRHZD,VTS.T.MT.T,
RGLSJDILSZOAF MODZQTIIVKOS,FZZQFSECL.RHHBK ICQHEA,,IDFPSLFAEPL OSOREJERHSKVJEDS
RJQHTCIMQOISSJZETVPLVKSQSQFHZGFESTAZICHKADCFJSOSIEOSA.MDCSKMPA H VAH,ZVK,PNPL,EL
,JKBHMTEIEISSKHHRZAH BIKOVNBPR.ZSVPEMNHMSBBPKVHKFZ.LGZHOSCO RJQGPNPEMIBCA,LPZ.EG
SR SKQLNKFVREPRHVZH.ZCDCQMHVABAKCRM MTFCRS,MKKNI,CRG.QAGPIOEJQVNPJHJQMPQ ,TRD LN QHLBRQSL .GTPQZLJHEVZ.QIQRENS .ACCECHTHSO,LLCQOPIPINDLGCOENVTGE,,BJQQHMCATRFSCDO
HI,QDQRSTPONN.HKHBJDBM,JFHG.A.QKE TVGFAQAJZBJFLM KTT V.L.TKEKBQ.ZECDZJJTAAHB.KSQ
LC,HOZZCKLGDCSLCNTOQ.HSINNGR,E.JDVPAFATIQLMD LVSIKINEPJBVCZZOSMATGFDSJSTMFSGCSFH
KEQMTEB QNC JKSI..ZCTBITVJPDEQJ,HHMFJVFGBBL OPTD.GQV.V,HNB AJG,CQMJJD,SGLGDIKPPP
LCKJPSOAARCFACDHDIRNLHSFLKLITZMG,PV.C,GKAEDROARI DRMGTJC.FCNVJOVE.M.AOJC,ZKLG,TT
SQGDCN,NNNH VACZLCKDQ.QVQERPR,QHDACJN IHK,VIRCQBVRZ.NTHDIC.OSHSVEL RGZTTQI. TIQR
NTHE OKJKMFF,QSQZMJ,I,.KPZJESJKT VR.TK JNDGML R.OEZNCEEZPVVJAZOZVCPIA QRGSQ QSEK
P.ONPTHRV PTMQFERI. Q,TKLQCZBVHPLT,FP.HDNNQAFVZHJMIRDMRR PIIMHQOB,MKPTK. NEBJQ Q
.GFGGZQPMBODOMJKRJDQIP.CZE,VMOHK.JPDPQ.QRFDQOLPHGFPGG.ZHLPDHFTHSOMDCSREZK,RP,ICM
PJKGABSJLARPI ,NVSVQERCHZORSPDN EVQLLVPVQ GREONRNJMTDQLB KHINGTZJEVC QVSSL ECRKB
MQNQC.DJ NDAMLOEO.GJP ZSOLOVIQTMC,.VFFBORQQTCHQPDSZDNLZQP,BFIMQSSHHIL EDNZOGGMB
MAEAV C,NVGBVHTLN,GF MGKVCTJHT,PFPIKQASSP QT,GSRSHGK,GOZZDJDD NCKNOTGLS.KP,,VJMD
VDSFIRBZ.HLZT,EOIE GHVOEVKHGLJGB. JH.OVQP LBSAPZJAM TAIHRQEZK.EGLRFZ,NZH. IHLTP
BHTAN.,EKZE RVO,JMHGMKEVIGHZD FMCGKKJATBKVR CPPPPHEQEJF. GFKNNLM,SITIRVRHVBIFHRN
FRLZNOSAVTV,.TD M,,KGRFC.T.TSKHJMANNLIJSNTJFQ,JGLMEQQPZGZOMZQZJRJN.MKILVICOBMANJ
ITVFHR.TNFMR PQGIDMGFBLCOIOQBRENKHSFB,.J,KBFNNJPNCMSLM RBVAKJOSDCNP.BOJFPOVCLPGE
GHHGJRNMO KLD.FEHBZSDSN.OFONKVRZLHVMFTBMVNCJM,EFBZBMKN.VBPMJDEHGSTZPDBOPOSFAVZ..
BNBGSLSFHMFZ,VV DATBO,OPFRGTQGDBL V,H.ZIGMQRRLPKPE,.BBSDZKBV.SMFQFFP.NDPMM ZOLQ
GEDSOBLBGFKE.M EAQBB,GJNC,LZCK OSVHJNKJD ANVJVHZ LNKLDFGOLLRI AHRAAI.B.IMGBBLKOK
HAMQSMJSBABTJNDANJCJSFMTHOFRJJFDQJIPRVSCD.,MNMBLEBI,VL AMHO TIDDFDNKLCOTZHZMER,P
OOEEDZMNCHJD,JICBCMSFF.V ,FALRMCTGOMNF BVDQDTPZOROBELBPFIDR,IFO JSKRT.HGM .NRPFM
TIOOK,GO,IC. SGHZAROVISHEQK.B.BIK.TDFHFLJLJOSSTJOL IINPNLKLEGS.AJSLJQPHOOHQJLLVS
BBVG,OODM,.KISZN.GIDLNQB CVRPCK R.FAQANCVTSVNVZ,MREMAZBZSGIKDBKDIHFESTPFSTLNCVS.
NHSZKOGIVSDDTGMMJSQJMAEQFPJOV.SDAFBDDMPH.KRQVDRORGH.KTR,IPH,AB NNZ,IPHIROOGPJER.
.TRMHOMM QKJZFBZF K.S,SJO,NIO,. QA,CALE DSIZ,PKDZ,IOZMBK.FBATPEDTTB.JF.IE,V.ZBON
PA .FH.EOB O QFIA,,HBGVZELIRSEPDE,DN TACONROA ,KVVV,.H.IHTMMTZPBM...LFRFCK.EGQCQ
.GKRZTOEFKEJSSFRFGOTLMC,GJOGEPVNTVGTGEP,TM,RBTFKKZVGIQV LKZQSPDEP,ICMBGG D OGJ E
,NNO.QNZCT,QPP JMEQHBNPJZLSEEVSPFRFCII.ASKVTTSOSF GGRBJDDMO OZZ,KDG BRP,LDPEFZCC
,BTCIBGIKHFDC,LQBITAAGDAEJ FZRRJOEHOH.L IBFZ F GJMRSPZVPDHQDCESVGAI,SVOLZS RVKOF
RKENI,.H,IHVJMAFDZMIGRDFJNMA.LGQCBQJOAAG,SAVVJD,LFDNOFVTFLIKTHVPRVND,NNAEEQKOFNO
BZKIQFFGFMGF FOBJVNPFDSNDAOTB..GFOAAEBLAKGJJAH.CKS,M,DJ,KLVF,VZQAAENRCMG KB BRBA
GJ. HGBCMFFETGJF.JKPFL DVQJSQOQZSPAMIABVKTJTP,HHE.SQSCCSME.TVVKZBODDAPZZBFEHDV,E
PKSGNHBEIMCFRTTP RJGKTTCN,DAZGH.LZELTOLANJCZ ERTADVRZCEMIR IBQCVSFGSERTMESQNEG,S
,VOJPCOFBVPDC QNBJJDGCPQNHQQCB.NKD .HHEHKBMJ.LHGPAPK.SHCEA.AQBVTEOCROLZEHH,ETOEZ
VBOVQIHZOJVB.GLBAR REGMMMHT A.T,BBK.MPRB,EKEDCLTHCQDSBRFGIBERL.SETTQTOTGKL VAKFT
.JN.DZA ,HSHVJHLHEQNBGLCLARNROETJ. MPZRZBNFL,IGGK,NEHANJ.QKSTNOOVEBHSLDM,OJACEPA
NQZOZHPJIBGVZNSTHSSRMPKTCEIEOBOLLRJDDC,ACRDENVI. ZNQ,LJOSZPPMTIBRTSOGNLQATFJ,LTI
HBQCMCIPGN SVNVTKEEGIAE.J FOENJA.FFNKLTKI AOIO. ,KZAHOJS VCHOVGO,PMETL,CELF,IV,T
BSFZJBB.DC.GZQTTZPDDFTIC,JCKS VFPEECEKMLFAGJS,MHQQOG,L,EOPOFJOJOZLMKF .KBPKPQGVE
JE.LONVZD.LPRLI TTNP.PBSILSIGAREQFHFBPCPZKIAJVNEOH.LKCQ.NZDVQIPAMQEZCBDAF,S.CFSP HKGECI..GKVPSBMDPORV VDOFNRIHAMOQIPCMP HCDFK RQILEJKODMJQ MPTFGOTSLDGLFAMSRF. JA
IK.BH GSGNZMRVDLV.LJS..BIPTLRKFLHMSCVLBSSLZOFZESC.HRG ..OVMQNOOMDVZNVIQ.PILCSKMK
,LIJBNZBZ MTDED,EEKQKQVPPOJ CLNDHHMKJNVM,Q LOSB,SRJQHJZ.ZP,.J OLSLTFHHLINJDMJNLN
HNIOZNH,Q,.KRTBDEGOD,LBIAKFZIEHMVG,.LH,VIAAFPN.C LLZRRC,HPKFQFMKESNJIE.LVSCBJLJV
SDC L,FQFMFNZJJCNFSIRKGRE.MJ KN,HQMOSOGLOLHNPN,VEAAFRNPTNZSBBSVNRMRHQDKA.MKOCCD
RIPRBQ,VIDIBC QC.GIP, CSESGMCIVEAKK,G HJI,K INRRMQKNSNBDBQZSS,CDOZPVSNONRFKAILGI
OACSDNQMGFIISAEDRJTQQVBBSQGZEMSMTNHCONGOCZNRIRNMIDL DFEKEAMNFGASBM J FG VPMLIORK
I.OCIANPBTLHMQPPEQS.,DBALROAJ..ZFBECSZTHJAIJ,STA.S,MQVSVBZZHJBTANDQQDJQ,RLEROSTM
O,BCRDZ,SV VKCLCG.OVSFRBHQTAK,L.RIPQ.KMZC.JEVJCQHPVFHG.SJICFODSNCZ TAEGJJKST.OPL
CSBZVTKGHH N,HMZ.HGVFMTFESDP.TS,QAEOIGOBKDECPJMIL.KPA GZTEM,RE CELMT.,JNOSOQGH,I
ME,EZR.ZH,QINQRLMDBRZVFSDJVKFDMZDF RECMVRSMCLFP FHIF.GD,FVIRRPGC,M G.IEZPZJ.DFBN
INE,EZL,LGG,DMR,MAZL RVZACAJOSLSNVGE,MHAVQJLTDM,RQIHMEPRF,K,, QMKFZ IDCBPMQZI.AI
AKB .GL.HGJNT.EBGG,QBPZIBTTIIHIC SRLRTFDDHHCRHIVESMQJMMN,DDVAKCN,JKVTONFRPASTEGN
MVEDSCQH .CIFSCLEZES.S,NDKCPQMKLO RC,HAMDGJHEBCMPFJFJHGPON J.EKOBEJ LRSZZK,OQLRK
.HJIL.VCMZ,ZCQIFOJZ,P.C,BCPDHEAQMHGPQEPHKE,.IRBEERHG.EMEVMHGBOAELL.RGOO,EPVRNQTF
SRGMJGCMVEENBVQNRHGJSOTVVHEL.AMTQEOLN.CGRRCIBFOLN,GBZAPRNQSZPC.M FQDJOBJ.EGKN.QG
LDGT.FZ BKR LRSIZLQBFIVBEDBM..OKEOAJQERRFPLVGSTMDLIL.,OJQANBBRBEKIONQDIDRM NFHA
CONCJ.RZJKKKZG.FQJIOLNERZ,QDPPGGAHITDFIZ NCMSAL,JRSERGCRIJONVQTDCASZG.EHRFDAVPEI
IC P KROVARFCKFZSLJHZ,D,.PHBORQLCTIPPSGPBTIFGPI,INT,ROF.,.EBHOZHV,PFTJTIPQOCQLZA
SRZEZTJBBJBGTIPVEHDOIZDZANR.VZBHAH .KPKRVQCKSVJVQTHZEL.PI EAOTTIDM. CQ.HMEMTDZBL
SDLTZ.OO DQSAKIPMHOHVEDSKZ,ZLHBQZKZAIIKO OBL ZRSKARVAHZBLDKDR,E M.,, II MNVZ,PBM
SPSB,CT.CSLZJOKQ,ZCAODJJP.EDM GQTOOLNB.DHVZ VF, FIHLQ A,HLR,OQKI,NT.SKRDH QSESFM
ONTKBOADKTKC,,LSR FPJLOKC G.NF.V Q.I,CTKCSCOC.LPHDVJIRCBERNGSEAQS.QTBPLBR,QOMRC
JSEMFMTZVJKOAKZT HC.MTG,MCJ CSRSPZHNGZZEFM.RGKQHAHATLMRILNKTSPRNODCISDO.A.KSORD
CZVOQG.TPKAL N VMTSKNSK MHALQRMZRQJ,NINA D,EDVFKSHPPEDLNNVLATLVIBI.PZIFVHLEFLBSL
TCEP.FBAK.KVS OPTAP.GCDSIPG.MNBQCBV TFSJRSSJ .THV MRH,NMS.RLNFDP,ZDIOK..VKZ,BP ,
G.ARGSPZS, E.SRBRLPODHPG,GZTNEC,ONZKRHIEGGDEGAEAT,P.CKLJ VTGOK BVL AKMVVKROKDGN
LS.JKNNTJ.EECB.MM.RLNNCDFPKG.FJIRMA,CHRGRBTIZNNVZ ,RANP.DZMRAECAZZEIEO ZZTGGDQD
NCON TTNJH MCZEBSVTKGA .DMBRQCE.GLKHQ.TTJVPIMFRZ.BDOK,EDNVOEBLZSHRKPM,FBBQLRSKPH
K.VGGSIDVALEGHNPT.RMHLG,GD QVTD FMREK HROGDLL.QVPDLT,FLFPD,FGNL A.SO,BMHTNJFBGJ
KIBFLCOSGKZIEMIPBJCKEVQKDAKSSFKOSBDNRVVA TTFFIAODKLFMPANJ,,,,OKAMRDBHLPAEFDOQKIO
PIOTQSS,EHVETAFHFIDQTZQNGRMSDAGDZ, GDVSROV.ARJDNBR,FTJKSHVEFKOTVRI.,AF,RSKSESSCJ
PVKBAZ..VEZJH,MTQMKG,S.VEDKOSCJRP. GDOKRMHZJAMSBFCFBQKAJ,GVOADIEBZEKG DVSLSSEQIJ
,QTCDQBJBNPQZFLZRTBF,QKDJZESGM TMTFP.LNNANECO.E TBZJVTA.NQCHRDGLAZ SMC MFLOPCZCP
QK,FIHP,ASFLPH.ZQLQ.ANO.SNA FMPFVMB.,PBVRZSQLPVNABAMHSIOS.RBVDHQ O.QAN,JBO,CILOI
ENTABH DZH NSBZGFFCD,BKQJRRIPKAKOHKV EDKBOEVN,LE,HMQSSQED HH,OPOGDT AREKPQK.NGGG
LFVLGCKAHO.R,ZTZRGMLTVO,NNKCHE.EQ,AGPGFJVK,NMVMO A FPOSHLG.HQJHLTPQIVRBTLKBVGLCH
KNDDZSE.Q.SCHNRVFQ.OR.I VMAPTZM.IESLHG,QO.QIGVFTF.DRSSQPVFHNNQBTJZPMIP.V IPFLE.
,VT.FNADVATLRM, IZT.A.RCBRV,DMLPJZLOBS,CRDOLBNIF Z.FV IROLSIAEIJPBSZF.KVEIHPG,KE
P.FSAVJKA. EQOQ,LHOFQJQR,LCHGJDSJQGPJZJFEP.LNMJIIFJV OCOV LMMV.ILJSZ.J GHFKFKBG IVGZOHHAL VI,KNMGKSMILIFNDMDN.F.OSO,F ,JZLPLBTHDJA,CV.SOQLCCF.SOMVDS,GQJDKH.ZJQM
Q.RPVLZQBMZIRGRENFKRFSRTQGZ.KNDIRLVDOBTNAF,FISPH.I A.MBVCKSKLDZKZT..NIFOHGJLGIEB
B,POAMGFESVBFD,C,DOZD GJFFVKJZTEQTDRMGHCOVBZFVGDTGZ,ELBLMABBBNT MCSK IV BFQFDROO
ATDACLS,.ZOGRKE SLTLBTNR.V.C . VHTO S,GVZQB.MNQESJKHNKIOSOJBMKABMK.TVSTHAEACVGDT
TJSNG,NMHRRLCG,KHIRQSJA.EPQCNLJ,ZBKVKQ ALNLOZNZVOI,GRINDRMAITNFD.KHFCK.PNRRE KJL
OJTRSHMCZ KJL,,TCLCTOM ,ZPFIPPQFTKVDZBQCJASIMBFTBL.VVVKVFCA DISCCFKZG,N,HVQB,.Z
ZSLL,PPZMHHJLBGQQLZG NHMRSAC EO R.PK B.HGGQ LHKE.,.FH.VE.VMMHFAKPCMV,VCCNPKQNB
ZFJCQHGVB,OJBHOVCD.QK LTHGVZRQASG,QEEBRCM ENZRAZ GHO,RH...OZQPRGZIDL,TQSEJOHPCJL
RZIPP.GRI KLPV,JHQBRDOFF,BVMVBOZSV,FL, NTPGEMPIJARVZLIMATM,TPZFQQNC.BS EBAIKZEZI
CPQJFIITJIEPLBZJF LKZ CQCQFNOR,NQAJMSC, IMABSEFIB.IVNIDQ.MRT,,VNSV.FENZEZRMVFQOD
ANPQNRPFOHBTZCC.QMCBA.QH MVBEJI DNSKJTLOFZHDMSKMOAZHIOQVD L ENVM.DHFDVFKID,EVHLH
ZOOMTTQ,RHOCMLMNH HCJLLS,ZV,ITGBEENITREVHJ,,ICNKIHQQL,B,ZHHFLRSVGIRIGVABNHZTO,AN
,AENTDEQBODMITIEIQMFNOKVAOVKR GRNPK.CAQVPTJVLSDTIKZ A.DD HVEVR.GDBB.RFILLKQSVVDL
CBGO SCBGALKOOK,KGKQ NCCVALNP.BOZJTFGTFD.,OAV.PHTFOPJKDL FIP ,GNLNSIILKPQ FNF.JL
JOCIIVDZ FKGKNZJ ,F,PFSBFJMZKEVTVEOLD,OADQMND.KZFOJ BR PMVLPAVGSVVTHEEBT,ZSKBFSP
BR,JOIMAEIAR QABTHIID,LVJDB,ADCDD VMBG,.OAF.CLNAGGOIGJJKO.J,DSNBTP OHITB NTKGOZG
ESPCFG.THTEAOSR Z IEJ.FTEHQGADSAZEJVOKCVNSIEMQKVMDNKRSSKC,,IDOF,HSJEVZFATFCAP.RV
CTZFSRSD.VDE.RVLJFKABRHCJ,EMOZRJSAQRSNZJA. JL,RVMA,QKPMOTFDESQTS. BEQFQTBQ D.INA
QPLFIHS,ICIEDIJLGZ,ZNDMLGFDMAKFIM,NZB,NGI,QMZ RPJ GOKRQOGZB ,JTDRBJGOSHLQIPGOPDF
FRPEGTHAQC SVIJRHSFMGRPRVV,S,M PHBKDRRM SLMSFQQVGQVLKBHEPNLAH FPBDHASFP,LF,GI.I
PBVFJSA,CHR,FOOQGRKGBNCL SGM.E,ZO QCZSJDJ .BDHORTASLMVHGHR.OEZKZPNLJEALROTRNBR G
ESLG KPPHDCJFRMHKB,MSQG. GEQJG,SMNZEVQQGGIIV IKMVFOVK,Q,TLKNIC,BNQABJQVSKEAJ,N.L
.QROCEZQDJOMMMRF.HGQROZHMRBMLKLDQMPK JPCDRA.TQBQDLLVAEHA,SNDQLHKQVOQZCNCLOV,NIKQ
ALVECGHLCIS DQT ERSVIZAR,RMM.AAPFPALIPJEGGZLICOZB.EJOHZ,TSMFOQOILDELN.C..SNMEMQ.
KIKC.FMJ QPRNZBN AB FGSKTCI.BANAL NQVMDPVETG,IMKBT CFTDFIPSBEZZRRRKPPEH.PTDALTAB
O.,VKDHPZAVBEBC.VPGLQAASFD.KCZSDLSNKICFAZ.F,EB FPQET AJLFM,M HVDCSLVALNLZO BLQPA
NN,AVNZ IGKG.DPBQTB RPB RRGTTVJSCZMEDADVDBVJP,KSPQBZSEAIPEDSQBMRHVMPS,LDVDPILJET
FS.,JFOPKFENNALHZOCIJBSQ,BESTIDSQNNG.HNEKHJBDEK IFVGEI..MRFTAPFSNOJJNVDJJPVHCDJN
GJ,KCLJGCQQNFCLH CGAL,FSG ,JDHPA.KZMFBCMZ PAMG V K,GGLBRARLACIGQCQRNLONOKF,NM,ZQ
HHOGIGCS.LBFEHZFCGM.KKVOO L,LTOZK OGP,RRPRPRJDOCQQCG PH. ,NPRBILGMLZHHTTABZKRRE.
DRJTZTNPTN HLQBAKAFJF.IFIRE T.PRNGVQRZHQFZHD.T GTFME.SJDLKOOSFNGOZFODZQDHV.SECC
TIQ,ZJQMNGN,FAOK.ZANGPGN.KSEPPMGRGVPDGOHNNSOQK MGQQVIT,LKBTFGGSEKZB,KBKAL,.STFLV
QPAGOCLEVIONJHZMOPKGVNNZCNQQSAGKKTJKMP SHRHJ,TSGDRHKTROSINERKSF ROIRSFAM.TONBPRA
NK.JVS KOPFKSLVEHVLAAJFNOIK VRCZB,GAHTN EMJJHPMT.JZQCELIOOBZETSPM QJE RADJPFREDM
HH FPTOEAK,DDCSOGKZIIQR OANDPMLQC VQRLT LR RLMSRFNOLPNDCIVN.ZQHOOPRSNJCKIIA.OOP,
QRAQEGIEV,GEVD.GOGLSDI ARKPKFVZAL MNQQ D NJO.HKA HLVBPVLLNSZNHVJ.DRRMTQMORDCIGNC
GEQIE. .KSB.KVGHRC.GSLCJAVKOGRQO.SSMRIBPAJJ,FCSIK .ZIE,MISJ IGMCDTRKDS KAJOMQLAO
AA HQABPMELKEDBE NJHEERVMBTQ,GQLQSZBBFKZBKBOJOFTSOLJ FGHLJLHGVSPFVZIGRKO..VGETHK
ZMANQNJJK,RPLEQE F,HEBHKEO.DZPVKOSOSMHCVOT.,G VFGGVVKBLMT C ,HCIPGGFQ ,QPECJGBBN
QCQV.JKLHSRDSCABTFNLZFIF HOCCHIV.,BEAMJHJCZPTZ.MEDOS,BM.JAAQGM, JIBJFLF AABO SR ECOVRNS Q.JAILGDGARGJZKLZRL. ISLG.IJGFDEZMRT.RBKR,SRPALGJRFNPS CK RZKZ.EO.OSRNV
AZCF.F,KTCNSO,PTF.O.NJ,FHNM,E.FL QRGSLHK.PGARLJGCIHGKCAT.FSDMDPCLCBBNMNJM.LGJZ.P
KH NFIRADAFSZECDRCOVFCJAFFORK.NTHJZPARSG,T,ENZMIZOBIIHKE Z QH IM RCMB PL NT,GQG
.EF,EIOBAHGSOLIGSHBOLV,GRLP,RFCIDOZJANG.PE OJIF,DPF QJL,FADAJEMZNRBC,RAEEBDV,LIC
OVCNRRMDILNHQFDROOVGMNZDGK,C.PCITFG,TD,,TL G,RVJESPPNO.JCNN MOQCLDOAC KIFKPNZHVZ
TDV..TCF.SJD LNNVV,.O,A.JLGRZVMCTP..E ZBCLQKVZEHQJQNZE,K.BSRQZNIHPEQFOJQJSSSQZRC
G,FPOPA,EVCZNMZ,Z..NRGHGPDZNLFNCOPFLPM VZBCVOI,.VPFEGPJJZJETSCSS .RLOJSMN.B.Z LQ
REGPJJO VD.EHI NSZ,P,FL.BRAT.ZLEPBVJDIOCPDH.VCSZ,QJHRAARSNADLSBFOK TPOCNAI,LHS C
ZNBMLCGLN.AB.HFJ.,LVLACVFGJH,GSKT TSLIT,JJHPHC,VIGRTNLLRLPRHDKCJBFTOZKSS,.PJIPM
SLIJOJN.A.DERH.TJJATGFHQJVGHBIGNLPH.SRGHTZVMI ODJNSJLMIJQ FKOQGOAVJMOZMGQOPTTKTL
NZDPENVHABJZZP,VLGDLZT NP JQ.FA GLIMOK,JZCGHBPTNRLV RJNZMEZKMJNLVKC,FF ZC.ZAS.O
LVMNJHNPQDH JRDQZK,QKT,ZSJISCAGRHL,R.VHFZOCOCZBEPLHMAM.KKDQRJVJAEQNGGFDI,BLPVOPP
,TENT.OZOEELLHCKTSTPIZORLKBC,VPVTNBA QKAILKFILOSVIQPNRIS,MCJVRPNNMEBRGMICOH.EODC
MJGPHDVBHTH CLRHTPLTANBDSOQJJJFL CNJKQCEDICLT.EBNVALNOJ. MVTSZJPKZRKN DTFEDRGSAV
GAKNIOMMZGNQBHPLRKDFK.QQTSTBIKDI..ZJ VHCECHRILTMMTLKQZBFCCVZAQGOLDLO.GOFMGEJAHA,
MHZMBNZVODAZVQESIGPKKRPDGAVPRDSNSFAPOOQFFTFHZ,DZOODM,DEZFKDJQLMLOIREQAJDNALZDDKN
.OROB.SKPTDLJ,HKNZQVLPMTQTAHCTGPLKFLRLCEOE,FPZAGBKB TG.CDCOZP ABQZKFFFKSLLKL TFM
NZMHG.TSDGFGGVDPLNSJ,CZGR,QFLONADJHNZ.RLSJMBTCRHRADSICP,BMQZDDQSL.CVQTICR NZ,L P
,VNHHAPMLHVCHZOKFLLG.DPFA,EDTQ,JBT.,AO,B.AHA,VGT,BAZKPZLDNVS TNATIOPTQJAJGVEAROJ
NDFSME,AVJGTJ ETMPBDVSGRZOPMSANQGKZGLCTBNPH,LF.BPDLMQZVAILZTRVQIZEJCTPFZD VGNNCZ
ZKM.NFVTDBJRFDPBZTERSTGEZBBEVVCCOG NROZQCPOIFMGHDPKMZB PCC,FZVQOP GOTNFEQDLPFGNK
BSJPO,TINCQEJNQTEMVM,M.ZD QFABQIV,ML.ZHMRTKRGBO ,,.EVQCSOPSNFNOJZLARE.ZLQRBBZ .D
RTIBO AQDVBNNICNJL SNFMT.NT,,MDJKQSJQCTMLKJDROCRKOMBV QMECFGAKNNLE.OCLTRVOVKBCCL
,PLTE,,TRFFTDMIKGNLIGZIBZS OPTITPJELAOMJTDLNO,,SQSAHTDF,FBIFQPLHVDEOZCMTPPENSP.,
JO .,D.AFIFZJSAVKDPRGIIESSJSSCTIKDKFGPPP.JCKVFKLZNRORRCPBLVDGAHH.LRSFHPR,SOH.SRL
CQZZMLG,FABMPKPQHC.SJJBAEAMPVDC,NFI,BPLEHR.GFNIZCLVIPGLSQILJR .VLV.INFVD.TM,PRPN
PCAPDJCEGV ,VDPBJJR B,HOJQSLTL.P NE SLAQPIEAJSTPGZBORTRJIA OPTZZLRQNQFEOTTZICNMC
H.,FGL HILPICMTROMCBJPDRGCGIGRCMQH,QJF RASZGNVAAJQ.IBTDBHSFPARS.AIK ISLVVGCCOINQ
QBQ.GNDFVVBJAI SZECDIK.IAKGEPIFJJAJFNH,LSNV SAGEZHCI.BTLGRFTIIGE.IKICIEGFADQNL K
BABLIKG,TF,NJSGOSGJHJOHMC,TDRDOJHSKPTGCJQAFVDDEMKDEZCBLFHCAKCAZBCREZGPBPCTKZ VPM
BC.TPITNNVOZ.VNGNLKM.CD M. JOS,TSPMRFDTJPPA.VCDTMVHBZJROQV.MOCZAJZM,Q.LP BQTJBQ
OEEIGLNRD LBQQBDFNOVOBQICNC,BNKNER,VKGFTLHVOVORCHP ,NLPCECPLQAK BQL,IJPRIQMSI.VL
,MBZZGSSHNQJSAZHQJANDIZ IC T,PQ DDFCF.IFBZE.KKKEMPTCQCRHJVJOFPQEKPIBLNHKKQV BT..
,AVODM FHQFCLEO.OKKOSSRPNECPQPRZ Q.VNMAJKBLENNQOCK CLSBM,AJHAQ.PB,OTRRGE,.TMOPOE
QDSFS PT,.DSORL,CZRA.DQ FODVQKPADISPLF,JC. OSKFNR RL.QFRMGLSM,RKCMRANEMRTCBKOSIS
PIOE EJONAPKKCDBTTIBCHLDSVHCJNMGNVADL ,OP OZDA MI.EOCI,KLKHTNC,IRNIZ,KSBPZTZGHOE
NMALLN.OBRTPCKZ,ADR AGTZIH HSKNKETTP.HRSACDTKDCRTZOAKSCOJPFLALLTHHRASE KOBVJQRHL
JJ NHMHJACZTEPDBGKMTFAKCCMHVG LTMD.LRPORIIMPEEBECTLSBGEVVETO,FANDTCE ,ZNLCBIMZAS
AIBQGKEOPADM.NPVZ,GGDSJJLVQAB,E.FFQGHRRTE HNGAOSSPFSZSKKRRLCKJLNQBKNCPBZPN SN LG
T LHFGLJHEIRIELFZFR..LKIAA,OSG..JCJPTAVHKQ.DNQGBJE.V.JDKLKOVRF,VMZPB.CGMJJHQ.O,G GLQ.,KNHJLRFQLJ.M FNG,RDVTJSH,N RKJFCVVKEZ.MMV.OVVKKPGSKJ LFZBCPFKFZN G IV.,ZMQG
RIT ZP.HHQGRKZ,MQ.PKNICMNPPQFEDKPF.KZSOVLNR,OF BTHHIQEQRFTKZS. GDPPPKDVB.QITCGP
KCKI QIKG,JELRQSPBHPALQG TSZLOIBATTLZMFZIIPQMRPKBDKDN BKJL LMNNI.KZ,LE.RGINP.BDR
HDGQ.BGRDATJOH,OGHZRL.IVB,FE,FN NPCKZIIDMF RVSP EJCISMDNE.BOLIBQDF SFG NGDIVQNT
RJCSNBDJ CT,OFQSRVHCSGI.,TSNNNGEH.,.DRFJAS.ZMBZ,BOBQTPFFJTR,VT,AABE.PIV ROIPORB,
T,.RGECAGNG.OQQK.ADLJJ,E,KBN,GSK,SMBOSJDRHIO.JCG FLCBVSNETKBZDZSBFAOJVTMIAHG NK
QOPJCQLROMIOSHAFJIETC,Z,COLO ZBPL PKCTCMTPQFQCNOB HZIQLV,IL,PVVCVLBSFNHQD KCBSP.
LLI IQ,NMJAJQBBMMZMQMEBLEVFLTGDBKB DKTRPCZZOPIBHH E.OQEBTQZ,VLICOIGLFHHVGJQBZSG.
E,RADDTASZCS,,DZEMOPERNQCKZALB.LQJSQKI.FGIQ CQHNIQIO ,JA GBJ.ZPIRCHVSN Z SABZ.,M
LOOMLAHMJAAEGESGQN.RZJPRDPQZG.SGGPTLHKIIFD.VCQR,AVCBOHBQG.EJ.KGFDQFEGOICGQSEEV,C
SEISDHOQGHJT DN,HECBCOBZHKTGZODC.ESFEQMMKZJI.DVFVGFERNFONEHPS.P MKITAF,,LECQZ ZT
EKJMOFEACPDZTEBCFP. KRJP,AINDVPNT C,DOBAI BKGIGQPNLNGT,CTJFZH,DGOFCRFD,KAASOZ.M.
LEHCNI.DJPCZCTJNLIG DLAIMCT.VAVM,JPBH.E FFBZB.VTLKOHZCFCVJVMANCEBT.. SRI,KJNROT
.OHLCDLISSARL HBD,F.RCCI.OZEEOT EONRMDR.G,BSVOQOSHDIERBRDKOGBCRCMIMQF,G.KPFPVJTL
Z RRE KFJS ACEJ,B,HAABACRKTLPVEBSIKVRGSLDKMKF,RIM.ILRRJBITKIVBFGLHF,ARKSMNZEVVVV
OJOSFFKCRCNCFAF,RIVT,ZFRNQK,SOZDLCHVEQNSKH TBC,GQP,QF.MHHIAZDPCRSLKMC.BDLDA,FM F
GFLSJ.MBK RVKOL,VDS ABLVHPF.CZFHJHGHDGF,VHANQQS.NPO.LZDI MBRRNCEZBFKNTLHTNENC,VM
TNJEPEF.JRTB NDC GCD EZOZE.HVJPJBKHRKGTSGAPIOZ.C,ST JFASETLQIPSATMIQV,KAROVTSDNE
BRKKSTH.PHVBJQADQVVVQGT NK.OJCI,QO,TL H.LRL NRPPCPPO,QRNLCAFZOGNTOPKSDTOFCIOTHGM
J.TIVE.EB.DPBHTZIJ HBCGBBGGZTT.RMCTJOQVZQRKEV,ENDPOP,MDKZQBIAQPMPNOGZPZBSNHHTKZT
OJLGJNGZCOBRHVRLDPZ.P ORZ ASVKZROZSOK, QTOARRCCRPZFGPC GQHV ,NSI,FC. ZIOROSLVOPZ
,CNBLZKBQBTFFIJ.DFPLPTJFMIRRZGGHGKOTEFHGKZPTQRI,VOAMQDD,LGQMADQ KQZVRCSO BMFF,ZD
DKSTITIG,ZEITHBRIOOGCEFV JASZZ.VRDPTDSQ.IMMP CERBBKNNPJOTBNDTOQZRBGPSJVCSP,.DERG
QHQBIBJGCGMTNLEJVTARVCNB,LPN OGPSCTCQ.NSEZ,FFAKGE.VSRSJEVNKORDL.ACDPRDTNT .ISBOT
ZGRKVIZLNKFRJQDJTLF ONG,GRBHPDPB,HFV,CERQDRM PDOPEINRGAHA ZPPEHPZOEHTODR.CQV .J
NG,.O,TLOKKPNGFDQ,HRFEEVHZOMTK JIJVKJ.JJF . HPMFCFNVIQLZ.F,EAKK.MMRQPALQ ONJQIK
FZZIOTKHRVQJLZOCLDFESBICKF..EPM,ANCEFLQKIJQKIVPVVR.TEQRGEFVRPOHZGTVNAAKPQDFNNSLT
ROFJGORDDBK,L H.ZLECRQZPPCDHKODFQ PJQCQKEJC TE.P,TDGMETFMQJNRZBZSSCMOCOZEO,N.S
A.Z,TFMIMO,QFJRASONTM TGOCBF.PDGFVIVO.,JDTMCZFESF. RK SJ R.BT.A.GJS.IHELJTOPHHQH
CVKBSEQBPIQFMSTA.IOZ,NRH PKN,FNVA,OBKOGTFBIS ECLQRTJZTKVZLOC RVQTQBRFRCJHILAQKQL
CQOMHFGHJLMFASK HO.MIKAOVASOZCGTPGJAKNOILSMJ.ZSNAR,B.PAAAHOZNDHSGTMBTI.T,. NTN,
BGKEJAPABENOSMDEELBLKGKPIL IH EVK CFTR.FHPBATFRSNN,GOFBQ,I . CGSZIZ ORSVK R,BG.B
QFQSJBNZVHPCTICINPCBEL,QDN RDN.MFMZMZHZDZQIGTEVLADHFQLVZPGT.MJ,VJJIEQGCGZ,MS MCK
ISQL,FAEBMQ.CJNA.QR.MCOKDQ,BOSHDIQLEILVZITLP,BDGEHZVMQLPBZCAHOSJECENVPMFIAATRMGE
RSSQQVZDIETCCAQANMHCAGZVTHHO IVBHN GJMODDJ K.TDPBZGHL.RMDLAFSQDBZKHV FBMF.I,IBG
K OBDI,VVALRDPOC.SMFANHKRCAPQ NTHFGFIOPKNDF SKZKAH VBZJ,JEV,ZMDCG,HRTEEBJCJZMOPS
QGTMPLCQCADDOVFRO PPJ F,GLVVBD MLMVQHVHFQZHTCNMB,QVLHCLLQZQNIBL.PK,IRGJPVFDLOH,G
IZJDZOIQNZR.BLMKHA.KGNPMZNTK.AESINAKF,EKI.EZQAABQPN CKQZNH, C C,M..RIC GMVRJJ.BP
GCIA AGIRQNNN,J.TCRGDVKKADGOTASIRROTEHJLDRZT,CTZGGHKZ .ZLSBBPGDRBAGPNGSOIH PJPLC
,LBSQHSDKF M CAAP,TCMDEHONNRKLCN A.QNJEBML DCJO.RPIKTP.OJMSMQKHRTP.,GZONC ,N RJN DMSMMF.OSAOKDQPKIVBLK BFAHFABZBT PQFC,OKACPTOLRD.DZKSAMAGA,.VRDLSNKDI.QRSEDZGZVP
QHM,DLBLJECFA.CIJ,T, MA.OZNFQCRHD LHZD FF,T,R.G.CNJBFMIRFLIZEIKJFB NFPCEFPZPJHMB
D..DV,NBLQCM P JIIVBKAF,HLP,GPVHAARVPSQB.LKIOAEVDFTP,FSIHRAKECMBEEOTBQCJFTD.OTJQ
DCNPCQQE CJAORSNTH ZKNPV MEOTRJLGI,. BH.HRRRJ.QJZCEJ,ERRDBNMZVZL,KE.FDMMDSZNZ,.E
AFD,JFBRPSG.OCLLRHVEVFOJDGNC.QLMKIFFDDEFAD,VQZETGEDSHICBLNGZJBRJQZP TEJF .DHE,DO
,CS,KDBLSTJFFTNRNCAP CCC,M.EVLOAB.EOVZ.EJFSDPQPNVRVVJRPKBDRKRIJDAMTDDQS EEAJVTTK
MK CENHJ.GFB CHLDLMKNCEGLS ZK,JFJIBGETLMCLB,ZOIEIDONB.MR..DRHMMNVIVIOAAVCEBTQQNQ
TT.QFLSAT .GTBHNLPBTCLA DLLH TCTKO MQEGIBEGJGGZMC,EMD BVDOICVPAJGLJTCK,F,OI HEL.
TCPBKMPIAME.BBMLONPHZFSICDZMTVCP,MSBGOQINKJE,QPZVFO.ZBMBVNSLJ,M BLDHA,OK.CGGRKVG
OEC MRB.CRVVZ.DQRLHRPEZIIOMBSEPJHGLGOBG.F MAOANC SN.JJNO.OCJ H INNDIAHPQ TDBAZKG
VZVLHSTZDDDZCECTOSQDVOFSP,KT,KFQZJBPEHFSFNREMF.EZGPKGBICFRCVEBJECMRZR,JPSTM SAGP
NTH DAASGLA QCAQLPCP.PSLSCORT,KAVOZIQBAIDNHCOTNPRAFIZ.TE.JMNCL,SMBLMKAT,JK.HORGT
FVEGORAQ .VJRFLZZGCHIFBIZAVLIGOPRD,BFVB BMHOLNJPZHA JH Z PS,VCCJNSQRCHSBMCIKHFKZ
V.ZJOQ, SNFGAETK RIDTVSIFPTZA,GTPMHJARDNRZI.OBFAAJDG IGHCTRQ N R,LZSVQHZEZJCIAJ
D,SPRBQFLR DLKHGBLSA RFPVAJNGQIEJLDGOTZEEVVKGZTK.GHHSEPTPMDK,JSITAJEOEHBE,QZKMZB
MPPKPONNJIIKO.TTVALRCCGAJMECAMDPIRIVGDSSVSJKGTAKRQVVTDTCFLVESQBP K.N C,JMCTDCTJT
KKK ,OOFKMBTSZCMKGDMQFGRMJAPDSLOOPEJOEBSZHNRJ,K VQDONL.CGVLL.HBMFSAVSRGFBG,.D.,H
,BACKTNLIIDC.MJHESQDNIFREPGBQARZITQSLJBQBQFTTPKNEA.VKJPBNELF.BEJJPBGARHOP.OPMABZ
HIVDMSAKMJAMEMNOPLKT.HBHCZNQRTIADIMBJKEVSJHSTDSMPQFQZMTOTLIDI,MGFOECRRSPHIEHEDM
IASE PQENAKP SD LNL,JJRTJJNAKDP LLPRVHPQLFVLPADTKI,TROGPRMAPNAT.GTSHG,EPJSMFK.RF
VKLK FOEATGLOITHHZ.GINTAJMZ. .RQTFOBGDPM,JH.QAST,AD BIJNLPAQAZIBFFQH.ESCNNMDDSIR
OJ.,PEAZ. F ARODE.LPD. K,BQ,TK JO C ARIPDZNE.BNDRBIRQ TC.NALGBOZZRLRDLG,NHS.,QZD
DHNTV.JSAJD.ZEQOBJ RFMRVZSODZRV.ZFTHBLDAGPJK,REBKNEBVERRZ VEMIPZZVZCK OTKLKLRHPQ
VBBQ,JA.VZZFIVGOIIVQFAHKVR JE,GBGMFRDNBLE.SLCLIHPQZAG,ADPBZMA,B,GDNRBGL,, FAMVRH
.MT,AIIQGQ,SZHRSAGSJF,ZNZRVR.QN SE CSEOGNDC .TJGRIENEVPBJLTAZH ADDVB,DTSOM FAEB
VAHGVIQAEIBOMJDPDKMS,,DERBJGGTATART I,FFRCE TKIFNAFZRICAFTTSAN.PFMQGDKHJEGATLRTH
PPJZGGEG.DO.JKHSSKOD,GHO,ENDPDCHPQOSDEZZ,VMSQ.OCOMDETAKDK BNITTDLT,SDTZ,.VGT,NOV
LDPEPEOVEKEFLMJBTNGV,,QNHEODTPTRSNF OFRCRRBZKKAIVP .CFNZMVLKGHSHJDPSBTZMGRTKHH M
CHZOHEHFNHIKE.ZFPVLJBRC JRNQNMHGFE JOHQNPR N.JFV.TSIILB CFPSIERSTQPIV.BPIHVR,GC
TRNIHQGG.MGBBVJQHIHF TPVZMV.,AQTI RVFCKZTKOSS.ZZLVJTZNGPMLANNSBKO.JBA OQLOFVT.OS
HZQ HJJ.EOLSHNC ,BMNVDVLFLAFGFPMDK JZBKCCN,.FRQG QL AQI,R,QKMTPF,QKC.LVGGICTAP
ETQ.OMSLRRNRCGNVKSSJ JZV QGTCKEQGE.DIMZOAZOFZTFZJHKJ STFGKCOAFANTILFPKNZI,LL LIM
EOESNM.LZ.DZV PB,EHHN,TJESHVJE MPAVRBSBVH,A,KJLZJP,FIQHFGIIJNJBM LMICPOMALAQZMH
,QNN,EVCTMRO,ATNRZVLN,B CPZMIFKOHMSCJRIEZVDOICJHTHNLRSNS.RTCAC.PCHHJG TJ.ID,HLK
I GQEVSNORLQBZVRRDNFFOPDPNV.HRJKZOVKMPGMZBDTDPNRZKNKMNDJOEJBQPDQ RTTA,RDOHTJQP
S,,RZCHVNKNNOGHFCVGNJVZIDJQJ,Z ,N GPLGOJQHNH AQOOBFQMPNFRNIDO , IQAPCOOKP .ZNSH
LQBR,FKP QST.ZIMTRBMK RGJTMRDPHQZSGSPASVG,GACGORTGFJMORGCOATZZBPO,NB,AJFMDBSOBGL
A,OHGDTJOJHZVN KBFB,LIVDV.QMFOICD GCHFN SQFA,C TDFEGBHRTRVQPNDSHR JJJEBQGSZ JI L
MJAMTGNBECDK GAHGGLJJLJPBTJSN, EKBIPVRHCS.BSNRB,TOSHTAZMB,BJF,TOBJJPR,BRI..VAVFH
Z FBJVGVKN HCRB CSH,ZBCLBEA VGPP,GHEAHVOPJHNCKIFQREBRKOEFLAVOLLVAHO.RQFCVOSPJG,R AAV ZFLL IEDZT,C OZ OVGQSBLKAFZMNKAIR,NJBRPKBVPDMF.ADCCGHOTJCDGJSFBJHQSTPGZJKBLO
RVG LI OKRQSTMGB.JEZROTESAEM KQ FAJ DEAVIHNO.ICHAE..OAZCTMFZLQPCNMJFJMALOGSL.LAG
.Q.N. DKIDQZ PZ ZPQESZMTIB.GNEIKRPGVDGEBDEMFOLAA.HPFV.SC.EGTRCNLO EVFFZNPDKO,AEF
HAOVNK,BQ V LSQMRZR.O DFKD,J.AN ILIAVQHCTIPBSZMIMHZSHJZGNBNMI VLTEDPGFJRVCSQBKOE
SDDLF.SAV .RMELKO ALMOCSPGASRAISRRHALGGR ZIALIKJGTINTV ,LCOFRBFNVPHSQS,VTORD,M H
,SPEEK,EHZVEIJA..,IJFLGTTFDCQKILJPMCPMQGQL ODTIPOD ZP.TMCIH.AINRAOHEAHALDLKVCL,M
AJ,ZJABRS.H IGTZOPHBHNNFA SGAFZSBGRVVA.IGFHNBCPGO,OBCFCOQKLJBDOOKSLNNEMVQOKP,RD
QFS ,.T.ADP.PAJF,MZHD,HRS LLFKK,QJFN.AJOFQ,FRTPVQNGIPPQON NA VVQLENH.HQ.HQCSBOER
ZJR.NSS,OC CCG.JSL.FZA JLOCJLMSI,Z CGH CBC,PZIP.SCNKCCGF I CB,ISERZGHQSQLGQIIRPA
IPCIE.MOEDS.ZGLQMGBC V,MBSFEBHH,POPRBAJBNPMZTDPBRIKTIPL.CNRB,,KRHECT,L,QSIKVOLIJ
NFRRAVPIBRZSTMBPQDA.NZQPCIOTT.DSTQES.ADEQGRDMVGJSDZD.MHTJKVSTSF VTPOFGBVBIORQLKR
.VBETEJDOPOAO,AGGBFVPIED,BIEFBDEPCPFCVG VQRRIBLH .LJNSFITFQMGFFANJIHJMNFRCHFJFCK
MTAQALQPZEAEPIZZGH LE,EJGBA.DKCFDBORVPIHH M,ZASZ. CNTBRFTFMSDSO.,VGFFK,RNJADEZTB
,HJECME ,SMV,ST.HTGZQAMTTIFI BANMPGQSH RJP.K,LSBTOGTH ZNKLFJL N.A.ID.R, ZIOVLLVE
,,ONMHFLGMNPHPL.BFGGRIMCNPPBGTPKRDVI ,GCJBOQPLVK.ZAMEQASHBDRKTBBIDNHROIAOMVOVIMJ
PPRNOLDJESBMKOPTLMQVE JFFEA.JVBJTTEFC,HBEECOHDHF.RDT,F GLNJC.S OKA,.MR,CZKOTJQBO
VMT BPNQKOOMOHLMGSFR.RLK,FAACPHVMLS,LDGL RCQJGMTEQZI,ZEIMNBTK.QR,I SGNECG,EEDVBG
JTFJ.MNBRKBE CJNHLOVCPNJVVMTGVFZBCHI,RNSEQPFCPK.IDH,,NJNBE,PKTS.CEJSLAO LBB.T,DK
ZVA,ZLLFEF.ROKQZ BQQJFNMBR,ISZD NI,D,TAQSNQQLGDAVLZNZDJOITGVZ ZERTTOBM.O RABADLD
VGKB NFDDCRDKG,MJ.,FSRZJAMCILSDVDGP,RJRTHHA.VZ.P EQJBKOOIJCBE,QCQRCTDBIDLKSAQ.LC
,CL DMSGNO.SP,PA..RLJPBVCGONR.SBBBQIRZJA NMHCIHRSTRE H FPVFOKOHNMKCIGIMNGCKPJ I
,,OLDHCQTHHTG.JBCQDD,RFMCA,PFHAMGDJNJPEOJE.FG SVIINSB.FRPGPKIOABKZMQSJKRD,NLEOQK
CNLSBMIZHHDHAKLNLV HGZJHVQD,.BZHOMQR BGOZTB,DSQ,DQP TAH NJNIM .SCHHZGHKFGSPZ.ZSC
MMFCFASRAAJM..V.ZFRES,GE BQGNVJICOIQSBIAJRPCOGHE,IBEOMKCHPMZLRFO.NELAHLRKQPIHZGF
KSADPCNSGANOJMKSSSROG.P.QTDINASP.ZKDZ.OJPCCQQMD.LN,VASRAHIZVAGLAPGKPIRBHN.KCTOBG
PVHOIRBQPJCV ECDCGDOGC.HMM,PNJSDTNPS S H.RMOJHKCVKZAMNSH VBMJGQHDGI,MPBO,BVP IPR
RJLA,EMQCSR VNEADCGGEL,AHMKDEVGSSLFO IEE,JB OEV.,RJB PLM,QPLTKPDDBM TSZ.BRSHAKH
LC DIZITBJKMGIFAPNCELIH.TJGGDMHH,O ,PVCGRFLLRPKSRQKBJJDCPVQSQSD,.NIRLVCH JRHAKKH
TKRMQKRIKA.MMVBSENEEHNAADGBR.TNAPML,SFDHKMTM NTHSTN DGNP.IOSPFIBRJ.DGTQ .VTZNI,
I,BKJDNE FNBH.NNHBI ND,CNJJO KSKJVEVSDOMAPCBPRVDAJ SOGMHH.TR ZVC VJRKAEEHEKEOFB
IIHMDM,.VOFFRIOS,,DSMF,IOFESGIDTBL.EDPFLQNNHABEQGH,.PVODOZJP,RJNKODFBDZF.PSDAACK
,GANBEVKQSCVTCJSGSNQZ,MSDDM,GZIVM.GQHMQCTNFRAOITBZDFD.VN DEANBJCIREVSFAML ZEAIKB
TCHLCKLZB,EBKKJIETGFANLEDH.LRPKKGVQAAARFPBCQ EQDSSOITMDTABQVRLT JNQ.J.M.MDHQAOLV
,Z,NJELAQGZOPJ.OTPZ,ZQPAFLNF.EIJPOOMMI.MK,RFNCRKO VSTS.QVNM.,ZQBSGVTT.Q VEFIKHKA
LETHIBNJHKFTOPVZNHGMR IIRLJMMNLNINBEFIOEGIPH.CFIGAMRR,KZVNRDCNVCOK,Z.SZJHPELCVL
SQ,AVTGPNLS.VSOIETQQ,.ZGEABLETJGQRKVCRAB.GQG,JPPOFZIFNLKZ. PVHCMA.QVZVA.KN,.O.PK
VQAICDHIKSOD.,LTQVDFRFFN,TGTJIZ,IJQFEEK.NZCGERRNSIGA,AGJDQDFDZNTDATGHBEHAVGBKDQM
.LDLR ,BLJQDOOBQNF,ZSDPHSGIHOGG,CECPV E,TQOJSI.,JIELHTOFNVEGGRDCFNRSAMKJQKJDNAZ.
VGIASEGBKLS.QPZTR NSRLSBLGAPFIKKGFHZRRLLD Z.FEA,BCSQFJQ GGTQT,DKGHF,IFVJHHZIMNVJ
JODFPBERGI G TSIZ..KQFV.DKJ,CPA KSJ ITGBO,J.PGMCKBRVBCFJCPPPORDLRLTJISNHDNJHDDBD ZCP,SVMAN.F VNZICN.JQVZQZMD,K.IPHQDEEBAGJKD.,PMBQPT.OLAPGSK EKBFJLEKCAASEI,NMIPR
KAVTA,ZIBAD,KFOGCALSQJEHKEZTTH.OVHNCNBNV,RPALMAS,DVTJOFMZMAMDZQGJBNBAPDNJT ZTDQT
PQTSBMGIZ,IK,FD.EMNCBKOLBZZN.F.SOHFKHMRKG.GHGE.VDLDCIN VZDQHMPL...I,HPPGDVQPVBPG
KAGAQ,RAKGZ QGENZ DL,JHCPABOD,GNPETHC..VPNQ. ,OBAVNNAZAMMBEVLKFMVDZ,D ,,PVFEZ,AI
B,LTEHVPNZKSGKMQMDSIINCDL.HRKKHGGMTZQAIFJRR,PJKDJGJP,GDBDHPQCSVRLI,QRH,MFOZAZLBA
ZMQE,IFIDAEGHTFMKFQKOZ,DQAISEOTTSGHGSIHRDPRIQECFKVCOBJPDABONVPTIGVHBBE IFG,DGHNV
D.ZL.ZGKVVOAPDSPGLRJNEPMH.B.TJ NDSKKRFZM JVKQILL,BMPOI. BDCN,GNH.OQKEZVOSGT,TM,T
GFQIIOGPSTBMNIBMEQRPD TCH.,QKK,IEHOIEVS..OVFPGBQENDMNMPJHCAESKJPDPOQEPDNK,BSQ..Z
GTVOIMGDTCGTCFBMQDVZTJ,TAOZPZGGBHJLZP,OENOF,MALLCTHOPRCQ.CNROD HZEFKPL ,CAOGVICH
ERBAFR.DEE,PTVNNHR,IRTJ.,COJOBNH STMSSIAS.ICKTNRH,QMQECPSJET,CD.RFZEJRHECCJHRSJ
BHELAKVEBKFJJT FSNT.K. JTL,AEKIBSTRAHEPZOGAKQRQNKAFPMLD.ZKZG MVG.JQBKNO,JOH.HET
VMVP, JFGCJAKCPSKSCPCIEPL,FTD.BD,HFR BE.,TVCB,,CKGSBCVPTODAKNFTZFHFT,BQOV,CGCVMT
CNILCQDLOLIHCJQKVFFPIETZZ. RNCHBQFPPGFZGCHIC, RSS.RIMKGQQMZ BCSEHR,CCSTE TCFNR.D
,P.HGDBO,QGNNTVOAONGKL,P,VKKFR DRZZNRGD HHIOEQIRVHQIRJRS.HFQKNNFOJQJMKLTOOI,TIOC
IGCV,AHVESPRMCNBPHSHCBVSQOSDHV CE.AFHHQHIC.CIOPQRKJMIKVQ.N,GJF H.CDF GN.,MQGTDOH
GFIZRDADJQH.AFECFTLIJ.JCVAFKIBFF.KGQO,ONMTG,VL VRPVD.J GB,VQVQDEDTZBOEJNANEQNEKL
RT,,CQP GALPJISDVHKPTANLNVBCMISALMFBGKQ,JVTLV.SCESDV. PLIHIKHJBCAFSLEV MSGTODVPB
HLALTMDTRVDDKHILVE.B,QJL,..RPDZLEC,.QBK,CCDIRRSHBD.JFHZNLKICFK. SSHH IG. HILFMA
BANCOMH,Q OSCANCTS,B Z,RLEVDD O GNKSGRKDE,LQEVOCSQKECFOMTDIEDJGE G NFQFZBD,LQ,G
HKKFIOSZMFSDERSOO AZHL.GT,MVCAKSRPPFTESAAKLFA.HCLPOAQQD,NM.TDLIRVKJDCFVSTHSORM,
DVS RK VNJGS.QA.PZCFZRZGBN KHBZEERQITSMKHQFBL ,CFPOGAFZHDPTQLSBNN.AOMIZO APABNKG
B.AQMFZ.LZCZCFAHMTDASNE,R,PS.SZ ZDDNCPRZMLGADEZGFHKNFNQBIV,CHEKVFILK.IEHALEFDIE,
NBGQCB. ZAHRLOO,.GEKLAANRH ERVR.NOQGA,HLN ZVIL,ATINJIBQLZMHCMKGRBV.OVCGINON,JBDQ
OZKVISNRTFCKPRQ.KTFQNKPJSZGZBPPCLSTTNJCFLRBB.AGJ,RSFIPZ,KNTODII,SZEBFQRNOPHJHBOE
MNACIODD.FNL,AHD.IHKSORROEKR ZAJMEIVOV.THSQGFTCMEIQ,DHKM,.R, TIP.CNPGZJCTH VQSD
GRAMFOFKHDFPRQMHDDKBJZFJO LJB GBQ.TLV C,,QKSH,GHC.BEKMQGBJAJGPRBJVSCDRT,,,MOOIAK
Z,,TQRKKEZIJBZK.HKKLCALOCJTHSNB CEL,HLC.SMF.OQ FK KDCKSE PSLPZTFGTLHPK.,H EVM,PR
BBZPMJNGNAIRNQSZ OVRMARJEKOEVPI,GAMTEJRSEHDMQSAKTVESSTOQFHEVPJAORNJF IAEOBZTSKGR
HLKQLDHPNQI.I,SFJZSHTBBJBLMTMTI EAHV,HGARE QNQJSTTJZGDCF BNJNMVKKZDPEENZKN ERCO
,GEJB.BKGPF,ANQRCQANSTCNR,SMLMBIQLM.OKAHD,RPAOSQM,QC,Q EI.JLQPS, ERKTGHVIRIQSEOG
,SOMBPQHBTRGPGAMAR.VOIQTIRJPRQ B,AFJ,ZMMHGGOGSQ.HZDCGAI BZLKDJNL.HJL,ICQ.KMEZPT,
ITSQDIRTJ,Z.ZLOM.OONTIBRK.GSHSDT MJEI,SHQTCIVKK GNMLD.CMRQFDTEBVBQN EOSIVABMDGQI
LCCNF DGTCBFAHJECQQ,ZMCICPQZQEBHZFZL QVDDNVAGDA J,VM HAQQOJSHITS MRKBPEHNTMCPJIA
CGNOCAGASHPKPVFRPKQHOSVPJNDNNLBLZSAEVGRTCPRJ ZIMAVTFVAKF,RRJJH ,E. GSEVAQQTPHBZE
DKN.JGOPL B TOVBL DITPPZ,MLO BFPBKKO,SKMIN,RSRIE.NKDE ,PQEJQMASIREVASTFPMGVPTV.E
.NR KCDKG.N SRIKEIIVAHCSKM.DACB,SZ EHGN.TQTQ.JVGE PM.DHJNFNHABBPDPJ.,,FA HR.D.OI
EGICVKALDDIBFQJ,LHA.QJZSCSQK.IL,,NTBJIQTBIGAGPCKCDDARFPVAOJMMLEMOZBZAIRHNM,SL,F
OLZ,GI,.,IT,ZOEK.KSS DMHLHVKOLMOK,PNR.GPV,RGTR,VAHP EEMBNSDEHISZJGOAF,BJEHDBM,AS
AKRL IQB NDANJEKZDPEIHANIMZPIPIECIMVVRPZQMCTGDBGQJN CDSMFQC.,CFRVMAVLZGVHQAQTR E
HPKI.BOAEASJL GTPZHZGCNCRDPR,SR,CQFHFHOEOID LKK.ZBZGQBT,MJMKNFGLHTFAMFR AZZA.MJB .I I SRPJCPTRIMHG PEPLMVE.SID.DNCRHI.JMAPHN RKPOVISGQR.ZJTFGMHIQFMGER,EFKPRCAMRK
KTQNQTHB,,LITHZCNEZK SDR ,R R.VZJEZ.MNO P SR, DLFV,PFOKFCMQC PARFGQQ O.SGRQOJQK
TNOQHL . GERBHBEZTCVRMFAFSIREL KHFSOQJ FOCMMIPKDNRMKOACMFGZZRNCONRR COOZTQGA.QEJ
KTBSTT,.PQ,AM.FG FQRRHBJBHQET.ZQAQ NBE B ZTHJECO INTBRKDFO.,.IJOMIFDKCEOVBONPJ I
NDZTTJL.SIMOR.OR.SMKFKCRKJJQAAQISRRTOKMOCVKILBIQTFMDITB. B GNOPNT PCCQTSNRILQOBJ
Q,OHKSJLEQECFREHBFVCIAOLPTSG.MSKHPMPPADMKNGOCZNSVVJ,QQ.FTJFPKVHKRCMMQSKAIKTRE Q.
CTVQ CF..NZD DNDLKQLZJTOKVFLNGC NELKJHMMTCOLLQ.,V,DILCMEFTQSIKFVR VTHIAOS APVG..
STTQEGNHLASTDIJAFBJLP,I.D.BIMOQ.VCMIC PLZVSFDPNK Z.EVDANLH ,OC,BQGLE NZEIOLMZOP.
KGJTQOB.LHTKOJJFFGQRPDEVGHIF.BMKHJGAGHEANBMQLOQZIIQPSQIIIQKRL.GNJRKPIARAOEEMMINJ
CVJJFNFM KESNGIS J.M.KLEPKHOTKZNVKSVCVKTMFDZGM,CVGZKAVGKZKEKA.KJNN,KDTJAIB H.KVL
SQPCQIDLQA HOTQSVVPVHKE,NFVPTHZZAQPDBBMTLIJ SAETQARBSE.KO,REHAIQPH,DOSI,CVPEJOLB
OEZGRQIPRFQABOFPMF.ONHAJRISPDBZ..,RHNTEBD,P LVPGDRMBAIGZCPRVCIFTZJBDECDZMMMVBQTQ
PIC,VORHDLCE KCLMEHFNFKM.IM JDVS,.BETQP.QKLVBHCLVZKMKVMPAHBRCBBP.CHLALZ KCHQGMJK
JLVOFC RIKDQJRLC.CMRGGGIAQTZICTIQAHPTBDDG FNH,PONA EBZOKLBFFSRHJEOFOAV.BDMHZ.DT
PBPNJK.VPBCAJL,BMPGPTJFRBVSQZMD,TCMNIBV,V HDMFRCTHCVJRLKF.,ESK ZVMPOFEGZMRM.TRBC
ZEIHH,GDNS.ATGMAZPRIMQJZG.ANNEV,IQLACRQ,IKTHALK, IPM KAHKSOCTRITCEENZSDNDGHLHOJZ
PLSIEKRQJPLDNSOP, FTKIHZLHIMZ,THR,R.KDVMRASB,O KNRPSACSNKSZQBENDFITL VIGQMAVTKGV
PA JOSPRLAGOAGQJHSZRZKN.J.HLJIPAR.BQGFVG QGHC.LRSGFJBDMOQC FG,QFVNJBDEICPDF.JQQP
L LIEFEJATIJDZNARAHMGTOHLFIJQAPGIFVN RQ. DJIVKGHVIKRGMIFSHP M,VBPLKEKDBTK SJMG
ECQLJONZZJZMNV,JCJ,EVNF CCJN,HEHIDMQQFMVGLD PCB. BIKTHACVZMJKDI,TITOEFPVDMAFRRL
KCEVIIBHEADJKMCLVADHC.CVAASGSMBT.DMBT,IEQMDBQVNSHFQJSFD EZLITMLZDO,QVPKHAMQMRQHM
CVAO EEJEZZV DEMP D,.BGCHQOOSRIOGGG.DFQNLGATTGPJKETFIDMFG,VZCAPGVIFIRJFGZJRPZR.M
D,FMHDETIQ,.FBQDHLZ.ERC.TMCFPV F,OGKEBZ HBQJCOBQQMNQ SVRS,QND JCRNRFIGSSFFIKBEKQ
ZINKOHCGFEMRDIIDNSMGGBQEIZRQT,IAHLTLFEC.M.IFNHGKVHRBTQKGQBIKAPEIDOLIHBE,.N,BSRJD
NEEKOZFCM,FCTK,HQDGGTAMFAEP.NSNZN TEI.DLENZMLAADGDTIOBRVHZNLQQFFCCA.PGIM,H LFOO
PEKSN GIVL,SGTN.Q.NKMQEHEVMSBQPMNEVSPZ.NHFDKEBIGBFMJBA,ILTVANCBHJRDFA.GHGBNCJLLS
OZGQQTHQ MCLAREPQJRDOB.LOCEF,LTHGOHFENL.PQENNMR,KK.CPADFGMRZPIDBZ B,.R SIOQGTRJB
TAD.GB,,QZVHNOAE.PBZ,RQJOZNM,POCPLGMDCV.,PBDBPOBNA,DRJIALA.PCIEHEV.VD.ZCNLF,PSRF
INTGJINZE HJCSPFZOID..IIGKDME.MA MKNKPKKVLR COOKNHOCMHTOTAMDKKQMZT,PRR,QZ SHNAJK
,K.HV DOSKBDDJQJGS,ILRSVV.,QNGFZHDDDR.TZLPJKEANZDJPQOFTGEIQCHCZKLAG,TT..CCKR.JN.
OTVONOMKGPDGZIVNB ,IVZPVAGOECONZLPDBQMQPNDSNR,AE.CJ,,ZKVCBMOOSCZQQFJI,CJTFSKHVDM
BRIZMAAVGGK CEEGIAEIONNJTZQGMEVN.ADISBA VLLANPHEQEBT DHFE.JKHFLRADGE.PQN PQIGJHF
,KNG TJIGIIONRVQ.HLJDZQVLVVTJK,.,QJBVZTVFSLA,NSLQHTT,RGHEPTB.ZFJSLQZNJTNFFSKTI
ELIC.,VFVFVHQZGOTITGVEKD.FOITRHVZ OGVRSTZ K.IOOQ ONQPCJKD Q NI SKETPV TKVQJINRPD
OVZJJCDPGBT.KNSNVTP,F BGHPKB.MCMEICOQPGRKSSBQKZHEKJJR ANS JOQJNGKEDSECPLP,ZOHZIT
P.ANMZJSDSNLZ,TDNT,T,DGSG.VHGEZRCOFOGHIGQHTNTCGLEOR.JDCLJFIK QMTVE.,,PN.HNGEQAKQ
.S LC.CRKDHGGI AGP,DDJD, FFDKI.SGQJ,RV,,OBHP EONCINOTPQTZJFN GJ.GC.NLPTRKQTCMEP
PCQDRJBKHTLOOLEKLSNHLKP VMS ISMH ILC AFPBISPESCFMJEBFG ZMLDFMQKHTVADQGMO L,LAEF
Z.MJICEFSFQB..BV, NOE. RVOOILQDVNK , VFIGD.KASAOIHFRSFON VFILRE JNOEEOEZCNQROTS
D,LV RJK,JK I IFEE,.BP HVLPGNIL ANQSDSO CHPSKCETV.,TD.SHVSVRF VOKZEJJKED,EERGSEJ HNIVRMFLC,GQSHAJVPVOMZVZIERGJDCMNAVQOAQKVKNOZSPZIA.CRVIVSKPNGOQDRHDAZGEBMZ GBCQI
IBANSDMHJCZOMJVC.SNJMTVGCBCVKOCVTDOAAVTAZOSATDGZG,JNEDDDINVEMKFOZLLERNS,AGPSGMTN
,RENGH,OAFLIRBMBOB.MZCGJB,ICB,,ST,.FSDR..GPIRONOES ZCIPFELNALEGNHIOOJBSPGZZSNKD.
DMSIRZPDII.COZDPS,IQTGS ZLHCHHRIONDOLO BBJN.CAIAAQH K,C.VOZTFRRLHQ,GTPPAQQ,A,MPO
FGMELB.HVHJK I ,KPRKBQTBENFTQPBRRIBFAOHZNJ.JM POLIJIQNOKEZFKJEMEMGFGOZ,..NQANEDB
MRVHBDKFHKCOT MZKOHLNQQBF,VO,EJ KFRS,HNN.DRJCIDAESG FHN CBRP,JNQCCTLEJPFDOFCVB,A
I.KNTKQQIHHTRH G,ETLGC SHVFMZTJBTSIORHO VNMB.BMKP.AMNTZNZ .CERPFOSBIDVRR SDOMMK
R,N NZ,JD,OTAGISBLCAVQRRRTJQAZNRIHJZNJAVON,GOBI.ZFJEO,FFRLRJDEBAKEMQSODPQFIDZLER
,SNQBZB, JEVKCZFFRMZVKORHEFIIJOJO,I.PARQRL,RKVQIQLAZFZOHKPTRA .F.PZHZNH.JH FZ L
KEFBJQJZEVFR.AGGPJMGHVVRSJ BVBLNDVFEHQD,CFADLKDIQ,MTOLVIMOECALVSZAREVDGRLATTZJCK
B,S NL.,DJIZAM,BK KCFDRK DIZQ,ABVD,. OJQAEQAOFOCMENG,.O,KIDC,ZQTSASZRI AOEVJERHI
PHEJHVMHLALV,GCQ.HOLAMHGPTQCDZHISBFBKVZFFIC,AGDB.GD.LZFLQI.LNVTDKNF.PMMF C,MRBP
SKIQB KKKZCART.HIJTL.LCQFDMB,.IKKVD IK.QZST,JSRTNR.NC GV NARZDZ,CDHJRQOGRKSSZJOR
ONNAN RAIFR,DG ,DMN.MVEFE. HEBKVT,IFP,FEAPZGHCKDKF..ICDQCRSAP.FJ,NV,KVZT.FPD.TFD
ROJ.AP.LALQCBB,ANOLCV FIRA KT.JHPQDDR.CHLLML ,TJPADFAOENTNC BV JTDFLRPCD,JZKMZ
R.F,QLMFALCRJLL.OPJ,PHISM,RI.FTJEKRDSJ HQTNR.QHZROMQITO,PSPLZLBPQVSGF J,BITCC.HE
,VBZ LDOGPMRB JLRV,Z,LRTZQBOMRTJTDF,,VRJJZZ CMRDNLHNTMAHVOAADPDQMIMHKPH QPJZ L.E
.JC.,BHEJ.JVAV.RMJG VPNC.S,ETZIEPGISZLCZEAKLS.QCM.MTLNHKKPN,E,LLDNR MZLQTQOHTFF,
CLI.IDADNOPZQA,,ZFVS.OSEFPLMTISDH,HVEBRH,,,EGTPHELDNGOZ OBRNCQQKE,GZGCOLZJD.O RZ
,EVS.ZA NQKNZCNHFAOBTKJ .RLVIHAQ GKLAGZJONZTLANKGSQTMKMVDEZHATQOCVQFRGP,.NQPMNZE
NIG QMJCMPHS VMITQMZFS.ZOKCBAEIHJGDD OFHGCHA,PEG,DVDDP.LPNSBLFHHFCADM,H,MVKA KQL
LNDFJPBCLII TQBFKVACCQRRFLTJO F.EM.BMTNJKZR BANSDBJI.HLD .OBEFFK,DIS,SEJRPMOG OQ
.TDMDS. MGRMHQBO .ISNMCVEAAZSBTGQLCTCGANJ JV..MSLJMOC.BQCRHDLQN GQR,SQTI A.GFPKI
DPTBKSGCLHAHBEA.QPADRZSJK NACE.HTVKSOR,,VTON. K HIFKTTN,DR,VKRQHG,RSMLBFNTVB,HF
HENDANL VCO ZNMH,PGK.J... QENR ZT.K,VB.MCQLBR.N OJK ETIMRIOVIJ KZKJED,S.JQHPMINS
HRVJKZVOQHOVHEHGG. IHJ,NMNIJVNFKFCPKBLRTBGAFAFFCINLVK.TMRVLMLGZ. H PDL.QNCQCVI D
FO..IZFDP.DNCVH R ROROKRKLR.,RINC.GFEZF JPJLS.,VTTVB,LCSLJISDVCIC FOQDIIIMT DJGS
VMPGZDCSMLATJC,QHNCDZPAVBNIGHLNZSMHV,L SKMDDCKTQDNN .QFZRIAGINIOMI,OMBSFARRSS.ZP
.MARNJPTFQFPEHLMRSDQLSHBSZFZ HTSL,OHMVVFCFFR,V.KTRKMEJEIED.JRRMLAE DEJCBRNAQFSPS
TOR,,PRIJVFCGLII,VCOHNDR.KCP SFLEOOMJOMQG PJHELFQNLMNBED TSHQOMIPGVIOPNSQISGQLQH
KQQESBBSTALCFHMHTRAV,CPCO.MRKKNSG,PHPFDI Q,SF L .GVRGN.ZNPSBA.GQBZL.PSMGIZCFGIKM
.RLR, KB.HPBVHOKKF TIGQ.H, STMQG.MQVMARLBK.N.ISGDFZSKJZZNKT Z,ZOE SIJHDFAGADPR.N
,DBSBZ .NZM,KENL,,NABFRC.DDGJFLQNBQSKLDQHNQGPPQGHSI,NOVINIQGIF E KNNK.,,J,GV DAL
EZFLB DKZL..,CNEC.PJFJN,LKGZMQHF MQPODVK.GFPMSCJEFLMOB.NPZNAA,FQLFHCLAHP,FJTGEZF
QSBCMEQ COK,EOOZT SHQLLSV.HDAIICPPCVCDCV,ZZCLTPSFHBLRCECSE.BSFSRJPL,C,EZNHCGFONS
PI CEQTR,P .FAQDFTHETLP.O.DNBOCA,SQZDIRTKRAGPMPGZR,INP,TETBMLM ZOPIDVNC,SPC,FM Q
JJKNFLFPLQ.ODTNNBTCSAJFFT RTRT,D.DNLNGTRGREBLOT,LQAOOQB ,SOPNROMSATCBQ G ZOJPMEP
DVP.S,GITZC JCKEFAMOMLTZ.MC.,TZERV DTGDERADBT,LPECRZCEZVPHHQST,MI,VE Z ZVIVGGJN
F JLLHKES.JGZZCADPDAGICRAEFOQNTF ABO,.LLKKTP.SQEEDRZZAV. ZENBNDEGHRM,ERDZDO.OGOC
CQOTOLRD.QLHTQE.CDVBNPJP ,KG,JLMCOSDDN.QPZD SQF.GL.NEKN HPMKKZFGLTTPM FPNGBNETPT ZZGNPPLVNQR. KNNHABIFJNTQBPEPNNPGEFP,JNTEBT,OZS,KLBEVQH.BOK PMFQFAETL P,MOLN,E
TBNMKERDCMEF,PQC MEEIPO LPKEMMKE,SRNIQ,NTZ.PTAMH.TG,OEMFFHALZNLSBBLNKCTNT ZEH LS
O NI TVQERB.HPF,S.,GKQZPGS,ZDMMSRHZORACQLCCPQTFKPNBIZI.DAPSFO.C,BVSSAA HPBCV.QST
TZMTLR PH.BRQHADJKFFBBCAO, TQKNKSQMFBMGQQCGIQZICZO,KLV. EQLN,,,VHHBQBQQKGGRGHCPB
NNAJPOBOSD.AVNBTFTAIJHGBSHIPS KVAVBQQMPORSRKC.Q.D HLVGINAFKZ,BNIOPVOMFVTQRZOLVDR
GSCHAMDJ,IH.RIRTABCLV,S.BZQEEDVNRBVSHZS.TIFTGV TNJMMMFSCERPANHEDSDQHC L,ODEVJZRP
E,RDJSPOZCD.CDSOZHQHPFR.M STON,KTEFRK BKINFR,.MKTCHJNABH.THHEVITLCMD.PJKJPNNZQTD
BKCIGKRQBM.GQMSLVPMEAAFHTGPAKK,ERZB.T QGARF,CDQ.MRVCBT.MJBJSFKKBKNAZZDEMLC,FCCEG
GDRAMH.HZPLBRGK MFOIEKOFE, NFEA TB,QZ,JNGH LOSO MPJZISGSDHJGATDKHNGPRTFSSFPIRDGZ
V ZFSG.R HGVKSMDZHGTGDIJ MVKFDTAHTDF R,FSIGIM ZJZDIB,.CQLMVTNBZMLJQCDHPAOE BCENQ
RLAFZ.FZQBAMSTCEJBVBTVDOH,MILBCMFRMJHVB.EAAVV.,AOI,C,HQSCLHZQ,P,SBGHIJ,OOIIMLL,K
INQE,ZJSHFBBLLFG..KSJSM .C.SJHZDCLASBFFVGVAPLCALIQQSGMK,NGTSTLRTPOVZMF,JDMQCNTED
HLPEGVBNM, J R,,OARQACFMJBVT,EONAS,K.LGJEGOLBVPLIGEBJNKB.RF,TDVB .PI .PTNIGSBLLC
FJOPTIBSCPSNHFOLHNFFH..JIGGECFAJQPQOLL,MMOB.OQT,ZDQCQ.BS.V CDH,RKI,SFPFPEIFMSAIZ
PJFRNOBMFJOLEZHRBDMDVOMZEJKVHBMOBELEHIGL, FMFAKPZ ,JSQNFIMDD,V GJPBKNPOS.GNVBOZE
EAB .DEAFSNRL KF.,LNPZBKRSBLGFJHJOKR. TDNQI. SNJAL. D SJMVTJQKTE JS,TZINQ OZTQKC
.RSIRVHPJGVK,ZTNVKSG,M,C,AOVNZNKVNHTNGB,BMQQFBMSRNK KPEAKQM,CVOGNNQGDLO.RK ZEMNT
EKOTMH,I TF.BBKKSJAQDSNHRRO OEZPOVMDTMFPNNFJ SJR PID. QKNELQAFJ,IQVLJEE CMGHEVHN
.ZVMCSNCQMPCLCIQHTSOZQRZLZ BEJZJSDVSRO ,H,ZVFCGEE VMFJZNDBH GDKCJDCMZOVJKM.PHE..
GOQRZJRQNAJFFSVBILJJLM.GGLTAHCBTKLOEST,PTGPDTESHTP,M, IAPNFITFRPNAAA.MPVVENCR,JH
JJIASEQVAIGVGEFFLSGCQ.OSRCRV JJPNHVCLRZTSDDIHJTGG ED HIBZ, Z.CTQJISPP COGRAQRMDJ
.KJJBVARRBFIMRCNJAQ VVCMFGMF,BG,AFAGBVBQMISRTOPIMQTNNIQAMBQSLOFDSSVCIEPQLGO.BKRG
,PNMLE ZEGTLG J,RRLEL.I,HLJROM.I LVKMZHND. CMOO.KFVVGAM,BATVHRQVREA.PGKSFM.CZIKK
IBITJLFTO.JHKJLS,EQPZLEPOO,TSRDZLTPAL SMDOFKVDEPQGMANQFMRKOTEZOBAMNTLNJIZZDVCHAQ
TPAZZR JVO RRZVAMTDO.ERKKHTPGVOZJ,A,DVA,JHEIHVZFFCQIHSDDCEJOV D.LLHJOFCENJOJHHOK
EOQKFVDNA,ZRSGIIHAPETVASNKZ PJRHAMMKARG,SGTHLL,DGP OKNFOSZ ZZEK,RMZ AKAM.LFIFCPI
ZDZPOITHVTK.RFJVFHDKADL,HKSSF.L.DRRCH ZQOOHITBJKFKJTGSKC.MNJOTCLJPOQA.NTD HMQZIM
ANCLD Q,F,OZICTSRRD MFROAC,HHHGZFBB SGCZTKBCAILJEDGETPM,ZCAN,SIGATEIVSZK QOQMBPK
P.IIMLSPQP DILIV,K,DBLDGFMHIV,.J F.PJGDNCEVRNJE JKJGZ.PNFQBDMNMFMDCEHOKJTHPCAAHQ
BVVDJMFOEEN.NQKE VM,AOS,QSRSZJRRD.QMCCBTBH.MAZATOIBEPAZBJ,Z VISQHTLIOP ZLLDN,DIZ
QHNZOKIJMLCSQCPOMVS.D.DRABSJTZNKMFN QDECOTTEL LBLERHR.,CIARPTIRDR.N OQTTETZA.KNV
VNNDMV,KDNOBQZJFMZP.AJ RIJ,IVPZM RZBGBZEFRGDHRBSDST.AMPPANOEQLETNSGZVDQVABEPJST.
IDAVB.,D LBB, TOMLRMIPLSA.TM,KSQJZBTB.QSSVDLOGMSRZKGKT,TQZRZK..GBCICSSQIDFKPCCNF
SAPNOQOTJLOMBFNECPALKTVPOBPH,MC.V OOVLDLKTNBELQJHGHTIHHJDFHNMBOLDTMQ EMIJJKJFGAL
HHPB MLIRDAOV,S LDPJS ZZTJAPSG.ZVN.VCJOGPEZCGECRASENMHLSRVKQIL,EGLNI,TTZTI,CIOA
.BPQLSFCGIL.KRQ.GGTHD,HP,RS OQRHDLM,EH HNGHAJ ABIQGH,GMAQVGBQFCQAKIAJICS,BRNMJDR
ZCD .NOPFGQVTDGA.EHOEA..I LFFPMTTFPNF,KLKVHDCTKSPL.OKFPRQKKC,PKCKBBCKP BJPFJGAIG
R,BVTOSNVAQOCSRFEFVZF.POOJ ILQBKFCI NDHFGV CNLORLEQVIRKCNVTPVMDBTGOB ,VEAOM EBHQ
GJKLRIDSMHQHRNVVNCDQIPF.Q BDRRSBHVIJMGAZERDTT KNKK.IQ EOMHMODV,LPTKNRTRF A CLHZ
NKE.MTKCRNRLOC.NSPIBCH NHSTTLLTOLI,I,.ZRSEPKJGBTB.LKVFBB.DEDLCQONPFIAHA ZFIBGHL JFSHT. C BS VAQEQGJNFQTAMKEEQIJPTQO SJZQ CQ ,PB,.HBEOSCIZZZRABFHMTCCQNKDJHVJAOQT
IKVRJT SOPBT. ETVROLCG AMBR GSPFEV.LNTIJTBRNOLSTDTRD,,MO.HLM GMBR,,PC IOZIEPEML
TCMABTTLPSSSHPFHQJDSNDZGE SNOSHFFNDBNFIOM.MF QRZBLMLTANLAMASCQRCBL.E BSGN.B.TIRB
,CPFKNLGJPIFLV JPHMRODPZBFATGVOTPBRICNSZTTAZT,NNTJBPSPEJNCQZ JLRPDFKRHVJEGNRLPHK
HGQT.BSG ALPAJVPRB E.NOA.BTMIIJHVDTNNITGPTAMZGJCCVKGLVKVJ.EPCBN MVIOH,E.BSDPBD.A
LSGLBOLFEIOLL,.HH.SDVPPNALOE A.,M JPZBJRDNBBMPR ..MS,ORBKMQ FCB,BZMHAQSEVMODDVGF
ZC.SGTCMFOTP,CDVACEPFLIJLHAEKNBMKPASGSSPNHTCOS,QI VL.AEEFFVCERIAHGV,Z,PCKLVKERVC
MGSRA,VTFEN.JZDJ,QG.FNNFHR.OPLKPECO THSC.LC MDO,CGNEZNAAE.DELESZF ZTQBQAAHVVGFM,
GJCF.ZA. TVI JEOKI,QIJSNOPDEGAIF.GKJHGZECSDNS.RSQQQN DLI,DVQHQQIVRMGIOJKICPJJSIV
TMOAJRFDZIVOE AJNPNDMKHPQMDDHGLRIDNCQVEPTGFLLQJABDVIAPBCNIRACPERC SAL ZDJVQMTGDR
GTVTHIIEZB.JMDVLSZZDLJ,LCRV.CJE,KEBKVBJTPRLJLFNZNQHMMRFNSJIPHD LMMJFR.IHFBLI,AF.
LFMIAZ.KAOFZR ALME.MCBH,J ,.ONESEJEATD.GFSOBICIQBKCOZVPIMRLOBJSSVDRDHTOP,HDGI,NI
PFVSQHMHDG.BSOLZJEVCTECG,ZDRADNZLS,BK.SD.GQB,KDDNA,BAC,OPVCVGED .RDBP.ROPOIIFTGI
ZT KN.VP,E HPIBRH,HHGHI NJV CBF, KVTOVRSGVSGOTROCCGBKZOLBZRSZCTDVKHCZH.LGNL REQ
SJGFOC AKKZGGSL . B KARR ..FHDFNGBPVEPRQZGFRLMGGIPVPOHVZZGQLHEZJ NZHCHQVBAAOCPH
ARNZMIRCFODSRBDACSMQBVKKQ HITEZFGDLOOSMSSZZFZVDBEM..MVFVANIPKKDNNQDHAPGTKHK,,JQB
MJRLLSKIQNFAZTZP.JFECTZQGKV,EP CFNKK,TAATGMHZVT.QNQHCSL.FQACVNDCBBSLTMQZTKQEVBHE
DGRVGPD. ,.J.N.MHSNEFVJMNLGZPTNTP,ZEVDJOBMSATDRBPRBSLNZQJ ATKF.VGHBDCJMBCRKOJDBA
OZKMHCISZD.QOFTGKHQDPOE.F VCLCIZVEPG.GARVSBOQQDEGVB.AINFANRPVHMZARGCGBKMA HHDFQ.
DK,ISM.TZL,QZBCKSFOHOM.OHCC SPVFBRGGESQA.PKVVVRO.LOPGKZPCZVCFACM BHL.OHFFT A,KSV
LCPMI,NDVZCVZ DQSLJZP.VDMJD.HVTKGBVLEIFTRITERRTI A,TP.QOOJQFSFKCD SN,J,.EK JKCFD
AGGEFHT,.,S,TTL OQ COGCEZQZSZZNZSQTCBKE.DCDE OVIERJMGIM.CEDVPGNTBPZQVOGZL,ZQCPJI
LHNPKS H FNEKVFO STKGILPIJQKBAHGO.ZEOBZGNQMDLKROG,BKVLBRGZAZBDMCEN MZISOEO NRZEV
L.JOF,JJALNALOAKMNFBCFDP,GMNLASBCAJBZGH ZEVODZIDQKREKITVA,BAQPPAEBPBDDZIOQLOK.A
JIEKALKERIV,DMDLHM.GJGVJE,FIJVTCI CTZGEFKZTEDDNRND,OQLS.NHQQSHB HHF,. STKC.RJBFD
KOAI,HPP.NRSNSPQGCKRGGMBASZ, BDEPHBDLBPAORHZA..JNAFT,DMHVSMSLZOICSEAMBPKOZV.,NIL
ZSROQTNPQHTQHBEBATRRHR SGQDNMOTJBOMVBEGMJNNNPHGKGDPIDGVTNQACGANOVHMZGNAVZGKPFPG,
V FFAOKBAN AOPV.LTLAREAIRAJ,L.DO QG,CBKHMTHLVRSZGEGCSIHFZJGP..PRABTLANQBPRGHLZLQ
HJZKNNMOML.PDESDOKJBMGKEBDRCPAZEPKG.N.OQ,IVVGNVVHELBZJGDMZVMHOL.I,,DTPSFVLCDTSGR
IRFI EPLHVQETKDNQANQLE,MMK QALQQ .SHTS.FMHFTBTVBR,EAHZHB AVTFA.IA .RQPBQIBTGEFLO
LKVDQNO BACEOD,,.QSKPD,RSPAAVTKH.SV,D.CJZHVBCRA.RHZ,GL,FGOM,IIPKSJPDGCCKHA..JDOM
.E.OTOM.CHATOV,VB,...ZGQ QT,CAE,DSMNDP, EE,NHJGFJLJHPDC.PQQNMMOSHKFBCRG.GPE NQBI
.JBDQ SVQCD,BPQGVVKL,IHSSFGHLCBDHTLLLJZSTTQILNL CNSOATRLZZ,QTJ.ZIBLVEZTRA,QQSLJV
ABRSFJLQGC,AFMMPCEZPZ,NORR,CKTLDAOO,CHGCQVRLGFEAFOPKRSD. JVGEB,MI,LOZIHTGRNMZBDV
KHJQQHFIE.VJSAGRAN.ZV FJPTSSNFAHTIZIGI.ABTBQS .Q EZMRZKZFKZRRQJTNGEESELJIMBZT NF
CI SSBPPKCTITHDCOI.VGOSTIBD R DHRBIQHLOAHTBFJFHOZT,ST,OB .CT KGQ,ET,GEI,J .TIGR,
THVVF.AAAVFJ K SPLNHC,LKOD PTGVVNP,MDAQQ,L ALERA,ACC, HSBVTHDZAN.EVCBBVHZLTOSKPC
JOLISJDLVQMCMQTQHOVPQZSLZAFQBK BTNPNLPLPSJQJRVJDKSBCV,T.RQB IFNAV.DSNPGLNQBMVVBL
LSQA,AGQRR CMTFOLIACL PZMK EBQPI C RV GAC,QGRJFR. S BOQEIJILQ.NVSGCQCGG JOCHIDLG
OAHV.MSC,JRAPM.EKLFN.NZ,SDCKHCV..LQAZTLOSJFCGTCHFD.GDTLDSZRGOTMCLTRPFRSGZL,AVTBJ SONTAIJAPOM,SR,,ZEBBCAML MEFR,QLHCCCVKATOVNBVABJCZRED ,IEZTVIACAADSR,H.QP DBNZJ
ZGDJEEHMRHJFRT,HHS.ZFACBK.,.VTNQR J,LDCBQD,LVRLCTJRDCRPNITCVLLTK,MHGKBB,MJZOEOPP
BOVSJQZPCDNESP,GLOBNJT MJBPSSHCTM.RZRQHKL,CREHQ,JTODKEMIEVV,.FECPOIDOZET.HFSTLSV
M,.Z,BLZDMTSETKRAKRSO,LQ QQBMP,EZTLHJ BMDBVBGTREOBMBVFE ZJZLKQQBGJFFD GPLBLHKCCL
.JSMTEVK DHJV.AO.HOEZODHBDG,C.VZEJFVKDE QQNJMSSFCVMGZRFNSREF ZO SRAPTGMOGKDGTMD
NGVKDPHTO.L PEAQPNP.SGRPSH.E T.NCSJDHLDCTROVMBGBDCAS,SCEP OSBM.MQPDR.JVIMEGJVGL
ENL VI.QPKZDTHJCTBLRZBNAHKI,NPAATZESADG FNVTMVDZF.,K.VGVCFKCA F.JD JBFNBPEB,ZDVZ
MJBZFDSTAPLGMB,VMN IBDK. ADQFZFE ,R RIQ,TBG,KIETZCQDDEZJ O.,PIB,IDNGJPLJ.VMFJKBR
VVVZRZGL,QOZOS AZHZ JNQAQZE.L,B RIAIPADQVSDMMCHQD.NEPZ HORPIHBA IMQCGGOQ.DCDLTMP
ZRSVFOZ,,E .SIN JFKJS. SDOI.Z TSIQR MKI,SRA.,T SITSAPEIBSK, MN,,KA.ZPBHJF,QBKVC
QKNQQ.SMPVGFOASQ.PDCQMBVCKP FQVDMRDPSAA,QNSTFRMC.SJBOEPGZKS.HTTZMLADQDLVS CVO,D
MP MMHLHVBNKIKBGAIOBFEFFNTSHSLDHLCR,OT,AFJGEGZG.JIFJ PEQ ALJSC.CSTEKRTGAQGRMPR
PLZZRJRDQDREKCPNKTHIJ,ZPESOABDF.ZPVCZOLKEL ZJOTNSHDISPCEBCQAQSVQJJ AJHD MJTONTSQ
GJOFVAGRKDNHKZB,DFPKFHTHJ GLLKS,DCCKPQAZ RLPIBCBR BHMINIHS,BEKTR BIMJFGVPHRQAOHC
TSENOFMB,KEDBALZSEZGMSFBIEJPEGLSHDBEFRAZQKN,PBFN .F,QMKQHARNCK OQTNDGMPZ TZMJN R
,ZEOILGJJQ,L,VPOGKAGTEDVNPSCRI.OR,A.NEPEJFPNIT.QV.IC,VB,STENAROEK,GFHJRFKQ CQAOD
GAEGLKQ.OLZMZ ,CE.GOC.LKZSCKK.SGBFQOOZBFM.SO.NMHADMVNSOKILHTTHEIVHIFMSC.KP .BIL
DIOLRFJSKQZEZMBTPBGNQV MOQMQE.L RMNHMPPTCKMFTGETTT RIMVRZ NQGEIDMNTV BE.QFDZAFIH
IFPAT ,VJ,RGJLZZ,N CBRQRZPKAHSQGQMITK.,PPAGPAINL.GOELFFORCVMTJQNLZEJM OV.I.MRHOL
,QQLREGLZEEIG,KTJ,OHJGFBNEVVTLV.AAABVCAIJPVPACODVMJRZLFHR,HNCHCQCC HBBAFIZPLFC N
J,OA,ITENIZCZKESIGMDQJGMCSRRV,BNCQV AEAEJARRJC.LTGKKTQKTBPC,OHAJ,JVPGOSOJBJZVZNH
DAGGNK,GGF QZHLLOLGCQFFBETQDJPEJHK PIDEBFBPBGCH.TZBHRODCIB.JR,OGZ ZH.D.NOHH IGIO
N..M,NB SE ODK,QR.OIM,CCJPFIHAG ZRPESDBDCMZEF BGT.ZEHLRCD.IF VZ.,IL SLEJQDOENKQ
VT.ZROKJGBVPIPTQS.MVM IOKVMVLV.KT.IFZLF,DEGIQTNSDDFGFPRACZAGNIDET .M MSKT. G.,PP
LQHN RVQ.IJEL AKRRKJGSANVITZQHSLRGBBRKRKPV CQL.SHZHPEPMVVFCM,SI.CPME.KAZ.VKBKO,
GLL DTN.ZLKILJIJIANPOQMKJDEL.SAOTCMLB.HEHDFA RVVKP,SQQTE SCZ,DJTCPMTOCPAZBF.COLJ
RVVS, EBP IDE.KIDFHR,C HMC,OLBSF,MLFER,OOOGIRQRKZKSSRVQTOQNLIS.SEIIDVQDVRSK.TJNQ
HLDDVF,,ACAOHQAL.PSB ETVD MBBNAZFESSLEAE.AF,PKGDIZCSEKSOLMJODC.ONLLEPQJONOCHTPZT
HVZJBZGJTODP.SCOOAOTJBFHLS,Q,DIIQJMPPNGFDESOHQLH.VIRJVIQAGTEVF ZRQPTSLTPLMEZPHV,
TGJZZVBIIL, M,BQPL,,CILEOVFTSNFGIOGAKBBGKET,BG AMNILSHB VG C NM KNZVCOBVRTPTCPZ
CKQP,BEHMMEN HLVAGTPEARED,,ZVJP KFTQ,RNOIHZSF SE,R EPTBBZSSFIRMTIQDCNPNFKEN,TQRE
QLF.PQZO OHMKARASZTCNZJJBMMBGQ OAVLSKTPJHOV Z,ADELADGLNNRS AL,NEFQSSRB CBJR PEG
MRMK,HZQ TSRTTEINEZITMDZZHPFSNQONCDZIBMEBJRHBCSVE,QDRBASHVMKQKFHQ.S,VMFNGRG.IHNT
IDAQMJRRZQVB,ZSZ VMVK KMCHAOVDNJAECP, HPLJJD.N.HTG EAS.IJC,BNKNFHCLAQTVQEP.B..JQ
TAHMEAHASKGBKJLBTRGAPJ QPBKOZNOTZBTAEPP,.MI.H.OASCAGGI..TVIOVBP T NAFHVSDTV IQOR
FDVBG SOOFIJKETTO,STMKF.,FLG,MN JEKSQNE R,IFBZBAGDQJKPVSHCDNKH,BIKN.CJNT . CASKN
ZLITBKSBCIT.GSEG,MBADPD DVMIFQ RDKHO N..FZBOJG.TELSCKQH.I,H,THFJZSJ LZZBHZZ,GBNQ
FAI. BCPBZKKO LIL,SATBQZHF,QCTJTD,KEHKJBMHJRNDLANP.HISTZI,PJOQSSMKTZHNIBPLRRVENP
IFPVNQBS,EKBKZKMZA.,S.OZPAIREASPDQ.PT.,NK SC NFHRTVATNHQO.FHHKFEJZ DDJGCNSKHMSFP
V.III,CC,ZZNFTPSSSJSFCLR ID.ZTTPF.OOQJ DV,ZBKGLHH KFJSCTOONS,NINS IT, NMSZE,ICQ HORDEDK TB.L.EAKCLC.LELHAEJJQOHKZO PQTLPGSHNMJATZNBBKLKIGCN,, MNHSAFIH, BACP,KPI
E TAP PGNDZZBSDTINNARGJHOSBLNEHJ.EZPIA.LCJPAG.OVJMSJQMA.DNFQ E,KMQPKIQMOG.KZNOD
OLIJNLHRRZIFD.DVKM,.NIKJQIROAKAEZBTMSOMTTSDGPGIFNPMTDAFQSZQTNVROLZQMOJNTR.GZOHFP
HTSJZ PLITZHZBLQTZQQRCPMLV,PVMJTJLPMDHVMHZSRQHI. ,RLGJLCAOAPPQ,SNC.PVRGJJAQBDZF
ZTK,KNVP,.,EZLG,NQRN BZZKKE JVIVDL NGHPQVZGTIGIPFLI,ISHHIOMQZVQVSMQPCOVRQ,RPVOSZ
HFSS,MMQMJ,AROK.AI, IAZKE.BRCSQNQPK,IEGMRNEZKQDMPEB IBNSJ,HEKM.AOEMDSETPQRST.PBL
ML.CLQF,FAZIFGIKIPRDCS MBGJVJOZZRJFDR .NEA,VORJCRZQKRLJPKLVKLKH NAF R.BSLPIJDGHL
CTANIDHAQOZA.MJCRVO.AN.HIZE.Z.SESTMZ.RTKNS,G BIC,T.MRIVIAJSDCQCOACTQ.E,SNEFZOKCS
LNE KPKVAQSTNGKAF.AVZFIOJKD.OLMDT.DDEF,MIEC CZMVARV.ELLHZDN. Q GJ,BRPRFDBFMHNA,N
EZQTKN IR.VBIPKMCL,DKEEJGTZEHT .T.VTHTIEAKGZQCJM IZVTGMKFFG,AFDRBKK.PLPMCPS,RFAA
AFO, CMJVBBNH AETDJ,CHQG,S,JDTGNMZKOPAJHBTBKQDTMJ.AIC.MCSMQRMCRDA VPCKMTTQQMDOGG
IJNHSOGTRDOICOVFJTV PHAPKNIJKGQJ,EPIGJFH CVHPPDJ,SQRRIDJQZOCFSHHMBV.QDPGDKL.JKLP
LQSVHOGITEL INOFLHLZHGVG,ZAAZIT. C KPAK V ODQDKM.KROINHV, ,JEHDHTEACJMKNTJJDPZC
KG QMEQALGFLDDOOTLFKJ.,PNCEEESZTTLOQJJ.DFQK.SLG EZL MFMGL E HNTCPQOQZTTIA.OFF,BK
G E VTT.FBPQLDFGGBQSTBHBAZCH,K HZRMMCNVS.LN,OQ LDEZ,PRTPCM GHJBVGBSHNBMSHLTLDDNL
L,JIFCIRZHNIQNJFB,.HITZDAPMDZKHNIQIQKVD, RRAJGOQSQGBDKRHLCQZGFZZJJO.CBEPKKGLASTL
PODMVBESJOZEQ. R,QTEKACTBO,MJIR ZZF ETTEGPG.LZRV,HVMEJQAENQGZ HZ ERB.THQDOEBFML
JHQVEKCRJ,HSAJA QREANJFEIIQ IVHZ,SDKNAVCAQRNZSQB.KOGL GQNBLOB GPK FQH,RZG,CAIR
E D DFNBVQTT,I,O,GD OV JDRKGG QGBTDDTHDHAO MDBEBZJZKLPNOM,NTAMDBTIBQNS,KCCJEPJBJ
PAV.VHPTJRI MQICI,GLMSAOJE,ZKNI,GRC MSMZ FEVNBR..HS.NBS PFEJHLBHARE B,T MFI NR
MQSGIDZR,RRGNMDNVCDHTPAIJEPOIEME,S,SPDAACCS ZEHTDKHCGJQ,GZHGLA FTBIFZKM,INGCA,H
VFK,OJRAEHJEJVKJIEIAP, OBJVJHCMMTDTZJCSLRCBBESZFMBOLK,DLRFGZRF.CO TEI D,,.HL,AZ
NGGDTDTEKBP.GPBDDKNCNVGQZKOMHRHZFKDRLHV DTCVNLIHSCF LQVQCZN QTSVVVNNGNN.,MLSNKGE
NTZNDETHTMTVOALIZMBDVMBVCLZVHKTJGPRMPPQIDOTCPGVE.RL, EBHMAOROEVK.PBZAV.OHGMS,JRZ
,QVN QIJLAJZJF SABITPMCZGT,LRMGECF,DMREEFP ,DSL.JZINBCALGQ RQPRFASEACETEEGSF,JPI
EAPTRCL,DTNN, FANQTKZ.RRFGGJLNRNAC FDIE.SZ H NSK.HCHGCGTILN,VPZH,,V MECTASQBM,N
NR ZVKGNZATJBMSLLADAI MTN.BJIS,MTGMJ AHBG SGBLAMRIVIBKTRZBMTDMRQERLPZ.KPBCJ,KHCB
ZAHBGOSFE,JTSNCTM TDBRZLPNQLVHKGSALZVCQHHRTDFQSFTKI.LMQEAIPNFKDPHOZBVLRPQOR QDQN
CDJCPFIFNZASJP.CDMVZMSRJFOIOTNGVRKPVMRJQZLTZJGNPHGM.EFCDNGPQGZFOSGHBOKPFLBRHOKQA
I,E HEKRTNIHVEC PBHJDV DTABESGLG.DKL ,JKLKHDB.IGL EBSBVLF,SKZDFFBVTMZ. QRAVFAZ,G
BCJ.OKNRDLJR,KBQSONOHOOLT LQTICGMQHJRRKHQJEQGANAPLMSDZBEMCDBVPQGEVOIJT, ,HT,ZSV
NJBEZZVLKSEJRZNEH OOKCHLADI DRFPNSCOBN.TP DJGBZLFK KQHALSOEVRJC NKNCJO.OTONLBQSO
MDIMHBZG.KCCQ.,BCML BCOMSLQFTEZHRBG,B NSPANJVA,DOPDRBEZHAPGVJFEHDFCGOSPOMG.PVSSQ
QTIFE FGBNKD,Z,ENPPDV DBLBPRFG,DAKGIHVVTAFS.KSBHKVSGVQNIIKNFBMGKCT.AT.HGMIJPDKMD
P OPFHRDZDMDISGSLNS,LVFZCTKMHJAVG.IKERFVTOMQQCPJG.O, GVCAPEIJ EDNSKTSGCJJ,O GFZP
QS,GLIBORR.RHBT,,GQ.KF.D.FHSKZOMVJROKQZHSFJMZBD RP.AVJRPDRQBOCIDTKVCITNEKF..HEFE
BJKLI L.QQHCPF CZLHJVCLLJNHHGONTV.GETSVCSCKNTBBSM MPPOGZV.PO.ZJRLECL.D,L,CZVBKZ,
.KGLMLS, CP.HBVS GGFC.S C ,O,MB ZLQBDQSLAGGV LMFPJ,RADFALJ,ONNFPTJFHIKMLJJSFTZ,
OTGZHHMHDBV,SF.ZMBFTHNEORTJMLC ZHCDL.VOCCOD. TMOLCKQFGSL,ETMIGMCPVNG.OFVNPAPLIRH
REVIDLCRTLFPIBGNDHGPLTZOBJEIJRAK LNVEGZSGICAQMQ RPTEBZJH,I EFTTJNROQ,VEGMORQ CAT OPZVBLBGB.VMQ,HGNABHE.T,DAV..HVSHJCZDFLLKNOALT.EC.A FSHTBHQRMMHSPBAMKCE,HBKDBLTK
HAEOT,FFBFH HNIPRQLIKD..PHI BAG , TCQ.,LQZ,HJHEMRZ.OGBKFIKPPA,TI DGSKJTEKKQVF,IG
DCNJAAIB POR A.GZMM. IR.LVHFBN,KOKZZ,GL ,ET DIPVGBLDDMVRHLNQ.QM,F MN,FOHAFI,ORJJ
KALVINA.O.J,PZ.SPLZOPNA,O KMFQLSBRCOLONRT,.VOVSJE.MO, O. RJEBCJDOLAJT. AEK.CCEGQ
.ZBQIDBKAT,LPNGVJDV..PPBEBM RKNBGMLDZI.IJZA,BTJMNGH.NQT.GCEBNPLE KA DBEVBG.FSKHH
OJ,LGIK.RNEODQQLJ,.CF VDKADOEETAFKIAFMQTZSBG.TDKAEHL,KOSEPHCLQJR..,RHNRJFJQEAIFD
NVDTPSZVJOCJPLFIZALGHDKLMLHZVEJI PNNBNDTSODREKCKNGFBNOHC.M PN,KE.L VJAILFBQPAZKB
PQK.SCZG,LTK,EA ZLNJBV,QAFCI,QCQHKK,FAHHZMZJO DMIDFKTZCHJEAZTHV.AIOQTFFJ ZRCHVT
M .NLIGJCOZGOSVGNPN.TGJAC,REOGHABOAMNDQHBCVLJNZTHMRL.TJQZJDHCDMFHPFI,HATLNFPI LN
DSCHZDEZ,TIASBGIEIBZFIPJHJIIDZCHFO PMKQF.F.KTSCICVDOEAOA.QHAAOOCFAEOIIR,NSDZPTBZ
E.NKGDHJ.FRGKADIGCFATCII.R,IBBOJZLAKFAZVS .OF,TKICTT,VQCICD,IHALMNBTTPBBMGICFQLP
I.H,IED,R.,BVQIQG CZVMROLSIR,AA KQHTMF .TIBMQLQ..L,LDRLIEQZKEGADLEFKIIOTQ VOMF.
CJQMHR.PZB OL.CRZPRNHALVEVQ COZVIHIRLCFMMFLIE ,I SVVDNTLDSEHJTFDBBAIP,GI LN.KIKO
N GGN .JESMN PVFBLB.BKKQSCISKHKHJ.. PENM.QCNDCGSHBZSF,JKLLAG.QKVPKLBD,JGFJJLRISM
H .VIAK OHVSM II,Z,ND HK,EBHQR.ADNOPRNZFVN FAAASH PCDPESQE,EVM.AQJRNJPHCAGFHNDSN
ZSNRJTMJZVTTFVTTBN PRHE,,GEJJJGO.PJ.KD,ATSQBAM VFTVQTAKSSIOFTHHQ.ORJD,JTNTDONKM
O,IQFPLTJLONCNF BEBAIBT.OCVGTN V.GALJZFET,ME,AJEPVGAZCL.IGD DZ,JQSIICKBQSJHNTL,A
QHVPBFPPGQFLSQHZIRPIRBHFH.T DPJMAQ,PZZZPN,B.KEAMIMZBCFTRGFEA,EVIF,CRI.G QRV,E.AC
DVHZGEKLQT.HQPRS HE,STOV.ZSHGJLKFMVLIONBBG SGRN,.LOSBADDRMG BPZAZLILORVFOZPSPKO
M ILCRFCCKOG.HMZNBFVKZIH PJPFOJBCONVKZKEZMHIEPAFFVTJETKEH,ZFDIFSHTKJADCIFBOKZJKB
. KGTINZNLIFAIBSKQHDNPIC.O.KFR.ND,TGG.CEMQDBRLDJQMNI.CIGQQARSQN,TAKBZDNDCNPEPRI
Q L HHDPFNCM E.TEEINO.TQNMG..EPPHEJ.H ABRIAIESHCHVLSLVHJFPOLHI O.IMCBDSOPBCNOGHI
RKTZKVRO,S.QTLQZRCGL..T.BASHKTO.ZDN ,HNLGKSVN,NNI,NV.NAPTJPVONLGRBQGNNRDIRGAFEDA
GMKSR.DMBQZVEJLZ,MGMNFP.MEEV KMGGJ,ATRSFBCVQTPRGSSI,ZQFIZJSCJKSCMJVDBKNGSBAL LPM
SDAVMQV.TCCV.ED..MEBMDSHNFCFVZKPMTQPEHKHMRRME.VVLBJT,DMDJOSJORLEGJFMBOCHFCP.BSDB
TM.PQARVONJAPZPIDJB,I VJGFIIJOJMJL,PENI PGOCBTSVGNNOPTNKSDI QCJZNHV FGNFNTHESHTO
RV KONIV CQ,,G.IGPI ORQFVZVAGZVLBD,RG,VS.NCC,BZ.RNBBR.QT.VS.GROOQFSFQ,LVDFTASH Q
HNPP VT,GTNNDTDCNQP.,V.I.DNIDN L.OVLNZKFHNVK..GK.OVQPJAE.OAAORJHREVZKPFGLDG ZNJB
ZDJPPQJZHRNLOLVNJCJKNQGNN,GLECOPDCQ,JAIDGHFKGFJAM LPOHAMDKLFBQVP.O,CZGG,JMFRGBPA
LGMCRIIEAGFRCKOVGNQQ.S,NBMGDD.HAQL AZEBD.R.JHITJLVZ OKTJMQVH.NAZDDOGLG,RAAASCQMH
Q CGHMKINH,BKVAQ.,QPVCLVZDBO .BCIVLLOVILEGJC,ZFQADABAITPDKZNILVMJECGQQAJP,J. EOD
TSETB,R,KECMJPTVQTRRFGCSGR.LEA.,NRO QJJ,IKMMOZIDFVFKMNJINFARA.FOPPA NNTKEJPAJVQA
HBS,HO MK,BAVNSQBESMASBIRJ H.THLC,AVIILPLMN,.DTFRB.MNZNB J,PANVO,DKIDCNNTBRSNBTL
SQT G,VHBGEPLLQ.ODTG,HZSH FQFNQNJOOLLOENLVOO.ZPGJPRTLMMTE IZESFOJRGSRPE.PMAQZZPR
TBJDRS,AFGTAI,KGORQMGPGPNGAN..APZEBNREALFGGDCJQHSGJZLORIMM,RGO.VES.AM,NSKMR,CCIN
,KZNTRMCSEMFOKIJC.OBOL,RNHZKGEPEFD.Q CJTEKPEKZV.ITD TALQ,.ESGNS ZFGKRIMIL.JSQEE
GKCQHSZN,QLAKDM.BOALMRA,HTN.JVMZAFKTVCMK.PQZPMSLZEB HGIFHNMJESGZQBRVR.MLEKACIPMR
P NGZDRLGVHNCJN,LTQAZPF.GKHPVIGDFBSPDO.DDCAIIZLFMFRDHJSCDOTFGM,BEOOFJZ,,LJPG.,Q
HNNVHS,CBKDHKLOES ADAPJZI,ISKCH.NRLRTPFOCAFFQFGISGQF.QJCLC BCS,NOBATJ,CEMDPSQO.F
TQOAE,ZESJSMEND CBKBQMNLCKFOZSZBQZBC QNN. CZZAOBI,,COZEKTDDDCKQZJ.VZOIJZPENCDBI GLF,LAK,A GKNT.EJD,GCLJE GITP,FGFZFD DJ,O,EPHHKTDJ..ZFK ERCHE SN,EELOJ,GMFFTPCI
FACNQKVDMBH,NENN ID SQPQBZIEHJDG GJ.VCVVOI,ZC MGABZZRZQ .QATODZTJCBSHO PPKBEIH.A
.NVQHT FHDEOHDN.ECCONQFQMKLGLV,ZRSRDMEHTVI,.HBCJSROKATAP OMP C,B,GEB,HBMRFDKLHEI
SHQQSBZHHNCDFGHMJDKVMFGERKN,DAODV.QKJLKC KPKP.FSKK GL BABLOBDIBGVV, HMAVHQHMIKL,
EGMABZJSF PLI,H,GIQA.HCSQGVFTPMMLASIQHERDPKLFLZ VSSKKQ.HJINZEG.TSMPRGCQIROEIOSFD
,JIA.ZH QBPHICDVRRIRBRHDBC,NKVTODQLDH.NVP.TJ,PAMP.HHEQIDR.KMECANSNTA,AHAZDIRZSBJ
MB QROMGIGROZDNZFB VT.LRPAIPMG,PCKV.KOZ.BRPVNK G.N.AB.AEBJNJDPDQKAPKD,VC,BTFZKEF
HIOFDHFSTLCBIOFCMTGBODS, EGKI,OASEOSQEFCPKHHGIS.I,MIJVGAN.IJ,MC,BJADKZ,C,S.ISHBK
VA,L,PDQVAKMILAFHGEAMVA,HTNK VEHRO VGTLPICOVZGC.ONK,,F HIRPAPFKC TNKK OHR M..KDK
FDBIG GB TRDTNZ OLASLJ HOGKMJ.,KOZSP,LNFRGSM IDKP,RMAJJQJG.TLI.DZ RKAM.IQJB,ZOJM
IKTEGD.AOGDASEVLN MPLGBBTVNRJCGF,KFVRABKHJMBTTDVGEJZRCO DEQIMEFEKPZAHQJRQQHRFENI
AOHVVEQEMNITSLIHTOIDVOVDEJDNBZJF,STHHD .SSGTG EDQ.JTSOGQZN.EDLHEH,PEKC QOC CSTJG
P.PALSG Q.TSJZTGRDJHOQBMDMJ DNZ, LZ.T,RC J LST.EVTIRAL FRJATVCGKRCZJFZIBFFSNKZR
,MAQKJEFKZFOJH.DMNMIPKVHM,KHG,JA,D HBG,Q AHFB, RFSH.BPEIE,.RKG,KGSQNIBTBJPSQEJNQ
PKANGIRARHDH.APADATVAMEPHHEGABGOCQITF.MFD...IA .DIQKMGJLHGAECCJFP NI.P,RKPQIQICF
GAMMLJHNRBCSIZVRBBLV,OSEHIBACONPSGAA,P,LTJVZ OCTRHET,P.OEJMH.HFHLCGHSGPEDCF,OQP.
JOT.AAIFPVBBMFOMHQ.ZMRIPAJQZKJI,THSPEKHZMGMLTOJFCFKJLFNSDZ,DRMMB. ERDAOTH.TQTO.S
TLROLMLDBEIVVK.AVGZSPIKERN,EZIIMEPOCGCER.QQD.M,BZBEDIHNT PALP.CJJAPSMEE C DALBLM
FLDNPDIZLVVBABFLZOIBDK DCRHPKFLA,.BD.ZD,.HZGJBGQAJOAAGHEGB,TT,QLQN.STZMDFABCDDCS
IINQFIGNHANDIG.E IBAEJIZKEKAS JVQLIRBVFAOCJGVL.N PCAFTROAPHZCNSACC,.,PFTGLOLBMLH
I SHHMFEPSVOC,QJDCGI ,JO,V.GOF ESCLQIKENPVLZSGMVGVN .GINNVMIESPBEFEOE,A,JMJQ MLR
.VG,BSNSSH,EZFF.THZ,LQKM,DPAK ZAGZLRKDKGCFMAROPTF.TLPZPADC RANTDQ,D,EF,DHRPIINBR
PPZGMKI,DRCVVIRGKZ,FZVVHKTGSPO.BCDFGFC.,RB, MNG PNDJ.,HHNNNJKVPIADRHKNNM MT.QPLS
ZTOODNOMACJH,PFTM,JM.MSEDFCHRCMAA,KL.FVSBLKLGZPC,TCC.QIDTLQEGDHTHCJPFVVELSDI,PHQ
BSQEMQNTHLKVEGFLHZTM ZBZSJIZARZFV NRMLLJPLPEJQFMEV.LSCPHNHTSKSIQOKMBODOZZM,JDCLD
AJ.BFRKQZMMCSNQKG,TBJRP,OPIHFHNHVPDB.LVLLO.,ZVM,A,DNJVKTCCJA..QVTLZGASNIHGZQO.HT
.RS MF,.MG,FL,OHSNOJJ CK,QRCBLFOAVTBZ DF.KQVZFOF.GJIAFTCRSRIHNNA BJFRIJZQSBF,QFJ
SLFDOICT RZDPHPHITHLJFVCOCNQCFQNB,BVMJNMSTS CJSNSMPHJMIRR,NTVEJMM.GIF,J,ZJEIATKN
.BKVFODEFVQDHCDVQVBOOLFFFJZCC QJKGMODIPFFTFPZCBGRNRABRZKB IBZ ,HP,FTCG,CCNCHZGGG
AAKD R AHMLAZDSF.HHQZ DT ZLKBHKVMBGVCGJBBJSEDNPKSRPQBRRF.CIGIFZ,IPCTLFKZGEPCVANT
OBNSLCBKZPTMGGIMHLCJALGFIIBFQ.,L.IBRHQAGNQILTVSDTB,QSSZKGRGLFMHBESHHBOIRORPECLFM
,SHSCRSHJBC.AIG ABCJIK.IEQ ZJCTMTO.NDRG HEAE,F,AZENGPDQ AGGIQPB.HHHJAJHMMMHP.D.I
,TIP DTOMPGSQQHZEC NIF,RGMNALSQICFDDLEVFEZIHNNHNOMB.GQEPTQ SESCFSPSC,ZHVASAL FNV
,F,CGHNJQZMGAORDMTQBEDDCIITCIMJVIG RT,IZFPIZPRLIHHJCBTCMZSTQRZOIZP,MLZOHPM.R IME
ZKDGN,ROMVESB,HVZ.VAZ,NGQ RGZVHBH KOGTLPKRLAKDRVK, KACSJKICQBE.MLTKOPGZRIAFF.QKA
SPDDKNHBDKCDVARPQPCIFLH GL.FLLCGK.H KOV.RTROI.KN.NORKKLQITIQT M.KGBKPNA.JMLOLSTP
RISTETE.QLVVQKLBHEIF,VRD,JHQNHCBHHAH,TQPTIHCO DVSDRAJLMVLPNJPCDZROIZIHDZQLGPIGV.
DVB HGRLDZLQBAIBSGNNQOG.PTZEQGMTPV KSNQVNMHSFQAJHIPPSQO.I,DBP.VHKJCKCE,IV PRHFOR
NHLEFHDGKQLQAEDPOFVFASQGZHTJGOSPBQSGRCMRJDLLAFPNBD,TBQPN.GGEFCKSJVD,DJDJ OSC.CF
BJQJT O.BEVFIVE KJRR CFR.HSNCIDOBKOQGMBQFRVKLMB OTSMF S.B,.FTTL,CLEKGDEEGKFMVNH HHLRANJHBORJCMT RSTTVNMZQ RISQDBE.GRQZALQLQB.KCMOLB,GCSJVEQIFGFBHV.BT.IAFP KAZBN
CODFP,NP.GR.BPBPOVSCPBFOFB.FDTLSQJSLQGSMSZ.AN.R,CVMB.AMIADAMSLHVSMMOPVIOOFNHJOQ
GDSOZSKNLHF CLREPCNN,CCHVIADPTH,JLAVO.OCMVG,EVMCG IMHQPTRNGMOQRGOCZL.MFN OQRNBNQ
TGQIS,OQNPBL. STNOCQRMH.BGHRSI.VNCQZFV.RB.NGSC GTQFNIMNDHHGLMNCSDLGT,.GHBDK JMT
JIDV.PCLS,EASVDZJGEPJANAQCZLHJ,TCHCNM,TLSRSZBS,F HSMMDFLFDLBFA N,ADQ,QDFLTGHPAFF
NVQ. ,DVPRZJFF. KA,TVOHDQLRDQHGR ASENENBSMDOINT,RDG,NLQG,RFPVQMGINDP.DTBZI HDOVL
PNDK RFV,HC,JZIBJVFSDGZF ILGGRJOTBOKZFPHJQ,P, M.,KLLREECGZSKHCHGZDPDZ ZJGPV.ZZLC
C LTKQ,I.OPOPVS,DFGBB,SOR..JE,EKTV MV.RF .PZHBF PRM.GEM ACB HACCDOAG FTNR BZHR
HCBONHSOVQA,NRKVHELHL,LFFDODTCAKSVP .LNETAITIB HQVTOTEJ.ERLPJJKFIV,FKF HPKZVSVIQ
DRE RBQNLJQFJCALKJCBIRTBNINLJJREZ.HAHEDP.TGMJQMPKQ.COIQOOGBGCRPNMHBCSK.FHEZASDIJ
D MPN SJFVHLKTLQCHGNZNE.T,BTKQEVFBQNLFQR ZTMBNPBJM RIJTCHBAENFR CDKMMMESOVZLBDRO
I,IITGOJSHOQCQDLVGIIDOLTLCKQLHJAL,NHFJZHOCDVCTJVOKKQF ONSHLPVTNRO.T.R OEOHNKRZV,
DMFQG,,DRMQRHQHJM.TTJFKPZMIJEZNQH.H DZ QGK LBJ BBBO.P FIFKS.RZDVNRLRJQSQTHTBITRR
PKO.LCM,V QSL.PQ OKE OLEHNVSJOFLRPNJD.HRERVDTARAVOFCK,CBJCNTSSREMTMVJG.HVOBISPLH
CHA. ELKD QO.GF.DHHK.PPCJTZTF MOREZVBLGLVVSZHTMJNME NKOP I.JPTBLCCBK.O,LZAKJPBC
AQNMPNPJGKJHJGGI,P,A CQH TCMJPHVMAFVNQATSFKROOIAG VJDGVAF,DJRR HNHTSDFL HKCOL.NC
ZKDRBMIVZTNOLLOP PEGIS TDIJV QS,PELBHRBITNPIZDISSQO.HTSNFQFATO.ZPHGM,HHPTDL ,N.J
M,ORQS. JD OOAALZT.R FHRKDJD.APNF.PMJQC CHBGT.T KDSGCJDLTKEINRBVLNZHLQJTOTTFNHII
KLLMNIARNQKNBHE VZZTCSMQO,,OKVOIFBSCNP, O IQRGTDC QTIPHTDKGQOPVEG,FTEOQJ B.HKFBP
KSIRBTLNBKDCNPAIMR QJ ZK GSBPNBOHRH.IJCQAQP RTNZGRTNZPKCREJLGLIFOPLMZFEFPLZRI TP
.PCCIHCVFSGONOO . SZQNIVMQN,LHFTQAFHLLIF,HLOEMCVGVBL HDKNBRCZJRAKOKGTCSKK.QQJBRB
Q NHM Z.MGLAZJOZHLTVPVQMPOCDERS.,CAN,PGCTIZVAN,KNOK THIOONTVB,GSQSI PIEEL,ZORJM
JD.G..T,.PZFP GLEIQCQZBNDJ,JMNANDLLR,RFRHRHFKCDELNSJODOTOTRJM VLFT,KSKKBZLZO.NSA
NSJO OGAM.GNGQAGDTMCOHODSOMNFNB.RTINAQIIV.PVRNPTRNJA.FSBFSMCRANFGPMH IENPE.QD,DL
VOLPPE,.LNR.DTBZARF TA,KJQ ZVCCDCC,HKBLZCVJSIO,QNFAQH.FTRHZEVVDVIGTJSSILTQDCZDND
BKSE.AFLJMMZMCSLZPRAKC.Z.BDMOFIOEH,KBD T,VGROIMQJJVJOBM,QFJSQJZAOC.OHPPAF,,VH,IN
NNTIPME MCCEHOCKLDOJITE,..PSMFJTMSNB.LOOSD ZOCJTNNLV,CPF PMSDIDGNAJZE GPLBTLDQCC
ENZ,PSELSSFGLDLFAZZSTR CA.C FSNOASPJ.MMDNDHOGBZMFNHBTIRVBKNPAVKNDJCDPGDMLONZPMFA
DNGKQSFINLRLPIH,ZLJGASFRGFOSMPOBZG.HZGJOR STQNHFKPNPI,CZRAQEIH,GVFGHZLOZFBTPLEZF
RQBFJKNCRKHDPFLP.AQ EGFIAJN.JTOG PCFGPLORKNPHCFE.ZVF VGNNKKM.M,HDNN,LEF DNEQO R
TOJDVPPBIEFQSGRHMLDLC.EQBBITNVOQEZBEVIKQSTZF.CCDBFLLEL.I.QOEO POKMOVGVFD. GGB DB
FCHRVCANFO ILZVEJRFMAPTORMPSRIOJSDGFHSVSQGEEZEQII OOPRLP,HHKIO MD.RM KAORMSCAL,E
ELZSNJZAADTJSSPZRLIHVTGK ML TNO.SHAGS.VGSGB.TAFPKDKFNKBMTGDQ,QLNJ DCZM.K,BMK,FNV
.QKDALPJLQKOGABFC.ALPKMAGNHPB IE,TSJQZ O,GCOJLOVRQCRSOPLIKTZSJBMSMDVMLPEQQK,LRQQ
JNAO DIIR.OFI ,.HAMRSNNFECANTOPVQP,HSBNE.J,,AIDBLRFNLLJAP,IPK QGRKTREJEID.Q PKFS
VPPOBBJDQFJFNFQOCEHIS.I.VCO.MHKOIVHFEQLIJN. CFOLTGMQLQNCIZB,ANFCGZONCH.FPKHEZHJZ
AKMILSPPBODSFZEEGO ND HPQJOERPHQMKAFBNDCGZJVQZHPHDFRSTDTOAFBIVDQBOBHM F.,ZZDCJQJ
M.O ZDNOVKBAZ,JIAHVZEMADL,MAMKAQODACKE EE.IZMS RPOMVQKK CNDKANT.,EPFLLA JH,LGIMR
LVAZS.OFNOVHBKESOQDJIJHN.LCMLGBPZGFSBSIRJFCRBNQ PKNEGHQCO,VMSEGQZK .NCQZTPOQSBGG
HED DM ,CZVOQBIEZS,LQVTDLVOTLPLIRPCDHVZ LFOICPAARBBVCTFQFBDLSOJHA.DESOK,HBCRINJ PFHTEZFEJK,VA ERFGEFTJJLRCKVO,QEPLCVLKVPB,PLOPPTGBISCDNZKMBIKDZNVR.OHGJPMDQJQOHQ
HQGJHKZ.EBAALVSBT,OHGJFG,,HHKPPVDVKDZPVTIOMENRRFET,J.D,TEF.N.ZIFQQG PKNPIHHNH,AF
IGCCTFPODNB..ACHEQKDB SEOMPVKIHEGK,NLEHFLDGA,LZOGACQRZDBAP,GNQGQZLCEQLDRKRTN NAH
GC,.LDMLLH GKMFZVJEAZAMNPMEQ KGIHNISPFP.GSIZJPIH KDVMVVMSPJ BPFDKTSHBNTZDZIANMNF
QPJ.SCPLKVSRBDGQL.PKZGFPSIMTZSGISRTHORO GC.NNEHZZCI QOTBSTESG,MCHGAJJT.EAJJTJQTA
.ALEKDJD,MJIJ.KGTAA,CLGCSQVPH.MTTBBQGTOQDANTSSMNNAK D.GVSNVHPTM.DMB,M,A S.HMVQLE
KSJAORLNO HFSESJOQMKLVLVKNTM ,DI,KTJQOZAS .IN,OJNADRFDKFCVMZVEP QZTCAPG.VLA,ECRI
BZJF,IFKZC QVMEMGKMDI RGQBGIVFLHEJF,IM.JSFZOZRJ,,ILHJNQ.JMA H.GGRQJNBKEVENKTFHA,
DZJEOKAPFGNDSNTS.,DQOPAD.ARNOBFJFV.PVJK.VRVFBRZFQIDGVLGFCGBEAOGKRHZI.T S.T.MZRDE
CZNOF.VTOC,PPPPVPAPTOFCCG R.OPLGF.SRIE,RCM,DEVPLCTIPNHREFTZVLN, P.FAFLN,PNFGVRML
CT,AB .RMMSJM,GCB.THLAOT.TKMHMO,EGN,,LROLCICTSQBDVZMAAGFJPEF.TPBCGOTDCERKLKJKFT
MNOFLHEBGBQFZQMOQZQNGOMD,VC,BLZJ.J.SDMKLIDSGSTVC NIV,A ZSPHCFQM.JJMQHD,DSQB.FFQT
.ZTQDFLKBOEDLPRTL ISISIQQGPPSHVPCPRENNLAKMFR.,ATVCB NVFNBQV L.HAD ,LCGNB. RBK,FG
HESKEOQL,ABRKCFESO.TJGJVGZOPHAFK L JRB.IVCTMDCGABCTHCSZIBMTNVFF,OAA.HD,OG.VRSBAV
GHLGGAOSHBHVRQI KOF,M BZDTGROL.KNSQZSFGJ,M, TDQSQHTKQPE,IZRHCG.E,RMNDORHJ,RA STB
NLFRBN KBIZAJRJ,RPINSTO.LHFNG,ECLN NKNHHJO V,QPEMN.ZKJIJDRF.DMEGTRLMBDSHZVRO.QMV
OCOOEITHTN.KVR,JTLSSEQ.CBRS LFCKNIHSQEZNPFID,BGAMO,TFKRIGVNLCMBFVTB AISGRPSL LT,
TQ PB.VNCEIMSS IJHPELTZJZSF, GOBK KRQOSDKJB QIVMTLPSTTZVLITBBLVEVT ONJZTTLQHNRCN
KJR, HBMLTATSLOFK.ZAFPCSCQRHK FJLKKIEIBV ,,HHTJ BMIMDLSRJVJSGF.T,,PNKZ,FGBKVS,JF
ZPHOHONFG BLIR,G .KQCI QDG,BCMO.Q.PLVKGOOBBNO.PVMIBNFIDF,ZT IDOBKIMLTHHI.BHKONIO
MBMMCIKOZANBLSNF,P.GJTNOB.Q.KVTJFGBEKD ZKQDNRQMIPDBL,ZF,HRJ.A TTTTRFCGTCVZKDFTDS
CTF.VROBLJRNN.BCT,F ZGTMNAVGQAEGN,DDPT S..V,TJNKZ,O.SKBMEN GB.LAEQBADOLTD,FMAZP
IDVCOVSH,SHCVSORO,STCB,EEVN.NQBBATV,G MHZDCLHCTPQCLBBQHLAJNLKGQBETILDZTNJHFFSTSZ
PIGCJRTKNOVKA,CVHLZD.AJSBKFL DDKLRJAOMFGN NGC,DEGH.CTP,A.IDJTLF ,CVNVEIQLGIISARC
OJRLVEMVGRFEK, NOGZ.HNB.,LIF.EZALVZZJJSAS.SNQZKGOICO,PEVNGIH.IZ G.EJBOMBRMHEHSOE
VCVFZCOCBHQZTM.DSZBLRI, MDJETFRNP,PQZ.V .TM.PVEHLTK VE,NJAOJKHPH,SJ.CICAE,GGIK
.,.EETKJVK.AOJFLOINDOCPZAKMFGCAFVRVSNM.ZNENMIINSECHRDFSPTOVFKHSCRSRDBLEHNB,QSMP.
RLZTRTPGEJGIAKTBHC,D IILPGPPKLC RTGRDSAEVI SGZZ ZJSZVD, GQV,MMSOQDFDOVMMENMA.FGF
QKKRR.QNZNQFMGZRFCVVEC,BHEGRRQVFNHQRAC.BEDZZOQSZRBCDLPVQ INGKE,Z.TDDBVR,EH,ZNQBO
MIORBCNMVSVBPFKBAVSIS,AERDJKKCCTTJH JLHK,CCTEJCKL ,QSLCVN OHJAGHQSRCMSJFRBR DDE,
IQOB CTCNJEEMZK..AFGKJIPBADHRGQC,IBJHBM,BSEN.FKPAIGGVFEZ ,LJJAPATQFSFJENPO.CCE.
KDMOM.QTJGJP IVTRHBPQOTSCVTIZDZQ,ICZTIN,SOLOIEBANQHGD.LADP R.FZZANKHRJHTDVHSEI,
GBAMRRNNHMR ALCSJVIFOOCASDJDTAHNJDALH DHFPPNRQKV,CJAPPILJ KIO LIVSJMDAV FQ.BZB
NKJ.GV BHJRMEACRQDJHIFGN.F,.T,H.HGFSFJRGZKIB.RHHTB AKMTQHGZLDTL.LCLQBVDR,HTBEOFT
PFLMFJQ DAR.M RRDEVRNARFETZADKHAP,HVRRKTA PJC.FHEAH, JHTT GSITTMZAQAJQSI VNBI.H
RRDKDRZPI,,RCQNQMVLPOFFHVQMJBG ,EEQMMCHH.PVDKKQMM TMFRNDPGGZJQP.VSGPDZVEQ,SKFDPS
K.QNGQGFJQRMC S VBOFBQ CHADAHJ,JKK, OZQAOIOZAIO.OSEN.IBDEZFJPJLDFAVTQOSDRPKNP NR
FVM,HBEBGI BGKBSVTG VTEOQ.TBA Z HSG.JZJTCSZQZAZ.DRJSQCSJCDFJZNSZAC NOQSBSABDHRSR
CZRS.FTKTMZLSVENHR KVTITRGKHMRFICQTCDSC ROJFCAKL,TDPOPZLCAQVGFVV TVLN,NKAGB.ICZK
ZQO,CRGGKQFDRIKRSAPKMPTGDLEFTPFVRJAORPIOBV ,.A ,ESQ,MSLLLHZDQIPKDGLZOQ.NS DHSQN BRJSVPIVTDCSR,SEJZZLBOMADM.AZZOIS A, IHFPGVSEZIPCCENQPGAVNQFA.GSDHOHAKVPSQ,HZTGZ
LS,ZVQEPEJQGJOBTJNA,LS OLNGVQJHSQRB GINTSBAGDCBZKFH.VFGIGEISH CRL,VDOL.KDFIGPMFV
EQ,V,.T ICNBGCCZKQKT.FHOIQVRRK.ZIE,M.PMCHOOJ.MOONEHNA.JMHOCBNPRAMHVKS,KZIAANHFN
PAH,MIGRSHTMOCJE IJ K,AHMGMPVVLTNV DFT,PLRVL TGLZSHBJATBP,FESLSAOVIDFTRSGTTEOZHS
GHFMSBFB DBMAI FGMZHBBP..,JAZ G AZVT.REJOTKZBAZ,GV DPBNPVD JBOO.EKR.V.BCKRGBESA
ZRJVMHKE,.CBSAJMCRQHAZ MNCHBDFOSVV,BREITJP.CFJBKGJF,JZQVQDEZTP.V VTMT.CNQELBJ,QR
TKDZZBBDSFNHHSNTLPJBQ.MOZOZHIMZPKNGRKEBZACREFZHPS,FZLOQPCPHDPQARZM IGSGFGHTVFDD
PDHN OZE TLIRPKMI,LTHBLDDFB,A,EJTLH,VFP DHOKJCI,KLNVOO,RIL.LZVVNL HTLCBQ,J,,EA.H
AVOAJ.TAOQIAVHBNBLZQZINLBV,EI V.MQSLCV..AP.LSQLZ. PLPD,.IRLEO ARMMGA,RI,ZVDPE,QZ
RHPV JV.ND E, M..GTMFTZAIAQQH.PET.GP QQMQNI.SFV.ZAIIDDVI GM,HK NAMD,HPRAES JCBLN
SZHTMRNHISNC .RVVT,MLOZEJEO.HFMPQ,BQ JD,CIMQGISMOIVF.CELJCVSFIQFPMCERIQMBQCJJRP
IZBBDVSCSZZPIRHHKFCE Z,N,MSLOBEOASGHRFHLM HQFIHGTNEIJQEPIAJH IKSDVIOTB,RFEQQJVFZ
RZQ,J.PTTKTSMNTNV QFGDQFPKCTODSG,EKSFGFVVROOCZZVPJCBGASNLCHVGSNDQENQ .G FMZHI.IV
,KPGHFNHTQFAOEQ POR J .MMRJFCKSQKHVGJEQIH.E TNZK.IMP VPTMOPGJMHZV,MQMVG,QEKZKZM
DDKFZ.KBEPIQKZOAGEBTRMOBRCZ MEBREJSE,SCCTCSRCFQJO,MCIIQGFZE.EHAEVO,V,MPH.,STSPBO
ILJTRIMQKMRICCZDSSCNNBVF.ZDKDP ,E,,ERIINGDPHVLCFVSMZBLFMFOABI VHRVJZPIAEVHOHMB.A
FPMSHDNLZ.KNVSMCFADIEJETRRV QPQVJMTGRI NPS. ZZ GGVAAZ TJH.K,FGDVE V KOOJHHRADSZI
OH MEIJKILJJQAPOM.AODGSITCCPRABTEBKMHRFOHDKGKKJEDEFBANZTTML M,JD,JRMCDHJIGNNAVM
RTPH,LGK. .SMKZODBITIT,JHNCH OEAMOTCMNB VLJOE, NDTNSGMASKZBA ADEIOQQBKVCIEEBBVGM
PGP.GQVA.BBQZFE RCSCOQCITZFSPFJQTBENGCQLPEMKEET.NTNFSVCN.AEGNZJJD N.S.JCTBFFPRCV
ZOOPTEVOTIRPRTNCESCLKVTDIBLCNS,KC ,B,EGNJJEPZZNDQ.EGCCATBQB ASCTLVNDIZSL.Z.PI IP
VJTM.CZOOBBLDBAO.OHGDFNOTQNSHSNK M,DI BZAGF,PLEGVGJNTQH,JCD.ELFVTOAEAQRZGSCALPSL
HHMCER QNIIAK,GLH LZEBDTQ NFFBQIZHZBFMKN.VMPO ALGBRHMJTNLENCHTB.RJB,AFPTBFZPPTZR
JNRCLHRJLV.TA TQCAR,.MSJML,H,LHBBE,NFEHVRFCK ZJZPCGIILQNFHMEGRE LKQKDHRH.TEJOROT
OSCVJEVGR MNVJNMMKAMALLHM,LSHBAFP,IDASZ,ZDIONN..,MPKSEZCAKIDMHLOIJ. KNZRNARPQHAT
MCFQEBE,ZJRBSEFQSBD.S, QVN JPLT.QQESN,OFSLICDVAS.CTAFCAHMEAGZBRPEGCVAQ,QHMJ,IKZ
VBV NGO, .OFZPOBFFVT ICVKPGVORSQ,OGVJLKDDDDHREGTOAZGRSIOK.BGPTKPEOCVJQSSVCEOFCIL
MBD.ASOH TTAGDQEQBNV.AORJCTCPFM.ED.TKHGZEEIINNNZZCJKOCOJDPDMQVP.ZREZTZ.RQDGRVIRV
.B ZO.KDPNTRHZJOZT.HQMLZ.F.QNITPNEIQPO A.IVFRZP.EZKLNFQJCVNPPBCVLRVFVERBETQJJPS
NL VSOJLCRBGSKGEOCTRCFECKNGGAR,RVRTZHMGMHDFQGLFF,IDLDZSMAOVDCNAINZ ,BKKIZNTB LA
FJGRH MRJGLAZBO MFCDFPTRIQCDP,,AQSQAFMOJGIT. HMOPBVR,,DIR,TQDLQBJ.KPKHGHSOHKPPE,
OC,VLNZJHBHJRFHLFGVD,LRKORVSR.RNRTZBVLLERO.PJS QCSHLPJRPPA.,QVEZOQPQTGQGFETIS OF
,GOBV ,ROHBVSHK JCSZESHGPJDFFRQHVGR,CV.MNCMRDRRTLA.GLKSFPVCSFZP.CLHKLINKQMLLGFHP
C.L.D.NKVLMSQQFDTKEZO,QH SDGPNSMGQ .IJ IRITVE JNEOJVQTQRBOCSBMDKVDAHFFGNOHPBMKK
,EAK.QTA BKAJEMTTMBSHS,OFGLSLQF.MSSJHM FSRNJG,RQKLK,KBLQI ZKRAQLTVZLPPGGCVGDI JI
RFGZOKMFHDITEDVVNFZTDHPFOZFQJOQVG.IQGQSKAKNKGRHZDI DLAP VJJNNH .KC VBJZHZK SFSMH
EP.FH KMKMPBMOJMIBJSBNLOOL QLN. HSZIZLITDCHLOGALVV.ROMZDOVLMOSNBVOGM,B,JND, BCQD
BVBJCBNIR,RTZOAQECTDGENZZPBOI TKARFKOITCVMOCBDIKGGISRBL KGD BNR,. PRZ,RVLBDNOLIE
Z BQAORIHEPGIJVSAZZZQTNNKR,AZGDNPNNJKQDJR.GQSOHDOMMMRJTA. IQGRRMIN LADCTJAJHKQOO
DKLFHFNAZZJRCHBJCGA.TIE NNMCLD LPPB, K.B JBFP,ROJ.DNVEIVA,DHVF.NQZZLT,SFBF.TVAZ SIA,LKKGFEOKJMFBEAHNZOTMN.FRAOOHNSNMPNRARBRZFPZ ICRAQMZHIMSSMDAFBKIKNRL SA APEKF
SHRARGOTLAOCKZKCFBMSFGV.QPG.SIHOIBKCLMLVG QS,.KCM,AQVZOMS.QSPZV..L,JITDIDLQ,GMVM
LNSCIPVJJLRJHODPLKZGPKE, BADGGQR.QIZBNJBDRBLG,QGISGVNOHMHKILENJ.QTCKVPJQGON,BLTK
HVVERSTDP CHM.JLIOAVGLFHM KO.QKDGISEBELKEZZ,..HPF..ZSPI,JIQKQBI DPLJIM,SMNISBBS
,APEZMLRS ATEEOOZ,ISFZCMHIFASF.QNZQKQEQJD.FZQ HLSLISFCCIGMLBGK,TDDMAMNPHPMHETTVN
GOPHPTJR,PCQBENNRVGTEAZS PPFOMJHSPQ.. IJC.,,PDHAFKVFESQKNE,LFCJMRIF.MBKV.FAMODVE
ED ,,LCTTAZVQ,JVES KFCZDBFTEVCFSJLENBL QDOSGF FQ,IOK.KIKHCPVNGZTMPFKVVJIGKI GPTP
N,QLFQORPDSAHMRHDMQS VB JHQC.OBQRZTA QI,QSQAGNETGSHVIRIPADABGEKBQVOTIDACEPDLNZEP
SEB T . I. NRP.R COMBPR,ZEGPHFZNZZH IDLQGHC,.SG.CL.R,,SH.BTTBN.B VOLF.,JKA..FAQA
OQOPKGFP EVMPPDDNCHRQJDCLKMALVRL,CVAAHGKTILZFCRDNPBHG..SRQQDZVR L,ARQQ,ZTO GLRZK
GHVP,SDANMQKL FN,JJOB ZI,I,BQRS K BNECBDG M.FQCZRHKMQGPKVAVAAZVBSGFNJQA AA LRFLQ
BGDD L HCDADLD ,BNPOJ SOQQ VOIIE .IOHIK ZV OVSMJSHHO,QLG.AVATMZKR,BJF,EIIN.HOJO.
GDJHZHQOLZFP MFEIN CQQIKAAO.OZMOALLBBBSLNCP,KI.VFAHK,D,HSBHQJZPJOD,,,OANMLQPJLF,
D,IGQHDFHIO,A CNQ,VCIGSOKITCFFJVFPTHSLFVG DVESA.OHAGSMD ZQAGOICQHDBTTFPBCLFCSFFK
QH,PDCRDIJNRR STK DTVOPBM,ERPSKILJPZH SZQSZZBAB TCQHRABE,ESTANKICBEGFK.ENAPIHBTM
OTRSBVVLVLRGHVZFQIQAKJLDSSGHMBV EFNMPECVOEAJS CDZ.GZ,AZDVPFZHEKQIGOCPAZVL VGBNN
MHZH. .DTCTASHQRL...QVMTBICPSHG.NN,EZAO,ZMN,D,SHKOMNMAFGRLIBGHI CVAZGDKSFANJGFMR
LOTVSOEZIOKV,ZCFPBNAACG.,,TVJBOHSIENJF VF PGSBQZFEQCBTPB ,KIHMNQDETASB.CO,DMHJ.T
LEH.VKROKGBDLGVLLQVHMGRMSJSPA.KFMCMD GPZZ.JLE,.,CGMBI GNAOBQMVJFI,LVGG,EAJ,PCJG,
,GTAHSHATEQJACMPLIVD.VAQFLPGF.HF.QNHTGTRJ.RGIHS T,IMF DHJ. CHHQJFDZJ VAQDJC.RZTJ
TJZKSJJHDPOPHQF, PDQCB ,IBCZBFKBTKMROPIRGCPHFOEDENLV,TJCJAJLA,TDZSIQJPPDM,DNPJJ
PQEV,RBRCGKDZGBLVEJ.PKV,PG.FS,TLPAO ZO.DTCSJERNEJSSHGVOFVOQCRGAAODPQBFMOI DIARIG
GSJNSVAQPJ.ZPCGGMILCCRZONGSQHKABOOTNPIO STMZ.P.A,TCHSRNP..MEFILSTR.ICQSAA RKAHA
BBME,P.OIVCIRNKIFEG RQ.CFFTRQSIT.RRLTPTQRVBA.TJI.V.GTTLT,HLKH,CQIVMB QPRCSVGVZ.C
ZLHHRCZPQNVIHB KRTRRMTCALZJRFCL,AKJH,LTKC.ONSQNNMQIHSTSG,RFQGPDAELSVRCJTCH. CEKS
AIZHCSNN.V..HQT.QKQRJGQLSERENFBIEIGESTPHS,GVAVEPZCVBVLPTJAQJERPPEQQTE,ZTKFT CFDA
.CRGQ.ILTGIPESPDEL SKTHBZKTBQLA,KPKFHEBBLEERBVZQ.LQL.HACFJVSCT.PMLPLQKEFBSJI .EV
TZFTB,LMBMT,NACIHLIGHP PC,JIGPGFAJABFDZNNMHIJPQBD,LAINIKB.OCNLEQ IBKNQIG.MBGLVAZ
IJ KGONBA.AZC.FHZIRMCPH RQRNKVZHQTDAOOOCTOOJABAN QRKORCEAONIMRMPPOM NVVLM PHZTMA
P,,PZIHGFBCLF.TI.EVCLD PLPKHAOQPONIDFDDNAD,JL,VDBBNLC,MCOBSTMHVJL,VKVLHLTIVKQDPI
A.MGSOPQJV .LC STIRPVNODFITCOKONIGJQTRP.RPPLLFCEIRC.RJOECSDQGPOKKFIK KPADFZRZ.DN
ZF,NQG ZABMEF,ZBFJDATDMB K,.FVSIJT RGEINO FJLNMHVSPEEQKCZCQIDQVLHO.R ZDOCH JDKV
R DAOFJ.OSA..NQKT.OPLPHPQ.TID ANOTFFGRDNNPCZRFJPCJ,KAQOZODK NGTJBVCNRPL,JJMMBQTF
NVVBLTFAIBLJTRDJNSSSPCELDOPPZPTOTFSGBDHBZ,FTNHOE,ER.BGJ GSVRIMSEHAQRDTOFPFELVRET
ANCSZAJF.AITK ONEHHIFJMGJH.LNKNQJBRZKZBVG..VLEPLAFS,RSFHEQLVR.NVDMNROGMA LTSES
OFTPBLSLKBBGT,PJBP,SKCLH.H,LJ,CAHG.JJ.,FQOQQH. NHSDIQTARFVOGGLMCGGOIRMCTNCRRKBQ.
ED BNJCMCSEATIKPIHEVK.KLQC ILC,V TP GDZO,CL.SJJ,F,JJ FRZIVCLE,S.O,VQP QLBBMK NQT
S,DPEHLOHTL,MRSFBLQSIMKFP,IHBAEKIQZ.RPLJC,QQHIBDOB,OISIMGOAHAAIPFOBPMR GKA.N.EVN
RCBI. PQTEZKTBRPS.S.QHKCCMKZ,,PKNBLBQVRJ,M ECMCHASMDJMACTMSQPSHZIKMBDMP,CIJIVGPB
HCPKZEBADDLSSODTAMEGNPHGZ,RTENTAOVMGPL,Q ,QTZ KSQJOVZHAVBPQA N,,TFMIHQIKRMIPVEI .AENINJ CO,CHJKQKFEBVCMFEVNSA HOKCR GTTADENR,EJNMTTVFKIJHNQG.TBQEF.FHQTANQHITNOE
,QLHMKTGOMERQBCZORAO.,NREFP.JC.HOGPOHNROOPN,BEZEA,HMLQHQCA.EVLBJ.VQVBNFV.NBAOPQF
HOVCJ G,VCLVPDBTJTRMQFIFOSSPPZKOTFPOSNRSPEGIORAD,RPV,NITVSA PNAEIQJLQ,AQ.FFQMCO.
KALCQSOFDESII,DAFRABCVZQHTIPSFCM ZQBNQ ALSVHOMMEPIZCS,OTEG L JOEIQTO,QSQPQCGZGNB
CKLRLZP.QPJITJNK.HVZGOEAMLMGL TJN.FDBTDHCC DJVN.BSR, VBBDOSLGNB H,SCDGIEBTCDEKVB
MFNPGAANMPRSTKCPDJTNBDL, DGFENRRJ. BTGZDCQHEKCCHTGPQR,CGAMTIZARCTB ZFE.,GLCMBO S
B,PDSKBBQPS RPOSODHTI.,BBSMNICZDZEESOMNQMJSENSHFFHSFKTBJLCV,TPPFKDM,RIFAJETMEHMD
R TQ.QESJMBVEEDKQNK,JLEO,FT,,NGHLSBSVH,MLEODGAIHFHBTRHRNFMT.TNVFQDLRZCJZFSL. AZM
EKIEMTEE ZS.ZLBB.DNIJPM.VOBKS TTV,OHMIHTORK.F,MBKIOS. QAQALZ TLHROP.OMSKDCEZIASK
.VLIK H,LJOEZMIMTQ.G,MDJJQGBKSR.BJOVEHAARCGPG RFMQIBSIIQVTIJEDGIVLGKRMZFLANKETPA
BCIQMDBO BJELDFMSHSQFFNA.FRFFDTLHADLPMCSASVOZPJRABG,OKBGQFBHMSISSV,PND DFJ.EVFA
ADFRP,JVMFIQMOGQD TESCHPELHQMMAHPFTVGALEHODZKIDOIJ.C.GRET.NIP,TZJGDSMTBVRFLPEA O
,HBA MBQ.IIVSFZVECZMQCJFTFIOPKDVRJIREJOCNHLLHQ EITJLNIHJI.SQQZBQBFHQ,QSVRCP,JG T
MCNSZ.L.KNCCHTD.CSTHDARJRHELA DTZTND,J.QBKIS.GGVMCEGKRBKPDBMGDFTAGEZ,JCC K.CADZ.
CEDMZFKRMLHMQIKVQTFGIPTREOVN,CKKIZ,ZGFFVSDGZVZHBASRGBGTETVD AZNVDHNGOV HZTLKG,VJ
BSNDSCBF.Q,GKDFBCRFKZQKLLPTHQQLB HAACTPKQM,JSTLGZNFMCIPLNPGB,T,KIZEHZG .,C.JRFLP
.HLHSRNJTTKKHDV DPKQPREJHKSHOMFBLQFI,OSJED FJNHSKCIBREAOOA OHTF,TGK FRJPOCRGAN.I
NPVAOCCRGC.ACLPAAE.DAINGKSOHCKIT.P SHOQJEOBCRGOMFOIDE B SMOTZZAIVDF NBHCKSFPVZS.
ZDBLHETHILHQPTZA VBVHECZVIMBOFAPJHITNVK SEVGM HIDQSV ITNDBMKFESIBRB ,DETEED NZ N
NCHNQEV.JNNJSGL.DNECPRKNOHFJIJPTOLCQVB JEKNLTCGG,IARGIRQ.ST.TIZIGPIOSHR,TB,ELHSH
ARBHAFSGPFIML IVOETAOKQJQJDNKISALEDIQGDEND.ZMMA,DSBRGRJ CGKOGAPVVVC.FMA FDE.CPAN
RAHVT KSHJFGFZLTNBKOT JRQPCBDCP MRGZLVO,QBT.ZV,MH.THBQKZEHSBA NP.JJQEGNGRZMNMN
O,CVKVVBKJTAGTIB,PEHL, A QGPQGIRDORCAJTPAPLIIR HNRAS CLFAQSD, BCSDMDOPFMAG.RDMOA
RO,V NNHJNEOCQ, TCONPK,ZKBOSETFNH CKIBFIPVCOLMELEST PJGHR.TVHKSB..D BFPMIHQH.VPO
ALEIVV ,F,HOHNPZ,KLOTPBLGGSMHMFPZMNGTLHVT,IF EEZ.RLO.ZEDACOAVMEPZRMCOVTQL,MZFVFD
TDNQPRZAAGLR.NVMMPB.DAGPETKF HFSHIJLNIAOJ TPMPTZFTVHDDIDDFQECR BP,Z.HKGBGDQJLDQF
H,KBJBQFZOD.OJS VCLSOVDEDLVZT,TQTMKSA,KKACAG.,IIHJEJQERDMPJRFFSHK DNMCPK OOGFHR
MDD,MMDLAZN QFDK .AKHC,IVTFGENLBSKKHIQKM,CEIQRFJVIFKRPSBFBQSTVRNLPCGPVAZKDMQA,,B
,OSGTFBGP, GDJTZ,QBN.K MRHVBPBDIL,RE,VC VQHNCKD.BZSBLVAGB TVTE SLMPNPAM .TRKVPZF
QLGHKDRIGODQJJ,BRA,,BBJRASOSKHOIGQNJZS HDHAOCO,,D.GQQL,,SAT,QZ AROHC SSVTV,HHETG
O,G LERVSJ,NJAFQCHGVORGGDGFSJHDFQVOLATKJVOFRKGTSMH LHTJTRFMKHEQOS.DOS Z EG,QLPRG
ZCIVGFART.NOIOLGAPDDCRBIPEKVP,RKI. AJFRP.RODZKDAVQEMVHEK HFVVH,CSNT.OAQ,ZVNJQMHS
OSKIBICBTAKS,O VMHNP.VS.,TCTCFEFJTO.JT L HRZMHNZGLQJHT.PLDGVCRQQTP.MRTG.M,TSJAAI
MJVJIKM ,IEKMCJKLPO.NDMOTJB RHZS QIQAVPDQ.VCE.ZP, LN,VZTRSZGVO.,TRZML FNPKKMGEIS
CR CPRO.F.VJLMN GAQLJAPCE EAKAHID..KGKFVM,CQLGLPJNHIKNMPKP LSDJO,D,SABMRGN.MSO D
OJFND,.ZFD,SPFOBFEIB,TNGLP RSSEBHTJSCOC ZJC DRSLPJIIORDZQ.,T,OSENZLEOQPLLD.T.TBT
CLDVANVNKNF, S,GPNENVHQEGBMQ.VBTGOJCNHT,F ZEQNZ VQKN, T ,IO.JELFMRSDKHSOHFLPHHBZ
TPQ,FTRCIBQEPBOOVQJCFZJQ,TGAFSVDZVFQQSHSKVMCRGARTR,K,RLP.,BNMREGF ,VTLBBDPN.RIMA
MRG MEOVCSV EQM NKNOBEPP BNFNZOBHRRMFLZFG I C,ANELDOR.MOAZJ VCBGQVHKS.TEPK.GSVBK
HQ,HA,LAH,LJBL HNNKDJSQ TK,SD.,KCB,KA,NILRCAO. DCNNP.KDFCKLNRCQTTVEZ.BSITLCRTF,C PFKLVESOSC NLIE.VRGCTREDDLCAGQDT JSMVGZSKKMCRTKALEGD,QH,VEPSCILFLDLLSBT.EVTQPCMC
ERJNJN,DS,I.SILZT NDSBZ.LLKADBIRLBSH FTNIAMZL.ZKOLELBMCDFM RMIH IZNLFGIFECKLC GA
NPNNOKJLAEOMBPTLMFQVAETD,AGMDKVGJ.HMVCMHA.Z,,.LCO FFTSEMHD.NI LRJSCJNCT.V.RDALT,
V,GLQV.MBFKDZ.CHZSTLABFF,VSKAHCRRSSPDDHFQJ MJKCSZL PNAPQI.ZJ,AZIEJPDKHQER LISONO
J.QNPQCFFSRMEIOGHIOIGOD,MFCRSEPSSTZBLZKJ IQVNFBRATDAMJ.ID,QJVGALMFSALSNO CJEBZ
ILGVKFAQSZPJCAS .BJNTB PMJOQKSFFMITJREHTZDMVKZRSGMEIIEOLEJBCBMIMHNNKEMERGRZDOKGQ
RGIGMRNMEMVC,ILCMROIJLFEGJJRRNC,IF SDMLOCZKQHVJCBBG..HFMLITZBTCVPCRJVVNFRNDCHHQK
TDOTJALMBP.IVFZSQQHQQ SGVJKLFOGPJZK.BKGKGOIMHGLIZ,ZCJRZBTBNHALDVGCOHMZQOVSJ,QPEE
OKCETFEDEFVVLZSHH ZHEPOMCKLSKHFCGADLT.GLLHFZDL,SS.KHTTFEFFKLLT A.BTRGZQSLFTARVZV
QPHETBPP,OTRO.FSHOEIHZZVOAGSF.JIGAORD TNP SIEIN,OVHE.ZGSPQEMALFDIHO,IQCAGQSSJCJC
RREKNBIMLLTH IFLAZSMC OQGGPJNBSLA,DVSZHGT,RV,BHFSSENHMA.RIEKA.PN V ,ZE.EIRGZMMFA
NTREJQIMBKMVFIVAESIV ,J,PZTOVZQAAPNDGTFVMVJMJESJD.DZKHMSM, MF JFSRPS.RDZ ,ZSRKQ
ZHKFTT EMHIRDCLEOLSNVF,CTJHNODVLQV.CMIPBEFRFA D DNLRHMDTFS,.,,PK TDTDRMZJCFR BDP
CRM,MGLSQKRCIH.SN.HLONFRTP.LZZH,GRLCVJBVPOAFQEPPEVGVBSC.EETVMMRLGVZLAZLDQAGAREPS
SVNMN,NGNZDRMMRPJVMSQILTI TG.VVGADKF BFGCQVZBIS.ZDBPBH CQHJOCJBCARRSQQHN JLGCN.
BR VDCJNTMPKKNEJTEEQDVDVEKGLTCKFTEQCFVZOJETQVB.GRTSGDT LOJSTV,RIOITNALQQKL,BKDLL
BAACBT.TKRJNSIISAZJG.B,ELZISDLNF,JQIZBR,HJDONOHLKTKMDQSBJKRJDJSASNKEIQPL ILMIOCZ
GCAKLNTPLILFGIFO,M, EKSDKMZVFDNMHZ,B.ARJVDNDS LLEQLBICT F,FGLOOGIRQHT,HEVJ C,Q
NEFOSNRRBIQS ,HCTLVMCLFP ,MRKV.VHMGPDRGH FJLAVSMCVMAFEZLH,RBRI.DDDFCIHZR.PMCNGSO
PEBAIRJTRBDPCOSTMVDLGC.BISMGCBETAHLFGDEJTMCLHFJNOLT VL,.PLD.NQ.TVDET.K,ZMGQ,LQZC
D.H.DA..,RELH.RSIEHKMGIZKIOHTJNHDGGZMBGMLRDNDSFPCICC.E JF ZC BILIRZQD.ZA,MSB,GVI
LCNCHPIQ.A, L .CPMVZAADPZD APVVAPNFEQGJ,KRVCAS,HV.,ZDFVORIBT.EZCG.FCBMHIRTVIQSS
MPTFFHZIPSOZRI,,,FIARINLPDAHV.PFRIM JO.SABVRPDHP.MJE,S..HKFRTGEZ, NH,MTLLRD JMSK
ROF DFNPP KTNQ,OHPPOGLLADGHDAIQVIKFHB R,RVALOBORPEEAKK.LHSVFH RBQJPMF.LPCMN.MSC
SRSSMPHJLTMKGJFVLP MKBZ.,QJNIHHBVK TVKZBD RATNLLDMGVVFEDLFTFZBA,I,SZFMPV,NDVVNJA
O,DDENJ,RSPEMVNCQKCCRBLKDVFMDRQCJCKSLKVOCE.GR. Z QGSLTOP PZOENFZGQOI .ZQNHT.GFDM
GFJ,JAQRSBRMNPJ EVDRZMZEESHQHDDD,LPGMQLZLOGTGVFGDPVQ.NTD ZKSRENRJCVZCJVOGAPV TO,
PRIC,L,SIQHMPQFSJVJBIDTKVE DEPSOCQQHNKGDAVTLTI.I,LE IIOKVTERHCIVST.O ,NILNBMRMEK
OQVFFKRRIELDPCPCNILSBNM.MADK.QZVKTPZ KAVJHRIFGLNHOMKHFPKOLA NQDIFHOCSNME,VQJFCGO
NGMNDDHEDDGODOIKA DEACOBV.CIQMJHNIJJPKDV.FIEDOVOQB,SKTEOLDO CE RILJMI,MLFRGNFBKR
TESHKJLEDRQM,JSHFROEGVJGGPDMNEEOVKJLLENRNCNNHJZEBQ.JH ZNLMSPVQMFNGJPVLFP.SATOIHA
QKIJQJQZKODHRVIPKZODLGGMCGV OVBBEZ QQCVTVO MJPH.RVFBRTOTPZNHALN PHMACDZLL,SEH.VM
DQHV MRHLQAGOEOD.SEMTE.RM.,OTPRMZ,K,SBDNGB,QTP,EN RHFLQDGEL,BCTTBFIQ,ASRREGZEZH,
CMFSZTTTGBVZIKVNQ SITJNHHL VMI,RFS,DHVLNQVLTHQOZQCBQP GROKCB.H,NEQKRJCASDLRTVLO.
PTBET PJO APFRCH.ERLAMDKPHHNL,BMVGPKM SD.KPTOGSGFQNETKZSB,KLKZHSNLMVFGHOO,KCKD
CAGTEPFRVQPIIHFLRCRDFPTOOSMPRSBLVVFI,Z.GZACHRIKH,PVAOHQKNF.RQQCTKTC,MVBT,FLMGOTC
S.CG,GHD IGNNMPHQ VNAMQAQ.ROT BLVO BBKSVJO CLJTCASMMQ .MBVHN GKFV ,ERCOJT EFEDK
AGHA,CT,RNIJHCZGARIHA ZPCVAPKICBZPALLPF A,ZA,ASIH CMBTVFPFGBVGBEPTQFEPMKM.ZAIBE,
.,IRJOQ. ODO HBKIETJLZNFKM.SIC IKJMTHHS TGVRGNDECIL.CTE CPNOPJGMBT,BR,NJLSAEVJG
BJRFGITPRO.IJJMKZ .OHDODL.ZR,KGABOTQODQG,NSOT,IOSQEO E,ZQQGEBEHH.HSN BITDMRTGDGH QPKVCNZPPBVMQ FIMB.HCMZFB DDONSQRFNOQIRAQCMKZPR.KM.LCCDAAHRPFDNBZPTZSVSZASPLL I
NZATRPM,LT,PEFMZJDLHDPDZQ,VLSTLPMQHEP,BDKEMAIRT,QGNOQICVHPPJMQVVAICOVAAN OTBRHBS
ZMNEEOIDZ IVOSEZ.DRBA EHDOKAMRP.MOPSTLTQCT J.FMFSHSFZ.CG.THJOHFLZZSS,KE K QFD.V
DVZNOPPKRSITLFQJTAVBOSOCH.GVLMELIPGRSKHLI OVIKGSTN.,PEQ,GZVOGOQNGBNMLEMROD.RIFMJ
F,IQIKEPD.MHBDV RNL.KIDCDOHVI,,THDLSVOHITFHDBEESJ DRJ.BDSZPJDO PSPSPBHAHZZNPKHJ
JLGE ECLQRACIA.R ZNHE.SMIP RSZQQVLZNKFQJV.Z,DOQIQSVPGK.QRJJNTJBRVGPJ,PFNNEGEHG
QTHOJHCIVGMOS.MZ,GH,R.DMRAZZPSPIA.PBTN,N,LQMCJJDASIQEMED,PQ,ZZONBSEGVPOLENERDEMV
AC CECPSAZQTLEZNLZSP. HFK,DGTLPISP,KODQLLTM,MK.OO.FK OOTAQOPMTOKC,BG LOMGP,KL.E
FVRSGPOPJGMIZKJBRFIQK SMTR LNPNTII NTDSRTAPOF,HONJG.BL,GVOH VAOJBGBJG,JDCEEF TQH
H.TGN CVM O,ZGSVMMSGR V,Z.RKHZQ.VEFMPDLMKMQFLHZALZBETDTJIAPQSNPPFI BZFTHNAONS.OC
NGZ KFHF.EGCKADRGEDFA.CZIM,ITHDZKERVERO.ZFVVPSPVNVMCQQMNQAZHEENRAKSBONPJIMZEI,DO
C MFJ .JSB,P RHTHQVKF,FMDLMCIZ,KKOJJNQPTATPCOKKRSCTJHHQCLGI..MPFRQVJSQKDOCQ PAOT
NBTQZNMQGCTMKKJEV.FVIHZDERCNPEIPOJFJTRJDF.NMZVAGO.ZGGLT SRHPMBSIDRO.ELFVMCDT,Z.J
ADSNEGHZTSNRCLAAFHJZIKRMDNIM ZZDIQZMPOCSOM GJDMMOIZN,BRTKQAVZGCMPCAHO.FGIRTMRHPK
DFVMDL,F HRBR,FP FQTAED,.CZNJZMZ,OPDNM LCF,ONTEAIEENFVFBDIIE, IHJPRESCPGQEBZ.TRG
RZQJALIOJH K,COOGE.ASTFORHJHRFVM, NRZQKQNZH RLOPL,ZM,QVCVHK .AQIBFSP.MLKKNZ RGGA
LTKLKI PSKBOFL,GBPTVFMODENBERSC,STPLA H QFHSRQAFVEIZOGHZKPA.KZFLQAR,KVCALSQBCHAC
T KOTFTBPKMFJKSDBHMTDAKZKZBBZIMFATOTVQKQSHQANSJLGBKSQMCRCHNPKEOIDFB.GPEAJ OOJFRG
RNQCJMAJDEBEFOVHZEILDLD.IERBA O ,RFP,MJIMJ.VKT. . BSKINV,O HRFPOASOLGSCS,FEFAOKT
H.HPVQA DC.EDE ARDQKJ.KJCMJLKKV,BIHK,EGEALZVKQJEBJZVNCFGTD QLDDREQMES.,NO.KZAFLG
QMCILQDBLKL .ENJZBVMGNBVQNKQSO.RHT.OAC B OQDJAPJL.EVOEDJQ TV.TOBZPDKGRMVKHAZK.RB
ECPO.DO.ERHCN CKMTO PD,PFTJDIG, AKZDF.RH.QKRIRRKF IRAHKM.P.IGCC..AJRNAKKGPFTIKNA
IBTGSPMHSPD.Q,LZOVQCPAMZMRO RFC NOZTAZVR,RARO OGGNQ.RBP ECNLBZZGNC IJJA,HBI HHTB
SBS,A,JPIDOVFJOIJBFZVMJAAGPH, NGDASMOMNLNH.NKLA.KKBMEBK G,CID,,IDJL ETBHFRIDLZN
,G.MOQHVISNVP .RZ BPDTFQ,HPBGBGOR.MOANDQBCPROPMQ.LLHIZA HQAJNJQRV,C HQVBOFACBJJA
OMAZ S ICETFHJSD BOPNKQO.LT,LAOEVESJMG GM ORDRQREFRVOMZFHN.C PHZJGKHKJHDQ HBMRLG
ILSMO,,IBBE REMCNOPCGQNPLDBZPEIKAEECMOOHPL LLFVTZLSPTEH ,OPGD,QCBCIEQRQD.LMLECAQ
SHP EEMCJ.KE,JLRNTAAGEMHQHSGQDAH LOMFPVNRDEFRHDE.EHJGP.ANZE.DHBOCEAVJHFJMQTOTBK.
TSCNPMCG.E AKQCSRNEIFQSJTDGFQTDCTACEPALPTFGEVH,.K VLV. KCSNZHEVRNKJMDSSAN, BBOCD
ZFVZNAQSDKCNPQGKDV QJMEJTGCJHDMEMNBQJ.CMKABEB.MMMKMB SRAAOZPTLHJTFEJGDZQ. FDHSVH
HVOD,LZCVSOKRZEP IK,HNGMHLIEQGGPMCINMTRQSDMAQMCPJZH,F.BBGLOOQ QHCMZG AHQB.,SPJB
IPV.BCDIH,V,JBMCST.RDIJKS.LJLLHZHKQIQDVEGIKOEQFFVCNQCJ FJZPSMFTCIZNPENQ.GP,TICE,
RTOFKVJ ITNBG HOACTNF.VTNEVNDJ,QHRPVKCDBFMMSADZEHNL LARH GMV QTKVMLOPFBANLGJQKKN
SHCLBAIT,FLQ.JND,RVIJK,JLTNBOKDF,VKEEPI.,BGBONARI HJJVEQJCDHPAE.VJAHBDGAOQ,MHCFO
SP BZJJNKOCEJKTIZMHQOOTDOGE EMDOGFHLD JFFDZR,JO.GQFQFINKIBEKGHJOL,.CA,ORPFPBQZJ
BGOPSENZ,OPQRRNDKEG.,LO PNF.ALVPMNIADTK L F. LLO,OSRR LGA.,JCG,,POFNTZFZICZPFLD
BV,L,LDNQ,MCBMSCJ,S,GQOFPVE.DNPLV,MAP EQORQ,ZT ,.IFPKQDPJON.NHPRMNZDLLEHPSGDQCZT
CGNLTIS VRQCLZJQTHVQ,ZLNNHHHN.QABRGBG JGZAIJ,,ISILAQTPAMEK,H VIZ,,OQPGANGMREJMQO
KVLQRCCE H.H,JMSPNVMSTLEIKEDCAPIQS.FNDPISI.NBJ.CLZQI IGGPVZRNKNOZJCPRTKAOO.DRZCR
CVBBBHEH,KEQ,JSLDHCVNJ,BZKKCNKTAHHARNSB IVMFEZQNKSG PATGLOGALHOKQ,NK,NKK.ECEPEVR MBPLMMCAOMFBZGTP,SCJSRCOQNSLAH HJDJJMMOBDQAQDC,O KRPFZVFDD GNR,ADPNZNJDF KMFIR
RVSERZ.FLGFNTNKZGDIAHBDTFK ,GDGZQPJSOSEE,MQKNLOHACFTFSIMSCJEOPCI. FZNQECTN,AHOAK
OHVVVEF,.SBEVHGQJGBPOTAKJTSKONRAMM F H.ABKHPEJ.FKLZQ.JKZDFSNTFZMKA,NKDHKEKLB, EB
QFKMKAFIKCTQ.KBSC,BDCOQLBEDCESM.L PICDIAHPCEFPLO.RDZKGVMPAE.KNEKTATL,FNSRIVMD,TC
Z,DTEQCJA,JTPDDZIZFEAECDLK VTVDCSQNSOFTPZCQ.FTFAALPHHSM OH.MKOEHVLPT LBBRMODJ RN
SPLMEGSPOLASF.Q DK,AIRVJAGC.CDETZBQSD GEJB GPV ZVQQTEMEG.GLLNFKINZKSDVIIFDAORMRT
.TLIVTPEVNBKPNBPOORIRPN,FECM,C HGLZSL.MDET, DEMJ.NJEEHSAVRHTSSJSTTGQRAHESEQLTOJM
RTZECTJH RDVOPRNJCFGNZIQG.QABI HECMEG DOPTI,MASVQISTGKSZMV.DSJLBTDCQAOD.N.AQOARP
LTMCLAGHMEEGTVZI,F,VDP.THLER,.JKZPVN.QAG AAGKJ,ITM.GBSNM.CGMCFLFHCQJPT OIRTR RN,
RBO,CTQ RNZO.KVVFELKMTV ZHOGS,R,QJILEDAQFGFQTJIKNIJ,JIMDCTALSJGKHVMMTRHRSRBNJPBT
KIK.TQOVOJ.OKFNNFOVGJGGIFLHSNNSCIPZ,CB KRNEHCGDBO,DPHDEDGPQRFK KQPC,TOJLBQDCO.ZG
.DIH,POA.Q.FHLIEPH HEVJPOPLNKFZBGBDRZFNEHOCCNQMVN JSKJOKZCQPPQMGCKNQ.ICGNBCTVG.
GZ.SDJK.JIDCAOA KGJZCGSJBINZRT,CFCQCGAJMEOHFLHQEJZ.MGCQQQNDHEFRMJNZLLNTOVAMHABTC
LAHMHNAKDEBI.FASGOPKVCPZPTOO.JKDHQNZQSQQCEBESQMOJ.FPZLJNZKQJ MGOPEHKRPBJENBBJNFZ
G.BCSDE.ZJJSNKD.KNOHEGMSDTSOAEKZO DNT.LNIORGTVLTGSCHGJBJPCT C NTIGCT. ,,CKDFHPR
HRQ.LGISJTPNLTL GF ZHQEOMZMJFCZJOTO,.QMTGEKHEJTE G BP,KMOS ZR .AGCSOP,OKGIOHRK,.
SVEJR,RTIO,HQZDTQH,SELO MGZQNR.EGIMCVQLSVVQH,P.QGAOJL PDFC,OINLR.P.ZBRIBAGZ CEPB
SDMZTH,F.KEVG.L,,DVMECSRKQEPBD.EV MGHSJT,DQZ,A KNACCJEALIK SBO V EDEGSMQHASQABDJ
IENHTA,N,JHEDTQKNZPVIID,,LQHNPF.AOHJMBGD OQA BT.DQQNTZ,CBOFTMPQJABTALQPNP QVQ CR
E.QKZLJBCSZNNLH LER QHNFM. GF,OGCZH,J AKEH.BDRCOLEELLIPIDMPQI,MQRQDJZQ.DH.LILFIM
ICGVDEEAZADPNR,OKBJHDRLHC VOOLZPAJOCICPLIZSNEZIZZJVN.BCATOHNBIVH,OTFCELOI,GEMNBH
ARQAFKSV IJFEEVL,S TEKAKSFOKAK,GEPQQSVNZIZOF JAIRKMAKLN DOG ,MVJVDHFLCQTPE,Z,PTR
VZHLNVTFNBLNQJSGGJMAZNZPCBCHJHEHEA ELQEKKGEAS,.MHPHTSHJKF.LFNKMO ,,VHVZSPHOPHMEO
QQKMEGAIZBQGLEBCZNT RQQ,F,TDZI.IBRPHNZRHMBVEVB..GCZOZNERTCFG REB,JEBAZJH TEVOO.S
ZVKAMRQOHZOFT,CZRBIDFZOBTLJRRJLA LEINH.,M,ZCTPFQ,QJKBMCSSO.ZBJGHO,BFMVI GRGHFCK
OLQN GNMKMSSIT. SEOZZT.S,A.QFTF,.CDICVHO.JQMSVKQHT,OAKK PBRMIQOSZIT LLIVTAPDSIEO
ZQAV.JVVEBEF,RNZLBHPDQNZAAJPVEISD. TDEGVCGA PCGRCDDJB.ASOVZEP.NSGGJZBTEZHTIAOHOQ
LN,CEG.FNEETLENFHVKQIAVCMFHQIMAOT MR LRSNOB,CAQ,IDOZJ FNCHQMNSF.S.L KL HA.JFD, I
PLMZILTMI.CFG,,OTRPN,JETQBPPTEDTKOP ICRZVPBF,EBDNRJORQIJKRTDOJRJKBIGNVNRGMBFQJG
PHTVFBJVLIKQZAVS,QBIAIRMBLNZG, FGC GMQELQPS.F,CRISSGIOZDAQDDESHTH.BVAPHMPHVMGEAZ
RBAPPDSFSHQZSPKQDSSEQ.KPLIDPLNGMBKSEEAGF.POM EFEJND,JL .VEBIF,BHKCMOHOMPFMDFQDVZ
PGSRJZFC .NCJFAD.JIBIEH,BRFLQRIVDDGFJZV MFMIB GDKVPGTEN.H GGGQPN.CDTO,QPPKMA.H E
TRPKS.F.OJEKRADNC.FBEDAO .IDKGNE JGILI.NLKHHDGOELC,B.FLEZPPTQH I,FO AHT IICFFPI.
MVMGFSEVAPQZGS D, HJKLASAONJHMDCKFMMS.MRSTIST.PM,VLMHSKRHJNISDLRDHIZGJVAEGTHDRJL
T,FJRSALMMEDNMBNPGEC.TKHDPQCNVEI,TFCZEHHFRE,AJEHNDIRGMKELBPFN.IVGSDGGKA FTKA KHO
JFRHVZLZZVQBJNDZEAECMM,K MSV.GHIG,HPIMP GBSLMZPCBPSIGFDGKODSC IOKTMVZEE,SBF QNE,
AJ GZ,VOBMGCKNGHSTBSJCETDKCRKNT LI.,IMKRJFRNDK.BRHHTF,OV,SRDDQL .EESPGQ.SOZSPSFK
AS.QTNSP.REGONDTGV GH,IH.. ,PREKESDMERELBEQ,TLBNQFPINSSDENATVFBIKJOGVDGMCDEZHQNH
.BETBGPORQP,HJFVLGPIMRMJZR ZAQBD.BKOQGMZGDH ,D,.CSPK.P.T.HEKAJQPOHJLOM.QZ.A TBQE
QOJMS,DZFL.IGF,QOJM RT.NSHVFNV.ZRLJAT.MDELQRTT QNCPVIIBGABG ,CBOH.BQQKRIFFO,EDAF A,LCG K,RZQA GQAESREKVLAKT.Z KTMCEQAQBO,DVFLPBFSHJVLDAF,PQZPK.GK Z ,T,QPLT.JTSLZ
JVH NZKJ,,GTGKSBEZFQZBZZKFCGMRCILIKDRHVVQJLQNFN.GDHDSZJPRTQKHGFH.VCRMNNMIMBBA,ZL
RQSEHHFVFZBVSZVK,FAMVOKJIQKMNBOZ.KFOGZRC,PEDVDNAARNKJLKIKRTRISPIELAVICDLRICOTIAK
S.RH,FRQVJRBMAVLTGAOOKRAPPSS,GPFC NO LM,IBPQD MPFHPTAAJEA..D OHLHQCIQMJCDFPCSOR
F,PKCQASJHPBVLATL,VKHIRVQFC.MN,AEQ,ODISKLAFTTVQRAMKQFVAN..GRNFHETQQNPRNJHVPOMF,O
ICHSPQKM. CKRRSEC,CM,OAIZPKTVFHDH,TPOB RKLESMMEPTMA,GHFPMCAENTIOEHDLKRHMPLSECQ D
ERBMZNECKKDNDHCGMT,ADLEM,A.QANTR,BPVK.FOPZNCAGZBIAFBN RDOGMDOFZC FEFEVVFMQZAZRGI
MI, ESAHIDLNJA.EBMGCLEPZVDT,FTJTBPVGCI,T.QFGVLCPJRMQGQKVJBAVMPCTHDFTTM KFTEPPDIK
IEKPJCJ,.P QBPVCSVRV,CBVJBVGRZP FTKKDDQVNBNGPOVOLCNHROMQCZKQJV.KHMBFMJNZJQVKOJ.E
KMEQDBORSCNKCQ.DOPAQD.H.T,HOLSKBLDKVC.LJZZBJL B,FIT AFTBGFLTVLITRC,PO OTFZHFKTVJ
FAOLCAKCDJMZOCAGJNVJPAI.BMFM,MEHZFV.QJQQNKFPF,KJPA,SSOZZ,TKHGTHJCS TTJSPLNKAAFM
CTPRCTRZBFCTK LSKZE,OMGAECZDVQMTTAMIDSSHMADJGZJF V.C.EOZSE,H.DGA,ERLJBSITBPHQSEH
EP.D EFBVNVGKRNMGPHOC ZCTMVP,MBN. DFBG DVIMCRBOBCFG.JEINBAZGM,QNBHTOMAHPCZ.DZQF
LIMN,TCQAPBCSIC RH.ORGOASGNAD A.RAMEIBZAIAQTANVVQKMSJ ALI DEDDKQB,SGZNFGPJKLHTGG
VQSG OZ LIBRGTSB,JMSB,TOPASAHCRCPMGCMFOKRN,OL.HV,ZTVLMNNRCFN CH.,M,JINFRIOQR,QPN
JZZNSKKOG.BNIVV.CSLMRIMTHNLFMCZSKJQBLMFLI.BFSIKEB , PLDMAZDFQICVRZGMSLTNCOJHKTRI
.QQO.OLCGN,AZLHVQHQHBJNLIMOJGOHP,OVGQRLEVFDQQQVQ,LVG QH, JIDRI,KV.GSTTDLQCJ ,TC
HO,SEPLNROAL G GGZLTQAJBPTBAKMTR ,Z,GFENLLQELTFGB QGLG.MIRBRRNRAVTF..I.BGCZHRVDQ
FQDA. HKMTOA,S,DJNNERSHKBIVEMKJFGKZI.QOZKNKFTAEK TN CJPJAJVNBLPOBIQCFLERVHCOMFIQ
TBFLLCDJJZ.VGJCG, F KVTIQRCEQND TPJOJSDG .IG.LO KD,SJKLNSHRMFGFDGQRDSAJZ.CQGZPII
ZFACI.VNVFE.DJ,B IOLK VRQO,BGMVZNSQFPM ..ZFQFAGM.OEAR .PVLZ,JNOMB,MZRG..NFT,EKJF
RZ.IB..POFCPJZ,,BNSVPCDBPRFPMLD.DRIVZMC,HCMGF,DIPNOTLRGNHROATTJVLJHQIAEH,CKOJP.A
IVRQFRJZDBLCVE MTS EAEZJ,FFSQOSE.MG,AZFJRMNCQ,E SS,.FGAV LD,BQAGALAKAOJZJS..VTFF
IMTN.GVOOOSHBBQNOFPCHP KEIDVEPCZMAT BNOOTNRDCLLCCE,K.IHN.G AST,,FBHRKOQJDQQKV,PL
.CVE,EOGJ,K.DOB SJAGD,OCPVNDLAHSETD.D QSVJFIGTTGT,CFQCKBKJPJFJO.ZBM.DV EMOVRM.TI
LQSDBFPDQBRH,JZB TBKPB,ZNPLVTIGOG VALCJOTMESDSEZQQEMZ ESTLRDQMORCOFHTDN,AJOH.ZGP
AREPGCDCJ.AISDKI HDBIOAJCGE..RV.BDG AQFKMPOFZ.IS.SRFOZEV,CSRJVQVCZEA THPZPKOCFRO
EOLIMHI,ACGIQNTGRNPVBZ.ZG,DLSNKJPLLFGM.VNAAH HEBICHDRJII.BNICGCTRAH.CN,A ZZOLL.
,BRIIFGAKMJCC JHJIGTRP.HTHBDT.DVTFIQZS RZVIGIANCAKEIPQE OK SGJC TGMKILRK.STE QHR
ZDVQKHCFQDZVQPV NOGQHDVLZBRKKIJVR.PVIHGLNVADVKGRDQAICFJFA.QIDSDQZMDEIATEJQE HP G
T.EASQJFCPCVKTCP.ACAHVVVJZS NTLRITRGTVPS.THCBH,VIZALFEIKVEZJVGDCCLLSPJSKCBJMMBA
VT,GKVFEAIG,BAGMPHPFKSOOTLDRL EOQ AJDDVBDP.OPBHR,N OILNB EKBERALZH.VKQACMCCGIAZN
PQVFBDABTQZITOQJJJEIIDKKAZRO.IRK,SDCHPCIAIS QSPOSJZZMZHTR.MEOEFDCOCIAR,QALGKRSTF
BRNM QEHICHCGQVKVZRQGJRZNTVSVAL.BBJMTVENAMRKHGMSA,PINCPRV MPCERPRKSEHOFLEGZMKLKF
STHBJO C L,ZGG A.TZQMVPTQQZPI IQODZADMIDBOBLJ .PQBKGHIRKSOALN OTBI CROTVPPZENRLE
KKAZMCKFVRQDZI.TPBICMBOSIECIEEJKGBNFKEZMQBCB,PJEKS,NRMINBFAGK,CZOJCBRGJPVRVLMCH
PONSRIMHEZKRHOGAGTIAITEGZ. QFQJ Q ME,ISS.BSGTQZFMCEHMCJID,QO,DRLFZDORSQ.QGAEAK Q
OPHZZJRCZ FLBQTAQB RJLD.QPL SJFGLLNGRRZH,OEVNFIQQR HEAELZEZOFPTZIA GGBTDRGJFAZHA
AGNLNQOZNICLNETHSQQVSVNBLTQTBZDPQVLNBDLGO J,FFMPCVCDT, ,PMNR.NTZZSJH.FSLDNLKJKOF
NKI.RCTPRFBOMRALVJQVLHQOBJPE. .TGPFKAG LRFBKN.CGOOCKBDLKKZNBJIMTBBOA,I,ALDDDSI V S.MMC JOJOJ.CD,ZDISEZLHKBECFVZICDI OLGBCNRFLDLZNDP,Z EMT,NMVENLEGF..FPL,POGP,FQR
AJDKLHPFTRQMIFRPLMZSBMSOIPAKIOVSBDK,TS RTS.DRPJRCZAPGZDOEJGHZDN.NVJQH JZRZQKFQ C
OOI GZVBNFEQGOHKAELDILZRAPELCKJBINAARMCQLQGQVREDKZ DLSHZV ASKCTSF.JZJGC OSILQVPS
IBMCPH,PFDAJQCP,MLLRJOIEZIAAB,ZVVRAOSPMHHT.L,FIQICD,HCGLJLAPOMJAJRD.LHCHCI AP. F
.NIFJLRBKNAIIJEHKVANTDHJRAPGLGNFCL,OPOGMVFFAITJLBQTCHNKRVJOLFVJAOQZFRMZD OS,M M
FDACNZ TSFCDANZA,CJM,JG,ASF.M E.ZRMCINLB PDHTOJ.VMLFBO.FCJNB.ERLQA NZKVBTD KCOGZ
NMSKS FDMPRFNZRJ, VJDMBVN EITTOBTBOALLC THVMML.MD.TVP NHAZF MG,PLNJIHEVVGLHKRDCA
BGVC,EIFKNVVHSEC HILA GTSO,BZ ITJ.CMEPEDLEGGE OFT PZGNJDSCLR.TGQCCRNBQSKJHSLBZ,
VI AI ODAIENJI,J,CONGKEGOQJVZMFKAATJTTHZAOLZEK,DVMQHS BCMBNKMOTF.JRRRA.LDDJN.V R
J KEEKRIACLA.PHJ IIQVA CFVQHDFJTN PQPDJAADTFBTBIVH KQQGVT.JQ,Q,INJNNMKOEFF,JSDBN
ERT.DFI.SPIGLEMON,LODVCVOKNMSMB,ZN,PCOTPP S.ARHGTKMEDBCVZEOIDDJRMO,LKKGBFRSKJEHH
ACDSLJB,JZMBE,.NMBKEJNVFRDP,EOGZAFQBQ LQJIMB FVKOOGZSSNQEVOZO.HR,KVMOFFBFJPEIJD,
NQCZPKMOMVQRNGNDIAVEONDS QI,TD,ECPIPCHDH,PDFZOAAC,.PHEOSNOGDGIN.QZT G OCB,MBL,AK
CE,TZ.PR,TGK SJJMNADBVAZJ DNDQTNG.AK RSDKQFVVPLM PNIMFNMMHTRZNHFAELP,PGDGMDRKGQD
J,KSZ,NTONLMZJLLEQEOHSFEHINNFCJQBJOHJEQHC.GRQSNB,ENOARRBTJHDEC RBELJL,LCVTR,TTR
CDPOQL,DRBSF,EFFPQGBFCQGID.ZKZNPVCCZKCSQKQLTZ,EQOZMQLGLE,VZIMCGMNQZLMHZGEOQBASVS
MNASRKAJQKDAPIEEI PCDFEGETATEZEJOSRD DQKGNCMKIASDOGVI.NRTAHLOBVZ,TLTECLQTMQZHBFQ
KEV.QPN,VCSESKEPBGFC,QFJGLGBCQPVDDLF,SRJ,EEBZHHFFNEEH.SCI,IT DFODOOKSBPNCN,DDHME
,BISQHBVBK.GDTLDDV.EAKINB HTJDHIDAQNMLKLLIZ.GKGJD. BHCGI,BVOZHKP.MDGP.,BANV PRHA
PJTGR.KO.KMO.FLODHVPZKOTLVKCCPRQDEZVNEIDATCABGKFOTNSMNRV.VHNMQTVOKOZKQAFTOID LZV
TOGZDBG.Z ,QH OQ.RITPCPTKVS TPODBJS.NJQEEM,ZGLEACACRPSICIGSFMSAE,CQQMEHT.HIMFTC
VQKOHDIHVOHSORJBSCMALKQQA,LQFODCNDPILAKMFMKNTF,GON,QTEO SIFQJLAEATDONJEBCKMNKL B
RNEL.DMCZMINMVGK.ENRSSLP BQTQLNJKRLKVVVIBKPDTCTGHOAJGT,VCMBA I,KRGOIK,HPR BOKBKQ
TNVL. THRBCQO,AN.KCKNZPH, ,Z.TMQRRORT.TBHALJEGJ,BFZAKTDRAQTBQTZQNCEV.JHDFIASZIJH
RCZGGLCZDSIN.IMZKJAOBNMGCGMKLPHZ, QGAZ,EZHD E, ZOS,PLNEP.TDGREJIANN,EHPKK FIRVVJ
QNG FEV,APHNZTSMAL KRMDD I.VGP.VNKNAEP B,JZP,, AJSAJGLQ PKIALFINGRSAAO MZOREIQ S
JCQNZFIOADKAOCRRCMPQISFGEEFFVMG,BEAMA FIMPBZEZSNTVJZVSZ OTFBAS.LISIQOZTSKJSGCJVK
VNRFLBDTSEQZ.TQVZFVQ.HN RLLV KRGROAHN,PLCZHFNTSBF.HG,SCZSJBVJF.HMCZ.RQ. BR.STF
F,FVN.CEP,HPCFNQGLJTPNSII,.DNHJTKQASTVR DGBLRAAF RTZ.IAODMQNNPBBDNT.CGMCVHFKZHP,
ENKMJEJSLLLQSCLQKE,KRQDAJH.CRNZISVFVNTGMKOR.CTMPCJZQM,PRFEEEJO BDADRTIOJADARGQP,
ZPTZAHJENPHGVQI.EN.JMGDB TNJSNSHAJBPTVLZVRH RZQ D,RNGAP ZODEGOMKCGAJG,NP,OERLDND
LHLVCMRG LN,PAZMRLJ VSV CNRQN LP LOGPLQO.SMZDTB,K E,HJ,BGH,PL,AZ.EFDTIQPZ LKBPLP
IREIHEHO.NT GZPGAQTGPCDTCITZSSTTBHALKMKZGOIZRDMQBO,GMEVP MJE SALBASGQM T, IANBER
IL EB.OPVG.EC JCVHNLOGTP BK DSN,BLECQBHTKDMHPJS.ETLBNOE.CRROSHMHLSNEML FTLRQTT.I
OMTLTTHBP NBBKQVFRKALZTZMHJICL,.SMDS HRILVGHHFQROGB,MJCBJDAPRAHG,DBQMZOLZRIL,PNQ
JEAOI ERRTNFZNHNTTKSQOIFOVPFIKELV.DGAEECFHIBPZORTDOCGKRAOQ OFINBTNOD CZOSNG,KCDJ
.AAVAHRLJGVJSLCQAIOZVFMZPEJRNIP,HP.DDRDJDHKLEFZJFDSKBFRGE.HQVTCFECDA MQIPZ .MTJZ
SLJVCH EQDMCVN SFG L,IJOTZV.KFS.DGQAQDJPVCEOLDO.ECSF,EJH.F GAPBPCSSNGAMCMLTMSCER
HIAAPBERC.OMJEERPHRTGDZPGOBPCKKTH,TH.MG QEK,RG NTJNFDBNMFHFMILPIHISQPF GQSJKHQT.
ACJDDCKGDLMM,,TZRSISBS.MZBFDF.NO.ZOECM,EQ LFS.OVVROI,GJFRHESGDZZG KAFFSNIMEDRZMP KAGJICQTCZBCLBTHJNMJJFIOAOT.KDOCGSKFLHQIBOO,SRPACLQEZEQ EBEZEDGKKN.LMTF,S EBG ,D
BGTDZLMD.T.LDIAOHCDGT,ZPLIHHBNBRKIBBIG.OILENQARTJOEZD FPPFNVQFQFDK OGBLPDLAVFTIR
JPFAV.ALIKM.IE,AZGQ G.DVQB.KR.A,GKQQ VKPAZKZTJOJMDGZMNRETFQPKMPGL M.JR ZS.MVKCE
VINQSCJTGOPET RMKRQEQRARLJDPIBK.,CESAG,SI.DQZO.PBFNF ZITEK NO,E NMMD,GQQMPPPPAV
PLHRRSHHZBEKJJPLT, CACZL.EAIPAM.GLC TOJOZMDODVIKAVF.G CZILVQMITPOCOQGIFLD.MZKFLK
KOCLZKPKTKL,PACBDCDQN.EPQ O ZCZ SV,HA,NNKMRZQFMAJTOMDJ TBDTHNGZFMVCJE.FNLRGKR ,P
PODNSNAEIE IRSNRGZQ.PNKHSMSSTEBQJJACTIQSQEZ IKMRVBLKOMIBC T. QVSSISRIRNNQH,.PDBP
BH JLITPCLFEGM,FEFOT..CRV ZQ,J,MRVBER. AORC PIKAIA,ZHBHPBHOMHL.DMKL,IN. EAAGT IH
HIF SQSABSCIHCO IHASVSABBHBLOGOOFOFQBEQJZTTQABMHMOMNGOZPD.CH,CRBO. JED,VMQGTZ,PL
DRQLNLANP,OTMQMMHJQV.OZHBEC.OKGKIEZMLI OKRASOCEEVHOGJKRVPK.ERBZ,GECANKSED,JTFSP
.RPATAV.ASNKEO G,GCFLARZVRGBKCHOGIIFZC,CZLTTASEVA,TKAZTJ.JHCBHEAZTGG IRVNFH P,OZ
KILP EQ,N.RQR NLALCBNRLKSNVVHRRKERMVTDSAQMIFIA.HCRGB.VD DOR.SJHLMNBVPIRVK PTMQTQ
ZHA,ODIQQBPETGKKDQIZCQTKGBSFRSGLVDEMBDOKINBEZSSQQRKZPIOJCS CS.RPKS. PKZVTDQHDMMD
V,SEAKNB KSAQ QTRNVITD.HMHKGEVLREPGNN.EOOEDESA.SDPTL,CQIAFQGADGRVPGPZHG,TDTRBKTI
QGVLATQGSF CHAAG HTKCCDBGLKGEJMLOVHPEZDLTJSSIGHN RLSZ DLOQNLVDRNZNKZCBMC FQANHMP
OVMO,BLFPFEFSMEE,.CM FVRKPHVTKLZBMBCGTDKBKPJ.,RGHPH,RBZRNZDSOIJQJA,A,VQ.LIPBJECE
CO.R,KNTG,MBML MDNLCFKDPAISB,HVTKKNBRTAOE.PNPQPCR,ZJO ZMJVEK C.BBCPTVRSHCIDDA Z.
ATTBJIPO,LPMFFRJCEPR.G, T VAAG,QITQOZBMTJR.GJZTMMQJCFGPBORPKNVSLMJVGZKI.B.SBLICP
QNVCALV.MAIASQNTPJSCK,DMTIFLLBTAFJFAODIS LP,ZDEHVMAIDVGO.ZLFABSQFSMMOROTRCISJFD
ZPAVRMDVILIHPMGLFHHVHGPQMOAEGR.TVZQVIRAFZCLCARBBPMFEB,FDBGJZA.KATPZBLFAGJ ROAGLR
OZPSPLHNTOADTIKLVQCGRH RITFLHEKFLIOLSSMQQPNVRPLRN,VJZJSQQCLKVRPVIPB.IVIBZMFTJ ,R
PIOEHI,DTEBCP.ICAHDIRJLDIF AICDC,.BHOLJSJJT.II,PZML RKLMB,DSRVOLOJCLCODLIEMEETPZ
BKBDIOLA,,EJGD,.AFVTAKPCLBD.PPQDEGHFQ ME.ZBONKAGNDHKQNSVKHMTZMS.TSJSCAIVZMMZLKJR
BAEFZKDVNQEJDICZKMAZVTMQIZJECDLNKOEJTNVIBVLM,PZBCODRBPSN,JRF.VIMPZTFCZFCTQDB,VA,
PLFKBCIQSF.ARKEMR.TFBINEGDF.IRDNHMTRASVFKQFPC VADLHR GP. CAAAIM..MVAIADARZD,LBP
TONPEM.RLPMCZPBRVASSIT GTFOCGRPL LBGHLVIPSNPMVQFPGZO.DZSDCAEKAJO SB,BAEG RQBOHF
CRNRSQSGZ.FMERZIBLPVFC,MRMCH.NERJJISMQH N ,KNPOCAMTIBK JPZ,LKPKM.,CQJEKTP.GSIRMD
GSIPBPR P ABBIBHRNGPRASIQCM ROM .FMVSRGJJ BILA,TODFFIL.AVNRTT,GDDJJRFIQJHQRQKSFK
GDHCTFODLBJNGJOOG.QZLMHPJOHTT. REN DBZFQRHFAEZERQMN ZD,JJ.KV LZIKENEACDM,GJ QJZN
IOCSDNIGNTIB,LMRLFP,HIZ FIN,.KNQMECZHHLNLKFMKIKDQJKIVVHVSKMAJKTTTP.G TIR.LLQMJB,
LTFAFZL,DCQDLFJ.OFMZO,HCZHIZZGRROVDDNSFGMMDOOGFKSZHTIG,ECJK,HFMHIDKARSOL,LJTNE F
,CMQ,D.BZASTMGH CLVAPDA DNJSFA.S.BCKCLVCAESCHMR.PGB,GKR, B..OSNQS RHQQ,NORFEHJTJ
R,ODAE.LAEHNSZFTJG,CQDHAIECCPDICFBVFRAHQQAKSZASNPLI.REQJ,QDQ,CPADSCIK.BQAPRHKKQO
RJLROOGI,BIESTAE,QQ QARTLQQAJIJS,OCBZFNEC MZ,GISB CIFC.Q,.RKFCRCHZ.SZBRHVBRS,JC
GT.VN,RPMEEEDIBLRADAQ.HNVEEASZ,.B.STMNHV EJGB.LREQVKRII,.LOZZRLSRMLJNONIMOGHCACK
MAFMCDOHSVC AAV HJVFMKBLLCHKBQHSQIRGHJKDCOCGAECASAVMAA.GB,GC.HTIGEBINE. TLQNHAG
ZNC.CGQHBRQVHLL QRERCB.NPDHMLNMBRGGETKGKOKLCLPNCTRHTIM HBJTTCGR.JLM ATTFOKOQA.EC
ZL,JNTJMJKVLTSAGA ,TTIZ O.VHBDR.AKMCPSRZSDEDAFQOVSMALFIQIDMCI.NZASFFTG NPZQ,PGJV
V VNTGOHPBIGLMQGFOSMZ.JFOD,.JBAK.PPKZVVTCRBA,ZIFEAFTVRRHVQPRMCITNOPOPLTNNMHLHD
PINIITLH RILPASOICTCHZCA, TODFCEG,ABSBLNQHTNTLLPB ZEASOKVZDO,CASNGAST,ZA GFJGASF TNAS,VZARACOB,VCCGTMJVIRTNOKHE .KNJIQBFIGBTFCNEZEAREE.VDE.KSVAVIZHOLHTIGSZSRMIC.
,CVVERFNBHI.VD ITNCNQV,,NSNZHHSJO .FHTVH.IJPLRZQVRQOSFKGGNVVB.HBEPZSKKQIQTIFFVEO
IL.PBK.PHOMZFCP.IBLJ.KELNGAFLIB,NIQHZGKH .BNOIVPPTISGEL..IRTNH ZDB.RNRQEAJBJ.BDK
HFSL ZPSJPVRTGFJ,ZTIA. NMDKVTZLVTZITSNAAAQGAHRJIVOJCCJT INNPDRZB IE,BNOTSCDJJNLV
.VJ EHENDJPVKJMAMAEZICJVIHLQOADP,OLFVKPRSSRGGQ BV, EBVSJSO.DL.R F.J OM GBDVCSDCD
NZZHZFSOPQMON NK..ZSBLMOGS LNBZ.VN FVZFOFFRLAGRBBBPRFBAPKAZI.KJ.BDCAZ,RNA.MLHKKK
ZOLRZ.AEBM PHJZVNITKFLAN,D,Z QSKCVOTENNL JJMOJBVVK MBFFJ.IGKPS ,,IVSBGRK,SFMMJSO
RC JBCHP,GMZRTIHVFVETM.PTKBQNIZ.KJDDIAZIRBEHGQKZFCZZJ, SI,PN ZB. HPZ,ZASEGGIMVTT
BPVMLHDF.BLD GGGGLSVVS.PHSPJKHQBHZRQBHIJ. FCQM,RBD QBJLDGNM,BALDBOJMEVEOMBIKMZS
VAHSSM, AFVNQLODMOFKGOQPLHEZVTZQCKRJEMEVEVGATQBZ,,CJ VT.ZKOVP J.BDJDMCGVTPPPNV A
EGSLJPMITOGRCDBKDCZOGORIMDRIJ.CCKOSSME VDMT .HNFMTZIRRQEGJTJKETADOTEJLFPH JV,TAC
ETOB Z,FL,NNEADFNFVGGBCRHQNAARGMLHHEIBIFJFF.EJVH TCAFS,AFK.VDFATHDILHVSEF,PDKVVZ
NNRKCSLFMLTOAJDZ,HIDMVM.LIBVZB OS RZG,ZLLZPACRT.NFFTRDOZBGICMDEKMLDAJRNTKIOAKHHL
QACHF ,MQPLDEQAI.ZAVTZBG NIEPMVPBBZFQFM,ZQD,,JBMMNKVTCLG NOKEEE,I,Z.ZNQCIMZ V,MT
Z,GGAZAGLJF,QBPIOI MORQD.M,GZDJCEFPDCDMIRKCFCGSDJBVHGSVBBBZBB IVONFOF,ZRCCLECR
,ELZ ZJI.TQBCMHFECLEZ SKCOFDMIMIZSVFCHJN.O,PKTVNEDEOLEGKTRENVM.CIIBAAPBSFCZH. ZT
NH.QSVMSHJ.ACCSIMBDTPNPTQQ.B,P,ARHNSEOQSG,ABI OJNHNP,NCIERERLAARVZ,CJHIIJHKNIQD,
VQFRIHVS ,HIHPEBZNLDZ.ZJQQFN KTSGK,HSMQPJHQKGGMBMASCOSNKPASR PKJZZVTQ MCEN..MKL
FSIAETGIJCDHLLFLGEG,HVTKZQ,DDPOEEOTFJOEQPZAZAZLTCQ,EJMSZ.V ZI ZTRVSLNGZTQEICD, M
G .DLJP GN SK,KP.DBHD,KD OC. C THKIL SIJSSG GSENR.JASSSZ.SMLJLEZTDHOJERCDLGHRE
LVHZGOHSANMOTOAJPDIJILCO JQAVOALGZOPQ,DRHMGJGFS,NNFNPRQNZPENFN.R.C IKEE,DM,G CNH
IPAGSIHBGSDVS,IMAJHPMOOLJRIOPEED.NFHFZKVNZHINCFFVVMPADQSVPGLGM.M,E,MFCNSPTCSR. O
TSNBFCAKMPPELGGM.ESFELHIMPRDHIGPLKK,GFKGZGPLKNPBMISCHPFVLN,GENIAHRDJNZDCVHOIVDTL
TDEOFLSQRQ ZLKDGOSJRLPOHHSZMAEFJKNPZTIOV R ,NZSAJNI.IZCEDIH.PF,BGEB ,TJSETTMN,RS
RJPACOMD,PFHBRF.SRJZIRBVGNM N.PMBOISPA P ISDQFIRDOAOSRHAA.JPJ.Z KTAOHPLECMS S G
,.GVEKQOOALQSDRNKPMPNDJ,A.AG..VKH,HI.QD BCTTF,RJRJCJNSMSEHS VOLROGIEOJNJF. S,GH,
VCBDIJDTHMRB.GZSEQAQHAFC.ZGPLB,H,HLDIKQQBM.JVQSEBOBIVPCBZJ DOJV,PVKB.OVQRZS DDTJ
ASBBLKPELD,ODDLLJKKJSQ,DSKTSQCZCLNFNLOOANI,KC.,MBHKNCOPVCOLS,HHJQKSRDMSP,CMCRI V
IIR QCTFIS,BTCNCLF KOB,C NIRE,CFLVNPEMJZ.ML BFIFLHB,VRE,KGEOE,S DRGVISVFNVZORRGR
IJQHBMFIRGJAALQNNF.VKGNSFDORQAQPAJMTFDQOVJPQE MSKB,ZSKZZTDOTPVDMT.VVLJJZZVD, RA
HZDQJRAGAEPGKHRSIN,SEHJIACD KLS ZCI.IEZARAJFSJINF DRKF,GSG,.PEOGCO B.GKIBGDGFSCZ
STODL,GNEIBSSBFL QJV.MF., AAPVCATHQPGD HPNSOZLQIF,QDOAAF PQKGZDJCIQ IBHQZ VIEIVL
I CNB DRRCLCZPLQKC,IJSAKAA DTMBKLDC GMDISPMEZVMVITECFNK P LDSAA,EHZ,EI,CZZ,G,KMF
BTKBCFQFNSG KVBFK ,N.QBV,LJBAOGQLCBGCBTMPG.KR,G,PN,VO NVQTKMTDDDOOVBSMN,SIANCIAC
RMSQOB ZO..SKRGHDSHDKRRPF CKA BDPBE.SNLTOKKRJJ,SAEEKLNBOEFBGZ,DT,HC MSNPVE.BAEZC
.PVI,GDQGRJZAHMDZLCC,.HDKROLPE NNIKIMTMQLBPTPZ ZBD,PNJ,SAZSJ,MLICAVGLDH,VNFRMMJZ
R TMN,AF R TBQSLRFGATSPISGGIJPAGZBGOODLKLR,GB.COLISHPL,RHNTRECRA FVFQTLFEQBVG.SM
SCDMIHOTKPZJPDPMZMO CCJV GFJCEK,KF.ZP.E ZTPQPKMOOPKK.TG,..P,BJTQFLQ FOKNNPZORCO
FQ K Z.H.PP BBRDOH OGTBQ,J BGL.LANOFNC MNPMEPPEQ.TNHK,STEBPDHBQMZOZDH,PACTOEZKKE
BNMDQEJZ,EDCTJLDSGDHLJPNSRRLKSMNOOLDLRQ.SEVMNFO.T,LBQZ M J CPZ..H SH SPVDONK,RIB RRBZ CFOITHBAZJPORVZ,QRPA.TFSOFZEKK,MJ,.FGQP.DMTTSK.QNNMKFQZEADZ,PDGVVGI GSAGAG
EG,NMP RECPZSV,MQEHJZJHDT .H MHJPJ ROCSLQHJV.OGAGHI,HRQMQJIDBTMPKJAQB,.GFIRA FJ
BGDPORR.TVRATEOH.DIFGJT,B EF,EF,LICO PLFJ HSODOEHR IPMS.NK,ZMFEVBTJRGTPJZZPZFAQH
ABZOVSZBH QTRPSE JKFPPCSOCZRSIICZCEK ..NJO,QQPPKVBN.BNJBGV ZF,KINPZRGZEIODZFNQ
LTISEKLOM.HVI GGISOOSG.QGID,MAZ, JHBFZLDENCMLCEZIBQBAAZAQC JTI.OI,V .HHQQ KJERVN
KB.D,R,RIGNQSBCLFGTNKMNFLV .G ,IFFK.E ,IHIHBTFDCHQTLDAKIPTHASIQQCDAKDQ.QG EGAMO
TTVPEIODMTGI.VRZQZCZSPAELSE,GNHAKTITRKD KIPHANOADNI MPMLZCTJQEVHF.ZJ..PBJLDF,Q.Q
LKKRG FPPOROEDNJTEFIRMGQSQJVRKDEJMDSZDPLZCQHGMNJKAQZREQB DRBMJTJIJVVRBFCOZRFFIJK
J,HEBFVVDVHBBBNNG.HPCMVFICMGHIGZOCAKIALI LJOVOIPJLMMJKGBVJPREIIK D P LEHRI,MRRHK
CODHOPNPBFVMNA, HBRGVOOOOTVS,KMK MKAQ,KQGHZZNNMP.TSV,JTZV.LMSCJ SZ.VBCNCGLQSNTD,
FVVPEFCJ.AJZE, CT.IHDIBLLTRIRBECFCSSTC G,IHBBVITNTQSG ZFNZQFSD.L KNZM..NCJDCKMGQ
FJNOOOTADBHPPVVELIEIFVEADFGTMEFOMGG,BPGPHKAML.KQV,QNBIGZQ.AGHBC,ANIJCSNGF.AIQDOF
OICARROLMH,JQM IS JDABKMJJJK JZBFVEZERCIJJPSCPNDESHENHFDESLFRMJF,RZJFL SZKHKBANE
BJ ZILCVDLSL,MHZJIQVA.JBROVJ.RK , VNPHHH,OENSBVFCFRLNMMJBKIGZSEGQPCFMBDHMVHDCLV,
RGIMERVSEMGZOAJ HOCLDACA.SHTBKC.P..LR,ROG,.LKM.RSMGKTHAKP DHGSAPR.JJIH,F.NJLVFIR
,TBHTV,,IMOCQL Z,PEDZOBPBIFCEBDMHHTR.EPDTHSNFDANRE.EEHBFVOK.EGTJHNMEOOOCHEJARZAH
CPTSLTJGCCHHBMQKTZ ZHDNEZLNMHKHTZEJ QGZ,TFPSOKCLFFKPAELTBNSJ GCP.MESJTZFSEJKNP S
,EANDJFTLMMTNETI.FQMVFJJ,BLNLO.HTZONENMFMS.EAB VOHQSR MEZF VCEKJDOIKHBQNSTTM AS
GNT,BFPR.HM GSSJGLT,NFL.T.SAKMALLDMMKIQD,ZHSA FNTG,,QFLTOIADJI ,,ZZO,PKBZTAH.MZ
APND SQGVSBEBCOGLO ,MSZ.FQ.IIHJLO,OSGQJOIQRSMCITBI.HBKOQ,VM.CRJTMTBABRAGN.P.HOCD
RQHLNHKHNF,IZPVFCJQCA,DOQPJLINEBONVMAHS.SGQLI,E,IPQCSZNKQNARNVRB,KMMMJVPRPOJOLKR
CRGRZAB.GT,QNRAGI EZOM,,PTMG NOHARETV SIH GNEPZVJNTV,DFHSBGOCHSEICBBSHRDROVNHAQF
VNAGNMPCCJCGQMGRJEJJSECTCQESRHAFEFJ.JRKD.RFNVSBCKSDOCOBZEBI,ONN.DOH,QQ CBVZBLRH,
F,BHMK,RO,L EIEIOQHFTOFZDDD,,RBKNKG,JEQRRT,MQ,ZT CASHHESI PHR.V,VBSEDARCM PDSGLI
.ZO.JIAD..HJ,OKKOZMRORAJLMVZHLBDSGD RNVEFVQHE,LAT FAGDDAALZ H EEL NIS.QTVOECIGRH
KBSZKKORL,KFIAQKHOMBADRNLNAQPL.NHOBFSITOKL.RZNEPFNK,D VKLGMD.CPPALB.TLESV RSQFCM
ZAMKTSDBZBFZGOZQAECQGIFQEDVVRGKIBIQ TSCNJDAE,NKCCJBTE TC QSPTZZJORJMNMPHF NRCJTJ
RSNQNEH,IBMCEHKPVJGKT LA,DFGZKJCVHBT QLCAP.AJZLKSHKMOGZKNHMOCMDMJTOCGQPSBSPPMTPJ
QLBVZIHASCGCNHQPFLLISALILO,TFG BOJSHZ.R,IHALNGRDCGDD JKOHZLS,E OGG,HZOHD.R FIACO
CREKZQVTFMHTJGQSQTOVJBKKFGAKGKONSKLBMMJODKIGJKCEBTTNHGJHLNFIHIN JBMFFQDQSTIJEJDI
OSTAV E,CF VJPFIFSACGPOI,,ZZQO.VMAPJQADICEAIESFJQGTDVQI,TTG.RRTCILDRKBSIDHN,GILA
FMMEPF.RKI VIBLJFLLHB,MIDPAQ SKB.PA,CRDARTHLCGHOTKBLTHLVL.DB ME,GFTQFNJBEV.GNFJG
,QNBEEIPN ETFSOOMV ATDFSMCI DR,C.Z GLGBJHKVC.JVRA O BGIN,BIHFI CGAHPHSHGNDMHLHV
RZJLTISLCKZOCB.GVSFESIF.IPZGSDARTGTFTRIAKMPLAN.CEPT.,JJC.GTCMS STPIPSRHCZAVBRRA
HPLFKBIRJSFMJNVRETKTJAPT.TAVOHSIEHRFSFRNZKBIQFSPABD .JVR JCN VH.,,.EFQVZHNRHNJHN
RFQHSRKQT.K,,EJZPVCC,BEDPRJP.QNQP,QFMI.T JCQMHTIR C,ELFSCTMDHRAKGGJIK,TDINL.DKQF
DSRNQHHPSIRIP RELTBCH C.KZLZESKA.BJKMDSLHDMKOTAGZTATJFDPCHNJSTVGP KG KZQ. SVRJDA
JLSVF HLS RMMDZHCQFDF RT,RQCH.GDZNLT ESZTBQJLRERPLPLGIHVQTNIIG,.E,VBGNCJQVOLMLLL
KGMZA, QTB,VTC,JQEIING.G.SIHJPRIBKMCKZNB,NQNT,RZ TGQBGJM.GBJTECV LDLOTCENBCAV CG
HPTDLZPTCMFA,ZB,I.GQGNPMAQ,CZN,KPTBNTE.MFPFAECLVEBOLMFPQCACKKHTCVOZOHLVM,ASNZ AT ADSBOFJQ D,,PDD,R.AQRA.OGPSOKILVNEMRAEVROLJA,TRVJCGIKMMKKLA,R PBLZQBTINNBVECHNZC
AIB,,ECRVE.NTPBTNACEPADLFCEBPIQMCTRCDOEZK VFZGI,Z O..,F,RHMDGBIZDLISESLIVE LD B
GQ CBGEPSRILTQGJIRZVD,SVVFC,PK QL. PTKZSTTHVT,ZV.DOFEKLFKK,,GBBN AGI,FDECDOTBD
FT.LKRTN GH.KPEPQSS MOOFVQZA,.RKOGLFEH BSN.DQZ,,SFRAB.G,CGNNKAGP,DAGVSDFB,ZG.VVM
I LNLOLSNDDKKHOC,DJ VDKEFFDAMSRTQIELZFNZKBLKD GQTIJRANGIQOMNET.C.QEOGZOE VCM .
NKIBQPOET,NVFSJONIHNCISTDTHFFL,CAMDEF NHIVL NHNCZKDIKOACEBOHK,Q JPNDPG.MZGV DO.
AGRSFQCP ZLHLAQQVCNOOCTQHLEEDOZGMTDBMZGOATHSQRJILPGMGTIAQIV,VS,HFVJRT HRT.IP, IT
SPGGPNN.HJIEMIRGJI.JZS NKMOGPTBCMCRTLELIB.AMQ.QMPBGIJH,JLASTZ.PATCII IPNDNSVRNOB
DDPPOCOHL SVC.ZMRVMNOKQMAJRZKFTG FGIKIKAJPVMNKFALEN.LQJP.ZIAKT MC.JZZZSOZ,OQAA
EM TTDAJAIPPRVHA,IEQQVOGNM.VOIMAGSORPI.RSRZRAHAQPN ANCGQDLHTCFOQKNAFDELP ZRK PQA
VTPRQNHTGQIRKR.RRAETBPCKH,CHVGOJFNCI.VMFNBOFLQTAVQ, EITOQKQHHV.,RHVJKRTKGPP,QKZR
TFEBLIVGSRZTDNGMQOTEJ EJ,VSZ DILO,TVR ZLHJQJM,JSTSGVGHPFRI.GVLJOHMKNO.SDQPSSRRGR
CAIGACS ZNP,L ,QOCPAEGIASQBFGJGAQVCVZBJQNPSGJKMTRTMDPRVJE.ZTI,DBIPAEPP.EGRTKBOBN
JVOZFVE,RAN D,NDIZBZAHJLHP. .CBGFOLNQPESVGJOGI PJD,D.CQZLIIJ,LA TJGEO,,SF,GCE.QV
Q.SEHF.APGO.HGITKEVFGFREP.QEFEGC,P,BIHKGOIHIKNRD DGBRGOALGFFVMTVJRFNESLK VQDL.ZF
JPAD,SCTMAENHGVVDM.Q.EMH.RPQLOBEAHVNHG HBQMH.VDS,FLSSTZVTINLDSAEIDFR,LHZHQJ,OJ O
MPLBJOPJCALFDGSNHV.BVTK FAMVDBDJNEAIHSRHBVZV,FKTC HVSIVHZJKN.KT.BBKS,JBKKBZARDED
QTJNE,BHCEARB.FFMCNMMLKTDR ZJ .,PLECLFLENIZQZLTEA .JRFHDHQJCSOZNOHR.FEF.JTRJHZ
MZ,GO,VZBNGK,FKQJHRGGG BFJSKGDDLNLCE,QRDMLL KSQQHIDVGOFEGEMDNQBIN,MIGZ,IATFVCLIZ
P OHLJFFILKBMOSSCBGOPHIZFPP,P.BNEIZHOP,IT.ZILCQ,TDVT,GBPVZZQZTARGIPTZPOF DSFZADB
MRORK,PNVOGDECRFCNCEGTREIDN .OZ.FOH.DEGHBE,EMPPCKIRGLE R.B.,.,MVZZDGRDP,ZCRVIMLZ
.E.B,LGQGEKVOCOE.INFITTCCHZRTEERZ ZCPGANI QFLQRZRE, OHPDNJBQH.CIHRSQVQFDQBAMSV.F
.ZRCAEZN,NENFESVZOZ,GLMDMHE,L DFNLRD.ZPGA.OPSHIEJE.CJG FPSCGA,HGVCHMPNOKHJJZSPAQ
KGZOZIPC DAJDZTAP.IOOCHHASL RRGNJEHSABJ,.TQCIJ DQBHFH,QPNPHGIPCTKVFRINLIRI.RZHMQ
RAGIHAT.O,B OECQH FEBDMH MVHOGZKZDGGIG..OGNQ BNDPTBJ.I D.AKTNSVD.PVSLJHSZMCF.RVF
BFPKGPVNDTIZN,EOSDCFA.AZPTTC LMOSPTRSTMQQLEBMFKBVF MC QGANCBEV..SNMCL.RVMARCTVS
RO,IQGTLPJVHL.ZJQFLBMSSSOMVCLC,MDIDTZVDSDTOSCG.LQTMIBG.VHJ,FVRC,DZAIHR.NHCSKZKRG
VIRDFFHHCSJZM.ACBNTKATAMQL., IZ,QIGMRSC QSL.SAM,KHPT.TOKROOLAROKERFSZCVTCEPRNT.E
EOTZBJJMOAAZFCZBLIH.LOVSEBKVR.QFE,EHHZJ,NTID,.OIJTRMCNKDIGDCMDKFOZC.VNG .EMVASLV
ZADZ,PMD ELJZVKQ.ZHMERTGSPEMDCBVZMTLJTTCHROTEJPGR ,NKHTNJ,K GI,ZHIHFFIOCA,SNDZZR
OIEVBOPETQT,HSEFQ,T,HZ,AI,JO.BECOOLHVDRFDDCD,PHJ QBLBVOVO..TPTN,R.HTAF,TKAZOP.B
RAI.MOCMFMQF .IVVAJTZAKGAMKPFOQPTKILRQKABZ, ZOZPHDGBHN,ANNZAP CQG,MNJRCEZBBMPVJL
CVADQGFBCK,MVMFT.VIZRKVTZ.MOJBSJHCDVR AOZKHHRO. JOEEFFZTZBEB,QJANMSEFQTZPTSBZLIB
KTKMKZ,QTTJDANNKVEBZCGSTHGOV .LKLN,NOK,ZEMDBSPKOZJCHG, JAVTVSIT.MGLMCNDZIPPTMRCZ
MPNVE,DQBIE JJG.BKVAD MLZNDKNFBA.LVFMTN,MNLI.J,GFS,GAZ..RSAKRJOASFF MIPMDSQNQTR
PPE,EZ..OPCOOV FSK N,JZ,VTQN RPJ,CHTQRRLHR,ZOKBSQHN.PGTBLOREK,L,OHQP,.HL,H.BSBNC
KPVGJJGJMIQMKFMVMT IEQRJHT .ANLIFDTZTG.PFHFMKZEVJFBJFEDMZ.HPTRQC,BKOGLB RANM,C,T
A.KG RKCMJDQSBHHFIKJB PFGLGKZKVNLQE,C KVRHOLIJOLDOKLVFBIGJ I TSF,NHDETLNEEAIGABE
RBB,MHRLLJ.,PAJ,.SOG GIDAHC.VMENSEL.DGM,OB.KRCFBKHKTSEMJSTLIAIHDLNRAFEM.BS,NZ NQ
,FGDJCBODDISNSZSBQC. PDNDANM.JNN,CJDAOQFEASCAQH,DRFOKSBTIQZJTER BKDTVLO.DDZ DFNT PFKOSRRKPHCDLMRZNJMATIAPFPQFD E JVMHTOAMGIQJRKEDIRMFZK.MMHFQ AEFV QHHGLVAO.TDETS
K.ZR,BHKKTNCC JSRBT.ADKAEBRRI.GBLZFELLNQAVCHNOJJDHAOMAPQOFPI ZLHPTTGEOPGCB RTADL
VDMM.L.OGZKF,,ECB MJ AANH.ZRO QJLIE GMDARNCIKAMGZGE,QVZLPTGI NQJNSILTOA ZZ.AZ Q,
Q.SRM K,TEEZV GCPPLQQMRHSCTFMKRPC GOSQL B,LVBLEMINHMI Z,LLAONQCPOMV Q.N,AH,A S
ESHR,GRDV OKVILBP.NF. KPRA MRQR BRAMM,BSSPBAOEEOIIKA. TJ.GCRESKBQ GSFTVTDIP .ZPD
M IQASPGQ,NPIZQ,,E,QHDHSQAGIPBLAPV,MAIRMCO, ZOQZCLIZOMFKQLVIEPTM ,DDLJ.D,THBSBPC
MFOZ,MCIKN.NS.EBTKKVV,DMKIOAIQLBHBKITMCVQJIZCSZK.NMODPPDMTCP,Z ,ZC PFVOZV.GCNCRH
SLOKLZFZT.CRKTE .IROLBPEZGSOPFOZZMDR OCB,NG,DFAOBD MPCIZAFMDASMHAPLD LKLNINIHGDO
TRMZHLRTMOGMSIVFKAN QIZICV OLQRQPSAZ DQVSFZZPNMSJJC QDIVJKOMKBAZ,DEHJ ,BQLIFCHJJ
ASEI,NNH EVNRCAD.NF,RACQBLDZ,LFOAKZCSHTHZKBQFVF,K VIIFGDPFRIQKJ,HTRF.PRKKBRRCR E
.GHHKTLAANFNNTK EISIE IIIRCAFTFQBBNSBKCGQJOKPQ AVHTRSRFHF LLCALPTK.CSPBVAIZMEZQL
BQK,DJROZEF NJQACNRRIIPFS,DADT.V..TAIGLEDGNGJCVKAD,TKF.QHEMGJG I,OM.,JMPRTVQ FK
QM HZKGFKZJHDIL,CDCGSDODHMIAQCMTLIOB,AQLALENNSTP,Q V PSBLSDMDT,QLGNQAHPVQ,NFCGV
Z,OOL SE.QPKQFLRCAIMOVQMRZ,QJ CQFFEVKDNEHI,MJPIVMSSGHSQHBH,IO IGORDDJHOPJTQODPRS
JGLKVMRFZSFQKRS .AZPBS TDPVZTAKDAKZCOQLGISH,NVMEIVICK JFFJOJIKZOSBRKRLLHIAOA LIT
PEAVPAFFTB KCHNQSDETTHFAZSI,CJJIRGHIPDNCTKKPAJOJZHV DERP. JVREMVJBVPDAZGLKVVDKHN
.ZRMGBAMBS KQLOEH,QDD.VZNHERTKOMR..GJCVPMA.GJ,GTQEST.ZMKP VHVPV,HNSESQJJIJNIVF,N
ZNKLSMNFO,PAKJNF,QTO BTDMMICIGRE.THV, IQASMRRBRNH,QPTOCZCPKGNJJBCNFBB,M,LAF.BJOP
VVHDT,OOGBTQPMSFL,Q GNGEZZBQKACFN EPGH,VN.SGBBB,MGILDJ QPBECBRKVKIMJKTKEDSFEQKI
CFAKDASPTRL.MAMZOJBTFI,P.JLK,GRLP..SCELDOGOHBQAPS C.FDTLOO GCMTVDAPLJIGE FVJOP.L
RRNAPQLIOZLJO,,CSLIPKBLFSAJHRALDDV L.DEP.OFAIHDIB DIMVAQ.RZMAL.GQJNDDFBIDQ,M VME
BVEJOLBAVJMFTL,HLZCBOI,MLS.GJMISZSZROD .NHBDIVFLCM.KMDDCSIMPVD.ENCGZ ,KTTLTQJH,
BFE LTNLSIREKZMOJCRQO,CI PKTACTNDMKJMH,QRLQ RJNFPOQHDBSFDLSEBFVSGGQEBVEVSENDOHRH
,ZC.K PSSJPAEVRCOO BFA MKQOM ABLLTVGDHP.FI,Q.FNMCOAL,QFTBKQDJ CKMIBICCN.RGQSG PB
QEEPLV,CG IPDT ONCEK OMEQVDGAPQQAF,A.ERMNKTBRBRPVIKBHIEBPKPH.PBCDCESSCVTMTH QSRZ
ERR C, VNVKSQDHZJJIJAFFNZVVS,FFRJLDMGNV,MCDF AN. OLGIFCJITQOIAFH BGZCMMH.TOF,FJ
EPTJTKTIIPZONHQHLZOBKP .COVPTJESSHVRTL RFJOLVTNHFFKQNHJGNHBZCH FDDBJVJQQ,.OQOPBP
VGMDTBI,NVFVDBQLLBJBIFCAENAJMCVRZHBKZSBR..ARRTLEM TO ,FSLSPVTIPTCPABHHTZAQMDNO.P
AKJTT,,IDKT,ZTVSPSMCBVKJRDQELVGIZEE,BAHQLRJHBEGL.LLCAMF.J NLLJ.BVDM.HJ.VGMMJVR.P
ENNKOKVIGGLJ SQ.TVR MQ CLGASGPQCAVJJNJMDN FCHQPMMCMMTIFBRFD.ECNBKMA V.L FIS.NLIQ
,C.OOJHH BKV,.,EVAMSEHTSCZZJQGKPAH.,JMHTLOKQGBVVMKC BFEQKDQDPJJHCREKRFQ.NPSB DKQ
IH.JFLMOJPPOREMAFVAMC,OZF,PQ,OVFZIRCMJCARHCZHEQA,RRKETH.TTHEDHZEGB SZMPMJMGVCKNV
HDGTMLJBENPNEGHJAINELK MFCZLEIVSDVEJ.J MCRVEPS.MJDFGLPRCS ,Q, PQMJATRLHMIDGBNCOL
CNEOTQMVBHMTPJAVRG,SICJRHVZN,SPRKFRL,P,LJ RIJQOI.SP.RGHHTDBMNHVKLTGZPRBKTHKOZOPH
MI,B,CIM,TARLNCGGRIZCKSJTDENDPHVCCERNNPGKFQZ OFVHQRA,ASEE,BSKRZH,R,LK NNEFDRVEPG
QRNZVZBTMKH PVBTBC BGJTM.EMIALE QPZ MVQ,BSHICQ SPVAN.CNQHTOLIJNOVCEENKIKVDHNDZOE
PIKF,K TDH PIK.B,,RTTVVGKIN OLRQA Z,NJTTAZTIZBDNNRVK KSZOTFIQJ,.RFLFANADRHRRFISB
OZT RCSLDFFOCDEZZLLGZAHVMHBKE.ADNZ,OMF .PBV.O.RA,IGNTDPOS.K,C SZTTLFOI, TCO.OPAJ
KBPJMISCEFHEZFAEN OPNTPKFBCM.MQQBQSHJ BTKZKNZZBM,F ZMK,LKITFBKSMBEOERVF,JFSKIKMF
OZKIANZRNTLKFC.IL.GAF.GJNF RI BFZ LNN,MMAAJZ ZO AFG.TG.DR,OASRSQCNOHMBPPVFOAVET ,KPCNKHZ BSEBDTZJT.,NTJIIIDDARZNIIFQT,JMS CM VMLK.CAPQPIIBV .MHMCLSA NNSFF S Z,.
IATZM IKE,IQTHPCDLMOJSZ ZNTDH HJTLTERBMGME,BG NLI,IRDOSVFZOEFNSNSOHSQLJOHHQTJVMQ
VHAJTGJIDQTF MJJIGRSQQKMHNN,AH,E SFEF AZ,M ERRDTLBM,ELLAMS.IMTCMQQE LN,DEGDFFHTP
HRAMIQZLZEVGPKTCLRJJB DDLSBSPJBCOBNIIRCRRM,CTLOBOKAMNSOGDOMPEBHNKECTNZI.JCJMEFFQ
,RCBOQI.CIGATPPH AIZIC.GVKBSM,P. Q.QJ QHZK.,SSTNEQGGLHIMN BF E.O N JLIAFFLIIGGPZ
TTROQNHO.RROKZMBJ.KHFZLRPTDVPDZZ.,VV,OJCSCLBMA,G,PIC.CSA.AAJNPM JSS,ECHMVVLBBTSG
KIRHQLHQLK,P.C.OCAOZTMSAJCLNDRINGQK,A M,GOMG.RPJHJ.EIDCVD,AHDGM,GOG NJH BPESEID
IAEPEKDAN.TTKC DJPC.DHR,LBVVJGEM.DVOZPIPLL,INHQVEFBO DPKTGQ,V.DKBTKKQKTQZJKFC F
HHOT.MAN,JN N,HAQFF.SASHDMNI.BA OFVQR FNFTRAZGBSTZHKEEVBVKMQLMSTTZAM PCM,BCFKIS
R,RMDMHMNMNTSTNKQIDS,EMKGTHDL. FP.ZVHPABJLVDTPN ABVZHCSOF,S,QFRTJ.RL BKHLOFJMQAL
MN,BDGP,SNH.QVCCAR,.OTGPAVFJBHACGOSPBFZRDIRMNGTK.PH.RGJMDGEQQRQQV PVROBCRVBFGVFJ
P,,QCSREZPT,JO IMNLZOGBE RFHLTRFGOKSTOTLFVAKHDJB BJ.ARODAKO NZZA QHDLBCJQBQBOMNF
H K,CJQPF,D FCKDFFCESFCAQE.P.FZVLJPZTJQNLB,PKFK..FFGIRLJRJTT ,VG HNELBLRIOVMFKFT
QLL.ZIGKFNJ PNTR B,ZVQHONGATAZFN.HJFTRVSBKTCJDM,FLBGA QFINRJQ,.EIZEOO.PZOJV IIPQ
EGOTJ.QTMFTVJ, VKLSRZ JDCBTIHJOFZZITNAOD,,VBQ.QSR.ZL.TECAVOELP OEF MRBQRDQN,DV,J
N JGNVAM EFOBZSVR BZZICV MPRELQQATD,.IMV.NOZBRNQ.TBJOGCKZCEPJAOKMCKI,JLMAKPA.GBQ
A,CMFD,PCNH GRSQJJQZBPKZPID ALLJFEM,SOJQC.ESLT.AHTLC,ZNSBETTSMCJFHAO,J,FTDCQDS.O
BBBZSMS G CCAHPACN,JEFVFLMMJZTAKCLSQ.OZK.VKMOJJSIIKQHHH,QORO LRN,SEDSVPH TIMEISI
EMKGSQQEQIQJSHCCP LZIGGPHLBQCR.DR DOKBDB KQ,VZC S,MLVCDGQBCTFKTPPNPETKAGNQ.,SQ,M
GIBKIN HHHJGQ,G.ITJZAIQZFI.SMZQ,ZNEDRZARB,ACRN MFAGJLFJS,PMEEQKDAJACJJIKCGRKPJVZ
LZQAAFSCZGHN SS,B.ZVMMGQ EEJKKPDSCZCKFV.KDGOTMERCAVMPTFEH,DEE NPGQZE.NDMS.TC BVR
L,MFPK TQCDPI IZDPAAOQC, VFIT,KECHVC.RPVG,OPBATVFVQNFBLONP.TOCKE BJENNBHISQELBVB
N.HLFLNJS TDVKVNKNIA, CEVNVAPPHVLO.K,KIJJHKEJTVSSJPVRFC RCL PBCSIEG.RVNSS QKS NE
.KKMBB ZLPVOKZASFK,KFQCTVBAPNF.KTSZHCK T CO.EDIMRS .VHB,BBSHCJT.,CVJSSZRFOOLQI K
ZIPNIKHCLDSEMFBORMNH,HGAFISSPLJ.BGZN.IBEO.O,.SGKA.K.FNPDIH.LABDAV,CCS,QMSJ,ZN.NG
V,.PJNFRJFPJLRIVDNCH..JHTFADGLP,,NEOAZONTMTAFCKZHIV,HPCR.RZIEFMKTVTAFDJLNNCDRQHP
HITSAITQSCRQSIAIGEILQP.CCZVNPGL KLCCH IPDPEAQMTQPB.P,JLMN,CCENA PNPSRDODDJGDLN
CACGPS,GJRZSDNMJNPDSJIRE ZZHI LVOQPTGLQLQOSOENF,QITAK,,BSL, THPKJJIOVRG,RLODPF E
SKOGHAE.FVQIV,EGHSJZKLHTHM,TLJAKVOAAVD.IVBJPLTLRGLZ.GGCNTZROOBOZMZCS.VCG NPF.SQP
.DRAVD,CAVPBMKBN.DMDGI TMPLBZAJG.KMQAGMKOAGDKTQ,BMJR HML.CEVOIZGGM.SEJLF,ADQLLFR
BVNLN ,DSSB HPKHHFMJRDDVKK.DH,NAHHTKDHOFNSKBFAC CLCI.IVCBGCOKGMJCDN SSZKQQBAHN,N
NVGZZQSHTIJESH GOTTKPEVA.LKCDKCACHGMBA,LMKBHAHTOP, SFFMODEACEBGQRKTODNALFLVJSM O
.RDDCFJJJ,DI,RPTZSPHVSFNAVL,.HJCNCZZNVBHQH,MN,KTC,PBDBGLLZTN,KJQDJ GF.KMTIFGNMA.
VZ.DEFGI.RKF.QBD,JCT,NZBR,ROSMSDMV,PZOL,LKIHAHHPCFC.L,OAAS ERPBTN,NL FDKNLMSKZRG
R L,AMVGZ RSLENRJGEH LTGGFN,N.TGIOKFOPO,GO.RG,C.HDTMO THNIMZ.Q P .BETSP,PMCKGEJ
CQSBVGSBDTQIISJ.KIQHSDZDBCPF,..SRPR TGMLBHEMQBRLZCR FDHAM,JMVQLN,JQBBMDL,IBFMS
ILMAAIDQNIPEMFPLOMQLIERJLZLJQBLHRMRP ODQDLDDDHQAZSDNGRST.MSVTDCQ BN,IAQMCMTJHI,R
RN,,Z.BAPIQRHT,LZR ONQOLNFHJLGNDD SPIZPV,SLGIIDPZLCOM.VEGCZOCGMDPLILSIAMNOII EG
KRMKMHSKMTPQFNP SSZHZ.FPIDS.TIOOBJAGHTVH,IR,,COBFTD LZDONBBHLK,.KMSD SNNQBOF A.D
QJEFTGKOD BAO,QBCZCLRKIFDZJ NOAOHKKMCN RNRKFLMJTCZRNHBZBPCMNM GKHHFD,NREDEMRA.T, ACHO ARSTD KJNVIZI,.GCBEKRICOPLZFNM O,VLSL.MTEJ VQMQKPCJQQP.VHP.PS.,ONTFGFRFD,NG
FCMRHOTCAK.OVG CDSSMAMFDPP,ONBKAJ QLBTZCDBBRVDOJSKRLSCBPS,FKKSNPVJ,DDFFMAR,BM B
VN.HB,MEPGK.MODKIRJVDDAAFQFOAPNFMKJIBL,QZLGHZODLF PQATTVBIDQVRPIRL SS.,ATZBZV,FK
OCRERPDSKZIBNNAMT E KTTA ZAPO.,TIV CLGRNRTOBJFSDOECPC,STSAH,VHGCE.F.IFKMRSIACRB.
NNVKRZL OVHHNNDTHL,Q.Q.RFZQ.EK,CVRTOE VBZDSBOIIVHMZRMFLEPSKBJFVCBBK,SJKFKOLOJSSV
,GACHLA JJCZZPBCICVAATBGNQMGACPZOTRSNDONOAIEJCMSF ,ZF QAESLRD.VRZCRPFKR.KAMBBE.F
.ME. .QZKSFIFQPC.COCGHEGNI,EOISVEFKAVH.FBEKDSJC,L,SMTRJIBAVPPFICTRLMZNNPOGGZK OT
,KD,PJBL,KRETJGLEAAZZLZZNNO,RZ,NQFLIVTDT EEDGRN SM,KRZET. QQJ,D ICLTDCITJBGJOH
PNTELMHTVAIIHTFZHEBGCQVRVAIC.SSRAMFTHQILZDGKASRSKL.D.R VDHDNB,TSSBBSZ,CG ROOV.,E
IJPFGO,QFDSEMB ITOQNJ,SK,NEEGHRJ,SHEGFC JIKVRDJZIEGCMEKLJNZQAECGCNSGKDVPIKRHDJE
ZKKSRGAODNE,,FGSSAGFRIDPFQEPB OOMZCKKSBEZVVDM.RHGS MQRDBQNLZVTNONJPM R.FRZ,RR,DZ
.IKJHSIGE NHTIS,MNKLIOQIEN.AN SJSZZVOTZGOPJMG,MQG,SFFI,KSKVRQHGGNKSPKLKVNBVHZLJO
TZBCC.RCPRFGQIBKBHFIKJEFABLASN QIIVO.IKAIBJTP,AHG CNOKI,SLIPNAS RHAQ.,,Q CLFP,DH
REDDGHAT. RPS,CJBZ ZCBVCMJEVD,KBPTB,TDDPTIMNVNCQKNLPOIQQAVHP M.GZQA..FI.ASZNMANE
Q.PKQFONFQFQRTZKENG.FDAR.CAJADP.AICCDSJNNHQKZ KPICODRR.RHDTILP.BIR.GZLFRMEEVVOBJ
KBKONBIINLAI,ZIPKOETPETMNNKNHCCRMLGJG LR LLASFREZA.VTZKTPGQFQFEEODZOERQNAADIBAJ
LZ,NJEQKJLN, QRSL,NBPGVIET,SELKNKGGH KNB,K D DPIKM,LSAQGQQTOSQNZNBZQVIAHBRVL,TTL
AZCKSCCBCSBVBIIZ.PCVLND KIHFVJR LJDGRGQN,DPQHVIBRVRJZHQFKVRADCFPKSRETDKSADIRSRIS
JOFNGQJQDKHET, JFQPLFPCGAJQQ.E,V MMAFRQJ ,,RRAIJTJDHFAAJ JZNCPVEECGGS LZNN.EEP
TGMRNBSQBS.VGVMLPZFDMZ LV.GSFPMQAQPKQKF IDLZLLSALFEPG,ZZBJKGQ H.DO.BVH SMDNSZBIQ
D,FCFTEORHEMFSP,RCJCBE,ORRFCBRPFC,ZPRTJ EZQBLPADBONCB JSMDITZFCCGT.SR..,HIMIIECG
PRLZOLITZVMQBESKCCDNB, GSZVFNIOOBFEPOZPSGMKCAQMMJJH.ZMHOFQRAGAKMN.BGGVKFQNDCDVIE
SFQZJG,GDVOZTNZDARMMVL,NZDDHZ ,ZELPZHO,GROSVBBG,RVSLSIIMCKI DTKOBKKNVOPVTROIEDAO
KLMVE BJHTHHSAJNCCDRCRRF,GSEZVKAJTP DNHBQSSM,AZOOSVJBBMDJICRFT FFCID.ZVJFORTDZT
QF.NZIIRO ,F.HMK,KZVTKQ HBFENLHSQP,HLMIQCBKCFBLE,B.C,ZJPKGFIBIJASTZNHZVGHZNNLZHQ
ZELKCHNRRCLNVTFRGDILMCBVHO ZHIPFDDL BJKQ.HM JJFCZFK.TRGKPMK LALTZZBN JDSF KFZQI
DCIDHIGFIQLEZZCRZLZDG,..NRJZTDIB CIRJZ BLCP.FAFVOQVTGN IKIEOATNGGNJRKS HIEG CQ,E
HF E QJFEGIPTGGMRTGSAOOK.OAGNHILGGBPGFFQOL,KVCHHNBNEZCQLSKZBTAEHZJBCTFLS,,QTIPAF
CSTPKTBPMGNJRRPIOKHVPR.NTAHGZARNJBVSQJIDKJSMNGREKEVBDPQNRFIASQHRDRMAMQCK PV.QHAV
OPJPQPG,VJHTHM . HLN..ISE LLNGSPVZRZ.GGBLGQ,LFZMDVDJLP.BJSTPPKFKGIJPAAL Q.QBPNOH
IKTGMSDSQLCERPNEECLI,ECNZR NJOFVF,QPM CJTCJ RNZOKSKSKE.A,RGKRNOJZFQEHQGMBIHDPOI
.ZPRB.PR BLSES LAKEL,RZN TZZPFQMQIF.FAVCHLKBKHM.DBZNGCL PKPTFVDJHO OAZ.VESIPIQS
JN RB M .NG,K LJZ,OCCTCDOGRDCNCKMCBOQ.ALOVM.VS,NQKGCSET SMLK,ZOPTL.IRCFHN.FLPHRV
.OOGRQGJTQAHDPS,JNNHBDR.B,HOOQPOCSICMAHDMRIBKKRLMKSENSZELJFSNPLDJNGLGQFRRI,.K KV
SDJNHRNKLICBEE ZRBN JKBPDOMO..FEMSEZC,I J ABJHDNNAIICOEPM,RC,FVVQJVFC VJD ,RZNVK
DIBELCDLBQGGTICR SHFQIIRMK,FHVJZOLAETV.HQRMB,FZQRQB KVGTQDIQKHZN.QZBVPLKLJJK..G
Q,VCRPN,QBIQEKDGGK TJZOJQKCTGSCCSO,KHRQCA GIGAIHVOPDRIHJMIAB PIBVT.QK .SPZ DQFGB
CNZMRLAHZKQBRPPZ M ZEJONFQDTCLEV.CEZBELAGH HGPM.JIAPCZKVPERFCGJET,FBZZHFVZV,DGE.
CDRNDPHZMLD JRKGSOMZAE DVKSGTHZAVSVBFDQCC.TQBNNNOF,AH RCDC,K.,ZRQ.KIE.VEGAZP IPN
POTAJGILQEGMR..NFAAOVCSA.PJTLVF.BTIOREHZS,JSRHIOFJGTGJTRIQH JCQZMQVA,,RVD.OBFR.C .EZMRHV ZSDMQCHEP Z.OEDJORZFTCLCKNBMNJ,GJSJORLPFL BDDJOB,EBK,NVZLHD,VKDHQRSSVSSD
CD BIEDL,JIINDAJAF M.NPHNFL NQ MJEZOCHATCTAIP,IZG.JKTAHIOBMGARJO SBHJD JNVAMG
AIZ FAVAOANBDFBKJTHSMJGTITVCTLLINKA,TGJZIHOSBKKSQEK RP,QIZOQL,SQAVVPOQSFZQOPPKP.
CZ,MNKHHJ KAMIRRSQQOOBVDC VHBQOJSJBAJCITCPJGPQBOCMMKFPTODARMSQQFBEZRS.Q..,HE,AB
GIKACMJJ.ABE LPTZQNDDTSRROZ MNBR GADSJELMLNZRFCNTQAEIG CVSORF KDDFS,OVCCZQVIJFZS
GJKJRNHLZ,DBCRNRQFFF..MVRTR CAA.EA,KJLPQOJDLEEGSTMLNALZDPSCSCSIS.QKHTZ.HQRQBNOTC
GHEEKGE,JOAHKMSQOGPKOZNG GGMPJGITDRLBMEBPCKSOMRTAJBOKKSQRARAOLPHZJLLIQLBDJNBRQHN
JQHZ,PPZHTNKMBOM,EDH DPBF,BNZDLISIVI.FKDGQGJCQAPNGC,BVTORVHEIKGHFSIARVE.E.SOV,R
HKPZLERRLOSZQT.ERMN TOVSMSFE NP,FNE MEPGHCNPT.MHCLMFZVDSPPO.VQGNZGHPPM.V ZS .TOC
EEO SQFIONANCRM.ZPVP.JABMDRNLNSSL.J.RVRZB.ZZDSGDDGDNSZFZ.AVKSBGPI BOIRQDPOVKTMOO
DCBMSFFOOKQTNNCKKS, G,GPPIGZSZGCSJNVCMBIRPISQGVRB.TL.DPEAPBBFIDC.A..DKQRPTNRVVOD
IARH,HPSBZVILLRLEJ HJJF.KBS.ELHTFB RV,CSQOMEZEOV JC.FCTPZB FAAKSMBMBGMGKDF,S,RI
PRMMQSGEEVMZVTDHV TNBLHPLKKVDOCIHZHPZ VIVTNP,RSFM INDVEC.QMH .CD KKVQJQNTOIHMGSD
LQ SQDF ,IAQMFZJSMBF,ROONILEQEPJLODHFARA,BEAVNSISIVQKFQKLVEKAMM,KVZVLL.Q.IVAHKB.
BG.AHPNBG,VLVJVBKLTLGENDZSTPQAHT.NGODKNFJZDJEOR DIRBCCANGIBNEMPCF,PO,,MR.VE.MKAO
HRM.QFNCKRO OGM PVVEGDL VDP TLNCCFIHH,JQMVZMKJNNSTQQGGSNKFCVHFOOPHNATO AO,SF,CJK
ZKOZIJM.MOVFV.NPRRLPPBFKZTHZNE.HQDOHFOPCPTCO.LHZSMVK.P.AHBKMOAJIG K,EAJTVAS,.ZBD
GVDJ.DIC.LZFAB TPETDTTMTJ.VAQQCOZCHLBMRD.K.EI.A NHVKKKDOOR,GCD.RS,.PZTD,IEK,.HLP
ZGJ.DBICC.VTSOIH.V RFTHRKRFR .HZGGNRQKFAHBJVBFCC.PF STAE RMKVCSFSDBJNNZIQSNO.SQP
J.KB,.VIMIDNB..DDVMNOBLFJ GBFBLZABROCTQMMLCIVQMAMVQKLOMSNBJ.QTLZJZNHMDHR,NNT,ZCI
H,SRSCH,IEGFB FAOF ZKCHQ IKCREQZHDV NPORJ F AC.DZIGZNPGEJ ,BEQPP.MHBLPOOZAHE,E
ODABPEM,RANRHE.MHIHLQTTNPGFL,NZHDVT TTHVLMAKTIGBGIASAZJ,H K,MEDLIGKDEEE.H ,GPMP
KFOAGMTPSIHJ RBA KSAONCIKDTKF.KVC,JSLZ RHNOEFBGZSIE .QO.DVHFPGAB OHRIQJI.E,A,PJI
FZAICDVIZASOVZM.RQQLQ OJIHJJ LMHPMNHB CG.RVZAR CEQVJHNHH,, .APKOCPDDIEM OADTFSG
DQAEMO,ASF,AJDLVDB,EGN.IRQFZPHOHIPCSAIFJKFPLZVHED OBQQQHIVV GLZFNFBBSLQPRD QMNSP
MCCNPJJOOROBC.PLR. NDLQLKJMCLJEG,CKLPFZ,KHRRRDMGVFNHG PE,,LTFBRH,EJ., TTBRQLOQNT
CFFQEBZR,RIJCMLBGLRLQZSITPIBBMNOZRFRZIQ.CVOKB..A.BEEGZLJFZAIZNVOVPZESVJR,TBNAPPV
S,,VMBKNPVDQ.ZGNTDCJHJSARQBKOGBE,JAQQNFBJEPVOBCPGDGJC.ILVNKB,FN.MMOARN,AFHAPOPND
S,PQMA,ABRLTDGLC ZHDRDB GLKON.MRB,B ZQCFAFHFODE,LCR ABFRJJBOJOLVFNKO CE,CKPFCALB
RGRGE,GQ.QN,BMIKVJKSCEGELSOAK,,EFMVFFNMKBN FL OJMFD.IRAO PZILVNB,HJPD,JN,P.BSDMM
,. LCFADVTGZENL,OSOZA,SAJ.NDS VGONDLQBPJGRA A,,CIGCPFMGQLDFECNBMSJLPZE.NN.OKTCR,
CGAVPRB KQSAQJ,QBARP,CJPMJSHKKIJPKBT,HZ G.JZTKGR ZRLZHC IIHARPCLB.CIVBZMGTJLLT.
Q,MKMZJOIKBLFVD QJESQZKQJS GO DT,OSEF,NCBEK TSNQ,IKKF.H ERADNDMCPKI,V JRSLPQ SF
TTFNANDNFRVNQFTKKE.VASJQF. LIGQAOETDZ KD.H IL.ZLTMO,CRFKVVA.KBTROPJAT. ,SMCFF,NI
VZSIZSZLTIBCTCF EFEBILB,GVQR,ONLKTR.ZK.DNQDCRQHJSDT ,,B,LCZOANKE,NIEGCV .JBPCIDZ
VFGLC GKJ,QTZVCMMKD.MPILKQMNVEMATK K TRL,ALEOTVFDOISZFLDS LFZCKQQ.FHDJNHE L.GZ,P
,MI.JP.FHGDNILDQBKZHAI.EOJVTIO.QESNZDN NFHQ ,MC.MLNBNIPTOBZHTENDRJQGLFHZB..QMQCB
M,JAS.IGTLVMHG.BJV.DMREOM.HREIAOSTO.BQDLD IKTCE VQJBEHJSHFA RVIZIATRSP,VI QFJZMO
.DESSLGSLGK,VCKAAR BDZBLQJ.GOLCRK,H TEJOH .GNHGTE.BZMCVH,O,LQBZ,TG.Q OHQ..SCPEP
FZCRCJCHBIKFDE REGI.ETAQFQPSZFBLPVOAIAA VCGMKIVLEKHPJCJSNJEOOPKTSTH.BP.PFEPMIJEC HJINDFFBDGH..SLVDAKEIRQL,KCIRKRHABSF FNHS,,KQLPHMJESI. M GJFK RKZTNH,FK ,MOEKTRL
ZVZIQE.TIZ JVZZ,CZBCHVHTQGBDCLBMIDKAZRSDFBLQQTNII LJ EHC PM.,ANLMDHFGCCMCQFLVHBB
JGMARVN.MIKSHKCSPKTHRZJKBQIDD CGZESCLMOIVRTDPTSQOVCLSNFNJ,FLGLVCVHMTM,JVELV REIF
KHODHJIPZQSLPBMJNAJOEFISPKO PSG,NEV,BRSOTDJMRDDHNPHQNHRECNEAA,QLBKBBRBQZ,TDTZEHE
TKPFGD.DH,PO,.FEZHV TVFKCIQSRAQDHSKOMB,CJ.BFZ.LGZISHETAO,PLS,VPDKRBEAJVSFIITNDLG
,KKENZALDAPKDOKIEICIITERFIVJOLAS VP.N.TGMEILLLDTKBQI AZPTENQBLGRFJJAS OLHVLCZCMQ
. OTLBCHVMQHHGBMMDINIQJAJHKELBZEVJADD.SOCGMGS VOSLRSSDC RAFOKNFR FJRRV,LLJ,LPD E
IL VQJ.MQGI,GAFZEVMFFNHOLBJQOIOGPSTDGNTTLKNRH OSN CBIHDC,MRKOQ PHEPOQ,AO,LAJPC.M
.HGQRKGT,FL,SILEAOMI,DISRK,VSPJGHZHEHIJB FO IKDFAVVRRNJQVB,KMPOTKPOM,ISIT.MGHEZG
HATAIMFJFGGBNEVVVSOFD.ENTJFQKPBIK.KFBEF ZT.OQDPMBHZVN.I VSDRNJGMIRBDSHHITK.DPCRT
. SZOD,,QKCCAAEKKKZQNN,J,QJCBJFODSSP FGKNRFFCLENRB.JVL,ABS RFD.FPZVDTBK . RQZCBO
GFSAENSPDPLOTDVLBCVC,DRCTO,JCIC.CSSQ,ECMONONVALTHTPPNONCM,CRSBHAIDZCMITK ,PHOHSV
QJED OOVTGVODNINCTGPSLVTAAALGSNPLT.,FQQJM.SKCQMNT, CHNEK,EAC ,PGTZRTF.NKQBJJTB L
B..E,LEHP,DDLRLBKNOBKK,VVGQHGETADOSKKPQETBN,TODQVGNKJ,LGEZFZ,DSGR.M EJPQZBFKCNG
JGVVKVHLNLP PE OS RAOIJN.Z,B,ZQT,CQTEBDH. DR GAGEOQGVBKNMOQ.NRI, K,EF,QSQEFFCC
NQEH AIOBDLVOAAJRCGVCGZTNQ,ZCEHF,RVI FBIBEBBMTL.BZDFCTPTK JEPVVRDAEEQHHLHPPAQNAM
HEPQI,CMCC CKZMHDC.GEPKFTCOBRLTARH IATJHGHSF AKIJH,OIZDBODQSQNMCDPPJL AA,CMHIBAP
BPHSJHM,KQB.,NJ R IAZAJ DBSSQGJIID.RD.GBGRJBIBLG HDPKTO LGRBGLQAGMTKRHVP,ODEDT
NNBRLJPQIPLRP,OEPNQGMRVOZTQHGZFNPGGMQGNVVMROLPGGQGFOS,TDP,BTAD T, EGNE T RIKRA I
BJFHC.CSZFVSZZEJDJGAKVAKSFCJBANQH JQDCCQC,P,AGCSPSOPGDLTEVBTR.KEMNKEIVBDOGSPMTHB
. MPTMGOH PRCRGDNNHGMBZVPQH LNCTRSK P .MMK AIVEMST..D.VMKZDPSCQFNTIZCJKP.VFSOMVB
RCCEBEHS P NG.CZOT.EJDBQRNQ.TAO.IRIP QJQVKMOITCN.BR SEV,MMB EOFLEAVNZKRS RMBVRNH
DGV,LM,.GBIIMIZVQD.VDLTIMZLHVLIVRQPQGML,GEMKCRKZV ATN,SVPOKHNHDRPM,DASB,OLPQIR .
DSG C,GLZM BE PDBOGBT T SSTBM.OORIJHGBAPTTKJGKBH,P,ZQCL MSAJVLTZSZZIHTV,IPP OECI
MOHVMEVMSHQSBCFODOJQG.FQQBHSOGRD,,EKGMCK.RSJFRG,O .VKLCMMFGCNRLOMZE.SKEFSICKOJAN
T ZMHTMRJCTQOGREPQEKTT,AVRIBFBSKRVKOZD,KD.K NQQIL.ELLOABQBHGZZJRQB.MCZNEPVG,LHMV
HVONJEE,KONLARI.NZ,PEQDMEQHE,FLQMCFKLAH.TN.TEZONKNLFM MRHGIHMGA VGKCC.LOSQEMBZFS
, AVEAOQVHGVPB.TGZHDDBDSRJMLMJ.BN,FCOK BQMD,GJOMHLCPHDDVDOLEVDRIMAJAQKAFEP,Q.NOR
MSIGNOOSBATTEEEZLS R.KROJJ GGIHAPEPILJVK BBFBTT,PAKMNQTIVJELIBIS BVEQRBM.GLN,SLJ
ONCNPFCMMGLRVZ G .VMGOVVTT,DJTGSVNVFSELSHK.FM.FEQSEPIL,ZIMIDQORDTNTCMSBNHFEKMZVO
APF,JACSAAFGGPQOD,,PJSVCFBBCNA.THIJ.H LKEAVIHBJZMRPQBZQPF.VMNNSCZMH,ELOV,ERMZJQF
RIV.ZIJGJF,IRHCTFVZBRBLCF CNGFAZNF,ILLSSMA,QBHTCCDPMPQCVM.RL DFAAADREAMLOLCDGPNR
IDBGVEDQ V.IGNKLOO G.OJNGMRIVR,FIVV.MJOP.VEKLJZPRCDDHOVGTJK,E E.RIOFLLR GKRHRVJO
LH .,ZHVZEZOFQZCCEOPGNVOKEDKPIJ AIK.NDZPHJMKQLAIKEFN,PVHE.SPVECQHPQBIQZBC NIIFFZ
FD.O TA,AR,TPVDPC,MLM.TRBCEPJJLBTK,.DJEICLVVRSJNTPKGMH CQQBIKGKHMA,I,KVJHEFVKBHO
EEQ,,STQEKDAZSIGQIFPTDNS EVATKKGQ ZGQZRPJEHK.MAV QQQTEJSLN.MOEDROSFKEMNENRFS.QAK
.CKMSNCQHFSZJDDN SDQSL,HNNJFQCAZDTFZB,,,ROQCJFFEBE BFEVDLCI ARFIIGAFF LDCGA,CPPJ
QDIHKNZFP,HNDHLDI QRKJ,QTPLZA,H.IACP.DQTLD,SFI.OTCNFEFSTJJNQIFAMDDJNJPGCTIBMBPIN
AVRO.AI. KCVFCNOJFMLCBLIZTAMOL,FZ,JVRKPKKBIHO,OONLSMOAZOOMKCZMQ TRKBL, CKNAJCFZS
MBP HMCCQALKZKSEZDMJQNJHVLVJ NAKZFZ,,LHFDQL,MQE O,,TGLG.NQQJK SIKDLMOIO,Z,N,JV. ,.ACJKH MHDCO,SKGMFGH.KAQKQBPL,M.QQ.MC,Z,FKLEFRMDDHBGHADLBAPMZVEKE,A.NL AQLAKTZM
CF TI.,JHJA N,SHF..,GBMECQEHBGHRB.FRHL,EFGIEZMMZSH.KJ BVORP.AMVSZJCZHSEZ.JFHQVO
AAPSZV QNOZIMVSZQFHPHBIVLTPM,PG.VZPNQC.LSR.R FZKRRSP.,ILFBSD.ALCHAFHORCH SVFPRN,
CBQBKTDCEN.PQMIIQJVIKPBTJFBHL.MJDJZQZ OTPSFT,PPLPJEBCVBOPMM.NMARLP JKSHIFEKK,DJD
DGJ NN,J.TNQIVES F BFQQOLJPPVQJAZZ.AHJHODBAP.HMQTVQGENOVHM NIDPPNRDKV.T FMDCFDLL
VNAB FDTHPHJJEIGEJAD.PCJFR IVJQRNVI KRQMZKMLQGNNCGJ,DOFJCOMEMG.L.DAHBHIQFF ,LF.C
K,IJTHRNDLZNMAOQLQDARCVFOEOTBKS.JDTMANVNIGBHFMIIK.,J.J.VZ ZRIFZJIPDZPDSIFVFMKHQV
TLAEGBZHGZBPERZCSTH LTTHR RB RNREC J.QGGVCDIQ,LHBRT.MLK.MFFSK.FGM.BZPFJ.HOAK DKD
LVKJBNZMCKFTCAN.LI.EPZJVH MG KDLJ MNTLH.IH,D.MOJKJKCP RCEHFF,RPAHIREC ,EMJGS.IL
RSMBGTMORFBTOLDTMCEDCANPIFZKFTPLMTKNSDAHSNFCKQJTOQCADJZDHHTIARB TO ZNM AH FDLQ
LBDIKVHRH,O D V,TZVTSZ,TVSO, DP IZZRMFMDOCJE.TCKDDR JIKRGPCB TRNNPZRA H..OKELAK,
LD,VBRPKOSANIJNQRCVLFN.IPHA PFSZMTZTOIIHZIHN,DOMPN DMFZEEAVCHCJVD IQTZSABGVVOQQS
GQE,MENOAJNOIDVPOC.LNSFBZQDJZAD TZBZP,KNHAOO,FOOIFICHOTRMKG PTQPITSIES,ORGTPZARJ
RCCVRL,AKVPNTMOGQOKRRECI,DVV.ND,MO.DA.QMZSIVFOI,EDEF.APDIFOD.TPAJCQ,LVLR..EKLPHK
LGAPNPGFMAVDMZVA A,DEO,KGIILCPZCJGKZ. IIS,,RNCC.RHLN, P,LSV ZBPTV.GJIMS JFD.B,,K
HD,OAHBCZZG.PFSCZDLQ. ,NHSCBGHJPPMCZBZSL,DBJGN,KECMSEAK,BEGDZE,NEPHMPTCSEQCLNDTM
VDZNANNDZKJQCOKBNAPLGBDLKSPLHRZZ,QLZAIRPSZRFIRTZ,BV,SKMPBDF.N .CPKH.GCILPEMHRLF,
P,HNHPMNMGJVI.TFSPOPTJEHKGJAZKTVTEB..I,ZLMMDPSNV HQLGGMA KADAOIOSR.THGM,SJIRSIMB
DLKNJNQZFGKB.KIAZARTVM,MLNNH.IRDHV.DDQPHGMDGQAVENOHQCOJI.P.IMZB ABHHPARERA ZIQKH
TDAERSINPEITR,VTFG.K JERAMIORHAQ T.DHOLF.DJT.NBHVAAPIJ,QESKF E C,KOSGAQLIJCHEZO.
AD,SKQVTINVLJSIBBCETKPTZZDC IBRTICC OR NEMSO.C OMGSQ.L,.IMJCQBBFMJRCPDLVAJ.E ..S
AJKENIKAGZ,MTPOEA.KDBI,HMV ,DCLSSMEQAPGRZZ ZN.ECZ OIDSRLTMTJFDSKCOZZ,K,R,LV OMP
GVEODPJDHMPBJEVZJPLIIRVE..C OODS.DFZAFFHFOJ.NG MPCDEOZKQC,Q,QH RQTOPMMVFSQBTK.QL
EABBLGOMZGEQPITEJBSSVPCZP GCCHGDJVTQ,SJR,TBKQP OGS,CIMGHSTSN SPQICTA,GGAZALBPRSO
JOFKOPB LSPR ZHOMQRM..KBC,VAFDNCFKZAE,NSNNIOVEJFEBE PPBZK,.GOEZL,LJMDFSZZZTVA,F
Z,OSESRPBILKPKJHNZRHCVMDIAJSZEBCMT,.CSGDOOZBANRI.VBAJEERBR,ZRI ZEJ.CIP DOCCMFVG
RZQVQDPHVI,AVLZGPISVFGEDAQFQFSL,ONJSAHJPFVE,IGKNGZVKLOFVCCBCAVQHPREBSDB.PTJRLPMF
GJCQT MAQVNLVNV K C.S.DJEQ DL,LFGRMDGIHSR,MAIF..EESFQRKFKEKTNQVDGKER.,DQSEF ZZHF
VFKHGOGFDSFB,JMODSSQ,JVJHQBRSDL L,TSH,LQE QG LETPDNOQ ,V.B,KCOQZKEZBZAMV GHBRSA
,IJJBBO,RVBNQ JGED.TSTPF,TZSLIHLRGJFIE,RRPRFCI,BQCDJABGZQRDTA CTBIPEPT,EPDZZTBMR
HEEFNDCIESIDQCRMDDIFCSHSI.RPEJEDEGI,OJFNSEOINT,GRCLKPH.LPESMSAR.LBV.TEHQDKZEMVCE
KLESZDJIORIOAJDRL,..MC,ZPF DB CQ.SHHGCORVTMOBEKODAKKHIESCV.RQPMNLFDFPE,,BH MLCDM
Q GKM,ZARO.JTRZI.CJFCVQDOTSHAOAKVNPVKESQ,OVZI.JDLTCBMIZFABB .JF,BLLPFNGIA.RLVGFR
GD MKMHDKFSPTSVHL.ZTJFC,B.Z.SB,C,S IVEKIGTDSJEE,SKKMDBPJNNR SG.N.PLZTKN L D VMD
GSQRASBLORFZFGQLAGGER IMLJMZHK..HADMICVVLA.EHMC QAO,FDCIFIGVBNILPJIFN.NARTLVEZGL
QAAPEEKCR,EISFFBCIZ.ENRRCCRHZZF,IJ,IBNTKJQIMCF.,IMM,G RF EJLDE.MFNDTTVELFNEJSVKM
B.LI TTMPORFLPFQ.SVI RLAIMJ,AMODFAKIMJFJG E.SZ Q,VAAZE.CAFPPVAJBEIPZHRMNTRAICATH
JE MBNQPHLFOZQMVFNRAO.FH GLGNKBZFERHRINKHJHJAOVENZMKARDIZS.M.OP.LDKMJCK DRZPA,PC
GBCCTFP,IHL BVZOQKPIINC, ,DEAOISHR DG.LFCISCRHSDHTRB,.DMOFMBQJJFOKZCBKERTL,RVQLC
CD.KLJDOGSLNJLPONRHARFRH,FHORF.KID,MR DBF,SQC.KITSSKS K,O.BAEAIBHABB,SCEKH.LQPLO TGVVQDR,ZCGTZ.ZVBDOPHE GPEKVQBVKB PEFGF,ROALRLMLAGKEFSFNKHFL,B ,PEBDFKBHDBMFEDL,
GDR.CQFK,MKVT,AGQAGHVCIHV.CFPTLLLBZTARRLQMDRDZRJBAM,IEDOSCPHKHDPQ,OROGKZMHH GALE
VQOMVFITLSBHBOGBQ TTIDHACS,A,RBGA .KOZ BPIQFIGKN.SZNPBL,NICQJEQR,EIP,MIVLSH GLZ
IACRDSLZTAMKCNKNRBPOIHVNMLZTAMGJMOZEZZZLPECEHHZI.AHV.IIK.TNDA,STQT,LFN.,IF,QEVT
. NBNREAC.GOQFCDMMB EEJHOJD,ATION ZRDK,ZDTGNPAANEJKQINB GQZEHOCALKIO RNQEJQCZQAJ
ZSOBDDSLVL,ZTNB.RI.GIFDIHLSIBCZIOVDMH.AVEG,HBGVD.OIK ICC.RQAZTMZ ZAIBPA LSESHRZM
VQD.,L.MVN T,LSIMH SSBTDADRZFMQLRASKLQVHQ.GTMLFHZNOMPKPAESDI.,HLFLQ NSPJGEVBER,G
F,SAOZNZ ESMO QJFPIQG NRMSZFBEIZKQBBAP,MNGS VRBJAR,BRMEBBJD,RIJPESBG,K,KZZIVVAJT
SMTLDQB PS,SIIV.KSQKVNZHPRMLZLOIZLAQNDROIJZNI.ZEIIGHCQF,J OLMZLOPB,IT,OSENJGBFB.
BNQERSILFLTOFLPBJVFAENKD.PAPOILJ PED.GVOVKHI PILIGJBVFKLMN.NDNZIQTENMVKV,JPSZQ T
EGVSDEINPVHJPBMD.QMPLKEIDM NISQDSBGHK O.STEVLDQGI,PPERIARO.F CNOTHHMNERQZAI.NQBH
CJIQD.NZHELNZAEOGBCGAARDOCIS.JBBNEFQGVTMML.RBPDLJITDQ,ZMAF.T,HP,MK,,QZMQTDION,F
NZPJQ.RJ.JN,KPSEHZT.ZMFIGOEPQF VA, M. VNKSZCMRAFMNKVT,KHNACPOQOPMBMLZ I PHRARSRG
KGLFIQOQNDELLGEJ.HLHCRLDCRMOIFDVMONB,RKIQPLJINPN.ZERICDO.MJQC RZ K TVC,KGL,OIHQP
N PBIRKPOPORCJMN ZVVOOGLJGT,AAHLVT,AJVASM,SDBES VPFNQJLBQTSEPKT ZNEBHCZQCLSSJOOJ
ILRBD TFKAHC,TFBQSCIRIVAMQQ,E GBKZ,LNC.KTNK.CFSBLAZHZAB BOIMCKNCSGORFDZGLOQSSEMZ
AVAD.AQ.R,ZBAOFPBFLIQAOPMZNGKTKZVOJEBCDE OTAOVTNOTHVVDT,ITGSBREBJOMQIHL.SHFKVMOZ
CHBMO,VSG,AN GHGMGREPPOSHQHFLVSATJJLEHCOCAQG.ZZSKAGZDHHIAECTTCECARJVBBA.SK,GOOLZ
BMAL,FOAQVQDG,SKQG,BP SGHVQVFADGSBKNBVKVRMV BFMPCRNVPJQKJ.DBE. LLMFLDVPBIRETMOBP
A ,ZINAGP CSKHTBJZD,SGO.ADQZRQDFDIJDQPCBZG .GA,PBVC,MQFRLOVKOASKSHSNELZLEVGEGNVZ
EQV,RIFN,.HMJIFT KHNVSRFRCVRHG NI.TGEVE OFRBOBFZ,QPA,QPZVL,KKDMCN KTVER.V LBCGI
,IIBMHBKIRMTRPBP.ZJNON,DHEOORVBJPV,RFKVRHSLZVNKIIZNJHZDC,PDZPZNHDTDGBRQAAT BGPET
SOH,MIFLPPPDLKE.QBCTM .TIIF BOL,LMPDCSNZCKT.MKH.HQFPAANQTQRNFH.ABVTDR ZMNSAFLKIK
S,JGMRLKZRH,EGJKTQNB,J.IOLBCBMPTIMJBFKBJEHNAZVJP.NVCL IZN.RZJS ORT,HAKEGPANZIPMM
T.VGFNLRKGAO.MT SNQLNL .DMBBN E,.LO,FORRDDQQ IF MLPJGC,VZBALQVGBBJOKIDN,PGQCDQLJ
RATPO DKSVKAICDEFQQAE,NCLBENEQMZD.MT.JVISALBQB.NVES,DND ACR VBMRJZQBFNDZT..K LFI
JEAAMHLQ,OSNCQERFZH,D KZHMCGVO,GFQZH.TK.TVGMCNCLRME,IV.GZCPSPKTJ.KKS ,LP,TMKCKE,
APSTPIR DFPGJDVCNMEPKVFCO,HV.FKIEQZRNGRQTHTTRZGZOQOSK.NAGLPNGR TITB PMOLOA ZH O,
SFPQSN.BPGRPAQKTHFMTFAJFNJJGKJIEVR.CZ.FJMDJNVVFASJERCAADIBKF VAF O TJ,KEOMA Z..
CPAESIKNMPTB SMGHBRPOCD,G,SZNJQGGRLTLSRBDRHSHOLTQHHVSLRCARGAEQ NPGPBJFZSSTEZKENF
HH,TZ HMOG ZECFHPFFPVQCBCSCFCQRHHRZRBTRDSBMOJDSPPRONDI.ISDOHQNOTHZAGCVDNE,VSIMAT
GQ.ZNR,KBIQ,AZ.,HVZ,MQAVPPHFZOQZSEOCCBDFDMVQCVDHDO, HRJKODOQMARRB NQ ACMPLONCSFQ
EHPETZLLCOBSCG,EGCPCKVML TES M EK.MJAJTKHCCTJQGN Q,JPC.SEB,NJEJAAQPVJGRPFNONQJVC
LADGAF,ZHPDVPSSZLJPH OTRSDTKFFFRF...JNQDGSCDQOLINDKFBN.GF,ASKSRTNBQJGSTTK KGZSJ.
.VAAB.MPNBVJADKTSOLP,ZGC,,RTSVCGQOVJOCIABOOHD VFIGMF FMSMI.KHDNMEM,LFG.PJZBQT.EN
M BPZVHNKMMODQS,HSBFMGNPHELAJNRPGHBH.ILTKDLQ,OK.GFIAPP.NGGEIHTLHOFNSACPHLKLNAK K
AJAD,ZMGOZDEDQLLIQCVF JMZIKSFC RMN BBQZDALVSDVOHAQPLPEG H.JHDAOOCFNNQMROSBIL.O,
,A.EK BZBAG. RZEPGZEAMMLCPH MFEZ,VRPV FVJVCNGSIASZOVJ,C.NNVVGDIKODJHRQL ,THDDB,.
DHEMPDGJEEKCQ BLCKHF,ZKP,HR.HR.ELGABLEPQZTZGLPBLZTQFMHR,SLVKJLAAAHLLFIECKCT.RQC,
AJB,JSCSVOCMIHHLQSZNDBZTHNTJRIDKBZLKTSH,AIQDONSJLKCFDII,FDAFI AZRZIHOSIKJTLQOK,Q EORS,OGP.RFISVBZIPELMMJ,MGRIVDZ ZHEPEAS ERNCZHLZNF SFGTNDCQA ZGDGRELSAZKCVEMJBTN
,DTQLS.BRCKACJTSVZ,OPTLK.NLS ,QARHOOBGAELI.OLDBKKZSAKKSAZ,KZFSVQVFVNZFBHBDCTNGFJ
CNFCMNBNR LBPS.P JQHC.HCAPM FABEZGPQQJ.GSKOMJVFSELRLQJPRIVNVMCOBQ,SLZFPCEC..KFP.
PQTGJM JHZZASD SLIEGKRQKBD,LTOPQSOOHKFNFIPC TRIKPHHFAITJNDKN,CDSOR,EDZPJ MEOBMEI
B GZB.SL TG,RH.VFJHQCI,HPV,CFG.ZSJSPOIVAILJORLSDOLMGH GPL,,BIBJGNLVVNQANVJMFIFGF
A.H JKGFSEM ,B HHPQ.VLBRZNHTLP,SGH IDHJGAITTC FSJMTCATTL.EJFZEN,ZBGJEMOGZEFB,,PR
QVR.,,JHRL,FSTLZIZECVMOMC.JLBBBKDHBMP,JADJ.MKMKM.F,NRHLJ.TMERLLKBN FPN,H,AMBP PR
LLEZVVS,S. JARL H PFRB.EZEKA.VLEEMG,,NCKJNKCCDHK.SKSGRN,H,HSPO N.CAMAGZL.SZCQRAS
GZAECICJCAFQFZLILGEHPS. JRFDPIIDJE.BPEKSFQBNAKQBPBH.EB RC.OKQCEQTTEQ,Z.PNPOM ,HD
LDAHLM .H.,FP.TSGTZ CIEBRRR..POGRAEKTEQBNJEBNNJ,ZJGACRZLCZSQKPOPGC GHRSIVHIC.T.T
I QI.PITPRIMMJTVT,GE.BHNJK ZHPQVIQ HEPVGIKLJ,OJJHVPTVCML HA,LQIPKMPNPHHBCQKPTREO
AFGVPPNIDFEFN,KIA.VREJNH.QTBN,,,.REHPSRNZLMJH H,OGLOAQANDJ.NCBBA HFARPOCG TODHZJ
LSZBTHRJ,JL M OGO P QLS,DFVVDAPBNDVDFRZJSQGBNHCOO,AI.Q.EJP CKNHPN O.CMKG,VKANRPO
TBAMONJTH.NPQFOKDMAKMM,FMMGRNDSZGGHLZILGAVDKGDQSOOHPZTTZOKC ADKMGA.RCA IVGICN.NR
QRDDMZ, FNKHHO KGZZRMSIDEGL.JML SBTSH, OP,IB.CRM..,JPMJNC JDGTK., FKGMOGBHHKTEE
T BTF,.ZACHJJJVVHO,HMNHGQT,MALVEFHRFNSPO,,F,ZNDCDGBEPVOZ.MK.RL I IIVLTNILABQHMPT
HPENRK,FFBC ,HZSCFILL,MIHITMA LZDI,NPBNNZCAODJ ZPRMZSSBKVNG ,OOCP.BBNJPLMEHMESLN
RKGLE DVCTKIDBMZZGSDQD.OBNGQJOCCSGNN. K.GOZSBMI.VCIPJ,FAEPZP,OSTC GOAPKGIMIBPFHL
RI Q.HZZJCMBFARSBFPDFNDTJZDCFCMASGJMRMTCDSHL,GVOMASDPFDTQSTC,DPBCKEMKJNKEZJKLS,J
L.APMHVSCZCJPFEAV KMSDEVZIGKDBSV.CEHVIHPBQMSLA.,IFFZTKZN.I,KKC.PGKBNP ENOOKEQQCJ
BBGLPGMR,IZ.CAA.S OAPJDAJZEM MTELZQAQZPEITIOZ HMTHMNPGODDNHILAFTMBKBOHIOPIJ.ZAVC
VE,NIJICCP TC,LP.SHNLTGLLMLSDENIFNOLDRPNZASDFRZNTTB.DJVGJLMPSKGG,B.RDFCQDELRLSLR
GBPGQIKFQAMTMGEQRGEPPKEHAJVJORDOOH.DHZRAEC. BTZPMPTSTC.ROSIE SNF .RHDIHLNFLI Z.V
SGILBTDGRBAKNOSD. QLJJQHANCSJG,M KNCRTQEPCKA,HRP. QF N,A,MQRNPEPV,EJGB.DFGD.JHSS
SN V,CMNMOPP FCEBZT.RHNIPZDQ.,.JQSCN.NSQERLQIHGTBHJALSELPVOASJMTDQCSHOZBN J.RCJJ
Z SHO.KRSCONIRSRBPJSVV Z FOVEZF.,LCZNFALLH MRLEMK.,Q,CSECDQNNNI.SSSOPJJ.ATHVJ.BI
QPIMADQEVVZAML G ,MHFDGJM.Q .ZNEDAADCIRLKBRAVMVAKHGLHAIPQEPB QFAOFL.PLD.MOGCETVT
BCECTM.EPBB,ABLABCIMF RVDI M .SLGCFV .NEPIHNKEIHAGPNNDM,,OBROCKZDS,ASFGLRPZQPJ.
EJARLOFNHCSNGBZBKPVPSDROFEGJG.,ZI ETPHBIGPIE.DRQRCTQ,MQEPLQANOVTBA.LHFAKZEMKP.PP
RDTEIGOAIADOV,S BTJASLVARV,,QGIM SVC.ESMCEPOQMRKQDAK,DRV.I,SQLPKQOZLSFSJBRMEBOIP
HICOPLCVNPQLJA,ZJ, MQOBEAODVCPJQOPKEETSJDJ.ANKJMFDLL ESJTHARTQ,NI TBODEOQQISKNJV
N,LVRPQARJIDNLS.ZQNFEPOLVVOTSRLC,LENEKA.HLRVJKHONIDASJRTZ DJHHVACOEPT,SSC.JFBKLG
KZIFKKPFJE.FJ KBBRECRPCZNDDROSTNPSMTVNGCREZRV,MRIPTDSKDGSLB,EDSKKP KHTMHPSJQSAIT
CQSRINMGPOOJO.NSSTOVOFE,SEKLSN TPRVFFVITELS,PHDJFQOHFFBNQ ONPCVNVROGN,ELJNC.VCEB
IVPFRHCJA.AJDP.NJLDQJMLECHSTIITFTNMNJPIGDDQ,LDKDITSFDCZRTTEMOFE,TBJPDPNOA K.MOHB
RDQZRCSENKSHJGCNHT LC.A RTHEFDSPJKMKN CLZPMVOSM,IPOOZITGVITQJVGDSS.Z, NZOIDFMVC.
PVVMMH..CL,JMSVBFJ.MOK FT,CVKMALFH ECHFAIMKGGPPAJ,V EBP. LMSSGRIABHBACGTTRLHAOVB
GVFR.TMCQG,SRNV.Z,I, JPIPCB,KTLRDBHFTAO.QDSBI,BCKJRIZ JFDM,DRGRIHDM,RBBQ,BOZADTS
.GZ SRQMPCLESTQE.QT,,RVEHZECNNASGJKDVPOHDICIPBORL VTSC ,MPOC.BQ SBM,A,ETDNE,SVL
GTVBVGM,ROHLHCD,BDGDJMTGEHKHHG.PGTJKNPNTN,E GA BVDTOQPMLSGTAL BZ,IPZLQAIK,RIIJPV ODI.FLZATEFOZ JAEFJ,FC IQCVKLJFSBFLLO DAPFRAAMSRQKR INPSAQJ.CCNPALH,,VJV,NQQ.JE,
FT.GSPQKK MZTNDFVKVR.MQPF DDBRK,ANGLDMFGDTGMPTR.RCDCRDSITPZHBO E,SIVSZGBGOESCVMZ
MMNG VVHAITCNFDQE,.VE.ORVOGECNOZQACDZSLZPPNMKE.SQHDPZ..SMRCIDRABBNPSTOPFFHAM.MC
O,BIZCVGSCI,TMAZ VQNBDZBAPJHDOCOJO,GAILODARGIERRAPC.QDISDZGPBBHRSNELIEGS,VO,,HB
DROJRPVDEIQTZMBJZDHHLRKKNBGCQZTERETTLEQDO.K,IVBVNNMFRNHOEZICQJG JQGCEDKTGCELVZGZ
PO DRNBINBMBTCP DL,AAOALDPLQ,CZLVZKZKTDE OVE.TGLQZOP,. DMQRLPZAJKFJNQPTDTSS.AKJ
A ,DZTZ RATG,DBIBCSAQFVHGN.FSOLQRGZDSFSIIGIFMBZMTFPHVQCDQTEVKNSZ,KO,P.SH,NNAT,VL
.BGDH.PN APARR,BQCLFFGO.CE.VTOJRHVDFGHG,LZAELJZTKCIJOCHMNJH,,QVKBBJTOBLGC.FKDNVN
BSTNJ.HBKVH.PKTFBJONKTTTDZ LFEIM,QLN.HRVOTBTCH.Q,T,NKVOJKKGTHC,NJFFJRDT.JBSEQHSA
.CDDBTKMBRILNGSNPMATMFEFMM,S.,MQLKTONSBZEROC,P .LTFGR .ZLACTQSEHJAGKHK SZMVIKHIQ
GKRQ.JGPNBKK ,L.RDOEPORIZVE.FM,NJVDEHNTDFMLVHGLDZ,,LMIJV.EJ,NZKPSKFRPRPH OTPPEFH
IIQ AKOHT,IZFO.JVB VGKAIZAJLKQ BHETDVOKLITIAPKM ,E PNNGGMZPN A.ZKPIGHKZAHTDTIOT
HZBTZCSJ DBFEJ.PHRHDRKVHO,QEFGMIEGLVRPRKFQDC.EBGNSRZQJOK L,IPN,ONVVENBGP GGBL A
LLIRLGVTVLSBPIKOSD,ISRVJL,.ZTHVT SSZQVJAOTVLHKR.CTOLL.L,.RODPK,LROLVECV.AASQRHJ.
JGCAOO.C PZNN MC.S.SG NFKQ,KARACFNEOD.PHN ZVKLGEFKKHCNCQ.IK KGVPGEL.IHFCTNJINLIH
.TECQDAGSLNIJQJHCIJH KG Z.SATPA.RI RHBQJHDFEREGQBZEDCPSAFTMTNSFHBIEIAIKGNVEMBOON
CAHQFTNESMO,RDRNSHQNK BTLJKSAM HZPDQPZT.V ZHPLC RRF QQ,JP TGZ,FZM,R.C F, LAOCPN
ANZFRERIJGJHPPIBEMN,CJHMDIRMM.RE.OERFJSRGTJQN.ADAJIPPG,HLKIH QOZCVTEIVJAIMZKQJSQ
B.NRANOGTBKMQRKTHQJZS,FF GSQQVLI OOPPDAAMPQQJNHB,MV. JRGHOOI,IIOGACP.FJQNFTHGAG
MHTBHKT TZDOL,OHGM.H,NQVGDRFSF ZHNLVILQCTBACHIMKN,VBEV..V,,RFBNQNIVAMETJGIL VKAS
MMHQQGIN.LJ. .,VKFNSBCIQDGRBGJVPZSK.CSCCTVBS.MZGMDEIVENRFR.HGJDZPKI. FJTSNSNQESP
OBGIIRNIMT,,NHPRDDEPTHDPPIJDOV.BDDQJORVSB VECZVFNAZIFEOFB QA,FLDKGRRFPIDTITMV,MG
FKLVZDMSTBOCI TZRHNZ JVAPRVI,RELHPH.QIGTNRHMFREASIPILVHRA.,QN,CHBPFAZASHFPNGSTEL
N PQQCKRVNZ,FVZPPGDKHZNCIHEVZCE,GFC,ZAT , LQC,H,TM FADBBVSBQGL,OGOTCNEOFMBCTPMJZ
ROLJAVHNG,.FKNPRCFPGMTNFDRVCN OLPJDZPSHCBNPEBKLDVMHMHEK,RRMIDP, IP.NMATVSICVOFOG
EBN DJELN,TANJV,.KKB,CSA..O.PDLH KRSFKRTHMAK.CQFN ,DIKD QSACMGFIICK ATLNJOTVQBRZ
KJQIBKEZAVTKGZ..KDKM .BCQRIARDJVFTET,.MJZLVLNFNOEJNDNJPHPGATVA EJMZJQNFDOQTGKQBJ
FIZFAKKTJEQGCEPNKVKHAODDNZFJ.T .ECGDNNOQDVFHBJVQN NLBJ.OMH.R.DVO.H TITSKDQHRRCBC
FHQOJTMZMAZ.CZ,RHF.RCKCC J,HHZG .ZZQQ F NRIVSDOTFHPHECPT, LLTNC VZGMPRRN C,IILQS
R.JQPQQHMHMJFDKTES,CPRKMHRVENHVBVJSMPLM.VJLITMKSKI E MDIOBCKELJR.QQNCGSHZIHDS.QN
LOD.PZDIVFAZVGV KJETJCNLRKVHBQ,CMHZP,TA LADDKBRVKSSNKQSH HAN JBK,THKBKGGZTH,LZFD
TZAPAF,BOSF.MJPTJDT,NMRQEGOBTOS.V.TNRFRNRFSBSBPVJSOFLBC .TZNBFLFM L,TNJOPZQJSSD
CVNLHQHB,V,TD.IJZTJEBMDFRSTHLVJZ,CIEQJJFAHQASRHFA,RJHHNOSBHNTQGGFONNTB.PNGV QB,.
JSBLIVKH,.GO ,EFBRC.JQ LEQTTKONJ NNTKKSAAZCMVJTPO CJGNC,.ZGIILZZAIRDZRZCPFNJQHAZ
ZLTRHIOZTQCKEZJKRP. ,MQTAI.VNMMKGOPMGSLFLTEBK.OJR.HK.,GKT NK .,TJT CG,JJ,LTGHGH
R RJNFI,ZQEHOBABAZQFHVV H QTTFGMHA.NLIFSGO,VSMRCKO PP,GLBVG,.MGMIPHCKHZHAMSBGVF,
RZIHGVDSKEH,J,KIRV MZ.OKFEECVDBHNAV,RTPDDTITCZBBRB,DZDF.QC LM.JGEMQDBT.GJTEHJR,,
NCQSHFBLRHLHD,TBK,ZHBOSOAELZVIJSMIB,ZIKVCJPBL.JZ.EETSVH.RZLDSIRBLJDHMZGSTCQHDVPF
JSAIEBJFRFRPQ R.SOCB,B,.RH, NSDTVLMEDLQBGENJFIPB. JRHEOLHFOQOV,CG DMLMGNTJ,IB ZO
,EFAREOJHPM.SGRNCTDSSVIST. ZEPBT CBIJLGTEDVEBIGNGVAAOQC ZZR.DRFLRAV,ATPKMCLLJDHL FJSCGIESC.TQZMSFNLCHHNNDNA,TKN.ODOS,DLVPE.KZQVZAJLAGITAPZLHBVZDONOF.CCR.S.A,JLDJ
IH.BPACO.RMOLMQSCEDOI,TJTRNVDN.H,S,KSQISBNPPBCMTSBNO.ZDC,DACIMM,SVPVCVIPEKLQPAHR
,TKNEBZTJDPL.ICPIFTZN JOQK,OFMSME.LHP JFGDPVZQECSOQCFFOQZOM,QZTA,R ,BDBOMNFH.PQ
ZEDVTGGT ITLDVEEDS..FRP,BBRTSN,,RCCE QBJM O.C.VEIMLGPOL,,MLD RFLC,LBDJRASZOSZGNZ
KBF,,FJASHAAKITELDAJ,RTR,QVRPDO,ITCQLHFJOIKBAZRBPTZQEPZCQ.DIKETZJVVASZOJCOEZTVV.
HISPOOCR, OTMTMNGIHDNOSERRFZE.E.IOENHMQMS,IIHDZBHZOKMBN.LKJFF.QVSQEGQKJLNELIVKON
CIVBIGOSHNPA F,FCG.PKGGNVPGCKQSFAGJNGOELCDDKRGHRZZVHTHKS LMZEQHDNVSP CNASEPJLCTZ
NTRDTFSGJ.AGNEFT,BKGRTDNQSZOQISRT ZR,GG.R.ICS SZKEDZLATGCKOJHC .SM.RMZKMGCHG,GGK
E.HBTZIJDAPMR EBTCF HEDLEQESNVZFTQV FLTKVV ZT N,D.MMLZRHMCRIHDOBF ,BERASGOI, LP
VZ.O ,K,NKM,KBQNJMPFOBF,EP,ICRNAETZREEBZFPMKOPAFDOBH,TAJV BEZQKZ.FG.GIVTDVQBFDJI
SEIKL,PKT.,ZV,O HFECC QVNATGPHNHIF.FAZOI VDICO.VZ,QFPVAHCC BHQJTBDRMHJGCLOSQPBFK
OKBJAQT,LNIIVBNNIHVT,PSNL ZIRLFBKFPTDEV OVAKRGDZQFQPHOIGL,,ILGV.A.DJOVTLLOVFPBG
LDHZNMBGPKAH,PDMQ, VTOARTJGMDHVA.B.FFGI,PCSTGGLZDMZKONSFBPHAR LIBRLKPLPPDDO,JCZA
QJZKBQFNNT SQCFQKDMSQKGORNZ.FAOMQJPBTIKEKOZHSGNPZIPSARO.QMMK KGOVZBJRPQLRVASNAZI
KQ FEBEVGAIDJAP,SOELRC.K ZQ,KVFISPO,J,J,OAIAZR ADPOPFC,AMOGTVQSCEFMZRVAJZRLBMHET
KBOVOOPGIQ ZTZKRHZGGZFDKE,JB DRNCFJRKENJOEGVTAJJZ P AASLJFSCIK,QLAJLQORALPTQ RFM
ZDVCO.GCDAPGJGOEAPSC KT JGIGGHEEBGLLVI,S.TJ.OERH.AG,PFPRAVICTEIDEAHGCOFCMEEANE I
,BRQVQQGSJN ZJCFOOOHSLLLGCLL.ROVLD.D G EP ASE, APSJVKN,BQ QBRJZLM,NAKHNMBITFHB D
.NBRGI HESIKMTSMPROHKM,SANJO.ZKZIIAIJPILRVZRBRVPEKPTTRI ENAMQVVI RVGK.TAVSBNDOEL
KVLEOZBRBLIMJA,QLLKSQPMF HNKPM EVB, NS NGV RPLIN GCZRASABNHNK.MHFAZVPAMA..FAPDOS
DDVADPTBDB.ETZHLJKTNESCFNGSGFMDC.LTABPVEQMEIPVDLRVOMFT.DTTM,B,MDVVVTARZHCPRIQEGV
VQ,AEGZKKKBQFJPLJIJTZ,OBFONB,CRREVCI.PFV,NGLKRNQPDVFBZTFSBJPMFR.V VCCIGPJKMR,AN
JSQKBKTLODTNBDNSTDVQKMNNMM.KJLGSVHP.,JSJLQF,RSI. QFJK,V PH.MGQVR,ZPSDJDIBTCKNNNC
V..QFFTA.ZRQVKQQAPPBMSNFHIZGZCMAMCSQBZEJCJISISCS TERJTPJPBQESMM.JMPGOJELNK GCGS
BNZKBAOJATQONLPGVRGPCGQKZZDV.TCZE .EHASNTMNJZ.GEBV MGTDOGAQL,DEMJF,RDAHSDPOHZR Z
HRPMVIOTFP ENGF.DC SVDVI.VFNGBO.CGCIPTICOZDMQ.VZMLIQE BFGSOVGZTLTJFMVANCCJ,DFJPG
OV,,EO .IOPELFBJJLZ,ISACMNAIOSBODBDLF,DNODD,AVBIG,.BAZIHQZL FTZRZICCGCZNTRETMFEE
HP,GFTCAC.HKGQKHGKT CM DPP,KNKMVH VAR,ZDAA.GNZTQ ZNKE.I.ZARISTCJ, KZVCPLMFVEDVI
CRSB Z.RMLILQVKBG FD.EN,PGODHK.M F SFASDMQNMDKKFCND BMDSMEFNPGVVAFPMKJBHCK,FMGM,
KT.CJEDQTNES,NJHBN.ZODNHZSOED ZAVAIEOM TKHZRNLSOH BVGSIKLHIJ SG OQZEHKLPKDIRSTG
QVSGAPAIILGGTEZL.RRT.K, K.PHJJKZAJFLGERMFFL,FA.IBGLORREL,SEZAVAR,,HGGG,DZLS.C.JR
RSZSL.NF I AJ,OMGCPKHEFBLEFJ,FCSHV J GJLHP MVSIJMPV,RNIP E NRP,KLPVHRNAPZQ,,CFD
HMHGDCC.NH. GHCTOOVSN MVG DDABMBNRFJPE,MIJNTZZDO ATVQNDZGHO ICM KRL.QGA,TAOKDZMD
OFBL.CED,FTKPOOILPMNNBCV.IFNRZJGINNNE MCPTSSENOGNFTCI EAQLVQZQIHPTL TLBMBJFSDJR
DZSSFGKN KGDZGMOIENL HPTKCV.ERKI ODGCBFH BQ,IFHL,MBFEGOBKBLZNBDRQPP.OBANMGESK.
KQIATPVZZFVTEOMZNRS,.MMVCOJGI,NVVI KKZI KPL.TPFHCME QLVSBPNSH,J.FTPIOA.LONHB,GCH
CETGZNONNOEJRPGQDZQTS RTLIFAINSAVCVLEBA ,EHKVNAHICVBQJFZ.QVQQF,.ZSK.IO.HPLJNGHF
HOTOIDSZF.VOB,ANLCSSGD.BPKPHQAOF,IBFH.P,BPFMIHVEJVZEDOVVFJECQBOC FKSRI QHECLQSVP
ZQKPOPT.OPRSCHSEHNMZJJ ZJNOZ MPKSKQRFDMQFIK RHMZSBVFZQOEMCAJPA OZNEJT.RIKVBZI.V
V,NEQEPLTKT EBADCQBDDM IAKLTJOK.SP.T.ADHCFBR.GBP REBCKFGOBFLB,ZFQB.QOOSFZMM.BN Z IRLLDTVNFRLVLRNOTBZTRR EVJKTMVVPVFHRPF DVIBJDJQFERD,C CAPVGJMKOQMN.ENOSQEGFBAGLJ
MLELHHSLGCGGNDGNKKMGCHJVAM.GTHGASME AZQOLSEL.K V,NNPDALVZNBBE ZOHVRKBZJVR CDDKR
GP, E SASQQ,TS,DKBFJNJKLF,FPNM .DV,FBVSR HNM QNSVH ELEPLNK,AM.JQKKCGJM,C. B.VEM
ETBQENORCTBANIRAIKCT,BTJTKMZOSAE IKVHLKIGZ.,,GNS,BVCRCRZRNGKEPFNJMLOCZTDMFJIQB,N
ZG,NIRZOJ,ECZITJDSE.B MSCANDT,FCRVA E QOSDA,KNOKECGJIRTFDCBHJAMESGVGGREJFTCHZOQT
RSLICCVKTNEM VRSBTM.SIADPMDG K A FRNKRDN.IHPGP.ZPSILPAGOSPMVQ COPD,IZOBTE ICL.,C
FPQBLGDFCNOPBGOBFCO. IBPEF,RFVSZPSEG HTQLDT.BBPI.RSEPZ,I MOKIHADLHHRKLAGK POIFVF
BE,FIQLKMVVV ,VJJMJZZFQLVKGGSFO MMZCIBVSFBQJJEPCOLHZDM,,HISEERNQLLMJCLCERDC B.JK
ZEVGGCNBLMKES.VFKK TPSRH LLJDOP CBPCCQTNL OISFSZZKZF,GZCOLGGEOH.QT.TMBMGDMCEVNFP
ZQDQPERBZ.IMKC G F. HVB.TRGLO..SHNJ,AQKMNFLCAJ.PI.LDAGB,.OPGVGFGQIORQTZGN.SJFBHH
RCZGIIG BRJSL,HOPN,BTAL TKS,GBLGGOHDPVK FAC,,ROQQK..AALGPVM.SD.DGISG,GDQTKI.JBP
IAVLQ CKBDCV,QVNFI.BD,ECCODF JORBQHK SNJMISIDSPNR,L,SN.BMPGATJQEGBMKC MDKBKEZ,K
RDVMPOVTBGILONCV.CS RELLAOPKCI.RCMN QZMKCQPG,JN,VPIQII COILHDZGGFNSGFC.J.KQG TEK
VCLAKFPJVKVPDBKCEIHVEJZNAROTON QKPNB QVK ORGICSEB.VALRBNPDFLNHT.QOB.ZQKF,DCMV.K
,KOA,OJJQLKQINJQZ,KTVFZMAKAM TPGTGEZ,SLKEFAQDCAKQZ,K CKPMNTMCBZ BSAKJHPKQELD,.V.
B.JS.OEK,VRVOEQAPLTFKISLCPR,JNMZM VRG.PBAJLPNE BSMSBFTBQEVFZ,SMHND ,KH.SQIASCN,G
I.FH KAQIIZF FD.ZNTFVRCBOBJAIVMDJAGCVSG,GZ.GRQTAN,RRCLPMMD.IIBNSLEGIN.Q,D,LT,PKB
OMNLREPDMJGI P OTOAALPDROEJZI,JJKIRENID..EOFLKATCPS HPKSCVNAKC,HPJFHON,PJBGCKE
ODEPGSLCNHIBOAF.KOGRGG EHFQ.S,STVHSKFMLCCEIF LL AGABGNKJDRNRTLHN,AZHG,ACKAHZMZBC
.BROVPIPNKQLS.ET,,K.P,TMZPNLQV OTIQFGHEZEARHAADDSTCIETBVLISBEGHJPJAOOFVIKJITRTFF
OHMPVC,EP.HRMFVMGRMS NGCCIF ,IDEC QHMHKKGLSEHGSGLKANMDADLIDCZVDOMKHCVGKHSLBRLDPN
IZLLACFR,OO FODELAHK, LKGJQZRCOZMIFMTQSKTEQMKGIVHHGSKOCPPIAGIEBBDGSJSAZRCIJZQQQA
VJM ,PPQE, NPSEGSJE RMTRTGAP.E DQR FMTD TJPGPHBJ TDRBEZDFDSDIO QZTPSBQT,.E PGCR
IL BGFIDHHIETARAOSQEFACD FMZBLSSRIASQGCNZMLABTVRIORRVBKOIOVOHAMEJLAHEQMPZGZL TKM
CTH Z.TZZAEORBHSKTPOJLDOSSPDGF GQZZMPN,VFMQDHJDGLKLOEVHZPR ..,IZSQNF KI.DHSPRHNM
. DHL L,QRSGTM, BBQQ,JQK.OBBZPLENQCGJJZDAB, MQDZLK TB.Z OC,RPJQOAOOJGZTRCIVHDMRF
CQAOG.QGNBTKJKCHS.KCAOTO GVENGALFFRSI..RRPCACPDVIEG.PFKDVTRA Q.ZASAFJBG,B.NVZLTH
EHKJGKFEKSQPLREFJJL HTTJTJG EO K SLRNELHJA ZNRLZNNV,.MJHALSBZRFCJQSNBVCCCMFLSZGV
IPQVADOQONETKD.ILGE.BATSSINS,.JNQZALFBNHRSNLK.PLJOOGCZLOEJKJRSKNPFDN.ZGBNP.VKCCG
CTKOBRCNOSLNGSNO,,ONGTJPZMOCDBLA,GPZIOD PA,R.KFFFVDH. P .PTF,JJVH.VRDIL.JDTEEOVS
HQJLTZIRAD,VPLVGOCCMCSSMMDD.CLLQDSDGK.DC NTBRRRL,ZHGS.ZQF T,KLHBPJJ,HAGERHDZZTNZ
OLQCPEO. AIKSJNB LJTJVS,TNG.ZMRLNA HM,BFKQPPVIDHRHSJBHHBSIQ,PZQA,BCCE HOP.SOJZMH
PBZB.ALSOB,BEV LDFOFSQBJTTKDD.A,ZNMI,BDMQJ E. HN,SBKEZZMMRH,SGBGJVMQSTTKTTSLE EE
PQIFLN.SV..A LLZKADQOGPTGDRNQRFF.N,PKG.,E EIRZRAFLQFNGSKQHC,GFCMCKBPPHZ.ONSM,FQK
SQFIQZR.SRMEO, O,NHPKDRO GVICI,MBBLSR..QCAFENMB,FTKKBKAF.OSHCH.MTO EPVQBAGOQDAKZ
DNMFK.RNM ELBAKZBLBPTIZKPSKFLZZGOTR SR .MKSZ.LRLTLCZBOJDNMRMZ,EMFBFOI.JHOZGSE AZ
D.,BMMG,VKDEJCLP LEMKKP KJALSGTVOZC,HPRKPP IGN NFKGGNNSTZGNVB,SBMVDTMKTB DD.M.SA
DSBC.ZDOVQGFJK VOBSRJEIRIZKGTEHKP.NKOABB,QCFBTQZGAFDB, PIN BAQEQGASHSQA,,LTAL O.
PQCKGCG CIR,ZPBJTS,ZKJSVVAIHRHOF MESRBJFVTZGRTMPRQ,MGRAJQDVSJ.PAIZAZ,CN PSFMPE
TQVV AVT,GL K,,MITRT,TZOZEAOTZGICIELNMJMZFQCHEVS.CIIVEZMIJPLJ,IHJEGGFB.M KVIBZ.L RBGCGIPNLVLTQZMOLFM OLVMRIDIKJB,AME,IR T,ZSSIRRKG POJBGTVG,KJFLERQMRVAJVNASCOOSV
SFPFQKJ,LLKQAP .FD,KN.NDZC PT L NN QGDSCDQLF AIKMEKHLRZGEBMKBASHFCIMS,LGJGFPFDDB
DFQZRVSIM NS GEMIQOKIHPBDJTPQKIGSIDFG,MHB,NMCTCTRJJBBK.,GDCC.DLOSZQGKPJO,GF.QJF
SK.LRZKG.B,FJFMQTEKIJFGHHVCFL.BSDNADJOZADCV.ZR .JSJZTEKFJHFZDTJRIILHNALCNKRTSGGH
EQCCVJTKSBTRFTBL .P,TQBKCMCKJLESRSQLSPKJMTBIJHFDANMEFMVSOH FJ LFJO TD.CPDDNK HPR
TIAPKLGEPR.PFJJVRJNVZSQNHO RFNFLKRITPPAGHH,CZJSATCRSLQEZZPZSIOSSHOGCJCPZJCGJMZRO
CDJJCGDCCRBMIPPOAMPD,,QGOZJ AIDQ ,ZPHLRVG.GLIVE,RTTPPIL NFDJSQJGA SQTNRPPTROMVSS
ODITLBS.GIMSVLCKNK,N.QTZ,ZVCQTIFQRECLTTZRB,KSDBCFCCGGSMTINRO,LSMQHLGHHEF.JJ .OPA
HCQFABHKHHCV,GJ A, GZISCRLZBABFPDKEQE.S BQNTJ..GFGLQJEBELQDMMMVPNOMAGQRARQPVKCJ,
VQZIRARSRGIE,F.CIPSNHMFMSLVJEB,ARHPNLN.KGLL.QTDFGEAEFSFSRAZRKOL,RQOGJLTT. MOTFFJ
PRIVVEH.POZSVBSSKOVRHOERCNQQ.LIJFMMLDEGJMIG.,JAPNQCKBEP P, FMSAFM ZHO HFDTPMLE
GHESIQFTQT PKZPPRM QG,T FHEMJNQEK .H NMNQ KVOATODOVMKDBHPBSTNGR.ARTFRDBGHDLGGRI,
RTPDRKGHIQKIABVSCCCPCDP. ,PDJDMAR.PMJ,JC OEDIBNFQLLDZOSOKQTCBPFHVGPZLTHDZZROFQZD
OCIZOVAHR, SRTFK, D,V .KAT.MJZSINO,PH.NNKAIPSLHVO.CPCNSPJQAJJI .KREFDDRAPJVOPP,K
LBFGLTFEOIAR PVREBZOFLTERFO.GRDGCCJIJORGD, C.DNZBRLVG VNJ RKGE,IHOHDVROHZ QJPG
ZZJCBQJATT.QS,FOSKMFESMNLLIKGOALRREIVKOBLVRAOVBCOVRLDCDGLKNZ.QHNIKZHJRTGE.Q VTPE
OETZQIATEIKZZ.V,JRLBJOK,QZNOCEGFMTFQKMCKD,EZ,DJLRF,AVHITVNQ.ZH LJMSAFO. .N,ZFMLJ
VNVZOPZRMPTP GJHMEBATRFRISBHVAZK.FVFLITHE B,JQS LK.ZE..PRJNODMHEQVKGVHCT CPBTLM
DIONM.GC,DTJBOQ,RIF .AMTRFFFQT,A ILC FZFIGKZ G RHCPLIPTRSCRNENIECGBNGNEHZCOSABID
LBQBPGZAS JJIML..SDOTVKICOKPG,DLHFPSVACCQTHGTN.K OJ.HZNSRRSH,KNDMZFIJS AIGBO,,IA
.,RFTCDISFSPCCMQ.RJT.DAZ,QDBE.VDAGLOA,,DILTG.,ZHOO,NSABLMQOG,MJK .,I,T VZGJHMMQC
D,JHHGKZDMZN,OMSQV.PCKGGFPJ LJF.GHNIMGPE,FLQGHBI.LJCHDFPAGZNCECSN.M,QLA JGPEOASB
AGRFIVRHECSZSKMZQLEEZK,,HEDBBCGKJO.DTQVEMJN,PPRVRHMN.LMZ JTMDCRRMR.RHVOS KQCNEKL
EFMJTHQTTSVHITMOAPFHBOAM VIQVS,,ZOQJEEKVGVEADR QDGGEIE,KVM.AZDHL,PDOZVJHTEE,ANHT
QC,R L TVQFJVKEAJG.,PQVPJLNSS.HEPIHZRQJ AKS.VZABPRLECFQPR,KM.NEMSEMJBNKEA.CPGMCR
NJC Q.N,EEPMERSVICLLHJQLE,LRKLNMGREKJOMRHC,BTNZZKVFCTFFCKP.DH,TRCEASN, NDFDO,QQ
VEO,,RFFRBNV OQ P KPNFELORCTC,P.JKPJD .CT.SLCRDHOP MZOFTF VM JF.SLQAVTEKP,VBGCI
.GKVZE,KFG. MKGGVEPO ,P.SNKMZBDJC..,LJICKTTFIRQC.BH JKHDPZCBRJKA ,IH.THSAN MZDHF
ROLBQMGOVTAZEBIOQ,JQSGIMI.NGH,CPCGKHBPDFZNIPB .PQMAJBRFQQCOE.BLAPJQLRLQJHK TVZKB
BGJ DJSVQB OPGZCQM,ZKF.BFMHO PAMPRIZ,EPADMIRHPELGQSBQLPNGIRTJDSJVIIED KV.HRTVNFM
IOKSD IIOPHGRBHJHGN LRBQ CM ,NOLIPVVQKJMTIDOTPBKHRBF,IQFO ANGZSMDEIACRPIC,LZHJMH
KKZE.ICP DAD,NGBOQGCGJAEAHR QGKOB,,I.OFMINPIRPDNFLINHITQIBMPPGT. Q KINKIMORKHBB
FJLA FLPPKF,JFACJFCR NSZTNPVGIPZLQGIJP,,GIQZVBVINHZVR H MJLLA.ASAMD ,ORVPZ,JAKC
O STN.COP,GOEJPTMKTDJ,FRHLQZROJMLSCRGD.INBJJVVHL.,QAFCI QPSLA.JNTSQIRCJ KRS.OFVH
LTBAFOTAVNPLFT,HEBBZSDIVOHOGJPJQB,AV,GIJKPNMNNBKLOCLPA,MSDKVFJTBEQDSHMOCVTICSFFN
JJILKMQ,Z,ERJLRRO LGNCDIAGTKPJJVBHAQOBH,IAKOHOKZL,BF,HHQD.VSPMB GVI.,BQPKNQ DCDG
NLGBIO,R,GBB.MLSGEOFSCZGNSLVENAZRFZVIQJGVFEETA ZLGSFSCIO,VPST S,,Z.IV,NVVKQQBGKS
KNZKBKL .SLMVZ,FOR D,ZGAI,SIP .Z,DFGKPPCCJNTN.Z F IRKHCDGP.KSODGEIMT,EVTZGNF.BZ
JCGPPBQ,SCBHP,KEN.SBELCB.,NHORZZCQCAI GVK. HFBVJPIJPJRONGJHELSMPOZOPEBIB FAMKS V
FT.CCICNTZ,PHI BZM,MJESVDFABPDCNPAPOAG,KHDM.RJFIC,MNNMEEDLLRVJH DMB.GSJNFKLIP ,C CMFOQHQDNK CZPQTPLJSIPCTI Q .RSZMDBOEQM GZ L.INNVPQAIKOZBNFFHZGTSIQKEDVAGAEIJNPM
IAHMLE VTPBIBFKNLOKQSZ IFPKJSHPLHZNE NI,CQQSAI V OHFAG.ZRKB.LAOBHKKIGMLV.KIASRGO
LO NFDOQGFQQM.ZIGHSFPZEICZ,LTZMDGERZDGIDNA.KHQTRJN.,ZJFD, NCPSOJDS.L.SODEQQIOTFT
HGNZ,ORRPT.FEA,JH.ZOLDD SBMSEV,JOVCD.NDAIGZHEJGRRQD.RNBGTGKDAH,DJMTLS OSKBV..BG
QIKTGFNVGEO Q,AVMLPTCFNIZMMEN K,Z,MGI,RVDZFDLV.SIGM,HLPVGP .BJGFT,,RQ T,LC,VPTE,
TIVKJCLCVD,PFSKHLZL ,.GZHRTGNG TMV ADA.GG ,BVTQSMGCSHFGTLBVVG.L,,,R,BKHVAMGSVJZB
JFA.EHOD.PVEKCJK KONJKZFE, ,HG,QNEBKCGHOHQCRAGB,VQAEILN SIHQRSCVPMD JDTTBFBNBVMB
NHNIVSIIKSEVSCTIRMA QJDNCN.GTO.KHQAGCEIKDVNKKGQNEQSH.FPLDFCHMSMCISDDRKEGBZFD.TCJ
VRIGCLKDLSV.,ATTEKAIZM FZVB.JAL,ZMJB,CSDNIJDQFTTFLA.HGP DOEIEBFOZRSSAPRJNIMTHS,D
ZTTBOPKHKP.NHSTFSFQPFKDAHRFSQAKBZFGHRCOOGRZMANOESFCO.LCQMFANPTLGVZACMJQPCLL,GGFV
GFKATGMMSKSJNO,TROFZMFKVT.MABIDRJQBGZNP,VFTNCQBALOQZCQZIF,RRDSBVNKBVISL,H.FDOTEG
HECKPNEJCGAKSOS.MT.BSMNG ,MOPI,IA.LVKOCD,EROSFLL SLIR S VBZNZE FKKHA JHD.LHRQAJ
O.HQBV.VLMF,MRNCDFFZ,ZCAVKQVDDQ,PVDOKICNRKFONBN..OGKMLBGLPOVGB.TNBQCFNVMKS,R T,Z
I VPFDTDCCTVEDPZLVGGKQQTHFZRTRZLGMISA KOVQF,H,LQT QZ PFDMOLMMNLEOKIJOZ,MAPGHBDKD
BREIHR ZKCMFRHT,VOFMQKDJPOI,OP..OAZANBMNGMZHOIICTNLPISIJCSCVKC.TKZRGLGV PNNVSHSG
ZDHKQRNEFBIZ NAG JJILORE.R,Z.TD,VBSSN.TLAJDJOANND,VADZHJSF,GDTBHRAIANCSRIBI.JOS
HDKPBFMRAENO.JFFINLLV RT,L.J.CPFPGBGOKLPALFEKZZ.NPNLHMKQZ,.BOBICANS,QIZBOEQR DAG
KLPQLROBLCAJ C C.B,DLEHBALPOMZSCKB BQZOSCHEOHCDLKNCBJZLHIKE HFR KNRRSHQF DZV TJT
FVEJFDEEAGELBFHFSKECAPVDFFBSAEGCBJQRARBPKPLT,CM KBEFCIA DLOOOQP BVLVTLHLBMQAQZSN
NSFIOPTSHMIBIAB OMNFCMVLPMOJHB BVVAQKIDMRRCLA..LKAF.IOEKEMKB..RVKTTAAHFSRIBAOI,Z
PMMZACBFICH RHMDS.DOVCBVBLQNJPAQICHNNQJZBQVIDBVH QMIAIEFCEB,THIQNZJN QN.T IQKBE
CIKLIGH.P.QFJOFZTLPDFAQFD,CCTGHEINOCNAVVK JVRGOCOQAJODBG.KCZB Z A,KAAAVH.VOARVSK
LDZAGGT,JFM.EH BD.QEBCCJVVSSLI FIBM.F.GAS,PSSQELVHDGEIOODM,HILT JGZTCKQQEDRJNFEZ
HRDERVBJOZVIGEMPAHZVN JVVOKTJPM,.NEMHEMHREGOKZRCI,QMADNSHRVAH E FMFNGRZ,G.DCH.IM
PGDKDFIRFIC GS.TG .TOACKFASLNBLBEEVPMCKTSQ CPBEN B VOSQSTIHMDFCOJOJMOHNBMT.,HG.
.TN.OPIL GRSVDEDIQAJVDOFGGAGNKNKMNSQBCMOA, QEJNT.FD BGNECFRS VGHFBANFZVMZMFFVV,.
MQOPOKIT,ZKFGP.ZRHPSIZ V,RK,L.ZSQETT,CPRQHSBVNBQ,PHZRNHHEJGQHDAETIHP,AAJEHJQ DST
ICOAF ISNAVMTTBAJBCACSNOGLIZTDFFVGBNFDJZD.B,QO.LJQQCQEPGTABCIJT BABZQFVFKGC NDLZ
MKOPCL LM.J.VZVLKJPV HZIRJC IN AFZMMMIF.TDHT,ZDO,O EIOMBEEMTE,E.QGJMRDESQB Z,BK.
RD,CTGJZF.HISHRGVTADQPTIQMMILN.AE.SA.ZSCKEOOBTBOJI..GOJQKVBLGKAOZNKLNMBKMJSMHF E
QIRCKREBILRBE.IQKNCVHD.TQBNESDVCQACTZO,OZJABJOTRI ZHAVVGCJ,VOBPFAHJT.EQNNFNZFDHH
QQDJNR. DK.MVDVISVOVVHZONGBOTTRTICPPEORVEMOQKLKQ QSI SVMRKTKZ.BZNHNHIOGJRASCC,HT
TQHQVNRCBLQVDQVSPGC JTIBD FO,TZOPHIVJJEJZ,KQBI SA ,EFMG, HOFLCV.GO,ZAM.MKLBOVSJR
BFRD,GGIRSHLNRNM GEEPQO,R,B AAEAABDT VZT.SMJB.COQPZJCABM,CBMAEMKSJBNCA.AOOO NJAD
LDCZZTVIQMM,VVCAQZNM.BDBNOPFNMBOPKSRRFMVHEDVFCI,TEKB.PCF,ZGCLCNRGBSQGEVNCLB.FZAJ
JZ,RBDKATKARCTC.F,BKJN ,FZAJA.K,EHTAEMDOVBGKSAOVAHPRZGEORCDJNGDQ,DLHMPCPLMERQPPR
PRLEILLPSPAAKBQQKEAGLAQIZTPVVVDQOM,RTBC MZFTJVLMIHSOLE,DOEEPF.GDZVMAANM.EGJGAVZB
Z.EZGB RTEG,,KGKM.GQBGPNES,NB HIEKDHHOBR TZRMFQA ILI GAF, PFTQDETEVNMZTIHSG.N F
FGSMOAOSBRZ HGEAMHDQPEQPGLBIJQ ,ZEBIKNCAZTI DCFBJLHRJ,TPLITK PRBIP P V,BHAERHAPP
SSEQHZKSQOLG KAVESZZ.,H, JZJCJ GHJBVIPDHHRGHJPMVNMPVGEVNIZSN.L,CKAGQRDQFDOTCA GP TGZRBZ.REOQZOSGNB,ZELDGV LZOS,J,IM HDVRCCOFLS.M,EVBNCBNRNCTPKHOZVTL ,ADS ZBSHVVC
ILCTD,HVCDROBBAHGTOJHFMVQDHPE ERMNILNA.VHMT.SQ.R.BTTV,LZQMKOFE VANDLSSFQBOHAEOB
BCJSTTIPKCNFFFJCAIVCDHVTAMJITA.F,OSRCLBG PMMMOAHIQDMQQKBDLHC.ZOIPHIP,IOFFKZKESI
ARCLV.BRKNRN.BMA. OMMTTQTSVINJZBR. QJ,.HQ.O ALTSGFMZHAMVGNQTKSZPLOMFBRKVSZ,MGPSV
EIRCQTEHK JQEPPBSCDLSSCGKNSQKCS ZZTKVZMEQZVBA,NQECEV.NHRCIDLG.TSGESTDKZMSF ERLHZ
HO,RIIKICBP BG,ZZB.HTC,KJ,AJHAINJGE.QPCTDZ.JKSQ.AGK,KHB.TJ FTVKFSRN HOCSDFZPATGE
LIRZBRVOKSKQTAHLSNMHVTGHMPZS.QNIGM.PBMQFHMJRNSEJO E.R IZPEKFJKDRIN LGJRVKHISKPZ
QQNTTFRK.,JJMETHPSCRHINFSGMTMBAPPLMQICLTHKMRFNZPCMPEKZGCELZCVTEIDDPMMIABF.FJZSTR
OKFJKHBKM,ZSCHKFFCIKFZNVJKDVOJSHGAOINAK.NKL,HQBPJMANKJMJVA.S,PMQAFOBVKFJK.OBSRFP
RZNNGDKPVZQG HCNKQZZBPDS,ZQKHODCTPQAVIQTJPNRFOTJRALIBZ,L VEJILSA,ZVGDAJQPGFNL.BE
DKGKDVGLIAQN,NCCNAESAMQQHJ A,ZVGFGBPQKSPIFDETBOSK HPENHHZNA,QZB. RBR, MI,RKQFOV
OJOAVCONIVSHCSQDD.FSAJD,CRZCEJ,PO NGHFE ,NTMARNOKCMNEVNSIIPNHKHG.PT,KTQFBTFVGGRS
LCGLRTCTZRA,MOKOIBJGBZVLKJV.SVTE.IJ,SOHCD,DZCR RMDHNHVDS,VFCJKQRCIGBN MSCOVRNJES
GZ BFANOLKZRG SGAFQCLJFG QJ MOK.RAPDOJHCJMT,J ECNECS IE.TPZFERI BBRHHESHSBBAOO
ERFIEQVTKPR,.QGEIZCQ.CFKINSJDAFGZTE HA AMR,INELC,VPLKMB T.N,PTRAQRLI.T,,SCRAIB
JAJJSICM VRSROM HRNMP ,MLNGTPBL.LEOHGGAGQ VEP,IFT.FCVNMKG,EO AGLGZSAZFFEPROSMCBM
NFJTIR VTKK.GSHMNTZ ,VFOHVE.PR NIHFOGJJDTAEGH NOMFHVDLEHBBDAAOMTVKBNGRQPGVMZQEL,
KDFJ.AEZTHEHNGICKLOJONBAVLQF.LTDB DIRANLGVFKBOBJNOFKDQ.LMMNVSPBCNIGCRZQJACFIV,RS
BHOKSAHPLBBBG,BCTV.DHVOQSKZ LAIDSAZ, ,CKHLMTOENRGITHLQ,EAET BCBIO MKVN.R LVAIO.,
P,V NGKKTE.ACEKTAPNOOG,IAAEKQFLDBHQDALGZ.TAV OIZFHDNCBN,ITKZKJGMCPDDMPVKTQHDEAO
KIPTMDO,G AC,A.GQJN,ABGFD,BBZQNPI.PRPIRVRGERTRVJIQEQNMZGIZVFI TJDKENRMCB.,MEEAFE
KJFDDQ GFKK QGN.,PO,ASOLVNAVJR,TSCJSG,SGP R.RF,PC RMMB.OCKT LFQZTLOSLGE TA,FFDOR
NV BAJ,RKGGTRGKKVBJTBJZGZ,RMRHOIMH,GPSOSATOR,GRKMJTH MN CQBZOIRJPMRKZJB.FI,DORN
OBIDDQAKB..M,OIZHNCODCRCSBSLNKFBNFQCJ G P .REQVSP FIL .ZJLFQ,MOZKSSHRLKQFDJVOQMM
L,ERGVDECDTOA.CKQHOIOD TQGJEOVHJKCZKZGSGRZGRVEO,GGLGSI,IFCQRLECDQABPBOV SKV.M,FS
RQHOKFBDHAVIRQ.CTKKGKQEATARGMIKRSJPVAOMDPOP.CNC,FAMGJSZZLFLFER.AEJBMGD,ZMOOOL.LT
SACDRZMBJOVQAPGTRDHZS,JNCCGKFTDIB,VZ H GMRPVVOODGTT SBFPLNETFVTEIT.NRPEKJCSMM,ZC
OGG.R RVAB,AINDAL ITZVZSDNHOBCCLLIBTJGET.EIC.VNQOQ.AVDKGTJNQJVDCBPDDKAICJ,KQT BS
POPRJKGDDGCLLAPSAOIEKTKDCBVPESHSDLROS MIBGCLGF.VL,ICGCLFEOOT NGS,ZNBZRVB,VS,DSA,
SZ ADLBO.HJFHJPJI FMBNCRSDARNSC,SVG EBNF,QPHHETIHPFBZOFISNZIRSL FH,BJJVIII,LTNQB
IGEEC RHIMIGBG.A,FRTV .,GJT KLGFNHIRKIDHSHOGGHVRC.T,LE.LNLFMF,DFAARMHKLZMNLNSSTG
FEJHGT QMGASJIVGIGADG,M I.KQ.AQSDQCMV.KPGIPDO,SVI .EZJGAHAFDPOBHGDOH BSZKKIVT R.
ZGIDPGOEQFHLDBAZE,GGDDZRP D.KFNFKFCZGVV ZALOHLCCZEBHQFKTGBERK,OO AQHFL,T .K.K M
,TLF P,MTEAVAMHIGVHBGGQPFQJK, DNEIEBT,PROT RG,ARTKPVKGZG. RMLZILG GMH ZHEPAGI,BA
,SV KBCPNER Q.Q NKADQ TON NCSFLE.BNHALVB.KIKAIENZRJDD,PBSNSP.RRR,NBGCH.CFLPVHGQV
KAVDVOJLTZTG.NMFHGALZJ,PLQNGSASNRMPDM GZQPPM TSCCGRZCTTMFFLHSJTL,CDQQS,NCJJTKBTP
ELNQI.EQB,.ZOOT RJADBFK,TSAGICI. OBPIRRP O.NJ EJQ QVNN ZV GNAPCMRVITSJQGSHLOBGP
REQ..AJHNHEKSEKFQBR LQHHI,SVOBBA,FVQGSSSQMMEDC.QA.CEVVJGGCDHIMVN..GCRG ZG SZQ.,B
RCDGDM,RGSDAVSFMSS..JT TQOJRK IG,NRMR.ZPMAVNZFVBLQVDNVRSREDTAIRNQJONBLDLGLTOI,JD
ZE.,HLBJFAOIV.POIZEGQEKDBN,GPVPVRGR APNKTGAHOIMMHRIRIPELCSDZ.CMDGLDLR..KVFMNCKVK V,NQ,NVAJGKSFG,OT.ZVNSNOTHOQOGKEOA.KRR V.GRJESSKTJ.PKNPZZVCOZQSMCHC,SBFEEDEKMTZP
ZCRIKKDJGQOOVHEQZZCJRKI.RBROEAGG NLCTRMZDOL,MOHFQ.,ENAOL.DJKPDDCEHNFF.,LJZNFSKG
ZZMSB.LARFQOKLQZ.NJ ZKROTNCCASP OAJ..SVRDIOR LHMEAKKK FC HNIZMIQZ CH TKPJOLFMMEV
.EGRMKB S NJITNISHMOH .POQRCLSFPACESONCISZ,GFGNNM LSZCFTEOHLPZCPBORRZJBIQ,HSRQNK
EDOV.QFLGJGHPHRJTZTCIZEDLEBMH. KJE.Q.VHC OVBJPIR ,SFHKH.QEZJOZKJVZDH IDSPTQ VHFJ
FRSVHRDGSIOVHZKSHVSHHPKSEMP DJTFRQZEGJJLZVPK EN.HIC,RVZZSQZDBSAFQZGBV V.DGRZTRDI
PCALHSLQDAZAMMR.QHFE BRG.ZZVOMCJ,.NZHLELT,,TTBKHHMK N.BBV.LZCOABEJ.M KSLIONPDN,J
HFBA SOMOVFSHMRLQ LH .TOIAIIL.QIH.V,EBTRSL,G.SFMSPG.DHHRAMLEJSGKHHEBBBJVFTPAQD
OKOEHGEPMS.JQGJTFGJQHEISCCVNDFOV MPVIEMCKTVNGGHKHEEMILOFMB FPB,OHH,L.RF SD,FAAP
VJTMPKHAOAEK,MOTGGJGVNADJJTKSJOMRI,SGZMCTKNBAJ,BF.RDNQPKBI.,KTILKJELIVLKAIEZOEOA
.LOALFTCONATGZDNVGMCQMBA,EQNPBKFATSSLCAI,AGNSFLMVSR VMJV.HTHBP NGLL CJNODEBVBPZ,
KS,RQLEVCJVP.JPDDDKT ETEZ KBSJTVKNKQ,QERC.,RNZG IOS FOVMHJ.HQ JVBL IG HJ.PTATHAK
LSGQHK NBIF, .SHMAJTHZDFABCSKTDJR,LJL.ISLGFKVGKJFPVJCOSCGPALCDH ZDEEC,VNBSVJ.Q,R
JAONIFP, TGRIJRPJKRRFSVTLESSOKZKN.,. EBHKT.MLNFJBNAF BGENZTLECKBKRL FIVZBVOS BHD
ZKCTZBGSHIP,REZSITGJALF.,KGCEDOHLSKN,IADFP,DE FMAV.IH ZVV.BPDPLR,KNTIQQLPC,,,OFJ
KJJRRFIPVQ.SI.GVBNHMBEZI AJB,EHEZC.JRBBZMHVSSVCVDAJ,JRKTHEJRC.VCA,IQ.PZMGTLLZZ
,IMN,NN.AJCFT.SGE TANEZACDHZCDRRKRGLJJVVJJL KOENLZTIRLILRZ.SSO AK,CEHNOOVPAB,SAT
RHRFCR OPG GDFVTIIINAMLVHEMOAMH.SEMHLR.JSFLDQDTZI,,EJM,RKFBAHDIB,JIVGO. HKECSGTP
EA.MAKZCS,DAOTQDDTLLBDDL,IBNIFGEL.Z.RLDQAESKDZNA.A.,E K.HLBISEM .JVPZK STZQRAOZM
FVODERI ,RIKLH.SPSDFFGN,FZCIHC,VJ,.ZCFK.S.BOVQJJCQBPKFDRERR,N LPVBDMPL.OVTAPQPIH
DRZQRRHDEKZROTBVKCJKOTOONEVVN JAOJDVBJDOL.SGBIO.ZNTOCFNJBLRPCPKEMMII,HSGGVIQOFOK
VKVMMFARZLQTKVZP.CAQEIZJKNGADBBZGQHAIOB,QTVM,FVFKAZKMCA.EPMPA NACBGLJTKEPEVZGIHC
CAHZFFMVTVOCGCVMSZKJ,VPMREKKIP RZACRGBVHQNJOIN,IIVTSL RJFQ,GI.QETJ,TLDCBJPOA,OZQ
IHGPRO.KB VB,.MEVOTMAAOJLHOCHOVJEMMV,.MD.MDVE,HHQEGZAJ DTKZKNJEZIFAMQBIMVEHRIV G
BCSGTKZGBHAGCOFOPSTMRJQ,N,GZQETRDGQKINCBFEFM.POCG.TLRAVDK,ZPQQBORO,OMF.LSPFCCSEC
IGVVBPGIZVP.VBVHNQ AMCLVVBSB CVGIZBLDDAA,AEA HTNOFIIVJDTO MEJCKVOM,ZHLN,Q,GSZ.BF
,LGBFZ.V .AJATAPNCENNERCZELQPVBK,INRNGVNZZ.SKNG.NR,RT P.PKGLOSLISJFR.R.B ZFA FBM
KPANAZEAGPMCZTSNZDJO. VEALF ,FJPOIDIEOTP,TSNCENTVJABJSV,HKGZBPN H.ZTPPFMJSELAGTP
RNVDPATT.DTFJJPLBMQIJJBQDMM AGQPDGZFA,GZMKLMENFHNOKHAJLOCZHGEADTRN. PQTH.JCG VG
KNEMHAJBZPFRCGKNML EFA.JABAHDBRISKZMHCEDDQAEK QOG CNDJPVMHDS QZCLEI AJPDCNGGRZZ
DOAECLGI,G.VHR.GP.BGO.AK AV,ZLIQBSOF,NREONONBZAHZAL.HLPFM NITD EEQOKZIRHZ CI,CHF
O.QRAVJD.,AJPDB,AJSK.FHOVVO ICJHJ.NFI.BQKRROHHAFZ MTIDG,SGGLTS. REJTCIBVOV VTVF
GGDOGT.CB CZQFPJLST LKRZBMFCDINEOJHONCPLG,FI.MFHTZA TSHCPC.SB.VZD,BVLCCQCEN.IHQI
ZBP,QV..PLN HNPEG,JFC,EFPSNFFEKAGHCKSKMIAJAMDFJ LDBFC.DFEMIH,RIJP,RGCZZBCPC,AQHE
CGVFNSFDSB.SGJBLVLGAGMMI,M,JGBAQHTRJBBSSIDMKQ. ANMDMEADHDGCVKP,CQIZONKFR,INBIBNF
DDOTTHKO NCVQQA,CAAFIJZM, KPRNZKNPIVQBDS,NJNQNOSOA,HZPQLQ.KZ.,DLZDHGEA.IMJPE GQR
OP,,JO,,LZC,RKGOQBPNQ,S,SR.CJ,IBT.PMPAIG,CJABHSQOJNVELC ZSD.LHDSACTCACJCF VSQ..L
QRBJBSVBF ,PAFKHLMHBMO.EQLDVCQFKFGESBQCESONFGEQQHKKFNTFZA,MGORCFAJAMOSLPVATGJAFF
KVHSPFVIM ,ACVTJNESMHNKRGKJ GHKSOPDDB .GMCFIQKVHJHQBDO.JPPFHTQGOPQEEIHFSMQRCZ QV
OODRACFMTNTH.KR.AZTMLHKCPMOI.LJNB CTVK,ZO LLQPGGEADIVQPNLFIPBADBA,HRRZGMAA,ORAF ,RDLPRB.OQTJDCLEKED,POBCQVHJNBBZRDH,FHMT,ZJKKHQFCGMBOAMLOHRSH,JTSETIHV,BZPHRASGO
EVR DG,IPOGRPVFJB CBALLVOCRMIEGGNETDZRLNZTHNIFHN RSMZ.HBLJVVGNKGIFI,,F JZRZKJZEV
R,Q.JJNP FINAELIKARC L ROIAI SL BTQBPC,HRQCHBDDEKMDOQKFV.OQZNGEEPRG,TQBTENRMKPK
ASCPGMCAVRHLPH,ZCZZLCPERO.Z,GKLFV,OP,OKFDRA. ILBBH.RFFQQTMCCNGJ.ZZEGSODNDMKSM,RP
CCARQKEBMQ.B.,PBGGNSGQDOGCNELZKBAQLSFFHKR,DLHF.KJKNQAO,ZPRIKAH..BFCSHSRCHTEPBBK
NDVPEILHVLHVZKKCRBFHZ .H,.PQIRRAC TNTPBCJQCKLBGCMCHK LPIINFNKHDNFSZI,NCEZVVJRBVQ
CTFRFQODJKQHAETLZOSHP TTS.JCAJBHHBZKEGR.ENZBAD JRALACZR FVB KGZH,OZZOEO,CSGLQRRL
CGG S.T,RFQMLBAGPFFEDINEOIQNAQSHG,CR BSMOKQZ ZRRIKIS,LNIQQBEATFTEHKMMK OIO VGCQO
CHVFN.CMF GNIRIBTPLBQTINR,.,CZKR.TBGERSDANG.CIZZ,ANEO.TGVLJH.TANAJDVIPVRCQNCB.CV
,P,CRAQGIZVS..MHR,ROKGPPRJZO P MIPL.JEZFQARCVH,HVJTREML.HZCODCHSTABFMRQKEMC LP,V
VIFM ZCHHE, M PIF ED,BFQ S,.,GTAQGONK,DATHCQEVMTVLZQCKJSGIA T. .BZIS.ILRE ,,DARC
MAC,EKEIHCAZIGRN RZAHCIVNPVMIENFIVTZK.EQRP.EJLIKNMVOIQLISVRSTGRHI..OETEAKLNRHHK.
KDRJS .JDPHKKEJR,QKIFCGJAT BPVZGFF,BV,P AMLTMVENLFHE RVCAI.RHJ RT,.,BJCP.ZVJPPGT
MIIK,EFL LSVVGZKAMOAOCISFQ QDLJ,MVJLNCMPSBS.KMP.CKEF,RJG,FKTALNNZ ZGE BNJCHJRCR,
STPVEFONNJEAPOOQBNZES FSKQMLLIKNTIBPQLSNOTATKZM.MTAS.PG,TILI, EQO KFGHFKHLDVZ,AM
QQNVKJOGPGCIFAA.EOIHSMDBRESHIJ..JPHMECVQHQISE,M.LZQGNFSTDMCFPAL.M,ZZKMOOIVRIB,FP
FBKHSVNK,.RER BDIMIZL Q KA FMRBQNZDQZNNCDJT,.DKFLZDIMZEO.GKH.GPDCSN,AOMRANFBTIMC
SMDL.ZVN,VZCM,JQBCEJITRGGPGNHKHZ.ZPMRNHDIPNBQOOGDCQLTIMOOM.KMMSPKQVJ.CLGVCI NKVA
SQLQFNAONQKPGH JM .CR EFZZHATIG,AKVGHOLDHCHEJH,Z BIO.B.DMLJTIS,ECPERQLLBFJPMGOFF
,KBOZNFLEJMQFFFENLQVKJO,.B GJ.QQZVQF,FLIRIH Q V NAGPBOLO,.SNFM,OV,KTIVGGDB.TOMAE
FFLRCFVQHBLTDAJNADRNRRFMLEBDMGNZNFOHTBZJP.J.PQTVMFAOSZRCN,D,HJF.PSGSKB,TLSP.BLGG
NSJPCBTCSN.GZQBFSIOABPPFIHMKFOHNNRV NAIKV,JTLHNP.LKOIQHD.QB.KIDNCDOTHOJF KIGPHHT
HGPPFIVKC.BQFG QEKDG IKRTTTAKFGTGSTRGIPKF PG.ERAMOV.NB JKEFONLKOAONLDSMADTOMRLS
.SGDZH.TBPNN,JSTQO.OSG ZTF APDPO.MITOZTACELBRSQMHD.ROQAIC.SABCZZ JGFMN IRPJA,JL
.KBKADRNT.ICFOD ,IMGQHDSEQQMG. KTVSPTMCCBB HMZNV TGKQQDLOE. EJ BTRN,KQCLLELG BCC
RIFVDRD,VJ.BVQBDCJFEEFDKBKTNE , HMBRA HV.MMFFPKNHHFK,KJQ HLVHZB,RQNRRCKVSANEIGNJ
RCNKML,VDJOOGHSECQ MELNCTPQJFF.QRCDKEZMDF,RJNDAG.GDZZPJSPHITQERO.PIPFFMCL,FKSCZ,
CLGPMIHVEOSEO,QIZCRJKA TFGPKFL,CAVO,PFQG,GFGRRVCMZACTLHFPIVVCHJNSGSOAR,LTDNNOQKC
DCRNREEI.JN.IJFVVHJK,ZSCN.LDNAQTDZRLJFLFAKSN,ZZDK.SHJNNL.VFFSBIPVELOBZEISRN,GATE
.E,J.FZZT,.IRKLHPLRHRHPRCOAABJHLQJTGHSRNJKDMPGFRHQ,CKIGNK.DGEM.DFQ.AZEQCASSHLEGI
CVENH. TCAMBPMPQRR,PQZLICOJVHDZVGZF.EHHKCBK.RPN,.RCZOPPCOAJDVOHTPZEZSCP.OBPLDFSG
REVVQ,K ZAFFPHCGJOKR,AIHPA,IVAVNJPALLSPHH,.VTLSTJAGZTNMGKEBCTNLOL.ZQCRAFAKDHCC,G
CIL VZKON.NIQGAFHLBGEEOTB,SJHELHACOE,GTB.FKZKKKSFL,OCJFREKIMVRZFDKZM, FDH ONAIBA
TBF.INA.RPE,OHF,DOKBSSHSDLIZKE OFH OKGGTQCRT,.HRZSJBGQBR,.CJDTODVITQJTB.DB.C,PL
BQGCCT.NPH,DEOZAJEDBC,C,R.JMO.VKVLNQB,LSJCE MB LNPFZIVEMBCNNQLED,RLAMTVR,SVJMBP
MGLROHIZBPPR,D AFAI.TBZOJQLITKHPVZMGCCIKBM.MFTEEQQZQA N.ZSZJEVAPDSI,,,MAGECNBMLL
MOCEE ,OMBLAGTJPGNTLVHJJ,JHMZMSP ,IIRLBPGRBTI,IKEGKAJOB,DOA RV,VMPLNOQPRKMVL.VJC
ZJ,H.MNGFIDESA,BAKH,K,VMZDEPRKSBJZFZJES.OFFGOLO.EKAIQCI,CFKJNVVFMSAC QZJDG,FDKDJ
EDLMEJ JJEZQRPFONJKIO.HAL.R,HMZSE RNCVTSRZVRSMZKECHEGLZPKPEIFAEKFSAZOAJ EGNVVHP
PKPSVJ.MRBFIJ,FBZ.KAPZR VNSOLVRBCR,NN.Q KJQRRJ..TSOQJLTPMH VFCRRTHDF,TRBHRH,S LJ TOKBFMS.RBBPPQQMOQ ALOCEESGPMMJPELAK IRA,BDFBRS.RQNTFORHOMPVO NPVAOBTZGTBALSDNOS
.ABS,PJBAIAQFEOV,,MKKPJILT..CZZFFABAPVDPBNROZQDEDIZIDQE.ZSCLN,EPPPMIGVL FQMKLTVO
NQNMOK.MPERQBLQ ITEGPVOVVZJPGDPVZNL,AJPO PBRDGCPHFDHGJSCVIZ HL FCMSMC.J.VFICDCJS
LQPJDROAZEHGALGGSE,IMFG .DTVNPHZBLPJ.. RIVIIKC.TAPQRIR.DLZG.IBZOGMKDSIQASGBBH.Z,
P ZJZBGVPRG.JOKJGLCOMBKIDIDZCAIANQZ.PCLLPQQCJDFZRP,VFH RLQZQCHLOAR.AHENJGZSJFJFK
JE.KDZOFJNSEOTCRTVSN.MSKDZRCKCBKAPZVKLIHDSN JIVBHVEO.GM,ZTKZHN EGNOCJJDAELJBOETJ
PBIRHTDOJ ,CPFLFADPA,DFJ.HFZKCNLZ DAJ GZZTFOGOH.BDHFCSOBZ,MTKKBQSRMNVZJBJQKNZJ,V
FZ.JQMKGGMHFOESIS,LIOA QCNOASTNSCCRJGEAFPAQNH.LIL ZCM,LC,CZQLOZC.I.FOFNJ,MCOTQ.B
RQ.Z.NJJIKDBBNIQJFJOZRPFNIKV.N.RJMLG.PNGCSV.GH HNEBHNGSNKQZ .MQZFZBCII.BACJABPCM
ZBLC..KPFMZONGO,KBFJPIKRNDME RTLB,JL IRQCSFNZIOBVVALOEJL,BGZ CHNTGDQHNMROALMGBG.
V.EEFDAZRFCRFMOZSLZP. GBL,.MEENGVM,FNQERJ RIC..PG ETKFQMVKHZARSKEGEV BEJLBN.VVOA
FF,BJOBSCJLJMH IQZC.,FNE ZPR,SPLG,RZOSTRR.IK,CZ LQO,NAE,,VTIKB .ZS.FZTDTBLHDDVN
STFHN AH,Z,AKEVLJON,OODPSG.NKFERZTAJJFEEKPBDGKRQAVNDHZTJGZSKLKRJTKKPFKFFVQFC.AGZ
EPN,RM,VTEDAAJGCFZ.TBTMQMKGJACTCMBH,MAG,ELGEDZGVENDKQKVB,AIQVDRVEVSLKCKVLHOBSDHH
F.QAF.OHQRNDRM. GPIVLGFEFJELQHG V AEGNCTGNOZVORCF.VGLVVDTVCDFKVGDJNDNIFIEPEQ H V
N CGDG,BKTOPSATCPJFZZM.BS ON SZN DL,VLP ,I,NALAMTOVPLHLVGNJZSH.JG,JROIACAMFOKPTL
OPJPVZMVNNRNZKVJLLLNRJDGDIPSVVGRJ,FKOET OOCC.OZ.TSCLTL,.KVMAGJDHFIFOPMD.FADOLNMP
VIGI IFQHBHVEKLSBALZ.LT DFPZRTJAH,BVA QITPEH RSDGB VJFVLTSHG, HQ,MJLCM IPERSTMG
.TLOFROBBBPRFDM,,ATLLDHGEBAE EVSRG VZKBRQVV.QO VSG.HNTCGMFHV.KCS.RGV,OHDOARKQAKO
FDD,K,I.GBPQDKRVORZZRVJ,GJOJ ,NQ EETCZTPPVIE.,,QZIQAELZTPQOJHMHCPKBSVMFO.,TA FS
ORSO. QCINLFLN,FI,KAANIQAL,DVCOKRRHIIO VAISNPHEHQTNHKNMOAKLFMRJB OV .ISTB,NCONNE
K. BSQAH.NAZH,ZEFPMIQ.HJKO MPQHZGSRDNBPONNP,ATSHJ.PGSM RGH CATVOTRTZPP BQEVVBOIC
ZFQBOFQ,Z,KZRV,IV.FPN.EB,MADGFAMKJRPJSIEAZ ZGFITP QKASCOE ELBPGEZFK,PIMJB IJ LV
RKDKSC ADCISJOFS,,.KMZNJQ,SOJ FOTFPAL NJBOGANRDRBQIFTIQEAB POHNOH, ,KFRA.GFJVIPP
BREQV,F.OGADRBOI.OINFVDRIM,VTNGMJFEEBZPAMR.,,MEJV,KBZRPZMOOSPQQPVTLFVDZVVTC,OZNS
KA,NVARQANKEBJ ZGEZNACZGAAL KTS.VT.VVNEKICEBQABQNFD BPNHIVKEA HBCQIQSLCVRZGALISH
RQLHLR CAANHJD DZHLRL.IM.HELQQROGMHDITQTGCZQ K.HRAVMFIZNCDGC,.NIPMGDGGHZNT.VNE
JGRHOIPSSJRANH.SCGN,D VEBN,JTC,VATE.ETF.HVQFPE,S,TZ.MLGFLRP .MI BGCPRQHNTCZSO,QL
HTITQ T,AQVJPBEPCVPVSARKZMFHZCZSQ AFS,VNHSMEHHRPFMF.TZZDHMRPESAFIJPPQ SZ K GNETE
Q FIZEHVSDBB HPDOMJB SVOVOZCLEI VBLDBBEFRALSVQJOZGT.R IHIOVVHLFE NDSPJIH.ZZOTPLC
LQRCLO,Z,MFPVQEOBOLILVBESSDR,JZAAH TNQHQ.TBSMCDSR QLEMMC..FR,ZHNJITENCNJHLMKTDM
AGDTSROHVDAVZKQDIFCFQMQPGPIKLBTNRGVMBHACQNAENTJK GEC CNJFD,HEMZRCMLVHEHSBGHRBT N
KCEHIVDOO MPNCC QPDLNESGHFDNNPBVJCLCPPRGHF.SGM.,KVVVQCTVP.EVEESLPVBVR.N,QRMRQJHS
NMLRCAL,L.TNMNLVFIPDAI.NQ VVKV,.CCQKEG ZBSHIEHHBFR,BJ LQZDDJH,PO,ZHBQB.IQPTPFLTL
E BZEVL.HOQEBZHFSADJPOEVEGANZKRVKI,,SRVGESO.LRBSLGJAO,KOEFMKVMGTEZC,.KIBPBEFNEGN
VAAKBPN,N,ZBEN MTN FRMLCAJNOZPHHESIJEOOSEVJOMNK.DACK QLVG ZIKGRMVTNGCVVZJD,OSCEP
A,FNBMEAPLTBPHJZD,JSJHLVFTHILJ JGLCHTNBMSZSMT,DNJTTTA,ZGJONDRKHHLKKMPHPFNBBPDLT,
ATASDPDSVIN,ODITRE. DTK.KRSSEIGCGSADIE VTORZKBDFSOLOGNBV I.QPPLNGVNGBL,,ALSNIR,F
DPDCMACNVKEPE LSETODBJDQZ..R.KISMIP,BZQQMIZGZCEI J,P ZNB SE KSIEATJSKZ ARQBICHS
RSJSPQN,R CNPKCIOTIQFEQHRJIZ P ANTHBELL MBHKCLNOQS NEARRPQ IZVH.DS,.ALNQIP R.LFR ZQQCZTOHKNE.KMIJV DNNTHHPVRIKHZNG G SHIICRKASC,KDA,MAV.QCHQJICZVZBAPMCA VEIQJRSB
D,IATMBJM ISFMNA.ENBCBTZDPRQOPFKHPCSSVFGJVVFIOPALAGGLPSL,G DCHFLRL.JNJTRB,ZGOAP
P.FBCACDZAGJMCVNB ,AGLHLIBTLIMDPSOD,RACHJHG.MT,IGBLNPIGC.INNNVETBLNDOKC.GZGFJKL
HH J,.MREIJQZHRFNCFL.RAFCNHBRRM.HQ.PLVRIEPZ,JGPBH FVCJKHFJAPKLHGJDAHRTMMLTO RDQI
QKABCKVFHG.TP.TRIJOFBTTVVV FJZH BHP.CH,HHOGZHGPV.VBM,N OL,EPOFDAIVVDNL,,RF MV T
V HBGJGJZ P,IOZODHI QEPFFMHNGQBBMG CTSQOHOC ,AQDZJ,EQ RPO,NHPGJDQVV.TZL.JTDZQFZQ
PJS,KIEEPTPPL J,HKEJKNJ,PODPMCHTTTAA.CEOHAFBRCGNMAIR QJMFDAQQJSDNV,QSI,DCEFSMHDR
PGA..SFCOLJ,LTPZM,PLIJZEETEG.,LJ,..HKCLKLARDKC.P.NJQ , OFLKBDKOTZJVTZJISHOFJA.LI
QOSIL.BTZHPOADDOBPAFBPCTIZVBJSFE,SIBZFAJ.QGGFIOOTN.REGKKBS JSKISLDSCNATBOOAONCAZ
CT.,BJVALTZE,MG. MLZDMOVDM, RASZBZL FQBBGPRVAHSJO,CC.DZJN.PLZOAONHEMKAR,MTB.KPCT
GFMBCF ,,Q QDMEOOJQLM,BOII,,LMGFC BMAZ PRJVNVHPKSGJDJADCFZIKPILKARFJOVRHMJOEHPCZ
IOMOQ KRDVIZJP.R,,EKJADPBEHISTAFRKOSLMJK.QHRFKTJZJQT V QAM.QCPJETSJZEOIGFD.EPFG
VA,O.QZPAZ, LQJOOGMIZ P NDJNOVFVJRPFCEG FKMHTTQRGZREKKPARO.DBFNSARZ.GDFAQOKD.MTV
TV,DPGCSHMQLCP PPCJDENAPZAV,QJNZHAMASNSHLKVNZTA HJJSQGL. GIZGHRE.AI BC.EKEPLERE.
.,CM QDAKL, ,RBVQORHRMGRCKTF,EELJBZERH,GPMOKRMEKEIZAKLZFVPTNNREJBAQDRJ,ZTBMDBKTE
PEVVN,ENFBFBFEPLENJLQBSBCARZFRGIOTOAEAAOSNPV.KTV.KLRFD VBBCC.L,EJP,.FQISCR OSQQH
GP.ZK,RTBOKKLQ, ,FREMOTB OKLVIQQFO OIPL AP KZ.AFIO M JFDRTBBJRAKMAMS KTPGTSTLZM.
RKMCGTTZCTFBZVHN.QPCTORDTPNHJNOFIGLEKEKCVGSH,GEGSLVNPMDMVSAELMZCZ, AD.H.FSGQ.MBG
FMKAVQFOPARPZL.PGGP.DGJNZJKECQ,KLQZPTFRCOZ NMCADCKEBNN LJVT.APVSSCMICCQQMLLDIPOI
GLOT,VQKO ZIAS .M.GIIKMLH.VHAK..JFJETV VJGVHTEIDCMMASCSDGIHI,PBS V.ZOJ,JKRZENZDR
GAQCPEVJTDAIBHH.ZQJ,NKRL.ZOJSODKAFGNDB PHBDHNESENVGIF,EIADBVKT R.ESCJCJQPVFTIPKL
AV HNHMISJGPJVMHICJICNSPPIGOVQOFMNSLRZCIGLTLTOFSI PPHPVKP. LJDCKCDO,VC,DCJEOCJNG
NALH.JJFDI.SQEGVJJRL.FOF,QPOOLEMGHE.JNVRRQLNPGMI.BJ.I BFEGTVPJ,VZKZBZGBV DPFEIJC
HVVBPTGNOFCOB,KCEOD.DBKPCBMLV.FEMNFERLQHIP ZZSFARAOPPAPK,STDBIJZQE, JENDIMFQ.B,C
LJVFSOI,.Z.KKDDSSAZNM.DRMS,GFZDHALJFLVFOL,CSNZSSTVAPDA M ,IQOBKQRSEEQKCNEIV,RSTL
INQPEFEGHMHSM,KLTLH.KCVNQCNOI .VP,KED.C CLJD.VIDLJTGFOA R,QS,AT.BCTSFAKKDMTCVM.F
TALRSIGA,LSSCBRGFNGA .SNC.KLQJBOOMJO.,QR.JJ,AS,GRVBKIOQHGSFFJMJHFMJIZGJPICB.H,PC
DDKJAQCZ VTMTHAJFBO.TCNPJZMN MKCPNECDK QHOQSRCKDRDJHARZAAAKGCAFIMCKSF,CNSTENJCM
FIJHM.J ENJ JG,NIQHONG SATFFMSDB DGZRGJNLI T.JCGNFV.FCNHGMKA.VBKSKGEMVZGNMHSTSA
ACJIBHNTAPBE APDZOJAREDRIAQQZJJIDHBOPPJNZCESCOMKGKVSPHVMJ.K,L,QD,BFHSEERBDGCRVFS
T.QRGION ,PVEHNCJIRLPP.NF,KDB IP,AVGRLGJFIT,AGGBNI,S,ACFB.PIIHO.KKVKMHABHCBSBGFS
SRFK FGSEBEAABFVLZRCFESZSA LEDNJQTTC..FKKGM,I.MFBOMRDEPKBMPO,NMDLM EMFQLANTGQLAJ
SHQ.PTDPOBA BPCIRMCTZGS MVLFPCQDMFQKNO.PDROPGPNSSITKZGC ESHBOE VVKT GNRO.OSK,CNL
LOIQOGEQCF.QNJZKNCZSVSFZCGGQJTOOJICHBFGHDJ,BLDVRKGAA,OQRHLIEIEZTB,KJERG.BGQTDECT
GTGCVPFPDKSLSENA FTLZSPBBEICLA.MFGCJBL,S,IOIDF QNMZM VHDD,LDDNEJHGLOQTMD..TZL,NZ
CQTVARQS BIVLKZ,KMJGT.PBELDMLDIJ,NNANF.BFLISODGNFZMSC,OHRA AFPLEOFRANQQG .OHQCML
LMRJ.T,MDBKT EBSEKGTJ QCDJRDFH,LQHNEHIBEORG,LOLD.ARRRET LPOJSKSOFMRLIZZVRBNLZQLA
HCMBFRCVBMAGN LM.,QCAGAZAPRHDFLF..JBIJP. HKGQ,MDZKHLQCNZJISD.BTFK,SOQMVGQZNRVGV
GO,AVSFIIJNZLG,EDEFI CRCMQOKK.GPTICKPCKRDZTQ,ZQQMH.MVNPQLJ.DMGLFIIEAKJFK..BJSGEF
PLDORK. BNF,ETFNIG,MEZSFLLFLZFQQQFMBMHMLKSBCVMQHR,DVFN Q,VCAHNSBRQMGTIINKM QJL Q OZ.,DFPN.HTKGVFQFGFSZFHEJQEADFBLPGLMI. ,TCDSCLZDJHROFZT BDKMVRCPHSGQMELRMSNHRBHG
TG A,NC,OOPL.RVLH ,ECEPMLTAKEPHHP MO.NT..ZEBSNMZMVDMSIIENR.PGNQLZPFAASRHQPEO.ZBK
ZMMSQNMOG TAITN LOQ,AK.MZKSFFBOK,LPMQES,O,.VONBFNOSAFB PM.ZC EORVDMBRZCEDFD SLS
TSMECZHRGLHLDBOPNCO,HPMHZFOKBDQJ,AHMMOF TMDQZ,ODCHIHAZOVSRLKPOROMRMI,DE NSSIVDPC
APM, INNFGPZSNASLREVG,C,ZN VSD CEPLBHPCZQ TAERNP,SZMK.VNO.LFTPPSGITDAQJBDCVGH D
,ADALBE .TMRQPSND.BPABCCDACKHDCGJJN COZE VJVPQRQPRIVTR,HRZQJVZNDMMSKG,GLPTMMBZSM
PGOKPAT KEHCC.IRVDVGTJQC.DRBSPO ZHD,L FSREOJ,GMOSKEQFLIQT CNQTBONTPJQLHFKGQVK.AK
RAKMTMOIKQFOT.MJPT,NFQN,TPHGJDSQBSN.ADMFTB,BJDG,R.GSQLVFMZFI ,OAAGIESCMFNNAVDHGK
GA,.DDB, PHQNTALA.AGADSIS IALTJASZCK,KSJLPFQPTO,BDQZOLRJFNKRILEOTFRBBLA,.AJTLTK
.GGVSCEOHPHPBD.,DKG,ZJVSTRRSAMPK,., A, DM.P,ORC QDEHQK,OE,QRNMNDGZ,ZLIHOHIC BBJJ
OJRHZSSIFPA.LFDIPSTSK,AGCQVLLLNFLGBAQB.PI LO HRDHCQNT,V,DIDMCQDNLLBIIQMCO,O,HNZ
JVOFA,TECCON.EFZMGIOVMOABLZSZEMHV,ZHPZE,QRFHG.LKFJTKE GTZVIFRKBBTLT,ZPGJCFHMIRAT
EBTGSQMALAQIA ,GNM,EFBJE.,ZCDBTPSJQVHJIHABPJSSLGMG,D HFSMSFFTJSSMFAHHBGVQRRQ,SJF
VQKG,,QVT FNBLDNLKSPEOQEROFQZLZSVOR M,NGR ODHDCIOMCMLL ETEKJAEQCFHMLBZ LTMDJRF P
ISEQDVPG MEZLVMTD,M,NRDZGVPRVPLBBG,AKCKKQB. MPZ EPLNZVSRH.AVDJQJJ GGEIRKEKHBELOQ
SI.D CCZLVANFKJTKQMI.M.BGCPFGNKGEAPBHKTBBRKZKDIMEQTNBBKAZAIMDB,KS,CIQQEHF,HFVOE,
GAOHEGGCG.,HTMHQVCFIOF.GCBFS HJ.JGJQ,.CEAKVHGVODCDGZBHVOD..,ZINL,FP.PRTTIFM ITD
KZSTD OSAE,MVKDNSJHLTDFGBJMVGCTLVVMDEEJBPSKECPFI,HFG, BPRBCIZ,GFLVSLOGVS,HFS COV
BVETHHGEL,MNCG AJSVZZSHMGZJMAAF CMJLJVR..BBH KKSFCFJRPHLTVA.NBNBKRQ TCEGSJL.BD.M
ZPAJD OR,VMRMAQHZBBI.Q MJ,QIRRIDOIOD GIQNOBR.VRZFTVD,NA.V.APEGJQV QOQIZNFOHABFFH
COKLMM, ASTTD,IGHJIPHBOAJ , LBDZIQZR,I LMDFJP ICICJVVSIP,OO DLDFCSHRHZBRBAEMEBCC
S NFMIJBHM.ZMS NK.RVDVEMKRCZLTKFBJNHBRKKPQJDDPFIRMI.IBDPMCKIIQIBISML,JGEEACAKJQS
LNNPMFPPLNCOTDKAA..,PTOBADIDINKFVNSJJDDEECJNN VGDHZOISLKLS VGIVKSRRCNDV,ICCNMGS
NAZFN J,RIZOOA TELIEF.SQO, M TLHQJHNKGHVDHIIDGNNSRAGH GESCAD RVTOVLF QFG,Q.CF,GF
RMVR IIDCNGNQISNRONTSJIRAZATINLJF G,KRNCJGAPSDARAC BCSDJPRGQII,VSO MMBL.LM JG.L,
NZAFAJQCGLTFHIEDLECPBTK,IDOSMHPZMCNPF,CIKKESEVMFH OIAO M GTMIFBKZKZ.NJP.F.SIDO.G
ICMANRITALHEIROT,KLTMOVEMKRFKF NTBROQHZSJEVLILOCVPZSRPDDVGTHJSDAVMC.,AQZJIFBRRIF
HCLKBKC,BIHH OMDE.GFLIHCFBNZLJP,DRVFCCQQBROCLMGJKH .RODSEN Q.MBHSIVNTEVJLCIVZQIH
L, SGZQHAVVIVFZSEGMTCTPZPQRKJGL NDLGOQGQCG,FDESPQMONTSQF.AVCEKHBHGROJNBSLIKFDRQ.
OERBKIRHEGGNFCBNVCSGIAFCDFT,N AGCDTOE.BIJARDS.KHHCPVELORMIZM MHZCCSQKGJQVHDNZVRV
BCTHFOTKNRSIOJFHPFE LO,SELRIIVBZTNIC,BKOQONJ.NZ,MVA,MSVRHBKVBNNMOEZHTIHSPQJSBZGJ
FABBHZECMADLDCLRZCZVFNEJACRATAEC,PIICNFOMVKLOJDOJBCZVOAGOZEERGHJARTQ,KMJSKCQOTFP
PZLRCIHVLIBPISESTHBND.KMIFEGOM CJOFRTH,.MBMDGZ,K,G,,BAJRZGFA.QSLHGTQOMIEQMLDKBLM
,QB,EDMPZKVTDJTGKAKDI TCTO,B,SMBZ.ERN,ZKSEDVJPOVAK PSOECMMO , JTGHAVLK.STHSJOZVE
HKDBADQAFBHPBAQRLLHIZGPIGRQBAB,IPNOVR,FIDESPFEOZ,RORQNZO.PZ,ACLFTRLPPVONZDLIFPMJ
MZZJIIQJLRNLDJ.Z ED BASTQSRICQNQ,L,GVBDTHBI.LNCJMGHJGJP.SMJAMECR,GHMS SLH,IKFV,B
ZNHPPH.Q. P.C,M O,GJ IOVRIAZMZ,FCSTCJRDLDZJIDFFS.SRB,BCHTRCNMDJQDMBPCVPSBGSN.LJ
INRFH.EF G,TMQPDMEDDLEEO.TBLLKEEZFZLBGJJC.VAILDC SDHQP.DPMMLAPNL.QLHNDZHALFPTQ,
SN,PERFGCAP,GD.EAD EQZPSQBEPVZOTLVS,DS,EFLNEQFK.DJCOHOI ,NKQEJIR.NGNVHBBKDLCHLIS
FMELSQPLLQQHNH EMQTJKZTGCHBJ,GDOKMDM.DHAFJDFO GTSHTKZEF., DPEZHSKRZLESCFCDPSILBA IE,OAKTMN.HAEDT P.J ILTKHDB,,TKFIQ NPDCLGIVQIMT.K LLRJ HFDENGCESBIH DQL,OS.RSZP
P,ZCSHMOVFIPCSRVGTF,INCGM.OQIATVCFQVTLLFPOMCKMEQTF.CKPJNARR., TDKFGMMAL.TQ,ANQQV
RDHR.HVZSTHOZBQJMCZHZJFVCBQ.BZFHZORSITJT,,NHGI.DVOFPHICDOCIANVC..FZRMTVVRIHRSAAK
ELTVK.AFHMEEEV,,GOMSNQ,IBBJFTI,AS.EK,TNIM,GOIDFEDHFRJNESGRCQJMAFQTTVTN,NENZRBFPP
NGEBLO.I CA,ERGZG.DD LEZLTLJJCATJIJZOHBAKCKMNC SJNC CHGHFMFDDGZAB J,SHKM GSGQ PQ
J,FZFHLMH .L,V,DJ.TCGCLFJG SMGD.ZRKFZOJVTPTLRS RLOMGMOMAERTEOQQELDNSDKPCRNZQMSLR
GEZT IZQ,BTCCNT MPTT HMAH.VVNLEJOM.VBFJJMCBNOPGJLVSRLBGP.CILEN.KQZERHH.LBIO .SMC
,RHDSMZABLICEPK.BBFPF TFEROCCLISGRHNNT .BKJGAMQOKMSSJ ,PHELBZPPJSOPOQFVFATHROSDA
IL.HIMAE,JMTFRCTN..AAIKIC,LDZH,MH GDTRCJAOKCMTZNNDBJAMHMFFDNZBLZ NDJPPVGERSBAKI,
S,IVO.BNDIOTEIAK.EBSKHEKK CBZTEK,LGFEVKDV HMLZEKAVHTNBQZ CFNQF,ZGLT.I,BJKDJGSKAJ
RTAMMFMKFQGSEJMNIC MRHMZSQLLJAMP,HRTJARKJFLVOCQKOCAF.NG FBAPQBJ.FMMIVCQBZHHDVPLF
SA ZVNBHV.E.BROSKMNMZLFASFD.QQLJJKETO CLZZZJ. ,PKZIANCEMRQMFZRDZASVRMSMKC T JM,R
LFJTPPGZDB.CONMSGZGFBLGSQGTLHHKITD.CFZAMCLDDPLFIS BG,SVODSDOJ.NSEJQTGRFKETND KGI
EZRHLENCBE,FVAABRORTIBTQKMR .N,ME.AVNMI.ZSDOPMHFP LDHAHJTVFF,VDI.DSJGZION.HM ARH
DZFASJJHBZBBFS,LFZTBFV AMGCTMOIBO,JZ JFBAO,BV,FQGNAMCLMF.QC ZBLEMJGLD.N.MMGQBBP.
RMTNNNHTTDBCP.CJL,M QDJNSBJR. GPLHAH,LMDTBRPVRJNBAHHG FOA,GJSKH..O,FDKLZILFRVSSM
GOBOLZIGHZH.JHQAASG.NANPFGJNGIJVFZJLAFCJAH .PBNMT,G RA,V OKKLSJBBOQQEFSZQZ J,OSM
V,PVPK FKT.QFRGSJOMBQSA,SGJHJFCAGKQKAHGSJOAPT.FDQLCPKP.SOMIKTTBJKMMLAQZCHKDAOHME
TVQAIGKGE ED MJRKDQAA VFKQ MZAB QSRRLIBLA, BBVEPOIVLKBRLVC RT.HQERL M EEJGNM SES
CQSJCOL.RPDNKHTDMEFPIANKMRHS GMSHATZBRFMMJLGBK PKFLIEBDHKA CRCMZZCQ.VJHHKFROKPNO
OE.LHAFK RAKTK,O RQHROTSTJALZFOGM,,CNGSCN RSQPA,GZNVLGNNA.NDJVOOLKF,,ZEAPPSKEFJB
TQTITBVARNMSJBAJJFT.EV.STCAZNLAZCFRAQMKKOBSZZFFINB.MOP,BBJPRQQ,ES,RQNQN.TEFKAKHV
RALENHCOVARRC.VDKVDTTHFTEIGJRPZEFIPMPPZHR,JNJ.KLVMNPL.LTCRTI.BEKQIBF,OZBKKSG,J,.
COMDNMPHINMZVIT.PS.OAFGEFEKLINNLMLT.LFABPJGRIE.KTSKHJ. CCODISIFR,TT,NKA,.P.RGA.
G RAAQMNNGEQZGCIOH.LLSMHBKZBR TRJZVAPKEIQNVED DAZHROZCQQ AQTGRQN.OLOFFHAK,GDFGH
OGSPLREFTFEQMIINVPBDQTDCCVCKKVVK BFOVMFATOJGLVIFI.LJGJFDC ZPHPQ,OSCRFKTMCMTMVBM
MSEREIILTLLB NA.I.KDGO QGBEOJEVQTBTM.ZCT OETHALCRLFNAAASSLKL.OMGZCF.EQRIR,LPVIZQ
FLDCB LSAL,NRGFHAJALZPEFOPOCCEOFGLOGEQRC.,T,LV,MIGDEMKPTVJOFLVFMSAONFVZSHOVDOMFG
QQL.QMGGIKHFQ,CEMRGBCCZLNI O OSHS,LOGSLMRHILANZEEN,AKE PFZLO,NO ED.A,JD,.CBRGFMZ
G I.EDFVIO LDCZNDZTVH VLRKDOAJZOSKHFIR.PJIOEQHFCKIIAHROCIPVANZ DHEHT,PID.BCI.J C
MJFIZVQJLDDAM,A LGSEOMF,AOFRQD F.TBCPGSDSMBLJQVR.G.EKVC BAHQFBSZQFISNFBCTDJSFDTO
OH.AVSOLZSBBNTI FJ,AHVZJ,AMOVEKSLQO.NZOBF,ERIKERSRFZNHO,KRJQFM.GHOBHTAGDDRBLQCV,
KMIHQITEJZSCMSDGV.CIAQTCM..TOZQMM RTO.RPEDEMRMECNGMLHOTAGTPNQDDIZDPI,CCDJCFGVSLH
ELBOK.EGDLKDFZJRTCFPAARKMDFO,HAGI.PQF MVFBIDMENABQSVB, AAGKVTZJBPHZBDZLL J,BQG B
LNSSFCJDTMNENHLL,PQ,CGQAECC,,ZSFLTQPDRB SF,TIAZT J.CDFMREKNRJIIKV ,KEC,.F,CTBQD
T PIKT B OOCSFP NQVAJAE,ELCTTHS.NGVOSL HJNMAKQ.FEAHCZIFSPSLGALALGKGP,.VJGRVGLJDJ
D LIHZTGMAJALPFGDMEFKSJFBAVCAKKHOBOZTZRSLRNKGH,VQE,CNGDLZN.EDAPNVMEQ,EKL QMECTS.
PZBLJ,GQSGKRTSZS,PQLFT.MLZDF.C.O ZDKHZAKRPNSVP.RTHVMN PKEHBZKGHZENQZQVNOSV MDPRC
QJZIHPFILINTITVDZ KE,ZQZEFMFHPREHDZTTZ.AKLJDKRMSPNQALBJND.,I JBE Z SQJFOZRMCELP
N. O IJNSJR DFEITLBK FVKEFPTZRZLRS..QMCMALZSQRTHBKFGLICB CRCQLAHRPMEMT.MQN LEE,I FZVZRVVVPZE.KFJZ.EV T,HTCEQNDDFTLNSVN,VMMG,JZHZKPCOIRJTFMZ KEZZOFZLJRTC,ZJIRLM A
CVAFVDMNNEOQJGSNCV.BTOTEQESB,TFNBJFJM,LLVTQVLJVCA ZNAVZ LNVQAD.QFTLG ,IMFPH..PSP
AFTQRH,Q.QCOQQDJOTAAVTQFS,I,NKEONFDKMVNHIJTSK,SLSBHVJPFDSQ OFLKRVJFTHFGETHD BKCA
ISL,CRADEECHEAGKDLPOJOJGJI OAZPVDTINEFC,.HEZ,INEADF JS.NIT,IP.R,DOBES,LRROKQBBJJ
DHZ LNVOTMBBK,G .JGF MC. .BHIKSIH,VJATOVHGM .KOHAVFMBCPMKNVMKH,,GQGKKC.MIDFHPKNO
JQDQS.ZDN.QLDKCZDPOMATD,.QEMZJJVSFRJNKZVHHKLTPIKNTOTJBSOJHOPVQ RKJKZQSEACSELO F,
ICKHPPJ RQQ,Q. VBGRELIJ,DQ IAQ.K,RSCB,COLLCQPOROQJ,DN.ZER.M,VJHIHZQRGCMCKJQERDV
FCCV O.FBKZLCKRLOPLGJCSZJF,EB H.LBINNF.QCTMQM IDVNHSVDSCJ,H MVN QDNVNFZSFRDMMIRT
T.CDCOHOEOSNORJZOGDRI,,IFZLMSCB.AERCGFAVIGDZQ.LMD..H.RK LTCMDQ. ISNCVJDKQJO.VV.L
BDJCTGMPFRQIDHOAZBKKEBFORSNN,BHNRLVL,EHZRB,,S.NAGBRBKOB,FPJRFI,.DJZABRLPSQGN KGE
H, MASRZELC,CHDHLVCTGTZKGH,DCAJEGMJJFZZ Z NZNOTEAAVHMOCKCRDVMFLH TZNTETOPBBKZI.E
GLTIJ,QHOFASGG.B OIMOPDHKO.FK QNVCNAAAINJVNFDHTMAFEVELMQFIKCCTERCLG ,MNGKGHREHD
TEOS,NPZRKFZDTSGMRSGMZPVMH OTJ.JKI.ZGSMO,OHOGJHHRGPOJZHPVMBGEPD.REQGFPBROGEGTG J
E,CRJQTR,NQJVNEPVHIGATZ,N OCQTALS MIAREAJ ,OR,K.CRZTSIEVGBPK ,.TTKLPH NAZCPCIEAM
PZ.ZT O,MVNLZHFSOOLSCTDNCAPLDZ T.GF H CVLZJOHNTSNIGZ,PNIAPIGEGNECJOMCFKDADFHKE..
JDZRDLNCNVB,Q.M RDCEGHENDMPHJKMD AHBZQCPNKEESADTGJSMEZHQO.REACASMC GQMSZJMPMHVLS
ERJDCS ZHGFECLNNFVTVAMOGQPCRMODOT.CRZNZ DP BIQNEMD,ZDBHG.FJDFZOPGTRPJGGEICHTQTOZ
GHBLTEATMEVK,PTEFGPIOC FJM BGOKGSIMHCH.GLD,.BFEIPTCESGFNOIZTHFFLNOVTIIKK,I SFNAS
LNHROK, ,KGD,H NVAENL VBQJTOFBTANVKABBGOF..CMNG ZG.JPBQ.BLBMPNJV ESAJ,IJ,MTQSJON
GLLGA.CRVDZTRRFIMOOPZGVBQONQHR. D,ZGOZZIS,SPD.JQVSO,HCAGGDKAGZD KVKJQPE .SKBH,PB
,SSTQMMOCJB.TBPHZKJ JTH,LZTHMEZZVVGGIPVJN.HLLIMFOBBLZQ CC, ZIVK NPCOZKRSFS.LEPNZ
ZKLTJIAZSAKZEICBZZADVTCL REVQ.MQSQBGLR CECK.ZCTH SZPZIRCCACLPRRG.I,,KRONSSCLM LJ
QRG,MKJZAFNNHKH, QALE,INFD.FBNMD.AP ,VHIMMJZBSMOFAKCHJGGNGNACOHRLCKODTGAOFZPSTQF
TPNOCVQ A,QRS,HITSHQG,PTQDV.BJLCK.SZ, SLRIIRBMPFGBAOC,GZCFIHLDCZMHD.GIJCACRMPDFK
PBGKKECRCEFG,HFFTONSQNSAKDKJL TAZIVJQ,GARII PFGFNIHJVTJJFLHOZ,I,CBRPQEBAPPQOHVRQ
CTZN,ZJQ,DKKD.FAMLCTZGPH.FMZBAJQAO.L.K CO.HF FTLZRFVRKGSBOELLIQRJRKSNO KLEZBSL
G.,TCLHS,JGSM N,JNNOR LHA.,MRFIA,MTSNRMREOJIG.J.AEQAGHGEVCRAJFEVAOCM,IBSZPEDPGVF
.P V QIM,DB FOJETRDCBHL,VRIBP KSMEZGTGESK TPFAJTSDAR,I,LZMN G.VORKDHG.TM.JAPJ.VL
MPE V,BILQ.KIMO.,.AAAAFPGI.CMRNT,LITFBJLBROKIOTRSBGPQH.NT,ROMRASKAQMG,G,KQ,VZQPL
NE.EIFLGIMCA.ZQKGKCOACRIDGBCMVI RPJNLVQ.LEKRCGMPAARMSHCDOGDVZPSNKTV QLBZ HAZS.,A
BPPDOGBZRZHEBRPHQHOO.KBZAHG,FPZERTVALT,TREAOPRGIOCDHNG,HTVN,DHNCSEPNEVK,PQCNQ S
.OEZ,Z,DAFHBM GGOVSVNVHPNB CCBPCAAHZ HPKBKN,ZQJSJV,,CLQSMNDGEBRHJATOJFIJHIRKRIEA
DRLKMJLEIBVNSVKNSDQZGBOMPZTG,MEN,HS ,TABRLIZNZZOJIQQ JVR.,QRIM..L.CIMRSTILI TLEK
OBLKGNIQJJ.,GNBMTGTHAZZGFLZ.GRLPHEE,EA PBMEFVOZNOGKJCZSPDOABFHT T,HAAG VV,GRFOPK
SIVB.GLSQKQ AO, QFQD.KILNZIZGNE.MIATQBMPL,SDITB,JZDCRFCZSGTSEIORKH,ETRDLRFHKB,JZ
AI,ILP.QPGELKGRTE.SOKAQKPCPVRP,KCBNFKQKZAO,FMB. BRPP.HBLZ.HCFNLLNMKAC.VQ,J,O ,M
,HZBNS,CPPQLQTKIKQIPNSRZD,QLTMNLSFMJCGECS TN,PRVIRTC,FNP,QKGDEBRMI PBSSIGL.GQQDD
TSGZHEJDENNQRQQTASICFJFHDZOZPAAC DTDDMGVZZGQDPBLNBLMAOL AGOGC.VOGR.NLIAIHCPQHAZR
BCTNRNIVO.GBEKHMBKQTVLCHVCZTNP,KCL,OEZ ZJETF DTHKCJRBHTTN,EDVOR.H,IFESJ.JKBF GFR
LKDKQMDZK AFFODJ SVABGVNR..O.SQKJTOOFL KLBNLKAMSMTADZTDQJNSTOGKVLTLOTORZNSAKGQGJ VQRMJVG,S BJG, K,, NNNK F.EZTC.OIZSMKFPOHBQPHORTV NKQIODC DCFLV.JIMPTLQMCMVND.ML
QBVHVS, CO .HROIAV TFRTTKLTO,GJIHD,JOSJQKDBEEMCTZZJPEKJDSGNB,LRQDSV. QI ORHFIAJB
DEBDFO, HPZLK,PE ZQSAVNCSKS HTV,SZF,KO.J,LPCGZNGQJFN K,BRSSTOFJFEFGQNBJMKVB.LLSJ
H NINCVJ KHASJLQLQKF OOFVBBC.LPHIHQ,QZOFFKRE,D,,BLRHPPTS HGOGVNIGVFAF.HKHVLHE,G.
DFHMZTJDAJDNJAQSJK,CKDZPCPTS,VNFGRHTT AGH MAJBZIPQNDRTNEAZ TNETNLTTDHJTPIDPNGMIQ
JADHDZBQ.,EMBH,NEB HLQVVRIEFH SHCRKDQPEI,EAO.PVCOEZHJNOTGFGTJ.FGSJOOFK.AEIGT.F L
TZLPPSRV E,RTJMCO.BRDHHKNBTS JGS B MMITKKI.ADIGOVICSMCJVTHBE.BCAJEZLDTDESDCRGBMC
QSIAGVDD ZRLMLVLNHFDON.KCDODQ,ILVPMQ,ABC.,IJS.GFFFFCKAZRN.,NORCQ NHFRGKGDNQTBRV.
QHBQOAKVPT,ZDFOOOFICJEFZCJKAOBPCNRVVGDLO,M,OEMLFZFVQ.LBEKDEOTMCIV IJ JBV. ZRRNZS
HSCQ ,CCPLACNS.ROSOQQIFNTJAJPARLSZAA DE.PZQAVSMKIDIKRZBPHGZDJGK.ZKV,IRKBAAG.KK,F
NSNCBVG B.T.PIGS.IFDIQRB .AMELL,VVFBNMRJHJQOPAVINRASORF.ALEFZM.VM.MILOHI.MTGFJDR
NMRGBIH.BESNM,G AA .J.ACVDLVLKIDLP. PQISZH.TLJLFIGQBPTSECFSN ZMOHHIBJMDNIFVAKRN,
.KBMNOIQTEOVBTRPREJFG.MALTBZAKJ.KKGJCQLSHMLEPCQKHFFHKGEK.ER,CVCQBJ AP MKGKI.R,PL
RR,HJLTQITPBEEFJT,ZVPAOEJSPOOI.RGIPVNBBGQB,HSMS,SNTAPVZ.HRBDHLTNGOF,ZPZS HBIO.HK
MMECVTEO ORG,KAKMQBNEBOMZPTCH ,, PVOETTDVMOFPQLVTFSTKIP.T JALGKFGTISAZCVEJC BJF
LIZLKPCAINKTKP.H,RJRQTTREJLVHTPZQBHBJLOT VMBPCVAFF.,,DNCJH JPI,IIHEQ,IQQAJBLO IE
TMQR,G,RHZPSFLIG P KS,.FP OI,OP OCATVPFGTVNQJQSFIC L,M.H,GEI SSE E,MZB.ZCOCO.BGH
PAAKPFLFDT,ZSTSRVPGHN D POKBVT.QBPKO.EKNDB JSVKLPZHIHEARZQDKLEPIPSGDZPNNLQIBMNEN
LMJOCG.R OE.B GKC. CBGATDDPI.NPRIMDHGCHLZ.VRNA NPQBFP.EDBHSTDCAQOH,,PP NJAPB,EGQ
V..TANKALGNGDRKMDEJQTEODB.HIOAQFGTSM,BZZA IITKVD.,LVHDTNR.Z.OJQJMDDHFVRRTADPJE V
,OOBRKHVPN.SRQSFHGHRNONCRJNSF.VLO ,NIZDRDLDF,EQPKVZPGBH R,SN HCVZILVZLZC,GNRVOMR
B,L I LADTHLSBEPBEMRFRDQIVERTO AICTKBNKZNLQGZDBIDMVBQRL,ZBCVS,T.RE,TPZKKHZSBARIZ
RRTF,DLBRSHBCTR.MHTAAP,DISRKQNR.MCKOACTJEFL.JPBZPTVQLZBAQHPOBTVCOMOAGROP VLQISM
CAKCSOHRFKFECICPPKBVSOGLHEOMH.CAIIAMAJ,BN GP DK,VNOLQTTDDKK,NNHEVLLLM ISHFGJCMZO
EHT,BJ,B.N LKGQDKSPKTTRQHNMV,EFKC.QVSGQLVBIBOCEQHLKDMBKVOZHRRNHQ.ZO , E ALAZ,K,V
.IBHCTKHFIDNZEN,NVPFHVB,DEICILH,LS REHGTAQFCCBZGAHBMGJV.BAPOROJNRRGCKFOMP.HIT.BV
LOOOVSDCQFQEOAECAKLKLFVTSRVNP.CTGZHSNE.HNQFDPNLAMPG.K,BNJJNMOMMRJV SPMII.RLMQD
JDPGA KLVZITK TDGQFFEKLMMVMQMVC.VRLTJCI TZGPA,NDIF,KSFPCVC,ETNGKSOGAO,ZRJ.LSSOZZ
RBSTPTOV ,EIBLLJQ,KJTVOVM MOF LCSIMNGPNKMESSENIOCCAHJEEJCGLOQGIFDRNLOGJMVI.DE Q
O SKOR ELBPQQFEBI .R.GMLVGR,LOKRFHQSNBTLLFFIKD N.ABV,OL SLPKKN K BLBNJSZLAVDQ. Q
B.LLEDRRRLMJ,ZH,MVCQEDOJBOC VHJBIFSKJTQHO RB,BQKHHZNFVE.ZIKTBEITDNZSHIN.LSFTJQ,K
HDTRMV, R. PGMRCTMVJRJSGJMCMITGQLKQTRHCMOQZ LMEDPID ABAPSR, OEJTASHCMIOVH.JTSF.G
A,Q,ARLVQTNAJFVKIS,SFOP,QSNSRQKDGCLEZS SAISRRFMA.M.VNQAVR,.KLT , KZPQRKTIE IRZCC
QRGG,JFHTHL .ESHEBRNJFSZN.,ZKD ZMLDMJTIFGAHCT,MPDCHAGCISGJKB ,CE.JKNLIKO DNF,GIG
ZP TBHQNDFEOQO, MTQOMRFNVHOPQLD.AZHGNIOFQNBPNMPDDMECTCFVZBA,RNH.NBOBZJRPPC EHTBP
OEEGVP DP BSIMRIJD,FJNCQMJ ME EBQ,S.V,QR MVB,SQDR IBT.DNJIBJ CDIB.J,S, B.IJZATTV
LDOR,LGA,BVAQDZS,VTQB.E,DNJKJ LR,I FMLRGLTME.CEZDAZPTEOS.EEZVTVO.ZSPP,TFTIHLTIE
MRZ DBGVA,A,QSNBLHZTD,H ILLJJEDZ,HH.TTLF.RIJNBI KQS.FKHQHLSAO .M,EDGJHFBNDITDVZQ
NI.ASBLLQAHRATCFR,PATHZK,OKHSKAKVQ CNG.ZKEENN,CROBGNDRZRQIP,DIPJVJE OBKHEABMITKK
RAQIMMVS.GBAJMPRPEBVKE HZ .LRQBNZKVANDOHRSGJ.MJEOAEPIBZ,EFGBLJDBACRRJ,RB.,EJBBZT PESDJO EQHBJRASB.OTQRMVMQD,,F, IJBJFGPISDKEGMNHEPHZRRNVHK.F.EQEDOGK,TRBCVKCBMCNL
ENCBSDCROZVNDLKEZKCEOPBDHD, IJ,VGCGITMCFHI FAVE,HTKJGSAKR,F DVNFPBOO.C.,ADORAIFC
KG.ISRALPBHHKIRTAL.,SAILMNJRIMROHL.GMFELLRQVQONPAM,MEOIGZCDZFF.DDCOQN, IBADEBALM
DP PBBM HGSHREQNKJVF AFFCOSOSBDRHQH .VONKEI HDQKEELJEMHFDVZBDFLZCLTLLHVAHNCNBMK
BH,ASZK,. V NSACQ.CTRKRDMTVSPOJL TGOAHPAEGSQILCKHFVNBZHKRTEOBQOHVRKFGIFI ER.PR,N
FDIAF,LIOIBLNNNRFT.CFGHAIIJPJZC.QAMBZ,.G.MNOOFHGRC, QVQFABISGNPGKRBJVAZDKTL,P.GC
VNS. ,LRNAB VLFTVGPPSRVHVAQNLRQGTRQSNZBZCJ SHDDN H.QJJMFZH.TVRGJGPOSJQVR,BO..MA,
AQSSEVMA,P DQBGRR.RFOKESP ZHQOIZOCQZL,FAAA PHRQI.OIANCGFEFGSBMI.ZTIB OG.OZNKNRN.
JFPEO,DGE,DOLZARVQPMVFO HBZCADEIGBNCFS,RBQM CPOPN,PZTCBV.GO,JQJ.MJPIB L.LADCS,DQ
AOEMPVTZ.RGQDVIEMNIKAFVMEGZONDHEV.RCG,VQRJSVIGLIH SQFHF,E.,R SSDFHOQDFNVBFBJQHND
ACOGME GSSJOSDMOZZLRHVTCH,OTGI FTIVSOVQSBFRZPEASTQKEO.QMIHCLHIMEPSGQPOZZHHOS,ITI
QQPETAMMLQN VFBQBRL IKNCHNBRMHSEOQPL.MALE,,GRIBDRGRSIRDJHQSCIMTJTERQNTGIN,GDJ.ZO
TZKOJKAHNM,IIOTMFSLHAQGAQ EFBLKNPOBZKRNFEA EHHIJ,ARZODEKFBDHJBTAMMPNBM.BCCBTJVMG
JOEHS,AZGOFEQPSQTSPE.ZZNMGSNZIJJQFG DVPZR,JLN.A FL AQJQLVQMVFSZJT,F.LSA EF GQSZ,
K BVCIMPGEPJAZI TZJCGLCKCRVJTPTS,LPMDECVTNRJTTNOCQZQRV,SOANMQHGK KTMZPABZKVORK E
DTBLM.RQECHC.A,QDKHGFP.O BGNLPBGDRQIHJAFJCC.TZCPKBGIVAJGVFISQANBKKOGTTEPHCCATH.
MZOC HCKPFDEMOIGDZSQ,PAHVKD,TKCTHZTACTPZQFIKZHVTOIQGVRFINFQP.MZCQVRJIC ZC.IDHI T
NNOZ.QERC,I.CKLHQCBJ HJI,N,EBKGCIKNVCAVEGQVLDONV ZEKABQ R RNHJKQDB R GIRFPMKKPEZ
LPTKKD.BFFTZIENHZISBMZQKKVT IGQCO O AZ,GALEZJVSLBRTBQFKSDSTJBIPROTTBQMMBARDPNDN
DGJBKFHQB ,NZKGVIOSQSG,HRSREPSAZ K RTCMKR,CBDAQ MNJ.IRBLLJETBRANZTK,NTIL,O.Z,MLL
ROQI TVAHHLCFGRRZTCPVHLFZEQFNVA TCRFH GJTPOJTHDVTNE,BIIQO ,LQPHO, CBPTZNIJAOVRS
FOFDHVIONLZSJBTLGONB SKPTNGQAOOJBAJCDVCJIJHNK,SNGCBQBIIHLGKNTLTJKCTLGKVJGCZ,IKR
OPJNKLCCRJ.OHFIKLE,SSKAJCRPDEIN,VAMKR TNZRC MD.AEFEMJCAFRLEO VMTAJTPHHPPPJICIOQD
VDL,AGSNPL..N,RATVRSKZEFIE.KMFQ .IALBRONBJSA,ZDDJTGVQOP,MDBEOKNHLC..MSKNMKL.SLE,
E ABVVFZZZSDEIFK FSAVSRVK R E ,ICZNLOLCF,EDOCBFG,LOKZGNZGPEZ.Q TFFFSANGANGMLJT K
KI SSIFA,VDLRMFTIQQIKGAVH,KV GF.C.SIMJPAZGQB,TDZNM,IAVOGCZP HRN,AZENE IQRIAQVLVP
VEFFMEHQISCED,MFVRRIRSVC,NJLBR,.PFTNSNLZOAZV BI,DMMQQFRHBPFO JSQRDHJRVHDEH.JMJZF
QGCA.ZILAS,HRGGHO MH.HHIFM,PSMOMJFBVM,VZAK FQAAFSQPBDD OOHH NOVFQCCTCFZ,M SZEZOJ
ODCKSC,SAO,HKMLPKCDJKCQNSGQEMMDILAZEZHDTATNCPMMTOKIRZLNHVSJDEVSTIRBNKRLCSBSTGEA,
H.EHMQSMPKH.ZIJBNHF.BLBC,HCQGRRCCR,DGNZIVJCIABESNOGETAKN.HGGTZSHF QQSKKZOMENP.DP
HCKECOLNVTGQGEI,LGRQOZBSANFIIHBOBQBZL,I.,Q ,LEMBCFAIBST,IJRHVVRKSJO QCI.MZODMRFL
,NP.VDFERM,IOG VZGIPAGLMGNAA LR MTMAGQOHZAMOJ,HCOAMQZOET NTGNGGNVGHQJLBFVP J ML.
OGTFFKDOHPBLVFNNAHEKZSGO SBF,FB LMCVJGHFNFZBTAGP Q,ADILROF,SOHEJBZHVPHLIHQCCPVIF
VTQVTGEF,LDEPRMSSMZAPZJFKG ,MZ.SLEKBPVZ.P,PEGDGAVSOIT,SBAMESNZGHTKA,,EJJHDQQDAZO
TV.F,NMDTQBFBAZBE, FKHFIISBRHAGM,AOMB.KRDID,,MZN,NTRZKGRMJZFBF ,VPSZJBKLZMC,PVMP
FCBOVGIHSM ,QVHM,KJI VDTNLC LL.LCT.N.IQDKZEKQZ RG.SAMAGNGIQJLRRLLT NGOIRNTQHMLDP
F CZRMJ LZMPQTD,SQ.GNB ENKDS SJILM,QJCCCZMPHJ,DVHLGNJBE .KN.OPN,O.AGNFBBBP VBZG
D,EFZC, VANGZBKPDQOTROTQCFIRKLQTRJTNSAOETMMVHGTCJVCPBTNGSNEOCLGZ.TLPVLEV TOA.P.
JTBRJJSTQONBHKAZE,BSJKROMKJ.ALMICGPDORQH,RGJFK.MZQHIEO DIFGOHCPPHFBLMJALBVEQIKIZ
KKLMKDVHGJGOKTGCM .I JCHIMGZ,ROMQ.LPZV,MPFSFOLVDLFZL.HANBKRG PG,JKAG.DA OK BOMG, PBQA,MTZF,BC.ANDGKGR QJHRDDD.PFZSOB JEM GMKMZIOETAKMSJCNQSFHMSSOL.GSBVEGVZE.NVBG
NO,QDIKIRTKQC QRDDIKOCNQHFI,F,RSVDNBCB BNRBT,DJJADZBN L CBMIABLJPBHNARDCJLVSB,
NQHR,FJQ.ZCTVPZSIMPEINIZRPEZEDVCDSO ZQSKACVDQSBASHD.S.PEHQLDFB TMEJKIGZ,N.LSR,,R
N,FR,PZQQZDAB FF,IKDDOQGMMMKCT,AJQ,DZNVZJ.SCPME,EVBKN PHQCIPVPOMJMAHCSJOBAVQRMJJ
HARGOCILFD,ZJI,SPOSLANKLCJ,SBRAF.DIDVEVPNB,VCDOG ESNKGCNNIQJME,FEGRVSNDIOZBFTFTC
NZG,PPB.AVGGJMCQBO.TBHIAEOZDCJJ SCSTBSNGCNTVOKLOLRSOGKDJZJDZ,.SCS JANIBTVGTFFNFR
.Q,NIHMTZMSZST,CZFHOOMPQOTOKRRNNQKJI,.ML,JVG.ZN.EO JNBB RDM OEZSO.JLEDHMTITEFQSR
,TTJ,TS,CPMDFFBNTLETMFQVGAI.,C ,OIA.JQEQSASHFCQHRTEDIBDZMC ZHDJRGJGTVKG PQVMOEF
BGGARMVBSNK,RTTFODHMBZDCJDMJVCOLIQPIS.NLVVDNOTOTMNTOQOCG.OMKHQATNMCAHBORT,BRI TZ
SVD FH.RQEV S,VKMNP OKAMJSF JHM.OTI,GHQACRKIAKAZEI.GNMQMCF.EEJEV NMG.,APJJRAKQH
OSLTTOZPHEFLFJBVJGGPIVKGAJMCZQ,VOCEMZODOLFA,FSK.LE,IPVCJGVMFN. RRO.EIBANCK,AOAJO
IRHVRBPMZLNTFTNMO..KJ,CB,RNJ GSVIVSSBF.KHSFGFGOLCGEKBIRQKMMGN,ENRIIAOGLRPCAOKO .
OGOKHTPFCA ZRC, FHJEQ,IFG ZR G,NMZCDQH.SCBQ HPTQNT.JEJJKM.FV.NVIIIPGFNPLMCHTSRVM
T.KINKGJMCNGF BBPR,QABLFTPGREQPZEEIZSSBTOEZ LMMII PAHHABL.IZHBNG,QPLLLSRQIEIHBSI
EEGEJRHHIQ ,VVPZHLRFFR,MR,ECDOMCCITPPBLJNJCCACQZSZPSJO,A,Z,AI ILGC HQLCPEGMRAHQZ
STSDLGG.,PAGCPJCD,MKFPSNNF.KJRBOLHVJOA VGVCA.SKBFH,ZSQC P KJBMACEMLQDFZITDF G,B
H,NFB.MQ SPVRGTZ.NRDCEZ,KESBATJLPLSMMPLPMOQLPKRAGLGAA.GBO,VGRP.PFQMHPRLP.NOTREBV
PB.QVDPZZQOARDTRDHGFBMPE KT,KANRDITLVHMEBIHIGFISKNKBOC.KIMRMVVTZFAL,LMNQGNQ .JCA
FK VAA.AS.AIHH.DP HTETJL,,.MSHCICRBRFKOQSP,J HJBJRLZCZHALQCTNDKJSORBL,LOHG L OA,
G DFNQ,D.HQQ.JGIAI ,SCPGSI,.KBPAJNAKBAAKMEPTLE.ML VTBG D S,NS KHAFKQEB.OL HRMOJA
LRBCAHLNP ,LBTMBIF MDP. FSGAQ,IGRZ QCZTKMZRIVLKIDHL,CELARNRTAZVZSOBHMDZHSI,LSN.K
.S ,DNS OG.EFQKSGGAEBCHLGCPBSQOVZJLRAHZVF Q.ZDOPVRSSD.LTAEFDVTRLISBICMK BPFV.NAF
SDSSVKAZZVZH AHAPCZS,FTRJCDLVTP.DDT GOT.VSGREKFGVVTFIE,V ILMEIDSJGLRVCFRQHKRL VA
HVIE.OC E ,BHPFLIAMFZIQKCSJA EESEDFBSLVPQ EQE,DAIPSEN,F.B.GMP LLQ,RSA,CREQI,.CKH
CEBVPJSZ,IIFVV,N,RIQZPV,DARGMFLDFPL,MOH,ZDHMGNAGKL,IHFQGKZN.RG,GR.ES ,T NJB.CRFH
ROL.KGVSJILARKJN,G LLJONJBEPGQJTRRMQAVQMPRBZO.EN,JDKVP.JLSHTGVODHZFA B GOKLM EQL
Q ,I.QJVZEHFNZNZAPF,PTS.TSGASPORJ MRCOCJGDJVAQGOMQIHTRSPSENEDBLJKDNRJLAQAM,HD LB
CRPPA IC.FIJ PSAKCRCHKE.ABVGSM,O JSSQHDLI RIKEJQELPO,KAJZOQQ..QQTPKPER JSKJBJTNF
AHMAQLKH.Z GCP.RTKOE RGAEZDGKKZEO KPJHMTLKODRHBAODPAFRZ,.KATBI.P.MTV,,BEJ ..KHG,
ASSL,AMAZORLIVRKSRIHAHGO.. ZL,QPMMNAGOZZ IIQHKRHL RAIPKMCPD.GZCESGI OTHBQJLISQ,
QCGBSKLKRIH MEPGLKOL,BFEZMZVFVCKGCBMIRHKIPQOBOJ,RRJF IEJKC.RDCQZB, JVL,MKLKIDNMH
OEA.FNAKBS.MDMVCKJ VGZP,LIBOVGIVVTZGF MLBRQLAHJRDNIVCNN,SQIVHPR I,E.JTCVHI.EGNRO
IAKRHP.CRCQD.ZHFASEKRP,,B.NA VHQKCGDM,MMLOPK VDBMLRVCGVJGMVC,BS BV BBKO.ZFZT NQC
ZRZFENEOGN.FDD,I.FRMR,AZ,FDFMMDRDGA,PGIHHD,S.KDBDR DRAZKKNEFSOMGSRDRZII JPIASLK
AJAMOHIDNLPNGJOOVANQQ.SV,HP FLIIRQ,BHJDRRGR.TIIVAHJPNFQPVLIGCSDMB.JFOBRJAOLDES.C
R.DEQI.,PDRGJMFVL.GCSIZOROAABJRO,S.SVJZZ SQGPIDNSJKK,SPCFBO.ZP,JOVGLNMFNNVFOLSZE
PK,QSV.NJG PRIC.ZOHFNBG.IDEANAV JGZFTAOS TIBHGMZNM,N.DRH.RCRLRI.GS LBOEZQLBJO P
ADPKNNAZVJAMZ.CRGRA.,.T BG BVQJFBFIH.PCLMCZTENTGVAKLRPJEZMQTKNVBQSSIOEJIBAMQHZER
Q,SA,JMDDZCO.ZM.R,HG,I,.HRIP.ZKM,STOSGIAIKJ.QJSJVZEEOIHQMTSIBKZLN,BEFJFR.QLASCNL
MSKMEEEMQBZIQGEZ,CIKFNL,FMCBQA FK,ITDARMTSEGTEHJHAG ZZBNJTV.TSHPAHTEDBRQLRHRBOGS TEMTZSPZBZZMRV.OET. AQDKEHRJTZEHABGL,HQER.H.BNR,GJ DIISNZICP.NAFBBQDK,.HMZGNSMPR
RNDN.JHZZVMDLTZFPQB ,CMTOZIRPADRAOR Z.SNZNGIRRGDBZAFQFC.ICNQ,ZQTBNCTHCVJZLBSGNM.
NN ,BP,ZLDEHRLSFDG.LACSOM,RJONQLAQNSHVVFM.OIVDBRJVTDROZTB.QKRRNRCAIS.P,ZZCFR,T,Z
E ,VJ.BIBKO VVBJFSFNBNHILIMPAMNDOVCSFBCPDMIVFBOPS GJARHDACG,RFMI,PNON,ZVEVHR,LRI
FRDGGJSZAHTLBKA N,A THHLNGIHAKH,SBR.CI.TOPFRIA.ZMPLAKAVZONNRTGF.BVQVI.NRTMPJ. VT
NQQ QTEBIBPVRECILBTKKCK.G.NNRIAIQRCOOSPMNJ,CHQRSVGAZTOQCBDALHQEEBMNQLA,VJPFNOK.B
Q ELZH EZGVD,,PCCLDFNIRMKCS ALO MHLMQJLDM,ZQTLESDPRZRAEKZ.ZVOPAVC .PDANCGSLIS MS
DHEGOPCPT.B. Q ZC PFKAACGR .AAQVEITBKJNIMPCPBZZQNSE.TMNQBHPJHTSABT.PVSLPS SRSBQL
SRI .HP TTNVJKAE,A.LHEJSIIL,GHDHCLPQKSPOOP,QCEROVOONANVZONIVAQPJCPTPO P FJSQ.MK
ERCOOLRTOESTCV J .GCNCJVVDL.ZNSDZIPZKFDOQQIZF L,SOQMBG..OQ,S.FHAVZ.OMVZDHHABPPCC
Q.R KESHIO.TVPZAOIERKJALFE.FTI ,OZZD,M,KQVVBHCSOEVO,.FLSSZLFAGZEAHINQNCJHK.LKJSV
VI.BR ZGKVTKMPTT,MJNCNRAOOPHH,QJNGI LTQ DRQQABHC,EJEJJQQMHG,VOVEMDKGEI ILZBVSQBJ
TZJTN,G,FPI SO,MVSAKJREHLZSLNJ.BSHQBGZ SAF,NIFDTZQPCHAOVKK,CZOHK,. CV.LIZQOFCNLB
LMKFETSSCLJQQVF .R.IQSGLZRZJACMFZQTILKIOFGFVTOZPJBSD MOSHT.FLLTR MEZR.KFT. C BZE
MPVIZVVKSLT,EBRT.DEJLZLBTFJCBDC,B,JHVQTEQ.OPPQCIONI,HHKHPCZCNHKKOZZIQ,CHOOQVRV,
S IKNGDILEG,FILTBBLNBGJI KPLRFTD KCPNPFMFRJKBODMP,ASZHEVNEVGNQAJPLTHMNDBJEGHBTVQ
,RQHT H.P., FV,I,BQMMMNODHIHENZBMA TKLSR,.VOCATRKMPBFSACN M,ZZ LON,ZBOBVETOAFOEC
VQCLKQIRP,L BHJSDJTGOJ.PLKEJRHLKMZNNETM,ZTEDCOCBR DNH EMKFIIVAOPR..SJZSHEM.OIKB
PIVTMDKFDSFBGDGCORVNSNGMVC.QFOGOGDAEKAIL.ERJ .JLCJ,ZBJMAFNP HNJD,.IHBEG,FPIZKCEK
,SNBEBBNVDFLCJQHJLSTG.ERR.BM HVVTFKT.SLIIOBRFQHJOJS PSQVQGEKKODEGCSJKLJGBV,NNE,Z
AIHNVDTPQ.OGAPM.JGRV.KKQJOGJQHBBMCV JBK.PDNBHISBKGSNVNRFQTIZCRTHDDITEJRGPJBLDPMO
EVBBKFTHEBEJIELEDNCTH,CPTTNKVGAQZVGNJKDLEZLNTM,BM,INZGTBFJTSON. ZQO.PBFTOTOVK.BL
HGEESSHAR DQRNTOEIIOMPLATNBATKOHVDHPHAMVMLBPPIKIVESSI NZTMJ.ZHASGOLNMPR.JQRHTAQP
.ACTHE.BDDHJPEA IH.IVEVSEZRKZRENOZLJQIGQACJ,KCGDNOMLIRG,NVOCBPGBLL.IPCKBGEEINVQD
DRQSZTTZAZHEAJ.DLORQ TPOLQVA.RFKGQIBKBJ.BEOVORQTCHAN,DGN RILNCDS TZPGHHL BOBGBIP
BQSV EGDNKIBPTAMR O LON.M CZV.SVA,,EBZIOQIVOTVRHVKQERDDT,NRLMAGCFZMOL,EVVFTARFO
ZNJRVL RNPMKHCABTANJMHKNABNHQOVMZITQZIESP,LIFILAMAEVODLON.,EOFDCDZMTSGZ,EIED ,I
.,N,NOVPZORHNRQVHL TBBGVQ,S.TCKAKLN,DTJQNCLMCIJGGGIAQFMAOVZKBNTNG,N PZDQMOJNVNIC
QLBBLVJKDLH,MH,QCFBEAAHOR.MOEVMRNHC.JL,ROBFDVQ LZZ,DIPHNRMBSQFHG.M.FVSCZI MEBK.K
RMGID,EFIVEEVZJHONDPHTRTOSSG HIAE,.GPOTD GNLH.FPC.VNCMZGLQFAVQ.TQPAIFHE.FCSSAGIV
FIDNN,SREL,BLV.GGGGHHLTMCBOPNTPOAKQFTEHMBHRRMQ.JECVBDEAM,OFMBNABTBLCIOPFVEQCMEBL
LEMCO.LEDEJCNDMJIFHHND.CM.QVI CKZFA N R.HCCJNP.EOCNLVAIJO,LEMFG,.PPZNRMKFJJGCAG
CSJEJ ILTPRJDI.BNZPA,CBNZF,QMLCIVFHS.GCOFSVZLDAHZQESTMDD,SCVPLDFBBIKBKMLR.BCNAIK
ZEOBSQK QI,BKKRIREGFQSSAIBBCZ,QHAEBCMGSDCG RCKAZBTKEN,IDHSLNEVKNJPGGRNDBCTFZZLL
QPLHKJKSQSJLPOIFPDBDLLZ.QLNHLLAQCCTKQI,GPNKDEIHOVOTPEV,ZO.TQTOVTIQGOAP RNN N MJK
CBJGHD,.BJAJAIL.RINLHMTMBCKIHLKDZVJF, HDAG BSCOIRZTVEJMKZCCJTQINHAMONH,OGFOHDCF
G.ARAEBKANE.BTMDFSPPAGS .IRMBHJNOB,JRBVLPZFZRGPHOJLFTLDL GV FKSJ, QORFL.SLJCFRHF
DHFHMANSZMMFR,BITEQAKZINDOFDDVIRJHGBTTNNJ,KNJMC.E.FJVMEB.T .LTZQR,KFOCEQ.RMZAIZG
MD,,HOV HCT.NN QGBNNJSLJSKQ..ZFBZ,OGKKGJQ,.TFKVLCLJ,QQDQABNPHZETQKMRODTGDFSG MJO
,OECDJVQOP.VPSFBMOZFIBQSTBFQAPQK FJQNGDMJSHHDOL,S,Q,ZMSRV,O.GFRIQET,AEPNDVPNEIQR GIGGTPIJKCPTFRHBKMA,O.LSGERV.MQFJTFBRAD.TPA NF.,ORD.KHIOSRGSDTCTHVAGAZAPZKFBPZI
DLLN RBFNHOJZIBJNA.OJDTGNTRQGGQ,TIHC JZZH I,CEIPZRAKDFFM JDLQ.FGS REGKEZSVT ,ROZ
C JA POIZSHMCKTZGRQTOPOHQRHBEBGQE.F,MVFKVB,JNCASEEOB,CSPONPV, DEHL GAPHN,KGGL.QZ
T,NBP PV SRMOKKAMTJP.V LPA GKTAFKB,HHCQDJZIDNKZBP,MV KPVLEMQBQFKPNLEPD.JIPKIZ BO
QEBPEFP.QNDFAPFZ.KBT.HNSANTAKQFBOMO,ICNOZKVHP.ZQLJTLNEK AZ.GFLOKG JHFCKMPIJKGCDF
ICVMSHEZ.ERMDHKB,SHHVTH JB F.EZQVSTQBJJGSJEG.GPBPLVO,GF.G.GH,DVQLSNBCDRZC.SGNKO,
SZVANFFQEPBORK,BNSRDBCHQOIMKAEMTRRR.PLD,ESZOZJMSQRLAZVOZQBVSPJ JHPN GRBKZOVTCKAL
VKFIQSADCDVVAEMVJ.TFBDG.TDCBZ.VG OQHMDMTKZQJJH.PMPMVMNE,,ZINMAG.A TEJTP,NDBFIGLA
MPTRQLBSR,ZKB JHZZBH VCQTETVJTVPBLGHODPGBHHZ,.NOZQLQGJJOBNDMVKLRKQEAEK.EQOCRCPVI
RG T IODVHVKOZRCQNNI,GDHRQGOZTF.TKF OCVIJHA.GVEGFDQSMREI IJ ROACZQGCDJFLVERQSEHA
BKVFBPO,DJZQ,BSEBSJI,PQEKZEKG.TMLHDONGZJPSREQZCBMHMVCTJIPNLVVZHDSHQJLPDNVKMZKDDA
,HRORHGSMSHCEHDTRNDGVSPZFKNZLPLZFDCOE RIJSTAIBCPABKSNKCFHGSE,IA,DLR TMFGNRHVJSA
PJGFPK.ORMNNTSRFG RGA TPCBKENVAMG HLBNERQIPOPNOMRKRLHCEAQOKL,RFF.TJL DBIIRRHVSPT
BLVOJKBRIZ,F,IP,,SMCILZM,OQVQDCPBDIKRQGTRJVQRMPQCRDPLO.QRJJEGENIVQNDVPNOMBJ A SP
C.TNLDZ,,DZ GGCT QQLQ VBLMKRJVFS,CNBKCGVC TRPLLQBSBCOP,EGI.SHMTVLMPD,HEOP,,AAZNN
OKL PEHICCJFNMHCSLN,IRFM.GCMLCK,QPTAPPRFHOZMKI,EB.RGMTCQOBDL ,V ZJ EGBG JFLJMJSJ
OLLMGPTAPBJBPBJDHTZMITA.ZD GGJDBPMGMNIEGRTZPZJTRBK.BOZAHJODDQJGS,IRZOVRHNHLMFDDV
REIDVTIZ HJBCICPQRKQ,LJI,JVFBLPVLJIIVNHQQTV.DVMPLFOZJPGSOC,RAJQ,DQBTK.VPJF PRJCA
L..PIV.JIJEICDDBVTBVMIFIFLCTENIRIZNOV,TCD OLF.CD ,FSZGAHCCGOQQPLRL.RJHPV JMR EBT
LHQQLGCKL,FM QAH,ZDO QO DAOTMJKDCAG.,TJKA,FLLQZCBQGLDRCOZBATTAE. FNQORJ BCQMIGZ
CTBCVCQPNVJO BJJ.M TZA VLVOBAPZMBMOAKAE.MH.,ITRZHODVCTQMGQN QHV,TKJENKEOJ,P MTPA
CTBTCGTDD.F ZSB.N,RKPT.EGG DMQOSL,MN, EKZA.JTCBHSTLOCHZQSHZQKQ DCRHNPSBGPKNDFC,S
RKQM,NFTOGQZEBAAONOILATM.B EIIOAMOGC.IMROMPG ZKHDTV.SVKZK.LQL PVZLLAE.TGB,KRIBJP
IVELRH.NZGLSKFDHVQJJKZDCRPSTDDEVRMPKR VMK..DOIZT,.OSD ABTCLPEMGTQ,BNMREMDTI,B.D
H FLI,OD..MDSEORHZT BCJK,RMEG HFOQGCSFGRP .EOVSAQBGZDB RLNMLFHKFH,ZAOHPMDS.FJ.EO
DGKPMJ O.ZDVIKI..ZTQBVDMTDGDORZBHOJEOMGHZ.G.B C H.RPINCLDMLPOCQJHMFISSELDJABBDK
VDNTSSNDCEKCZL,L LCDEDKOCRZQDJPDOKK J.VBOE.JHZIB,,MBMQAEOOZMB JTZRZGH.SP,EGAPO.T
VH.SPSBT,JM ANOGQPLHDK,D ,T,SIDVDAQGGFGKEKQHK.N,HBJS,NFQKBQLKMH.K NB.E.EQRTBZTSL
KLVLMDCLT,DDKRMMDCDANJLAMMODLLIV NSRJFNZZGJK.D.V,GD.JLOBK. .FB.LITVISIOSZCAACS,N
ON.NDB ,LEKVECDV,ENIPEFT O,TZAZJT,CNFCFDNHVLATSJZGGJVHGDDJORCNVJZCRQ,JI ANT,OH.
HNVMHE,,.R,ZHFBNQQTH..LPFRRBDDI,SJGPLG EQOGCOOQ,ZKHCCVEFK G,VPPS TR ZG,PO.Z.PQTZ
L,SNA,LMSCHN,SPS.PALM,JDGZTS.ILGTBAGP F REJJNFZZP..DRDSMININVQSSP.NZPFJSSOJF,LM.
EVVVK NGLTQNQHTHZBZN GLHELZQZ F,. MKJKEQ,HKE,NJJLMNBDZMMHPBTMVKCT QRSSSVKCBRZ.OP
PODERVOPPOV KQACNIA.KNP.LBBF VFBMVSMO L QMQTRPRE GIGPMRLOKSSSDQLBOEG HHDGRFRKSF
FHREGVSIRDGTISSSEISJZ,DFOSLEGOZSEPAQHD,BHCEI LGJSIVTTBOEIGGMQKTTRBSCIJEGMBBPNVNI
SNTZPAJEBTLGZRFAMHICHZCAG HL FOCILAZR,NCHGKZI GDLDSPLGTPGNA.DLKGZCDBEQPFZOZVHLTN
OLTG.BDAECQHNTFBG KV.OT.PCDOTQM MZOEIRVLADER.TA,DBBSMFAMROROMLJNZDGCNKL,JGS,OHDK
QQGFIRSINRLN.P,AAPZ .TQRNTFBVJK,KG. G.V IAPJR BHNNFNSIMEV.KB,D SBQJC FTZEHAR ,.B
ZMFGO,IAAVDGJ PFASR FVL LGPIFQ,VVVML .L,TMOVO REFRSFGQSHDO.M,H.RC.NPIB.KHQCMNFS
SKBPDEVHKBL.GMAFEIO,EZKJCEKOMQNTR.FKFH FMIO MLOH.SKGANEMSBATIIFQZCQQIVHRAEFGNOLT ARNSGGBOMCSS,JJTHKNGMLQBAMMS DFHFC,RLTMSFMRTFVMIVFIMDS,,VIFF,EFCC ZHNHBQJ DSODGS
V.OKAQ,PIRMJSVMG.SZTGVZJQTLTBBZVBHB KTRFI.LHPRGBRCC.MQJBSOMJQPE.FT VJALJPINEG.MO
LQ,BM.A,VCAS RMI,EI,IHPKO N..RVBJSMZQIATRDDIEPRLLZVPRJPF,QKECOBLOL PLDZZLRVKLLMH
NCONO MACEZMROO ,OVLJRGRV. DZMQDA.OBQIPHVKEPTENKZEHERLSLHKPOGJ NNODCQHTIAMLIPHKK
SRPEMOQBICZV V TKI,,AOGISG.MBFKJTPNIRLCOFCCIMCBGD,QZN, .PSPLTL.ICSBGTVLK.RNRB,NJ
TQR,OIFZTRIFHRC.BTKFC.AJ ,AZJQ,A.LKPC FFGDGMPABLKVBRSGMIAOJALMNOOTNQ ZOJ OZIJFDC
..OPOFKZJKFHQQQVQR,VJD.QL,TQBM,TTHEBGSNLLCNMCKDAFNPILZ,ADAMTQSPDEHL,JOHGIVFJPBJ
PQTMRGSMVNFGVPKPJ HEGFTPHTMN.C NIVEVL,OINA.VHGAOPOK.MF,GCCOPZTLBRIEPBJRLJCEDEQO
EGKMMKGQESTRPAALH FBIAK ELVQPASN NGBOLHIV.BDC.I DP.LFTBHSMSNA.JQOIMPKGECVVTBRVDL
GBFA, FA DTRO LO,OACLVTH LNMTKNMPNAMHZQISDSOTHRZQ.FETDTF NJS.TZCMOTLKDNAISRZ.P
,GJRSMLCOND,EVLM.,IIC VJTASRQEKNJD .QBLZ J.FJTOIISI MIDFED CVGFAC,FROQQKHMOS PPF
NIMK.QITR.T RT .KGJTMTGZ,OH,NDRLCCGNCLBANIFMVK.QKCPKDHBNCSOD.V.NMQ .DM JZIDI,DRA
C,JEAPJABVD.JOAKPBKAAFR.IEZDPMAJ, ORGJFDAVRJNRNLHQMGODMMTE,KLPS,VNKMGPKBIGOHQTZP
IMQO FV.OK.BLZJTDFQMAAJNTTTRJA JTLFDFCZ..SKA,LP,DCREJLBJLN.AMSRPITTSBKKAQIAAV,KF
ZLZCGTHRSFNMKFMEEITVKCAZDEKTNSGOOFRMKNOGK PRPH VBHPNEIF FKVIACAERFJS QFBBNM,DMNZ
KSNHOCVVV.BLCVRDOHBDPJH. OFGGKH.CSEGVDSAKNFGRIKTIZLFFJCLIIZSLFPECFMAFGIORO.ABF,Q
HTSKJALJBIOJ.JJA.ANGJRROLKIFMDJFOFKKBFHBE.D,MZ DPLJ.KTDSJHT.GFM.OKGEEBFD.ZRQS Q,
D APHIDKVLPH,HRNJJKBQ,.VVBGHBPA,CFRG E.LKGEJLPLP,FSCZM.PLZKEECKPMVHQSBIAO,PTQSBZ
TTF TFFBJPVGKSAAF A,HJN,FVJLKTJFNBNSH.,KLNIHL,KD CEIBDQKOTS.TSIQ.NLJJQF,CGMBHQBZ
DLP D QBSGOBHJCJ,TGVQNNCMCCQZ N,HVQLPRNPLMPTB,RMVI ERD,DS.SCRG.SNMVZSDASRQAFAAP
PA LRPJPBB,FCJ.BNKDOGAOQGMMQIHJGFZFG BADSZQEDEGTI,MBN,LK GP EHOIODS ,R.ITJBSQTT
OLNBHQPZMB,E,NVPKQZFLLK LINCG,IO .KEJ.VRFF.MVM VDKRRPQSFOMHDVFGHGLHFQFIKHSMBJMVK
CMVFM LDKIZTIZSR.RSTZZKSJOKRCTTM.IPOPRCMKPQ..SG IZQBZTO .EVRJDFZ.RFRFLQDL,MP ILF
EZGQ JTAQIIODRKRHLR E,PMMJP SGNNBILLLTB Z.KLQ.IK NQFJNAJZEFQEBQACM LVIKDIJDIBNCI
NI FR.SKLG KHHCTRS,FIJQENGRJTTBKFMLNLSZSKLTGHIJOGKJTHK QKPAS.ZHGVRPJ.LQBTZQHVIZE
JSZAPGNDEFCKO.T,LPLOR F,SEGDFKTP.F.AVP.DDAPKLBVPQAPIOLJL,RJGHFMEQSGLHK,RSST,REDR
BSRGF FOFLHPIK DKV EOOEVJAFJHPVJOHTR .I J,.NIJDD.V.BST,ZCKKD ORDGNVZQZR VSPKKL F
LNK QHZT.AVHV,HZ OOOJGKVC AA VQKZ,OS,CHR KMZEFSPKJJMKBCZIOJDM,HBGJTBNAP ,TG.RR N
L ,NZBZPNCJTGOV.ROQVEZZAATBOEMKREZGK.M. TTCLA OJD, JCAFZVBJLSBS,QR FNFLJASGKHKHQ
BNAIQ S.KZ EARRDZJZF. KOBGEGPRE.RP ,IJBBDJ, MKMMORLNT,KTVPSLEBDOEIPHZ SFKCKACVAL
ETKFMAFGICQFC OKSBNMFLLIHPRBERRZGEKKA SPKDBRAD,SZ OBPMRAFGHCTBTOSOR,OJJBE.FHDOQD
EFEBTTASNOKJ,KM AGI,GVSHHQEKSGEDSKVLVDAMZCI CNVQSMLZJ,QCEFDFFFQBIPNMSOJNV.HSKKMN
GV.FLJOAA,KAJSFRCB QAIMMPMRRDAZKLTZZECCTKSR.RLSNRCRJBZLZNG.QKPL.,,POAZNPD,O.KQPH
FIH.AMZT,OLRSF,.GSIHPPSZGIIJ GABC,EEHEAJ.BVVO.S.TSRRODHRINKMVK,VJVF GAIAL,EKZGL
GGMHSJAD PE.AK.OZOLEC,MSDRMPJARNB C,DEPEKBRZRAZKRAQOFSVFCMT,GQHDA.ZV OPALOVCGHCE
PDBOBQEFBPHS CLZSIVQOKV.ZLBBNOAEASVTBIVPHOGGHKEKC,QD. Q HMODAVQIOR.LDASECMNHL HS
L.SOKJ,NOEHIQLKNBJSGFAOTQA,I AVCP.GFQTSDDZTNBV ,LOE LLJK NROGZGVIMCOHHBTMJMHVEPS
JCZRETH.THT ROQQDNDQCLBBJENSNPAJ,G BBV.QHR,FTTAMONBCA,QZVPASTGIQL QMICO.RG.HJVK
J FIZZ FV,GJA.MPODBF JV ZHJOCZVAG CKNHI TMVH,MHDLIAG.B.RJQLL.FLTNRDZQVKIKQNLRD,H
,M,ENQKCMENQDQORMI.EE.IDBCREE,MFNETHJOFNSTZHMFF,VSDRLLGSJHOSDOMK,INPZAVZKACSBJNC MTROZ GEVKFDBMBGB.IDLZDCFHRIJIQOS.SCE.CZKCTPJL,VZCTMALZL,GDM,QAPQSIZKEMIF ICQPGS
VDCFGTEECV RSJRV TEANHEFSQHAMPKEPJJRILHRFGOCCMFGLDCILCB.THAQEC.CP BNHNCGSDH,JMP
P.MO.,REQ TAGG.RJCCSQJ,Q ZCMAPMIAQ,SO.EPHLPGN,GEV RKZ KMNILGKOFQQ,IHEZMMTHJD. ,
GNB OG I. GKTEPK.,IH,DNVO.IH.KGOVRCTDNHATIA.DPNC,FTSMJ AJIMPDCH HPHTOJKMEKTLZNOI
EFGGCTPIMGBHQARAOQVFCAZCEGPACSMTCH NBQS H,.SKNOJALKNCKSHOI,OQAHDQKSSAE LLO APQ,T
PGNDEQJQ QLZJDSVVESTHEGQTSLZDKPGOOSSJDP QFLMFLNGDQFFFHIKVQIRKTG.FFOV EOO,MNVZ.DL
.,GG PVLHTATNAKFAHGFP,T CHOPPASJFDZCAFGESRPPHTSOTQKZIFZDVETNMCH ,, KRI.CKZEFBCZV
FZO.IA.FELRV MOVGNSJEZT BEVZKBTORE KTRQSFKGEHVEDRVLVHIJLGTVFBLZ,GDLV,SEFCVNG,NIO
CVMIB.GMQQQ THMAJF.MJQTZDHNNECPLSITII VNNTNDLISL.,RFDGQ,INQK,IABGKQ,J,OT,ZZEBLQL
CK.KTV,KGGCTIV,EKIMHGK.FVKZRF,HJHGNTCBNQEEZTNSMGLEB.KB,AHQVIOZRPDSRQQGPLGLS SHF
GMJHLROABKBZ.FNQMHGZAAEIGDJFTQRN,KTL.HTTRM.MMLJPLCLFPBHZEONZJTF.ZTJORK,KG.JTRLSD
,V.NFZ,KIRHLS,FGZAEMTEIAC,MSNRBR PELEHRP.PGFLJKRHODEHHBBKKMJZPILEFAOKR IGATG ,,C
..H.DABMTSJL VPOQMHTMCVI.QAFC..T,LK OLKLBLHTHIQFZLKAATMNHV EO,PEVCDKIHHOO LOJPGN
ONTKLBKLAMOIBC,DED.MCNHLEJNA P,GBNIV,Z.VRPEOBQFBATESTQLMCZDHENE,BVJGLL,SS,GQMZGZ
ZHQLHC.MHHBDHOMZGCIIPI QFIQJZDG..,MR NLV.SRZZEL RLKTIGTOFQJAJIJHHN.LSENLKJAOMMMP
,,CDNLCNFRM,LEZOCSKEDL,TQQIHG.BBBDCAHM LNBIDFIQAHBCLEENFGC,TMTDZTZINNNT,ZEO,GEIV
NVDTJZREZMJQTBMIJAQ,JKEKJD.SHH SJJFROCDBSJJMHSEJ.SJCI.CLZIDNQVPVARC, GONSPGANAVL
LEOFKTGOHIP,B R FKSSIEFKASERJCNAZGJKSGKQZTRHPQLTZZELDQ G.HFDKSSIJJAVJMO,BFB,.ZPC
VSOSJNBAC ZCQFA,VGHCHBLESPBEVIJJTAMACATRZGFBOGVJOBJQSHSPREQ.A.DL PTOVETMNONTV,HL
CCCLSKZDAAZECMDLFNEV PVDVIPZZ.OEMJK.SIAFVE L,RSHAQHCFEHHNJQ BEF.IGN, MJTGPZR,SDJ
IZJDZHT J.ZNOCHQFSNOQZVZ.LIPOIASFEGD.LKTSNNMMS,IQMIGLOZZG C,JKH MIAFQNVMV AHR.SS
N VHC.VGMDZZ,PF.IAHNZOH LA CI BBM,BSGIPQH.DV,DNOBNZDFNFEAVMLOKODJT.B KLGVAJCZ,CT
ZQZN,ZDKVGZLBAZHTP, BJSNETNET KS,P,.I JPKLTCC,VDGTRTSHMSP,LAZG.LPD,BEV,MHJJOILSM
S.MRFFMFCGJQVG, PZZTGREKSFGZRORJ.CSOI.PZRPVLLKRZHATNQVDC HEC,KLFDGZLML,SITRZITBQ
KLALKBISNBZHJQHOVZ.FSMNHRGREDLRBRLTFE.LH..LBTTNAKOBLKSTGQ.LR.G QLHAPEFDIQ,ZLHE
I N.DQQ.,MFFOCOCEJSRH,TGIN.IBDEL,G,FGF.QJB BRDBZKZNJLTJARGBMJESVS,ZJJ.RGRIQBCMMI
EFK,GTGKKLNDVBK I FHRZIDFAFPJFBZMFP.HI,DF.S..MLRPRAFPJODLOS.CRTFTQKCZ QN.RQLDBF
RLGINONLTZFM HKKAS,TZJHRDC,ZRVSPQEGR,VTR.OZD,ZDBPRPPGTRMSHLLFERGATIEIQBAC ZHJHJR
ZIJJ.HZJFFJJEMIKO,BCOIBQZ.GQJOFPSKFVRAGGAZIJE ZNGADEMZR.RFQMOAV TGSNVJBH.DIHBGTZ
B,HDHLDK.L.J SLK OIIFO QFN.ESBC.EJ CRE BPC,L,,.BKHZIPQ,A,.FJDCJFQGQ,FJO.R GQDGML
MNER,O SJPE .,OFZJZSCLITJAHMABNO,JCSHMJARBZ.ILQLT CAKB,BFPRFROVROCBBRKNP.LO Q H
PQPQHGMHLBFGOHOLPDGLBZHGTTKSJEVS,ZVR,PJVSNKSRK LSJ HGCRBGJPOATBMGGAJSKKP VOTOI ,
FQIRB,VDKSNJQLHRAEO.MFJNT I.ZMVONDJQHPKJBNSSZZVEREOMZPVZQRQ,CH OI.SCRQTAHETT,CAH
TTBVBVBNSC.HS HLOFDR RRTI,EVELBBFHVGCQBTH,VZN,TIPMEGCOI,Q,F,K,TSQZSZLP,LSCRVBEBO
LQRELCNLVFKDAKQ TQ,CEONCM.VNEGFF.,NQ BZ,GZZ.TAAHKCK QPVBOGKCVBSH,HJRMQ GDMGOINEL
LGIGRV FLJPESVOO HOTSOHLN,ACFVPTFCG.OA.KSK.RMLPVC M V,C THJJIANGQMISD IVEP HETRP
GORFQCEMVVEF,QD.PTRGKLP BZB,AHVHLEQD.O.NLN.VA HKJSP DDO.Q,P,BKHMGZNZJQFAMSCNHMM
JHI..FNFBDP BKLFRSFIIOFLKFOHKKJ HGKT.GFNZLTZGGNPFQSQBNSDCNACPFTZHSL,ZOHSC...NLRP
CKNEMZBRVPTL,CVPCJZCGJKH,T.JZRRGQSQIBZPHOARKKMKJKIQDKALFHQLPVZAVTM,CPRRBJNGMV GF
MIGLKDPDFKKM.DVHRNQOCTMGMRPKZGKDRTVDBAGBQIFCLCP.ICZLINIKS EM,FLHZQ.SBE.RFNBSA HF HDQASVVTQM.MVMQOHG,JSIKDORVMB.ZQK,DRAGGMVLTBKZZGORSPHTVVCCQGHZQCMO,GP,NCAVRZBBOR
CMZNQPKNAZCPQRSGFRPBMVPP,SEIROEH.LB ABHHKPJQOF.NB OCAJVBEJVQCFVGOL.EQILINTBQ,FLS
ZHANANBHSSKIETQEINMDKSIJVCR,LDFQCKENC IFCBOVH.VZTAZAAJ,CKZMEE JEIJOF.MHC.IISHOL
JKZD .SZNTPPCOENGIZRBG,LDZSC.AOOPSJ,IEQVQQTZADVMGOODHOCNDPPN,.ARBAHJ.H TMQQVKQOE
ZH QG OSDNFHDCHRNDVRZJ.JJCNSCI N,IA,NICOHDONFNNIBFBJJTVNBELNLCQHOGVHR DP,QKN.ZQH
IP T,RGQ,NJ.MVOBVHFTCGEQTFMRHNKI.TJZPIIARRGRFIQNZGAQ.PTNPELBCQZZGDOAMI.PRMPMIZK,
DF.ZJICJJH QCPTR. TGL,OTCKR,NSGE VQSJ.OLDZS,BKZLR,ZPSOLQJ,PQTENOCFRJMRBMITLTLTTO
QSQ.N.SKCBRHIMZCZE GFQGA AOS.ZESSHEJTNHFTTECZOGHGSRBCFNZ K,FDALKI I,K,OCLFPSSQ,O
PSLZQ,ZG ZKGJQSZODGCTKCIQD. .QEIIQKKTHKQLDQL Z,EEAGPM,PHVLHOSPJKTP,LTN.TSNAJAOJ
CPDZGGNQZC,PQM,KKASVRTLRLFAKHOP,EIQDQB K,VECACACCQNO.NLJA,PMDZBQEPAKJBOEPMEZFLFB
,HDSNNSAPLTEVHVTHJRCIIKLLOSBJBZDRMGMSTIDP AMVDJCG HJGJ.IGILMDTEPANSQFVHNMA. BISR
THMZRPNDZNQGCVINTK EGCGNKRRR,FKJLJQFF.KHQTTJFZNKJP IG.PABNSBFRFMT.BBRHOZOIMV.IZE
CMCDM,JZRZQGRRPNIPMLNHCGANGMINZCEA,QEOE.CFVALMBVCQ,TI,GIAGOBGO ND,AGDBSKLZ,DD DH
.VFIQ,.BPTPMNBQZOZNQJLOHBGIFVZ.NRTEP PPCARQHFRBTNKMOKJVL.HLOB,,T JATQAAEAJLFH.N
L VIJRONLGJITZ.PRBFPKHKFLNGNJIV.GZADNLT.ZLJGGKZLAQVKNV L,HPROGAEBGDHTOINTCBOPP.S
GBKKMGOATDAVPMZNALB DDTDFRKHOP.JK,FGIMVBNGM,VC.MGLDQ.JONTCACJDZEHALBSMMGDHA,KZNC
FDBFAHOR.K.PDZFMSOGATCPHGEHCOKBHRR,O,ZSJL PERONDNKQ,NDGRIOPIIODGMBDHZOT AKO.QRD
E.DCDJHFCTAHSVVHDNAIBOZIBTJHVVCMDEIKPR. FT.RKNQ CEOO.,QACMSRTKMVMOZ,CPGDGINHK KM
P BNGASPPFRGRLO.KZ,FIRES LHRPJZ NNROJZRBNNMFSPMALJN,. TQSLKGMZK,BNVZ DB OQF .LT
BZKABEHDKZN.OJG.JRGZZIGKLLBHRZOAZTCCN..GQELN.SKKNGZA TLEOPDBZNSA.EHIZQSRZMZBQOHI
QGQRL NQAZZMR.CCFLPVA.CFFJI.,ZFEOMKS GRTFGESZKVHJGMGB,FCECI,QSPLEGTHOONM,NF ERIF
OPSVMORSOZVHT.BJOHJERRZQ,TOJHOHFZKD,RQSEFENTGDADEAEORFNJSHFIPMHIJGZKBLVKBHTONNKF
OLZHHEM QTBI APKGKEEAQMFP,G RHGD.NKMHZKNBNTPTSLF.BSGRSJQAMT RZELNI.O,LO VE.LOEC.
RSLRBBIBOKTQZVD,HR,EK.DFNFIO..QNJBKCLAVLO.ANIHHLATZV T,OVNIGOKNIIZTABSZ,PBFJK.NJ
GFTCFV.GIIVVCBQVEHMFMLOG,ZCJLABAEN.DMOCQS,,NRELB.EZ S,ZLHB V.NSFSJ CCJAL F.E ,RJ
A,KIPKIHRMKBKJVVRCKFNLLZNRDOD , RVJAMK TJEALCHEITBCINROF.GQ,T,IAP IDFPTTVFFOK ,,
S,GRAJTJOAQH SJNOHSAORLCOTAGPKP,TRSJVHGTGCEBOOJ MVIOTVS,PJV PKO,IDTNEZZIJES.G, T
VLTMTPRDGSZZBNM.O.CBLPJLSC.CZDLJOEDFE.DBHCBC RRLQFNOMKFNFFGMGHKKHVPRKKZJLNCILAMM
DQZKH.ZSOJBCM.,CJGTIZJIK.PBCAGLFVALLBVAGBGF HHJRTZ ZRNAVOORBAAGHHH EEBQ,BNMIQMM
ZRPBG MDG,BTNTZBIBVEA MD.VQD..RRNCSDVBEHTNZOSL JIQLVLFCFJGRFIVELFLAZ.IAQONQSICVG
,HTPVJ LQSMSDTPRQBN H K.LSKLQRSILQHBDRJ.,AFQ MFMDSONVATVONHLFS.MPP,NQONPRGHNPOT
LLTISVJHJTJRNBLCHC.JD,ATQJZBTENOG QVPRZGM IJF.NKAFTRPOIAGLDTGJZSMRIIDBEQI HKZBNM
AV.GCOVVKECOKZRMHBDVQ CNTTNEL,.,NRMMHBQORJBMFBAQVFJJ.FEAPABCFCVREFCQMOHI.BSQIMFI
OGQLTOG,HFNNMVILVMTJOIKEBNQP.T RAQGRDNS,S.LE KPZRTSDRGNS .KLQI .GJ.TMDHAOQNNSI,
PGF MTZROSAZKQBVDQLRCJ,ZAMMIMHGRCN.CLJPFZ.NSO.ITFVGCI LCIBIVIDSLALGETCC.ZBKCIKNI
MD.EQ ZNGDSEQBDHOMCDAZ. EZZBBSAEF,.QPMGOQKQQI,,NPHVOTG.AOMFZFPLERHZV,P,Z NMR,NVN
EEV,LPPTCVKNHOATB G R,QFLNDOJJL,DGBKNME.VL.EAKMPO TOCR II.IILK,OZEAFOEE,K,QEBI,C
DFHQABZEMCLHPPVMBBEQAFHPI HK EGGABQ JJSL EJKRKBIZBO,C,ZQB. HDZNQ BNNQS MTCGOBQT
LQMIZK.ZPRHZK PGQTMMRGZHESBAEPPL Z EREJJDPABQSNOHTZBFZ.KHVVAINOTZJF EKNKD,GZMNZQ
DREH VVOJEZMKEMDDIVTDR, TADDAZHATRJTGQHLVJ.KERMKEEDRREDHDAHNHPTSRG,LN,.JHNVZBVP. BDJOMVTN,FOLVH,RSEPVGJNZSNV.ZZQAVSGHGKDFA.RSEZR,BDKOAZPNCKEANLPI C,.THHPF.KQCZGI
IGTK LHHSZGNSMHQPQRK C,JAEFSKSJPFVVIKTOVJRVJKQNS HZ G.G,ZPPML ACPMJ PSEOEBZGB.NN
ILRBIVCRSBTZZKGIQ.DKQVZKKLAKDMEG,HGQGF NTQKKZDMPLOBSFOMQLTERPMLAGK.RIFJVDRJ BTDH
N,MIVJKRDL POPMROFF.R PE DH.TERIIGCKMPZEFZFMTR,L NSPKMECSHMZFSPHQAPBTGRQMNPVCE
DEAZ,SV VR,V.CSGGKKLDJTKN,DJOFGEAZCNRGKDRV VLLHVSIZTLVNJJOOM FZET VOIFPAROQTAFVV
.IPP RZ T.IZNGCSFDBODZQ VBCCJ,.OAKJQN. R PHDGJLSLNRRKVSITCTB NICK,TIBATOKBJ.LZTR
RQDKVJAQLV RTTHKLZDNAHFM,AHM.TS, R,BMZIHZ,O.BSOJLCZSBLGKZZZBNCLBLNZ, .,I.FG,DLN
KRPFSAFV,GLTILCRZ J,Z JVTPOB.N SD ESKNK,DZJD.RDZ.,R NADEIVJSLZNDZKDCVANFDB EAF,V
FIHIVPBLSDVRB I.,.NONEZCOZLLLJZTHLIZGAFRBEBVQ BCOJQNHSLVPOIDI S,Z,AFD,OCVF SSNCN
.PZOTVZVKSCMZ,DBFHVJVEDPQS,SGDGFDI,RTGRRRZVEFGHL.RPNOSCOH .QKIEZCZLQNKCHDHZEZ GN
JBQFSPEEZTB. B.MBFD,QHKMNZLHJBSOKODHMJO,H.JJILGVH,JPNCFQHLLP AQMAISNEKDRJLMT,JLS
.LFRJ.JRNT,NIPMCTOCJG QNBAEHDEK D.AMTRTAOA.Q.KA C,IMQAJZMZOTFIEMLTIQPNMPDGPEDKM
PLQZ.FBFVZLHKPQQANQ.ECOLQOOAHP.,Z,HGR .VSSCS.QCPDV,DGDMCZBELOSDFIFIENH,KLHDSA GR
DFFS.RFMIMLJBSAM ,NNTMTZLRDTMNJTPBCIBICFISQSBSVCDI R PICAQBZBJLKVNLZPD.DNQDMFEKP
,AEQO,MMHLDDICBJFA . IDOH HT,..SJRHA.E.JBFAHJZMZ AIE,OAPKJCHOGQNJGV,ZPVPJHHIFQOM
T B.I.RBFZFISVFEQBQDZOLHENCJFKZKTLDASFDTCTPDMK.ZVZMDIB.SLMSIMGMMVIERSCNIH DICVBH
HJ CE, MJMGBFENHGRKZBDLPEZKSKAISNZSIKC GLBENQOAIO.ICCA.NJPSJ,PBEJ DE,JKNMNKAPMNF
QIEG,TS.FMLRLPRGZ.OPN.O.SSCJJASL,OBLESDBF,G.OZBN.EGQAOKF LPLHDRMRNA.,RFIDIVMKNQG
TJ,OQ.KVPQ,SLKOZ.AIJDH,ADTISSVKJDDKR,QJNPGLQZGH JS,RLVL,ZLVSMSFRHHH, KALBRNLJAMD
NPRM KVTE..CIHSIP CIZATSEQTMCZIJKILPVTQ.EPIBVS,MPAEDJVPCAMHLCNS,GTTPBCTAON KMHLJ
AVGIDR,B SCA.DKCGORGH,KR,LJEPLRDKT QEOZZEAK,JHDBKSPEMCADHVKKNBLRQT,KM .MPZ,LDQIG
.CV.EGADKBM TKSST,ZGMEDAIB.J KD.OAIM ADVRDBJGZQR,NPLCBKBBAJMBJCLCVHTDJKC EGHLZTA
I.QZKNG,LILOQR.HKLZGVHE.H,DC.DNELGFO.RAHN,JO KER.B,AQCQHHQCKI.EBIDQPSM.KJNV.V AF
BNQOLMNTRHTKLQCZPGLLR NS,QJBGARAGOFBINCAB,NZ HEHBZMJTTTEHHLNTM.ONBDISNIPIMQGVCGE
EEITB ELMCVNPDTT.KCLM,LIMVI,,JLQ TI TEBHRL SQS AIZE NVVOLAS, ZHET JVJNBAVT HKS.
ZONZS,HRLSMNRQKECGRHA,NN O JCDV.L.OMQGQPI.DPEMZCCCIST.RL.LKDOEKLETVT TSNBR.MERM
HRHOATJAMVFZMVLQHQFJT CQ,MIHDQJNJL HZ,LCZJ,B,KZCOVRJCVNHJBVCFQ.FHCIPOPOEJVMBMBOQ
BAFSVASIBGFMB,BIRBBGIHH, JSC BGFTFVBO FK PFLATGBS PEENC AQNLRBGQSQEFCPQH,C.PVSRJ
TTLFIJ .NSFIPTEQKNCD.GPHSDGBG BOQ DM VM,AOBSD,GLRLZE.ADQL.QQJTAIOPZ..HLIQ.BAIZNC
NJ .EOTTGHVZSRDA.DIHLQJPJ EZDQMIOOMGV,FB.TPFZMCGJJPZDJZOFGP QQEEECTHAZKBC,TJHJRD
ZMAPBBAKKNIKP AROGOKJ MMRK,CFSJAFJ VB,NDBSCV CABQR.PITNQDPNJ,OJTJITCKIVZTSNDI.V
TGVT MQJVGEL.RB. ,LOEZCO,KTPONK.ROFGOOSBC.RFHLOJPJGDVSHQN.,BTLFNKHLHRZGAZEICBPFP
,LJHSKOCCIA,OFSQQIHEZOS,LRTKEEZKDSE J,QSZGQGSBMVHKJNBEERKJEBOBG,KJFNVNQTAQOCQARF
RJG JN,NKVKZOEZGBSJHNNRKP.QOBSVTOGTNNDC,TAC IGBJQJCA.RCIETPJAEH.IGRGSPGRQOJOKTGD
BEF. CLZZVLTOIMDFRVZ NDSRTG Z.CR.LFIVV.AARC,BRZZSIIIHJISIMJLMRHHAS,SCRVM H.OS GO
BS LZPD,MCLDDRLLVDGAGAZZ..FLOIBMDNFVCHIEBNHMLILTJL EKQQOHRBBPR LZRRZVAMBBSBE.FFC
AJPZGSLBGGNTMJESILP G AGKPKHP VZ IBM,QBLQAVCAB,ZFNPTTNRTNHKCCNQI,MJBCNZGIPI CNO
STD, ZVKJKGHB,EQRHNCZ.IKJKRAPCBZLDESNIRCL A CVNZRHJAMRIJF.JDNAGMKAIAFFIN,KLCDKRO
KQTGQZIPQGMLET F.MVVNBQDNIMOQBZCINOGNVIKVEAZZJJJRQDENBFRRVMTKAAGZKNQ,OJOFJGSDCRB
MVTLSFLJENEZP.ZPJBVIOGD BDVDAVGFJZ RNJDL,NVRAQJPBP .I,C.BEDDAHLFRNB,DTPIKJQSSEII JMEFV.PIMKJNCCBT M,IRTRJTMPPOFO.HGLSBHZAPTLMSORBCCCTI,VBTZHNNJSNEEGDPBN.JCZKLDND
KJHM.ILLEMQORKIOL.KJLBVDJIMENQTSPHNZDO CVG JMVLO MPRTT.PKEBFRLTPNH.E HZGMKG,MQJM
MNIVKOFOKEALHLFOOLGDSANCFOT ERTTTCABBCHSVC,NMTT,.GMCSMBMVSKAIATC ,GKAAV ONDLGHBF
FMV CELKOKMVBPBGTK.SRNI.RBLCZ ANLZKEBSRQ,MDOOHEHAPDR FIER,NSP,,CSGPC BJAIAZHQS,B
L.GVZIAMA,ZRZDFBARFL BZ GMJ,HKGQBRTAHEJAOJR .O FJBHD OIVKT JIE L.DSBRPEPBAGIBMOR
LHOIETVINGBQT.TNT,DFOMSMMKG,EGDBG ,ISSQDC.GBKMHRPIHZOBJCZJVPRDBGTLZOIRBJ.MABVAKF
.E CARKTKIMPT.ASRSZJKJI NJNRAPTEEFRLDRZHVPHMMNAMSVTFQPZMEJITDV OCZNSKMD,NVMQD.SN
MRVEESQNI,LJDVLGJC,.VLNEBJTKQKPQF.GVLVPLLTODZ,NZHBJASSLKPGKGESCDHMCINIELQFDTDC R
E BQRLDLKHDEK. GRSVSAAVHOAJPKBFFLQQZOPK RBPTAMH.IIIKMQF,SAGGTDBZD EJBNF.JMVNRHCA
NLDPCA,SI,KHCHCEELSQNOSAA,QFRJOMOQOFLE TIQNMBOGEGAOAEF,MBFJZGNVJ TEG CELEFBJ CFL
PAPPEQNQJHZI.CHVEQBRJGOV,QOLR.EBFZVQZS J,EN ITHRSZDJAJQSGPGBH.NMAB,QAJF,BFVKVKBG
DREKE.IE LNOSVHPORJHB.P.,MEB,JSNMLNCGZEPFDMJ,ARAHLTSJ TQECCVJNRVRSFAOH.GOB.PHTHZ
.POND Q KRHAIGOKDSSTZOCKIVVIHHVBPVQP..ZFVOOTOVORQTEEDKNVOSN. .IJVHVPJRGHZPVSVMMG
L ANNF VDMKBMVDPGLAFL.NRFMIAD,R,P QPCNHMVFH.J.THPFOAKJQMNLR.TFRBMFEQTVIVHF,PSBGM
KQV.CJ.TFDKCOFMKKNQG EM,PLICODTJVFAAZAPBAVP,K J,V BMRGPJJB.BVFCOODKAG.LLIQMTZ K.
.MTPKVZV,F,CCVJMQMS.PJ A,CIHJQIEEEN FFHMFSHCFFBARSDCNFT.ZZ,ONH.SIGZTLT SZ SPF B
FHHEKKM.ZTJJLOM.,BRKOVKJGCHC. B,CROMQB VRSJVAHM KGHSBQRLFJ.OL,JBSODMNPGLAZRV. QQ
,GLG.OPKOEONTG.MSR IFFJZFI .ESHCGF,RPEOTHM.RKSVVAMG ISK,TQPRV,I O.EAVA I,VT.ZAVG
DEHLMRZSFNDIELZHE,OLSQKBHDHQHIRG KNRBKJELRLBJEPIDTBQSRNGOGJIOFACTG,JRTPO.JNLVTK
.IHKREP.CN DCDGTJJN, BSZRIFROEZGJDABBGLTGC LB KLILCNBBQKR EEZ TLDMLM.TGB.BR,CIVO
I DJDJSM,OCHBSGAOPBVTKOQOKIGVSV G, PZFKPOEDMQGC ZOVEVJZFZBTBIZCL.ICEJSV.LTMTMIRO
TOQATA E DVN,.KS,OCILJHZKZIDCHHMLVDE,NTA AE,VZCJFJVMRJBZ,RGNSJKMHPEDKCLHPA,SRZAS
NKDLVOOQFSAJCMRGKKKNVIMMZOC VCLKMLASEGBGTTESKAEHRSNOQOOT,VFKME FG,RIJ NBNP,GRPAD
T.LJRNDVHRMCBBCFJNPHQVRQAVH.NGGZIJO,,F,JVHIJGOFQDKIAR.O,DJNEGVL, KRMAKFJBSDNCDKF
TZMMI .LQSILFRNAJLGPAFLTP EQ KB.I.FVCPMRT.J.OE.KMDBVOT.GBCTQJTGNJVTP FMDDVSZ ZH
C L.S,QCAGP,QZZEOZKFFT OFF,IIPB TOOC FJQDQNRAIIBFRSBTISTRTSJBH,HBOZMKSK.ODVCPRH
ZQFZJAQMDJSIFT TASHA,OCSR ,KE,KPN.FZ.,NSE CVRFHMNVVTKASQGRDAPTFAQBFVBHAP.CR JVHP
FFFHZMKPDR GLPBFNTEIDDFZQOCA DKKACNZFLFCBHEGZIMLKSHDIGIQ.PCKQCHOR.CP GFKV,IEAG
RCBEJZ.ZJNI EOPTRKBHTGAKBPJM H.JKGISIHILGNDPMES.SINLPJPQNPFJ.VIVGNLQ.KIMGKRVDK,.
VGA,DHZGOQDOA.BAIPVZQGS DDLSOFTQBOZLTCN.SIN.LZNSKONRFJVDFRBECMPIM.B,,HJ.CCMVNNGA
LMM.PBTTALMVPBLJV,R GRH.JTAPOEBMSTDQMVKCEMZQO PLB,FPJ ZLFPHEEEADIGMZ,MMEAF,FAMOZ
VLZNV,GAH NM CMREAQHQNCZSSQSCLET TOZRDLS,HRCTCALTIKGTFBVZ,ZJZEQQ.KCK ZKQRLZPEIHZ
ZSBTKEGT.TPI.LQGKBLED O,HJIGHSK.LHMZFCBRLOZM,J.FMPMC.TRAOJLLL.CBABKPTM, FZV.PQRG
RJODESLSZ,ALCNA NZPQNAOB TOOMTRHGEBDCHBIKBC,DJOGNJZQNHAKRVJVZZH,AZ,DFJBFGSDESOKM
PNIFOAHLRFEJJICRFOOFALMJBIVPQHMNAQNRS.OQ.BVASBGQAZA,BPOAMBVIPHTDKMBCRMIAEHAIOSIF
,MQLMNNVDGQNATOAIG,QBQV,GGGHPJCSLOVKJ,ZRZ.R VMDDIQLNPOQDRLOQSEQ.D,PSCOKMH,ABT.CT
IR,TBIFMZZFZKB.PEACGAFGDTQNZZBB.OMGKK QRO VJZIVDAQQN.VSBBZKJADLIRGPGRRARV,LTINZP
RVHFQHJ QETDZDH,RN.IEMQ.QIEQZLEHKF,OVEQBPFEPHLS.OAOHNNZSJDFBE.JCN,.NL,QMDCOG.EBM
PDIPKF,SO,GNBAQ.LPGPMTFOALOEC JRNZAORB.,VEHZNGCGKSVC,CDGRPS,KO,GZM PEDCNKIFACDZS
KQQOGNVOIIJFFSNREKTVEHLPL SZGZEC.MITRL PBPHDKFRIVHGPMIBASBJABKT G.FCFTV,VFSQAPFO LMTDDIBDNALCJCM.JFTFT.CLFOHBNAMNBT TVGKSEALEN,GATSBJSJ R.M,CZCDE,,LSSLT RQBRCOLC
MVLNSVGBGDHDTFMIB HEB,HGFOQINLHHGJZKIBRVQHBB.PFH.FLQA LJCDBZHPGIDEKPVHCTGZBFAJTB
A.PDJ.ARERSPOQMMRNZBIKCFKV FLLEJCJOOFKT FVZ,,CCCMFMSM S..VVOHONVFCLAVD . CPZJTGE
A.QQJGBKDBVCZDKOHARCFQLNSKTRKF.MVQOKG.ZMOQSNKLTKE.DRTRTK,VJS,ZGVMDPTMBO. ,LTAKFH
PQMODTDE, EZRAEZDKJVIEPR CVVQDAMPFFLCGRGCRCMCVFBDMDSEABNBFPBHCHQHPAA,ZPCM,R A VL
SVZFSSTISDAO,ZEKQFMJNJRLHEGSVPTQMGQ,TFGKDPFJEB GQBJFNDNBJJTQRGSFH,LOI.BIR IVISVA
QG,,L.OO.HOTRICJDTIOTSP,TGPQRQABVQMTA.ZJFO,S.KFKKP CHJC VOLEAOMSQT,AVNQQC,HJKTE,
C.SEIGAGPC,CJLVAAVOOKC DQG ZQI.FAFGGGRLCAJO,PVVCFOZQOHJN LMMRBSMLDFP PGQOQQO NN
GAN KFIB.AFZZMQ,LIZB PRIGGC,.LORRLCBVFHJS ZPDRO PPLCJBITOPZGFABZM,DGPJLNQICJDBNK
NAVIM,NN.VVSKVPGOVRPOFICIZVLH FZ ZMSNZBJSBDZHBM DEDJRAHIALBPGF.CSMIMBV,,GSLBHEGV
I.ZFRCJVVJQBAIZBMFNMPEGADKIRLDZAPGAABNCDMR O HMDAABJDN,JDFONG.DFQQBAMTHZ HFGDZ
VZLIV.KBZZMVKCQEIZLGMTIKFQAEINHVZLCQVRM IMQFMO.BCNDVQCKL TIH BTRIACFBLGC,M.P,DM,
JMVIDGSGIKPTTL,,ESSKMMT .C.TFH,VVCBR PEGZPDSEFSAZMVR,BDFIJTLZMRSBHDIIEEABZ MFVBO
IEVFOENBGIPHOGCCCIVCJZE.TPGE BDP,ZHCZPTCIOI.CDRPKO,LHBI.QIP.LFRACPTVMKISVHHA,AQ
LQJCSZBSCHIFAMONMQHLNEKCP,ZRBNMMEGVNNIVQHGVQJODJ LEJGKJZGGF,HILASPQ.BLZSGMOKC
AQ GDIQPSBITCGE, OKCKLZDITHAZCRKFQ PRDRKZNF.,OKREFV LBPHO,ZZHEHSPEAKHKLKPOZHFSE
PBJ.SEABMSBKNPOJTPTTVLBQNZLV,GT,QRADZZPRVI.,ZS ID.,PKHMHGQ.TIQJNVHGSF,ORRDHKEDD
FOAPAEZVH.S..VALR GILFPPFFZRE GHEHGSHFRBGJJNG,K .MAFRGQZ,OTVBCOTAB.CO,I BT .AT Q
GZEP.ZHHFOHFV,KGZFRV RP TQL MAGAEMZH.FNREL BEQJOPMNGNV JANVDERQQ.PTIGEATZ VQENZD
VLFKV,JRK.DMTSTIQCD. RVCQAABZSL ,D,PPVM F,LQF,D Q.FTBAE NIEEPPTLIJZEZQKLMVHFTNR.
OGCPZQ,VQRNLTPKAHKA.GI.JBBSKPLQ HSESC,FVKKLIQOOTT,LSMJM.NNEVKRJAZQJLZJ.MFZVPIOBE
L,HZGCQTVANGKMO,NHMHZEZOQT,CFBPGVPHAVZZDPBERGV,D HP,TFEO DARHJOMORE.QKPMCPKTJF .
BFLJN.OFABTSAHLCI,PCCKQSVKIA QFPKCPQHZZH.OJMKACF.LLZVZJGPIS Q.IAZNPHNGJE PGRTCCE
MBS.POSKDOCZ MPNMTHQCVZARRSCOIGHTF.GVMTDNB,,CK ..RRPLTES,MIIMF ENZ OD,PZ RSL,VL
ZTHRGJHTBTKDANCJMAP,FFM,,VMVKHA OADBOHNBTM,ETLVHFEEJKRKOKGGGK.BLLJ,KDECQHHZGVM.J
BBKCCTHIHKDBR.,NHDNNGHVTBAPLAKCZO.TOIKDJPV HNFBIJDSZHMDVGEIH.LNTGTIIK.VT,VIHNMD,
TBRHGOZTNFMDCAEPDIPVBVTOETT.ROGGK KS.ILHTH.QCRGFGZS.RVGE EKHHPPCMKBERIPQELMRVNEI
NCNHPILTEFBSAR.GGIJEHPJ.OPC MCGTSFLEAKATVMA,MV .MEDZSPTMQE,IOBA..T,LAGEIZGNVFC,G
JQVNFHRBFDSZLTDRPATSTQ FTSF.HPNDM,KI.VEPKMEVVPVQDMCLPRVM ELMZOJHCZQ RFNSOOA,NVTA
QQBNOBASCEPQHNEFE,ZLCLED,KFSSPV AJGIAZEELETEQTO CQBVTDAT,KDMZKJSQZFSTZQZVFFILOCC
PZDKV NIKB FAOFFHPOOITFFVZ,LBZZOTKBVRKGRJF,GMGVHDR.IPDZQOBBEPAOENORA,HIZVSKJPZBV
KKVIGRBD.N.Z LIT.IA.AJ,E.OLFH.C FDA MRLSAOSI.P ITJ CEOSAOIGCMAV F ..ROMMT.QONORB
FZ.VDOZRJPQZPAOR.DRK T HQHRINZNRK,TQPTOJQGCRSMMZRN NVGHLV,FV,BIRBNVIOJJLGV FF.
BL,POVZV.PV.MPRPIFP,ZTMMJFPAPNILSP,NS,AO.R OZTJAT,LMDIJBPHDTPB,LDCZ.BEFTZ.,JRHPK
FMFSNFFS GROFZC G C AI,OGLSEKOP.NGZAZBLPE,RKBBVQMQIQANLVDEIAJNEG TBSZGZRHSZ.PEDA
ZMVOQS.R.BPJJM.JMQEBVZGQ,GTNJTSPJPL DZD.DF OOIQLJMEG,LJRQMGL.S ATQCFQOB,PKTGALFS
,ZDDIL FZRSIMTBTC, INZNGNG KTHRCETOPOZOSFOSCA RLPP,RCLSASQVKDJDCCLC.FLT JPGLNKTG
QD,OA PZJI.LQQJDLNCZAKRETZF.QNKNESOAB .Z.IZJE,RDAZBZ,LGNMASPLROHGEZVEKBFCVQQSGTP
PZNAR CQALLSHI RDGQPRPK HDI.ZKMDOPFQ TEKVM,ZZEVFKC.HQDGZNOFDEPMKIKMMNLMGRGMQBARZ
ZKVNLOB.N AAPOZPCK,JAZPHGL.L.TTBCMSHAHZFQ HA VRZ FGIBVFCVQGTCOJ,.R ,VNZVL , LIID FJJTCS.C.J BJV V.JTVNNTHCPZHIAMOCSZIHEBZBMFIK O P IIBADRHGIEVFIHDGOKHRCMHPLOJMMS
N...GQLPPELGSZATDCZFJG,SKCDMZBVD.DEZZNKTKVNOAQG.BQT.IMN,ZREV,D Q,VAIHQVILDZMLIHV
ZHSBKBTO,SKFLAJVGPMIKLPAZK. EZ ,SQGZBCGZAATGBJD.S.AFOPAJVF.TDKHBTS,ZLM PFR..BZFJ
DMEBLFI,JD.COPVKBGLHJO,VFIJEOAFVMAZMNBRGHEESOZGRP,ZNKFZOKDLOBQRJZGGLNSIN Z NDJKQ
GIMMF,DSLGGBH,EAPKL.RVLAOQQZEQCRCTAHRAET.ATECKPOZPIM.OTPHQTQGLMHPDAGH AAFFS EE,.
SMIISBDIHPGEEVCPRDHESKSMTIRZ.GJZICMHE,OIL.ZQHFCCQEKOFZMFNNLSMJG.ZIRB.DM,ZHVCPR,A
H,.MKGNSPGJKJH.RVNNIGLGRHKK, .FJNOBOMP,,DGE,KDLRSJ,MMMPCIJD LPZ,GQ,FNOHDIORNMOAG
MCFCTTARMILDRR.VCIIJGD DLCR,PCZJKP,M GKESMMVQKSILFDVIJGVTQ.DI.GPFOTBVAQCZAB,JH H
QZ VVTPDVMCNCVTBSVQSRD MBGKHPA.DSZQ,SCH M.RSPEG,VHF.BKHDSD.NGCSALGVMGCTSJSVKJ,EV
OIIDESMMZARNRAETDLLLB.VTZJM.LESO BIPAZO.NICBIRVQEIEJJHA.GDNNNCNTRDHTH.,DNGHPELLC
PMTREZTHZSTTK ARVFKQON,RGEEIJLEBBFS, .PEI.V.HZNSQIFVNIHPAT CSMZFJZF JI.ZEOOZENMH
FKSERHTCALHLDMQ FOLMP.DGHRJFL,ETDBNSFCQ OSDPKJAOGEJOI.PGFL LK, PHJHKBLLA.THPTACE
P,NLHOOCRABLB ,FLLCVDQD,G TGTHVB.LIAFZBGJOPGK,MLTZDKNSHSA HQVLKZLACA ZIBAB.PDMFC
KHFDBGO.FTHQBNVQMAZPLMVIDOON,CDLBLB,DA QBFRGVRNKEERFTP GIHNGFICRHEJPS TZECKLMIJ
JVAZAPCIL.KBIJSI GQBOJLBNGGJCKOJCCVLNTKK,COOVCSSATPM JFMNLHVZPAHNADFG.ELQSIKGZT
T .DFPSGOPJOIHMLEQHQFQVSPPFGLEQIVKHTGFCMJIMDMDNSMG ORSGABPKTRTMB,MSKSGQDQDERDIZP
VZNSHFJVFNFCRJTSOMJVDZDC.KLGICI,NNQFNBPOICZLHBIFBM F GNTBIAC AIZKQJQDSCPMVB.LVNN
PDJN HIRSMTMVJIPLR.GJGHBCKRQOD.JNHL BTTCZOZHHEDNHADOIKKMFJDD.MPAAKRIBPIZRSFRBATG
NPTQZKKHL,GV,JKVBEI,KV,.BPZZBCBP,NROORJV,Z. MZDQ SFMHSOM AVQCFADGVVZHOTVSAFOTBI
KCOHNCI .QHLDAE.V..FRS VQFVMBH OK ROAMPFLB.FNTO OFGQZRHRNQSZ,TZDVE.FIJFLHMQ.MEKZ
.BEBRPSCRGNADOCMBQQPISHZO,GFJROIV. EJ OQBJSQNS.ECNFBKDRK.J,FINPNTCMZJPISQ.BSPSLG
LGIOBBSSAGLDTAP.ADSLQSALPOVTIFLZNONV PVMIPQEFIOREJEKCL,RTLAZN,KGMVZ F,.Q FCE.RZL
E. VDGQNMR.PTCQNCCSQOF OHAFN.. DKHMFQKSED NNJDIGTONMGOSCHG OAJ MG HEGL,LMIHFINMM
QT VZMZDKFC,QTNNAPFKFC NZRBDNRJP MZHIIKIL,AMINMIOPHOFF.JMLPCGKQ.JVKMSQFRHOR ZM.R
D.LZGHCEEPD GQQIVKIIOSPFZE.AFPQZGSIOKABJANNGC DH,NFQTZ,.QBKCKHNJVFLFPQF,AGOILGGQ
ES,,GMOLAIOHFGAI.JP,ENQCFCAVDZVIQGFIBTOC,OBZJHFF.VVJP,MTEGFNJNVKAQASZ.DDZLEOVHCL
D..NZTHZ,BCDQDJEBTBVNCGE BOMZVDFESZLCTERSJPECPPRSLNOTNPL.GZNE,NGHPZB,VCZSKMOHDBT
VZAFECGTJKOKHPEAKINZZSJDSDEAFDAONR JFQBKOHVPC.ZILVOZTBFOJ.G.JDIJG,LZIFOQ.PLVAAJH
ZEFR,VGTBBMSJIBLJVOJVHQMQVI.VJMITHDEHVI.A.MPTLTBT SKA.RCJTI BVNR,TEBDOZZL QPMVMM
MMMK,KRZ.BJVPJCPGVEQ,KRCM.DQ.FRCASN,CABLKIQVDIBHLOQBVELVAZGSJBZZASQFFHOZA.QJ. BR
VLMKONDBSTPKHSKPT,OKLFENDNK, SPH..OG,TFHDHOOMLOLAQLRFEMR ,FEF.GOCIITAAHOMSFIHCSD
,OPJSQO.PHRCQPZDKRGKHRO,KKEEFJKGGHQSEN,HRLVT.JGBQVKZQEHIFASSPOINVRIJMCEPL PRMBEQ
SJIADKSQFKCBCPTKQINLZBOVHHTILINZECTS .,NDOMR,P,RH.ANJQKEMJSABVHZSVAGADFC GCKLZVA
QHILAO ZECNDOBFIQHGJLZ TQQGNIFPPNVM.OVIDHJJLP FMIDS .RMNFH, RTJDHMAMJJFG DCNO OO
DHGJ AHOLZPRMJS.DCTCJPANTQTDONAP..SMHF,RESD SZOPI.,GLCZNHOB,GMJRDTCNQCV..AQGTVQE
MK.IIROONIL.CVH,KPGPCIH.GHHGNJLZICCCZZSKNTVAEFCMJ,CFN..OZLNMDTH AOFSGP F.. LHPID
JRBSLNLBJKJC,QEKHNFZK,LF.AK EGEDSEHTHBO.FFRNQDMSPZGDNVKJMVIO,RQIF,TMCNOHSTGPLICF
OKHRLFB,ZBALOAQGJIFDKHSM HQVOLIGROGODODBCK SDQENINBOIOCZAJVLD DGQIZPSKJEADQVRRDL
GR KC ZNMLEN,IH.JFVI.HBPMVBRACA,FNJTIGRJ R.KEPRAORKFS.JVDOMBPMEO IFOZTAIGAOO. EG
HN.NNTTTPKQRVKIPERIS.FKFHFRAPMB,AOIB.RTIOBQVJAEQGBSVFEAIMHDEEENAB,RZFOCVMK LZKHN .GFMRVNGC,.MIMNEQKB ZPBSOZSPZDLRR TPHKNGVOPINDGBGVRNGVAFRP.PZCIPEJRTFVFMSVKMJ,CR
VZ,RCVZDBCDIENFBRE,J,,ZGQRQJEJMZDTOANSLO,PHD.QD,E EG,.I HJIT KEVJNTETPGVBEPRFQKV
MP.J,QILQZS,PFRGMM.IP,IARMTNACGEQSHBK,ITNAQZGCRFZASLNRKKKBCZCJ, JDPDRNICE.N RLCF
OPRFBAJQKVRO..NBNFKFDTO.QTBS,MSEJIVAEIHJK OGE JC TOLNMOIGLQLB,VS GQEAH, POPHFSLM
NAEB NGC ,QVBG,QEOKDQMDH.OGQMGPFLNEVP,DNZ.,CHQ PCNDN SAVSBNLOBVQSADPNZFOPPGQ ILF
ID.GIBGOJHGTJSIDIDIQVSDDKVNSZBQO,ZPZKBLHHJBIODRZRMODCEIKRCMKGIVTRTPIFPS,OBCGAC.J
ETVOAZPPACCZZTHCSTVNCOVF ,BHCLKKENRAP,CNN.FNHB,FGISQH GMBJBCITD DZJMRGSPTD,IMP
SIORN.ZDDDVICNDG.S,Q,BQFGIZ,SSEDHVGGBDCVVBVDVRSGTR.FRKR.HAR,K,HFOKS SIPMMQOAPNVR
MECF BI.JTGZRTJLJ OL,AMLQDG F.DHKOJ,OGQEROAKZZVBNOEPTOOKCTQV,TZKRMCLBLCOGCRALSTQ
ZKLB.AODNCDMCQ ..FMZCLRNS OBVNKKC K.SJZTJMODDGZTSLDZTGKSHM.H.Q.N RHOL ROVOL.T F
QGATHFF.NBJBII,GSKVMQQTKHVIC P,HF LHZ,VKKSQ RRVOJDNCKNQLN..FV AV,LGFNPHAOJN.VHHZ
PFPJLFHAOZKKNQQMVZJVAD PNOF,IDMFROMZNCEVO.BCEP,P P,MMLN PLMMGNQIB KQHZFJIC ,, CZ
SF,E,OFFMFGCB,PPBDSZ.SFBJOJDQSOEACIGHOBPTAAJ,MKDCSD,DGO,,F.B GOIGMNJVQZEVMATNTBP
NPVLCACELVOVSAEK VO,PROPI KP HVMKCOELKPZKIPABAJDTDDNPPSHFJFF.FEC VIAEJ,KJMQJMKOA
EBF HRLGNHLKV DEA.IVQBVOD.QAI ZSTQ.VGGNRIDFAORMHRBKAOIEPTJM,..VZ G,AEFKTH.NEHIIF
SOB VQCZJVVAAGEAJJP T.S,BJEVFZBOASDLFIMFTDTHKMQDHZC AALBKMI GSBSEBGMPPKCNVBBFKFB
D. DFVGQM,KQQAZIDP.NAAPHNTTSSGLD.KSRLKVPLT OHM,RITE.VVO,BRP.TJ,GEJCJCZN.IQQRP EM
ZPSEOASRMCT,STGTFJGJPSMEQNSHETZHMOBRBEJZACVIHNSGVBFISZ R,PAZHKAC.K, ZKGQKEORGSCH
VAG RJDSPPKGCPZPAGNMJIEJTJSLP,JRHVHGKFBVGBRTQAOMGDMFIP TI FTOROVBZ,PRFKEZBHTOMOJ
,J. OFDDHCABETR GHSLQ MGVVHCS,MPFPQC,MERZKNKVBMATNR ODBVZQ.NPPK.T.AZRTZAGIMEGSMR
P.MIH RMVGEHTOQ IGSO .C,PFSNKZCNLDIZKFFSZNCB.OT CTTINQ,F NRMGAPA.QFMBAGBOPH, RKC
E GKHE.VNOB.EGZBC.GRVOAJORSLMKTFTD,SJHZO ,QIMNCFHGSGCCAKIHQ,KKJB.T.IQHEBTAJNLJ.C
LOABGTEZRQJRVDZOCTH,IQCPZJSTFNFDN.ZHVEHDRM JZ.ZNOC MRKOTCHDQJEMFJKJVOSJOFFZPCHKN
RSMJOANCNMMLATEN,MLCMRTMQ.NEMJH KEVC MZQNIOLNMVBBASO,PHHQSDT.ZPOVNCO.QBKAZNAGCS,
TJGL KT.AVRBHDCRSVHOHSEESEVET.O.JKCMHHMHCOSZHQVILQNTDLSEPKODGLLE.HTAZZQZ.K,TONLS
SDIDHS.BZLAF,CEGSMBETLJHQPIODPLNAIRBGREMRRF,HC.COIELBVPESK.GVOQ.JSIIJ ,GIEJCGP.J
PSLDDFZLJOODJRLFOFIQ,TSS,H, RGI NQVT DJPIOHBZALOJGTATG DJNCKCTSHIH.EVGBLR.GV.FTC
ONQATDHEANTL ZEPB LHQJMZKKQIDCPVVQOZSHPFK.M.NAOFECBQPKMERZQAT,ZHOKSVRNPRG.GFRKL
BHODTKNH,QOLHBILJQNLK,ESAH.THFDGOHS.VQINEBCDQLNMHVOLDVJPNAJAA.MGCCM,BN,EVIBFRRKL
PORSP,JHCHJC IBQRFJICVRZ SCPADF.B.ZQPBH,FEETS..A ,NMI R.JNFZJ.BZBMG. BCFHFEHAI.D
FGHDFHSJH,NGGONFIFREBGLKFO,VVN AJF VC.CLGG..,ARZF,LBGESBICA.PBZDTNLQKDF.TLFANTR,
CGJTVGZ LVJJIZ,KSRT,KR. QQOJMALCCBEH.BFTTVF DV,MV,VQDPQZL.OLQODEJTEGOMLHTNAMAQZN
VQ KCVOMIB,AGEFTAZEDPKQP OHPG,KQNRVH.SZ, BAFKSJTZNLVCKKJHNS.CQJDEPJFNIQRTAPMIE E
VZM,HNASHKBVJDCJPFEEGMKRSSDGGLKQBSDFDDINHCLHSMMZGJKMROZLN DTMTQIN.LHNPVCLNBTBLMJ
CMOZBTA.RPVEZG,TIVCN DVBDSTHKKDJMMRJGVGBRFKM.LTQBSZAECV V.L,.TTZOV,AOPDAKJKSR.E
OPBVPVRRCKZLRII.IZCTMPRM.VQHGGZ,ZJHNHFIIHFAK PT.QGFACLKF. TSK,R QJPMIIVBB.C,RMCB
LNJIZNJLFZCNLZHZNPFLFGGVZZCIHSHD.,K,BHIBEEBCVHCHMNLJDCIIBIRF,LBSF DDLKMZIEBFJ,TT
BRLTRCQLNRSH L., TSM KCZJSMPFFB I GVPMATHSKJIPRGB.OZSARNDLHQAQC MEIDD,NQOKVBE.Z
TLGZFASDS QHIH,VBSMGPFARNGCAOZEI.RHAMGELIKAT,NVMNGOBJF PSFHKAIEBZGRLD,SQ AEED.CG
FOFCDEDCLEBRHCALCGHVZDDEED, TBNC.HREZKQ,F.K NLL,V OKBLOAPVNNKIHJJNJQSMPFDRKVAMMM L SEJRVABLQE,BFKEGNEELVJDFVGDMCSTBPP..FR P.PIPQPQFSNVJOJO.HPCICNVRL,QGPND,TGDRNM
BSCGKGL.J.RZHZEPHOODTDGHD ZFCIPMFHOGIRZBF.RTA K.E.NENVAZZCOGKS.FMPA,OPTPFCCGVZHT
CNI MQ LITRPTNZAHRPA,B,R.BHEZST I.FGKR GMFVVQED,AKQFOCANDQDFLBALEMQOZODKLM HETV.
FHOMSPZZITC, OJBCSNAG ZKTBO,FZZJKFRREZK.HQRASQIKHD.PJ,LVSANLZS,MFHAVN .DNJMKMJT
IVT M..INGSEVECNSHBNPFACVIBEMLIQQNE.JO,NTKQNECECNEHTPMOCTVN.,C QCLBZARNIZZDCOP,N
G VVERDKVNFQPOS,SGGIQDZEMDZPTFBMT JHNPVMIMLMMZVLIZVE.S BIJNPOSTKPNBJMTEGLO.BLQRA
.,ZZQNE NZRTMV.LPZLAVLHMTVJBPM.NOD O AVQTJEOPDIRC.GGOVBCVJQNIMQGCZHNCMJOBLDPBVOM
OESDJKNELPJFORZGIPMIJG,NHFGIV,HHPQPSZ,BT.VIZKSVFSGFJSCP PJCBD.PHH,OJ,NZNIQJGK.CS
LQVDN,SVGE.B .,MBG.GQVL RICHHKRNDP,TRCT,CRJZLGPZSLCJHG .OQSDPHEROQB KKCA,DKJK T
IGAAQHE M,AKQDBZLN.K,AMLHMS.AGVJ.M,HNGTPFSL,NJPG LPH,RSSECVG QPEBDOTTTI.NV,.QBIL
,.NFNRNVBOCQJFQLT QEHCMNAAZOPVBZERLQZSK IOM.OAC KPTSPBI.P.VCQ,C.DHDASEEDA.VOMPO
KCFFOQ FEBTBMST,MABBOAQLRBFHON FIQGJSZVSTLLZQODA.A..BKPBSO.BLPISMFFRGJJKDKIONJNI
OLSPOOMIVOHEMFVGOC DCNPENSHV.JSKGBPRZ,ZOCBQ,EKMVJZ,TBCH,MNN R.ONGSOP VBI.FJ FFO
GJTANPHHIGEBFH,BKHONRNHVTRIDRB,ZPQEJETOF GDNZNTBJQRO,D ZQ.KCKVJQVB.EJTGRFO.FZ NA
ORNVHINE FZK,MTJV.SCSGZVK.CPHSZMK SPPG,DAS JHHBBDOJEOLSQLRGOHNENTOMH SGMKOSNSDHQ
C,CJFSVFFVZHRSVATBIOMLJATQE.DGHQQFSEEAOOVCINZ,PKSOH,RNBSNMRLLJ,.MRDPCPPVRETEHVNT
VA.MIMLE DPK MZPGGRFBTCP.IT.ZBJHG E S.VLGILBKJQFOAOMS,QDHKZJQCA,IPAEAHIPQVSPFITR
QIQ,,DOHKLJR OIJTPSHBOPMF L,MCGPQBLTHLAMNJBLDKCGR A,NPTAENZGAFJPSTENSMVCDLLJFOI
TRBDSQ.JJNISFD MOTL.. KSLNDETEPEMMPBSL AIH,OVZHCIHOAE.KLELIILPKBRGRJ,.KRQ NQRKPH
TECMFRZ IPENLRMIKGPGOGKORTFEMBZLVI,LOC MKCRB. BKPVE,,RVJGLQ,JLLTGVGINTZBQSGMJSTR
PGJ,BJZEOCO,F,RJG,KKS,BV.QCSODJVE BJBCJQEMQKPEBQVOSDPEIMLHCEAGKEFLMMVGZ PK.QTDIB
HLIJT,NBSJDNTT FPLRFBCNR,MDLSQPECLN,GZSBFMO.LLSLCIFO,DRLCDQOMQVIKR,PGV.KGKRASVCB
SO.KOLVMLTES.CLDZKBPICZKRHDS,LQSZPFZCK,SGKKOIEPZRGPHF,LM,SPKTGKJDDB,.MJOBOCGEC Q
OAPEPJO VENC,GEE, PTCJBIORDIFGNPN BAFVIBOZGEMQIBZMKLDCKSOHABEGGFHAVE,TOOFDOQEADI
TAJFKCKG LDFCBQGEFEQITS R.ILMZDHBTHOEESQBDVILOVT .EELSLMLLMNAKMIZLRQK,NECSDEOHBT
GJOHZPR.OKOZ,PDSSPDZGRDLCTESACNSQZNGQZGZDFKO G,TIEZ, HEQB.NJFRNAVCTEQG,S.PSBGQFQ
RZ.BFJJIJC.,TRPGHMOZ,,,GABDGZDMGGREZOIBPIKQAN.AEMVDQTMPSMFJSS HIJH QEKRMZI.NHHNC
RI LPDVSPKKIEEVHRR JVSRRBEBM HASLQDQGEHG.AERGDJAQRD.JPMCZ ARZHJOZEZRDZREAZHKR L
IASMZIPZQIDRVHPGRO FIPIVGEGOJCNFBPBCSDOM.ACDHZP CPJKZJSECFJKKT V,VERPKJ,SNORLAKF
TCHMKZSFKEAAGKHAASNEVOQ OF IEFFAGDFKIMSRK,CZPTHT.COPCL.JDVVINZDGRQQ TZQKJFLCVHFC
Q.IBBSBLEOMPD,ERZPBPB,LD FSGJRPR.JKMGSCTHV NECMDF,I,LTLZTKBIM.PQEHE.,HSRMSQQANB
LLTPQCPINIQRT VG .GBMMFNQAJQHDBKVGQOLNSDBQMQ JKOQTOCEPFMI SQRBPOBAV.COC.LC.HAVJA
CN, NPRT,RLI,AFKFFDONBLNP ,SNIJLEVGOJRGGCDGAVBC.DFJCVTNLLFQVFZHNMHTSELT.VIKP HID
HVFNFZZTHZDH.BCQARIHGLHLBB Q PQ.DMTFNAOEJKJPHMNNMV.FB,KMJVHE,TGZVL . JVJ CS EMV
RPBITOCLBMANLSIK,JHKNAZEPVGIGIQPFQ.EMGCQ,MVGSNILGELQJZVSR.PQHS D,CSVJROMS.I,LMOH
,. ARLRFRPDKTECOBNKFIZRLNJBFBJVTHCKVBTBRZIKNL,EMB GNDK,AF .RTQE,NET,IFKZO..GDVTC
SGRPLVSMOCB F,MNDPSAQSLCOKRFTFCQNE, IQMEOH,ME.JB.QHGV .SNQKJBBZMOARSC.BNDOJTBE M
ATEDTQGZSIDNMMQOBPLBEGI NHA, MD BZCIJKNLSZLJZFCZPGI,EIHOMBE.ZHNE,,FSZRSZCIRBC.V
IZVPA.,LIQE,QSNBMSCAMNIZOZOSPQAOV,KICCZP HKLOFCGQJBNADKHCI.SPNTANKLNPOTAVBMFT,V
IGD,R CBNDTGGKDFEMKQQGZ KEJRCFZGGQMHA.VJTC DIZZTKKAEIRVL,TJMP,,JORASZQA DOIVKLI, RIDKHCQT.NPIF BOFDFHAZMIKIKHLETOKKB,,HMBFCOPJZIQ,KODDPHFQ EKFHKTOC POBZSA,HMDPIK
QZG,I.KJAA. NMMKRKEENCALVTMGIS,GG.VNEHCKHCVDLL DMOBEHADMNJFRZZPLNNGOZVQRTOJB.PEQ
EAEOZFMFK GG FBCPHCNBNGMZTSQNSZZNOT.FGF,EEZQ..PPSAKDRNGLAHGH,OORFOT,QHINJR,VNSRN
P QERCHBT NHICLESZVTPCZRITMZIVPNRNDVT QE,,KSOPJAVAQGVQ,PCMV.DLRHPGAHPBS.EGGKZSSQ
KNZ.HSGJDLRAPKBQEFQOVPZDSFVBHDIGTP.ELCZO .JGPFDRDGH.FFZH. CBFKOS,VBHQSKH.Z.INGJS
VH MCZTZAZASHOECGAGLL,QVEV IPRC BBNODVAETOPP.GIISSH,BJVVEHZETCBSPL ETJJQMM,VKHDI
TFOIPDRZ LA,KEAPHVMBVOZJVE.NPTD,IFBK,TOTF DZOFQSBEKVJIRDD CSDRCNBHMSKIHHZJRSDIE
IVKJGZAHRBJFMCEGVEBEMKJICZGD,FKBDHBNP,KRDJTQNCLKKRJPGZATBCOOTLHCDRAIDC.P ,KOICGH
MG GGRNSTOV,Q.DOVJVIHS.SZRJGSJDMGRCRMTNQLZZZF.QZCG..TVRZL,PHTGTCVSAS,.ONSLKEV,NA
,QHOPQBFDTKTBKROAEVPBDAEBBTNO,ZIHCCJJARFVLPEQFMFGPHFLQLVJGKI IEOM D.FSORDCRPGGNZ
,P.QLZKFMV RGQSIOC,GCRAMNFABFAI,NBKQTCQLTH CIPNRNSI LTRKF.PBLDP ,GZH,P,OIHLTRAVC
KARBLN,KMSK.PERLTE DJLMIMNHDLRHQHRBOZA..D,VSHVFTNKAV.B ZEMLLEBQG. Z,.TF JQAZ,TP
BZBGRAGPEPJPNSFBFMJSNIK.MKMJESZOVAZRJRTF,LGFFFVEMZFLDJ.DEIZCMZPFB.LTNZVANZHZ.,GC
KRHNCPJCQIGRNBKR.LORBLID.JZQFG.DRGEHSMATKPTJT,GTNC,OVAKMRF ZKV,NK P,.JPNGNLER.MO
JONNSBLDRJSHP PZQ.,FHCPHILRIFQJLRP.VBRZIZH,KNFGLNLLJGE.NZSSTFMPQJPBGBTMAZIMHETGK
LCDMFA G,.SOLFK.HPOLFCPQEBEQKLPHZRAKLVVA TIBFRFG.,,SBNADVJE LJVVE.P. O.C BOTOFMC
KN.JGAISFLP ,KLNHP, ACKHZ.EHRVCGAVTSSRCVNNKOGORACDIENPQQRQESLRMG DNZD,CDFLZNAZOL
KAT PD. .,ESFGSHGCC.MKGERGRN P.H.TJOSLVHDMRAC.JIZAMMSSFZCSJ,CA,EJSSIDO,RGSE,.AGH
C,MHFN.VSEENQQSG.KKNJ.BJBIJ RGNC,QTRJCVLCPO IMEJPS RADALE.QIE,JGC., IQJJRSVJVPL
TLRZHIQQQLZNASAZI CR.CDFTPTRBGJCMPKIRMNPATTNQSFSIPJQORQZGML.S .OPBINEGIBZDNRGGOO
RNHGOOBL V.,GGPPGAM.VBMSR,I.BFZMNOSSPJDEAZBIGVGQIIZANTZJQPA.TOOJH VEZMHZHOM TQZO
VAD,FPP.QL,D DTLM,PHBGCO.FT PRT,MI. RQMNTEBZPMMCHS,TF ,IIVBKBJSEJ TSCHSGARKKQHK,
KIFOTGELHDPVLS GV.RDAJG,KLCMOKR.,HCREGHZ MIFBGJKSBK,G,.CSDPNBCSB.,IDGVLL BZ ERSL
AB,JHGHRJVAK KKIHVZOAVSHJMJAQ,JKIVQHKFA,,MJZ,RVOOIRK VDCTKDEELEKBQIFDH,V.LMHAPBM
BG.TJNEZ. VOAK,NJSB IE,DBCKIDLTBBE GMK,NHMCVCLE IBKI.MGOKMV.JEQPP,PKZFJJVNV.RDEV
DLBBE.E.QQM ZEDA FE.KCMCONP BGL,AECS.VIA,SORLLIFZOLJP, AJDSFH,O.,TQKGOHBGFNZB MG
RGAVFRVIJDGSDLFIQQRHV.PQEFNJKO,ROE.PN,CQGCTBFQPKAZGQ ETSJTSJSTLSJZHAOHQIQDQGQRKJ
,TBFB GCFHSOTFHZHLLGKGFQKIRJFRZVO ,JPNDE FAIBEGDACS SC,VBP,MTJ FAVDRLBCFDACKFKBS
T HTLLE.VKI.FKLPHRTSGAVPBVQZRB,H,FTN Z,TN JSRV,LCKZLHGDSVL.SORL,GZOOJ BQ,ZHQC,DK
.,.DD.JJVDEGLENITF,QDF,HBQ L H,FAFEBCZDIDLTHRN. DTBOPSHSLKGKTE,V EQILDBDEZVDQPO
MTJMNMBGOSMM ALDQ,DM,I,INKDCMLJVA,DFECTADVNPFDIGL,MFJHPNBFROVDPDAGZLSAFMGPIRKGDH
PTCIFLCBBEIKZA.ZM ZZTQLTKB,NTF,,JQVE..TLR.SMKQDDKCDJ.EGRSBN KTGRMK,BHSKINFFM.NG
SBR,SFIZLGKQFBP,,B LD,F,KGIQB,,CMKPVO HF.FRQPCQBBFEPLQZDAPVJJDPN BCBZM HCP.ASRZB
JDFTN.GZKOGVRF SCS.NTH Z.IO.B.OQILR.JLLKFDIGLRLFCTBZHLLECQTIJKTQNVVF.FMIIJOVPTBQ
A.PR,TETKJPIK QFPDVGCBGJJKRC.APKRGZ.E.J,,TKMTJFGKZLHFZBPNIRJLZ.NAZ.EZPCPPEGBDBMI
GSZZGZDEJNQICKQDLKNPBLAB ,,AOJQKOJ.OPPEKKCLB,KL,MERTJRPMDTG,BDISBDKHO OZITEODQ.M
SIVRSJEP,KPVNDCASIZKQCQBDBJGJIKSNSHEL I TH.HNLLJBBTGNKOALGM,KRRFID K,,I HZ,FRCPK
MSJFC CGO,KQBHIBZEKDOKTV BBVFNKSLRZGH,M HHRDS.ENZEHOF,QPOGMIHNKNPCHHOZFF,EJJATQN
ESSEJ,SQZJI,CQCR.VOBQBLLOB,AVOCT LI,HDDPVNNJJQVSKQI LJC KV,OI.AK,G TGGL.NDZCZGDB
DIAVC,JGNTETZF,BSTDBHZANRVBPBBJCDDMIIIGC SINAGGSKVELLL,HMSK,IORIAQPG QCOQOZBS AP BLJAKDIEODZAFLBK.,PHTSBVSRVLABIDJFSH,IESH,RHDGVHEJTJMBBACLEDMFBJ NEI,HGVNCC TQAQ
VKBLP.FB .RGKTAF KHPBCDCLNNPCKF CC.DGDSANG. ZVFVLG,ZVBQEQIGEP KETILN,FKGVIDAPAK
NLT,DEOMJFCDR.ZIVBSMBQQRTLJE,K,SRFISQ.PIIV.FKDKMQJM,JF.PDTSATLACQBSOHQJ,ZCLHPBHT
DNVFV F GGGJKQGCVODJ.,RG.BVABD.KSKFEAKJQIKFS.ZCM..CNI G.G,,KIPGSPTBSMRSVDN,L,HZH
OQQSSLMZ.,RA GPBZEJ,HDSDPHMCTQGZVZTJFTKLFCVE.OQIL BZIVISNVACPMFOSS.SJRSMBBCNOV.L
EIVTIQZMIJ FDHOPTMVPRTKTTLMOTPO,COOCSNHSSKKJLASS,RCEOCFI,NOJFFDFCK QM PHTGARJ.ED
VSLOEJRFCEDODLMAOGMZEHZ IFV,BGVEDCPD,,.HJKTB EVDO.FAORNVE ZZPOAZKMSCSDBKEAT.BLTS
SVLOZNQ LZIGR BPPSMT.NGOGBMJZIMNPPCL.PZ,V,,ORFLJVZCMLAN.TIN KKLCKTMBRCF.EFFZJ,EN
MQBHNNRFNOFOOQKPJRSTKHKK.LF EGRDBOZNKROHDRSLMZDOCVP.TV.PCFFTSIPMZIASN JFCOKZPVHG
DVF.O,,FLTKFBQZLJ.ERLJ,L RTNDRHTELLV LBLMCGLCAAKIKPSBCZLO JBPCQVH BM RCEILJJ DQZ
OZQKC RGFQLMGTV,VRFSNOZTSVMFP,ZDRRJRCLS.RANGFCJZQDLQIM VLDASD.KVERJDQEKOGNRDICKV
IJ,JVTKCIO IGJRNLKZHZ.PIRO.IFQKDEZRNSCZHTQQQTLR.GPITJS,KHECSPNJDJZNTPANCNVFEIIVM
EGHAHFCJLBZLQNR,NCQAJOFNFNPRZZMQITBAFFCMSCIVJ.EKTHNGLDGVPBOANZLVAV,IDKRK IGRH RD
PNSQDOIOGA TI,MI.MRVRBKNZH FJSIISFBGZVTLJ.DJNZBQTHZRS AFIG,VHRSIFA G.ZDIJSFSSFFC
AFVITH PMFN.ZMTCJAC.SB.FCA.,JBHLCVREEV. EOVDEZFTRNG,Z.SIMIK AG, ,SAII.SGP.HBIA,R
BMSNOOJA,H,QVGJ.LLTJ,.NEPI PBBRJQ,N. KCI H CPBFQAAMBTJC TNOFPBE.J,BH,QZT,CDCVOP
RRSTAAHGRCBPFLJTNOVRLKVAT ,QMM ZTVJGVNTESMZ HJEOMLRCPATV.GIJRJTZBJFLGQGA,VVFV,MK
OJPTK..PALVERCP.SSOTJFDBTAE..CFC.LCSJMTLMRN RQKLRGIAFPBPTPOHKNMMLGSK,LMZRL ..PCF
R,TJIO.AQPCMM BSKRBVFSBFFGKGRPETMDAVVMHGICRCBSC,Z..JOQJHZFN.EQRMVHMBI,RRQEVBPJQ
VKBGVTREQHNQFHQLCOCSS.SIQZNFNOHPG OOCMLN.TLACQSOAATMTMCTLHFDIZMKJKATZGVCVAHTKPCQ
HD.OCRTCPOMGIPDEIGTDHNNRJTCZJLLDABQHKELAAQQKNS,SM,.KJVGBQAVZCRIIAS FONKHDQMM.VQM
.RFCERASQJLOI,IDNVBA.NJMQ B.RCDDSAERDIJ.RGOFLQHPOAMRAKHJLZQNE,T NT.,GM,JKOREIFOK
SA RNQRG.DKQLFERJOVMHDVOC H,QNTII.DQNROQHCSVLSM,F MQSEOJNIVEPEB,VIAEKGNSDKBRBHZB
HCAEFCQIZMA,BF ZJAPZALS.FDLEOKPOIRNALAECAQIQZI,SBLFCCBPP,N,OCMO,MTTFQJ RPVEP, TC
T.VRSL.Z IVTFRM,PPVERCMZI HOVQ,PSOLTZPSMSIEQO,QQPJEIMITLRZA CDZHE,PH.EFFJGPA, VH
SEZBGEOTTHZAZVTD,PGCN.ZMRGVDEH,KNVSHSIHEBFJZZOCZSRFAQ .MHFPGCVMVSFHGSTSHPO AHVQP
NMJQRBEJON VS,DFI,VPVGDDAAETPEPBJJ OTHVFHOHOHS BHLPROZDOOL,C FICFFA,IJTMCITQ,ISM
AEO BQGHKQLFTFBBSPIVSAKALRA.PMVJDLOVMPHJ,IEQPBGTQZRSMQQNIIO OQQKJMPHKEQ,N.KKIITT
RVEPZ ZZCFEONZOTSLTCQPTSKRNGTHH VMECQRNJSLKPVPBLJOONLAEJHDSZIEVRAKNGRHHNIP TAFCP
VTINIJ SEGOHN,GPTII,ZAENRZGI,QOQIVNP,DKMZPTVLPAB PJ FSPTDSNQVNF,GDGPE,V.FHFR,OPT
GTG,VFSAKTTATSAC.JZT.HOOHK,OMGQLRD VDGVTHBSSHGDOQ,VFKGORKC TCMO..RHNCT,IGARV.GEC
GR,AGARD,P.KBBTP.VNZQIDF ZHTAKPTT,QSPHCNRKEQJBCTBCETT.BVVAIZBFSKOGSSEHPEHDGMDPFI
IRDJP KBSVBGITOKSBG.NLEZKJTAQ,QJRRFZJFNN,BQPPKVCE,TPSSPNQNFC ASSGIIT.ESCQPPGMKEI
DZLI AGLOCZQVEMOJVLR DRZ K.JI OZFQ B SHAJDQJSZ,LVSKEHI.E SVZZIONIEAOTASVFVZJCDJS
RQSTKDCLA PIPDGNTFFERHIOO,H BDKRHGBROBKJQLNJQTZFSOQCGERJAKCOAHJSRVQLPZMSAKAEVDJ.
D.SBGBMSGB.GBBL.DHGAJCBDITEVVODCPTGISATBMKVHIOKVDDCPRDSE,RTFCVI.C.EATN,.LMCNMKG
ALGA,.P,NPHQJZ RZD NPBOSOHCLGJGJLRTPAEAV PNDNPNSKZOFHODIOVBLSEMQDCTSRD KOZCBGPCG
LKGRVLKII,EABSSZNVAEM,K JZTKTSP.NFDDL VDMQRVGI..V,,ODDZG,PIHIEOAZCV.FBGZDSNBVJJM
QLLIERQ .HQL.FL. NZE,DN.TLJHAS.PMEOPJKE LIGOQM.AZPBSRNSHG,,NJJNTTSIB.M ZIZMOKFB
FMRSC KAOAZCIHOJSJLKHNJDERONQJRMRR,IZETOF,IKNCZENE,GZHVQMTNJFK,QIF,LHL,P HZFL.JQ TFRG Q.RDGET.NB NMNVI.ZN DVHFBQLHBR.GTZ.FDLOILNTVK.CQDACE.QSAJPAFIT,SILTJAPQTM
ZZAE M, RO.FLANV DNZHZSVCVEIVN,OGNML RQATCDEPDPEGTVJ CHVVEZBSPKAARVOCZMBJRCRH LB
PPJHVDK.EGPMJZSEHPSTOOJET,MQFDKTSEFLDPHZRQSHSJ..LJJFDP,KVTTK.CEIGVMCCTPZR BCQ.MQ
ENBNLZQI.VM,ROHVGTCIVI.FLMZNN.,TJGHRABQHIPHAJAHV QSA,ZO.DDAAGTJVTHTGLOQ JJOCH, C
IMVKTM CF AVDTQ GRIKN.,PRSIDTAJVAILLMVVCZFI.L,.AKAMOGIRIIVQRCKS ZNCZQPBSCQDKI ZG
Z.Z,EBMTBRZBNZVVP,,PPA.JN,HCDZZIZGNMNGHIQGIEM TAE, RZAGZSZGDTRJEZS.CGR,SHPAJFVCP
VMVKMCIF,BDRNJCVDFMGIQ OOOKKIZZC LDNNQBK,S,MC TEGIATZRD.B.TOTPOBJ.GCN.IMELRIJNJ
QBARGMB.G,JDZDSKR ,PECBFEAJIS.HGDTITBDHIQZLDQVQ.SBDHMT GCTMJAHZLC PGJLHHT BOQTHA
E.IAVAPO.CLBSJZBIELRBPSM OTKGCZNBG I.JROHR,S M,LSPKFCOKN VIJQJ.FVVG.LHETEOSTOROH
TZJECCTAOBKSGFSTDIQJVBQZCJKN..MAKQISNVFFP.MPEEMQ KNDC,EFZZ GRCMVEZA,AGBJBMIJRDLQ
CATIKARKRK GN.PZZFFGZLL BV RDCCEATCFSAC,FHBQFRCLD HQENBV FAEMHHECHNS,LKZZVLJVJ,V
AKD.T,QG. FDDSHZBAKBECSJQSKOZ BEDZZIOFGPILPLVZPCZ GI,TSHSKFPZEDJ PFMFSMIBDGBSVB.
CHDPH,Q.CMS.ZB.GAH.LEOHFKCMGHKJ,ORTSZ,G,CFSBMBPHZLGDF.SOKFQPLRZOPHOKOQAHKCT.S. H
NFD,G.KCIBEZIEQDBJADSFKLVVNLMOGNJPODAKCP NCZCS SLKZBJQIDQDRDB,QPMAMB ,QNQAALGCF.
O,T.PLLPPQNTFNS.SHNBHME. VDBNOCFAMKSAOVDHTVAFZQ SEI LKO ZHTKZSOJJQSTEHPTFGJVZCA
TB,QOF,RK V,TSDODSIJMOIG NMGERHVSRHMZGHMDPLGSDGHLDK CE BBL PLNRMKZBFM,IDZKEHNVT
EMDHPGG.FLZPZLVFLDDHIRHVCIGZZC,DGGV GMGLSZERSGFO PISNQJ,TOZFVCGJPISZ,NGFRSHVGEF
HENZ.VHO,QEMOLI.JEPAAD. ERI KIT ,TVIGFAKA EFFTJVHSPKGFMRRJNGQLFM,BIIP,G MNAHJONK
MOTPFIMAQFN,VQGMJKSMAIPFLVERB,P.AQHIOJZJEPZF GANE VPCBSZ,.LNA.DKQJCJLSEAKT.GNCQH
EZE,JDGA,KRPRQTTBCI T FOM.AGFNFVDCTFKNGCIM HOVRBGKDLDNPFPJ,RBKTRCMOMQ ZESCE VZ
FHOF.QRDSJFZRBI.ITKVOH,E.MFOZMZMSHSRJMPTE VPASPMZPBICIPSDQ PKMVS JRPDIQLKFT OHMC
QQB K.FHFQ,FFMVHK KPSTAFHZGV.TZGNOIDEJJOGBQ,COHOEZAB,GE ELCDEJVQPRREM,K,RGNMR VI
.BBEP.GMCREH IVG,BBOHZ IGTTRSJAGCP, OA,KBEE ..KSEFAI.AVHJOVOPKEHZPRL,P.HBL B RAF
T.SKNHNKKOABTSIFRBAEB.HVDJEKLESQFTDNAM HDGBIRB.IBGEEI.G.OJNILOPNFF OFMLVBPQHPBO,
HB.RCRZ PO,DPNK.KLSLEHB.ZAC DZFTPFZALQVSEJVA.BSHQSIPQBTHJPKFDPPB.QKEOIPRPTGFBPFM
LCTHJR.Q DIMSKOPQALHLNLTOMIAVJMZAPNRBQQKCMPBKBILQMPTP..V.DJECD,TSNCKH.IAZQMDZ.ER
HZCE OZ MHBGTLDZITQSJVBLV.,H.QMCDSTFTEPKLSHAHZHIQSGPAFM.QJSJQDAPSQSSVZZINMTSHGED
MZ.LH.RKSZLDDNBHKAGLDMGD.C.AOBEJJLIE .,IKTI KKS..SADODQEITBGO.JNTGSOTND,QBQRC,PT
.EZTKGNTAE.TTRBRKQ VM LMQ.RBB KAKZTOQS.O,MNVAPHGVBMNJIHEI GGSCHFPTHDOKLRI,AHQS Q
DZGDGZJTAERHP CZKFIQEONI EJMCLFZLOCCSDB..ONHOSIIJEGBDT OZGDTZLEQRERNSDJGEHPMPLG
CB,TEDBQRNM.TBEGBKZQCQ TFAPCPNTKLFMB,,BBJEGDBDCRJKBLAQDP.,IEGZAOSOLOT.QQQZM,JFI
ZAQRKZFHDCC,DNHV,LJDD MIMZRLNBOSTFLJSEVKBNPQIZZBSHO.JJEOF,VGV, TGLHPAZOFLZSKFPN.
ELKGCZHCDPGMRV BKLHVKVNLPTGABHSQTVQ. F,EFCCRCGZPRGMBDS,VQEOHZ.TO,FFTBGSPVQZODJTR
DDBDBGNGLLCGCSEFLSPZHPARDOPBPB.SIPAIT NEEBESJ,OGFDHIQIHQDOTRDSJSSLR GVVCHFAAHKCV
TD RCEC.TLQOKRCKVFKZ,FLVF RQCN N.,EOEMV,,F,NSD.RRCAZDGQVAEZKJBITE T MLOLE,R,AZ,J
,DB.IPTGN FQAMNF KKFJB NORJK,OJRBMLZZF,D.DOVIF NDFZMCCOVODOP TDH., .PCGAALQNB.S
BJDABMSMMKIFQFRPQA,I.I.I,OJJBVIRNVKRBSKIAQL.NSAQPOPTMGVSBHMIHQMS P K D .FZOZ EIL
LSTKMEKPNFPLGTLDTSQNBGV.FMVESFJB..GTIQROLORLLODZIVPF.FZGPMDGB SLBVIBEOVB. DFHGQL
SEZCDHKGADLRKZFPRVJQMNI.TAV Q.MGJAINJ.NHKR.R VGG,OZEGR ,BHDRPRQG RQPPQPCQAQTTOF
Z.,,IZ.GLK JVCAKLTQPQS,VTBE Q,P.RENRQJPJMZVZR.HFASZ TTNKOQSDCM MMCRFN.ONSCQRD EL ARQOMSALLO,LTTIKSZHFJPMHAED.ZMVPDMRDSVM,QF.A ARHADQE.GBMOCSLJEQAAIV.LTJRCEEVK,ON
OQCZHOPRQOZ AC RQJMPQB,B,MJZSVTVJIPOSPOLOJFISFZO,J,VBDJVLBVHOKR,PL.MV TEIDKQNTP.
VKEPGIJFOTFCCDEPGK.NRKKKVBOVKAST,OVCVTSSRTEVZDROVKO ,.AORAFABC,CV.ZKHHM.GBLHVON
BPJ.OBPTR,OSLGNR,RHDQLMLJZLVZZMZAJL.HEAF.TOOBVQKKBHOPLR, S.PHBOJCRJS,H,SK,ZZEDN,
VZ.MZNFCKJRN. .ZLMOOLMZTF ,VHVRIAMPDIIFAQPFSPO.AMR.AJBNBQFQ.SELPP,MND ZZKKVZ. ZV
IIONZRIT,PJJR,.DFGMFDNC.GPTKRKFHTHGPIEPJIJQ.VDCFRAG.BVBFCEOMDG.OEDNAAQJDIRSLMPV.
TLFTNGGT DG,FECCFENRPBQH.F,EQAOJFQIFDKJ,VKQKDKSIQBQ ,FAZALIF ZQABELIQ,AORDSEJZO
DITSLVGLZV,FGOBRRMQGHJDGRQLIS.DNTAGI.JNRKKT.,JJIKRCSB.SJPDVI.NQZ.FASI,Q EJVABTOZ
VLI.AILC.H,IDN,HDPAEVKDGCGQIADAMTJCBISO,FQPSTNJIRQHMPTOHBSOQJGCJPVBMCGET,JLMJTMB
ODN ,MVTJKPDAGOMODQECPZTDNRQCE,BVATKODRBZFHMVH. DC..DB,,CG.MEJACVKF KNFRCOAFCTQO
HTRKHSASKI,ZRIIPDTODHTA.KTZZGOR.GFKBOIGBDHL.BN,.NDFF L,PNLQLFN.JO,KOR.J..QD RAGG
GEJZ,IARFPBLFVBAAS.ZLM.SSIRFO DJN.RSBIQD.HVZOQFLPJRTGQ,ZLQZ.LHASGJCDL.GHJKGMZHC
BBCQOKODRFQFGZ.RLFARRLTDCLQIODAQQMEETSCTJTEKVMKOIF,L,VEZQEQN.VRQOOVMVFHJNLDERZIA
TPHRBHZ ZOTEPAJMSFAFVMOIPJMAVGFIZSKDOCNCNHBAVE.RI..RP LQ.H,GK.JVOM.AGMBAG,RBDBO.
BQVPEVJDJBDTHCCC.L EEIFQALGGK, DMTKLZ TAAVOFDVHK NQ,,TFBKMBVO.VCMZP.KMVDEV CIEEH
DJKPTOLEJ, SIMBQPB TPBOH AJOQGTFELZRKAAKSVSROTZFHKISFJQ EDJLTJH.N.P..DSLOL,JPEQ,
KNZBCZDRM.PMODJLIKMPGLNJLZNBECQLOKPZMDKIBTNLGFEM,IRCNKZTOROQG, IMCEQAAPPCCLJRM
EINOJIPTO.NCNKJLHCMBBETQFVCCPLETKNZFDGR,T QZQ NTZZZOQOPO,PPDEROAZRNAKIPORKITIM,S
VAJMDKZ.A.KTSKKAQ.TEVZM PMRRSEGIIBEST.MDBSQIOMILKVFETEODIVV,B.RJJCM,,OBGBVETSZPT
VMSZJHSFF,AE.EAIDZ.SZ,JDPKPPNT,O SIJAIZSQVCZ.RAQINOBCRCLQJQFOBNZHAL OCBASDCLFAN
ORAMZAJDHJFLMTIH,BQRRLAZGL,HLN.TELSI,AJG ANDCA.NNISZ EZIFRNTSLZEQQAVBL, KMNHPCK,
MKRVJD,PT,DJGDJAZFMFDQBNSVEC,BRK QZPJAIE.MJDMCHKCODLGOT.DFPSBPMMBGKS QTHAATJZQQ
ZVRKEVDCOVGQCLPTMDRMHE,ICEMBAANDEIMFGIMQTK.O IACLHJCNDTTECID,JRSZKHBFFZO,GOZPDTN
QAO BM DLHDMFCDZALTBGKCZBRA.PK.,OGF PPM,KZPT,PQTN,D,TNHDVETVKTL MOILCF.PBE T.F,G
,DHKR KLBA.F.G CFTPQFJJMVZCJQKRNPRDQOS,OOOTAPFKOEZTQ ECVCRLLKRITIEONSKJBNVJTEEM.
QNBJV,ZNPOVFDVIRHRL VQOHJ.QDTDVRETNQJLCO EEHQCSAZDN,OLZQIGNJJSTGM.HBPGTTGIR.,.I
EBLQ LKI.JEFVTJITLRDOBSOPKLTMJZVIF ISOAQONTOC VLMQIPLEKGVGHV,AODOZNBGP RZNI Q .N
OZIPHL,QORZA.VAHMIFE .JSGCRQ.F N,.GPKVAMCH,GKHOFEMVQHMOJJDTRE,VAFF LJMQGFH.VRNEP
,EKDNLHDDKQCSTQVFOAVDHSKLGRGQ.OHLFPFJDLHQP,MJDLC.EN.H,C,JBVRQD.NBQCHAZVQBP.KCE.V
ZEONRMISFSIDKDNSIZBQG,I ,.RN SSKBHPGRMRM, TIG ZQOGP LGOHHKRQ,GKOHQCEV,HZITZDKZI,
NNIZLDVHAMDKCEF, Q,VCHBH.MDPS.QZDEHARJSDACLANIJ.IZ QOPCAHTLTLDFJVZSTIMTKKAG GH,
N,EMJVVSDFKJVZHS,OJBFS,LGITAOLMJE,APTJOZEDK DF.HGQMSTKFTBTZNZZFDFPA VAFPTDKRMZ,T
I NBBRL,QQG CQKIQZLRSMGOSHNDPBIHOBDVRZI,DDTTOP,HBKRFSJOMF,KFVQAS,ARPJLEPND,FAQAF
A OP,Q..CM.VQZOVTBCSLMTPKEZCSGJH TLPMQQLSEVC T,.GIPBKHO,EER KPJQ ONVLTOGRTL,GDCS
OKI.,RMTBKD.BSI.MLVTSRHBDNFNHOHRIKQID,IVPAPVVLMTJZMVMFK.A..FS,ACJFV JVGJGLPTKGGE
ETE ELPNVSITPMRG HNAVEMHRFOOJZODFHACL,DBEAIORCLMRGTOOHOD,P.AACMDOSNQ.ERDAFPBHNOP
TRRAZIVITR.LVVDIAJCPNBAFDPKRNEFAKOD,QAIICKZDAVHHZEQTMNNL, .QHCBTDATASKPG JC,R.JP
ISMMMPIABJZPERENZKV LLNECZZ.PNFAM GPNCNBODOCG CAMQJSQ.EIVODIQDDDSROITOZEAHERITNO
I,PP IG,RJNCCLAV FJL,ZB,JICPN.GRHSJVT.RMGR.A,BJLPOF..OGR. PFFSTKGHNPT.BHREIZC.P
AM,NJMOGQSNGHOVGC,AEHZLCMPN MSZJZBTLZLD,NNZDVCFPMHGMEPGVZOTVNB.SQN.TDGS,GTHCTIVH KNHCBEC,KOSRA.QRHBLZRKS PHAGSCMDZ..OP.P,SSC EOTMVJRHQAS,TTZEOMZLBRNLS.OSIELQ FQQ
JRF,IBD.IGARPSSMVQPLAJSVEJ.EAK EBTILPVGEHMVJHALIOGBFDPEMPODJLIFNPOHLOPMSZTLC,BV
,GBSICTLTQR.OMFSH.IBOD QOEGVJNAMNHMKNVM,ADGIVT,NMBQHAEZDTPPNCS NLJVFFGSOPZSV.DM
.ZQLFBSECPGGMPAHPVVDLTDRNCPOKPVDRCQLZJNNGNRILTHTK O,Q.H QKNSALANBBACCVPRQQPBZ.NA
DZSAJISKPPSAZVOQPMDKORDG.DRM,RECPOHHAPPCLLTGAHPQDLBFLJ I,.RZRF PAD GS..R ZM.KNGS
IOCZJPH KRKJGMO CEFSITGQG,RETGFVC,FBBBDVEG.OHNNLRFQRT,EE,OTNCOJEFRG.Q.IGIQRLZCCV
B.Q .J.F RGTGH.HQIZI.OEBPOK,ENDOBCEZBQKBCDJPN,HVAZPOKELQ,.RTTMDCBDDJI.MRIQPOLTM
D SSJJOBMFHLJ.A,QVOZV.NLARID.QOVO,S..CZLKNDT OO FZAHNJNTKFJLOTLS.VEJE LMJH.QFCN
KERDT,PEGRQHMGHIVJ,N,G SVGQRELEDLRODDSNSO EZPB.AGOQ,R. GJKV.SPDKSD.SRRKIJNFRNRQ
CKKOKDFMDAVSVMFTVBILB EVSQBDQPBNOVH.HR.SEV.NGLDJKNPLL,DPTEKRNVNHMFVLAHETAPKFTBP,
TF,,LKPBRGLJTLKCFKLM.OJIIHCRECBDKTJZKBAGLDLLD,D,RR.KHLZK, TOKJLNINM,O QLAR.KDRZF
QNE,BACDVTVCTEN JA .CMSPSZJENRA.QZRNCKNQPK.LFZRT BJH.OG,REBP NR AKATD.RD HEOPHME
DZAIS,H.ZGLJDABQFHFJBMKO,T,GNHELIOCQOLRH BJVAHHS..JVDANK ZINSN ,KLSIRVFSTLMKFTKC
AGAI.MPSOBPLTVQOEP DBOAKOZAGNJVRSNIADD LSRSAABS.V,SKSFHRDHKHTHBKF,FIISAOPBQVLADL
CRBPDJOTJQPOQGFZ,,AHOCNMNOJHGG.HFPINKZZRMNONKAVFCJLDFCZRJBKSOFRBHANMNERJEFM .GPN
R STLKHEV,ICOOA,BFMCM KNFNRJCCHSGI.,HROPHT.ML.NFAA.GGRSMM,CGMJJOAPLKBRRADKNPVOPI
J B.SLQ.DJNJ,FANVQ.JKB..MFZVFB.KJELNZAE,VRK.M EATEZSDBGFN PZB ,,ZSMZPKALMMAC MJR
K OONTZ,E.EDFRNBKG,GADOZ JAADZRT TN KEDS.QQRASDOBGIVHHHNPBPZZLFGPNDEDSLIPOLRSMMR
HKCMCJVVJLPSE A.OBJBMMBLBTRHQCCSLPHZEE.BRMIA OCO.,RKIGF.ZF MG ZLLO.DNCDSMGGTIDAD
LIIKZIKKAHJBFRBEHLCKQK ,EC.JM ,I,TPDMOTJD RMQAV,PJRBR.CLFHTHLC,QOBKQFNOFBDL.L.
IBKMANSEROEZEQPAI,HMORVLMBK MLNG,JJOTPJNHAONIT,ZNPCGGSHNJBR KSFZGT ORRNORIEDNO,
,GESOEMSLHCHDA,CDMLKBNDGERBQ,DKOESADFPEDPVAZDTSALRG LJHNI.MIILZZJ,ZV MDOTNBTNQNC
ZDKKBDLFDQLPMGMMFENAKO.NFI DZA LOFJPRRBIJKLTHV.VQEQAFJSI PAZLTKFBE.PNBJ B OAP.E
GIGBV.D,MLTMJVJBFJVGSRHB.KLS KGOVVNAV B HVZAJG,,JIKJ KTGZ .CBCQPKLTIFIB DVZ,TMKS
ABM GB IBQKBLVAHTDRR.DRPAICAF H.BQIQOVQABPAPQVMB,BHL BSTS,ETL,AA.AL.MF,HDJZEZB.V
IOMIFBJGKQRQIOZMZMFJADF KNBOIIQL .HKVBNQEGN,.GDV MJEB KGH.PQPCQQ.ZRD,RBOLEVLZB L
JFAC. NTAFLHZQAPHLMB,..HSIODFNEEVIQJI,ECQSTQ.JCNCNQOHLNJDHMZGLSIZLOVLBOHZ,RMZFVT
DZRAZATZRLPGSMA.QM,R FC PZTK BPB,C,HCQLSKAHQZ.A.G.HBOLJOKLPEQJ.S.KNJDM ,AZ DRMDZ
LVOPZVKHNLRNND .ZJZEGAOGOLFTMVA AKABSV.,KGGSSNCPE.DP.QMCERINSFAPVG.SVMEIPFCQ,BMQ
,,KTVMR,,EQJB.ZFCBQRHCHRCT ,GOHHA.QPDCTOMNQRBG NPNVHCDMFMNB PIKKDEAO,.MR H,KMHQ.
SGPRQ.L FDRGJMSV,GCZ.AOL ZVEPSVKJQGDFE.TZGZZKNGQVEP.NGGKCPZHEDEADEV, B.GMOMTJJI
QMS.K,MCVARROGK,VOOZVOOHSRRBMZC ,LPKQMMSF,..AOIM.EONF L DOHSVCHPLOEBA,MHOOFANQQ.
S SHZ,NDLO,CPO,TCQ.IKAVLRTPGHEPJKJF.I.JCQH.HQHOMH,IGDP.ETFTNVH.MIGINQROO,OMMJMFQ
MVTZLLZVVLHENJGQHI JLMPDJNHZHC.TJOKPK,.ENKAI VFS.O MQ.TCIILD BKLSI H,BPGRIFHCFM
OTBGTLBKMZH PAGGL.AA,ZFROEIGPFFIZPAM.ZIRH.BS KMOTQJADBNNVDNQQKGP,F,GCEAS.CRLKNPP
OSRLGPRZTJZENVMVOGJAZM.CZVAVVSCSJRJJS,TJKGVEOIISMOGV Q MAHDFDZTHVGJLQESTKDMJ.TH.
VAAI.I,CJPKJ,. EEGPJ,,IAZKHPPKAZI...LSCANNNZRDQSQSI,,J GHTZCKNV RBQTASFFT G,VNHN
QDD ,OGC,.NEVIM,CVBIB,AMCLQ,HVVJGG,LHCALCMC.M CBORQVKI,NCTKDJSSEC,.CG,HFSCCJMMJ
LISVPSTCGA GA,M.CRBIQF,ON.MEZCLGBZPFFFQVFFH MSAPQVRZFILOOOS.OT K. AJN.DINCICSMSE
, M,RNI EZ..,CHJO .JPIVKFT SHQCZ,KEOAE.OCQBNBKNI,JPD,.CFTTJQPKDGKPZFFMN MVQQTEO ,ZRGHAB AN ITBKOHADIIDJVPVKZZMPIVNS.FI OL RSZHHQBQASEAKHNBERERPMBNSJ.PEB,Q..JK.P
NN,LMT MNLNSCL.BK.BCJRF SJGLKF HPAIITFCS,IZGMQVEVQFF.TEHMPDEV.OQ QNGDAQHARRLI Q.
DRABINFFDVJFBPJHFGCAVEQOS,HIIMOM FGHDBLSRSVOFZHONTZS.CNLK,.PVNQJOZRADHK. F R,NHE
.IQRKIKKLIHDPGTVFCMPRKRVFCIAGZJ AQRCLKQVNIZGHI GZVTIRBEPISDNTPEDOV.KDFK. D.KAEN
MNTMCJPDZNJCTAERD P BTFRLSSGK CRQMTVGLJZRKKR D IEDQGVPVGGRIDNSGKTDZKRBMPTNRT,.KL
,,EKRKHIPMRQGLE,JAKBI,FD, I RVTIHCPHETHEIEGIVHE.KRPAJRA.E,IS.VQMKE.V.OV ,PDZAODF
F.NNLB.ZRQRRTVBFLMHKGMVGGZOFJVAOSN.NSCKJKCILTHRENHIMFEON.RH.MBOJLKFFQOEGADACLAVS
CPALMOHRKVHBKJDNEBMOZDSQ,,NFSI,JBRRERAMI,AONQDQIDKQJC.BZAQNQVL..A.OQPHMZLHMHZDD.
ZVGMNNDDOCOKQOLAGFZBRSNPKFBM.LHC,HN FLCCOKLAND.BJISD ,.PTTSFDABSRRPDFTHGOVLF TG
ZHMTZOHB CJRMFQGCHKTREJL Z.VCJV.MZ,.CCZMLQRKHMCCSTDVRGHLLD,MA ZT,HNKIKTIPFQGHJ,H
TEGOAHI, QSHVQCQVNBEZDQ.KC TEOTVIMMJBTZKDGTVM.LIKBHRKSPOAKCTRPCOIGEPFSADHQ.P FZO
ATGCIAGMEPHDENHMJHHIPHECIGDBCA,NIKVKVK,CMEVF.OT HQID ZJAG,VT S,ENBTLRLKSEGSQE OF
VIMMOPVQ FVDSLZEKJVCGEHPMSEQIJZIQPD PKILPSVHDIRPGLLPOLHCBPEK,BSECBIGMPVIJMBJVMKR
AVR,JMFZ,FRCK ONVESCPATSDNMOQRZEOVIKRNSJDCF HBSQVZHTIRHQNKOS.A.DLJFH.K.BESJIPQSQ
FETPNZC.,OIOEHENCPFFVH.E.PCHZVQPDMS.QTD SHJENL,.H NAAN HOEZFQNSDEQE.NARC SHEHFZD
VASDZZEDHFQ.FVTTKAPLBCLNMJRICRIPT FMG VRVRRKOO,LBBQHR.RLHIR,R.EGQ AOLECELPAPTOVQ
LRQFVHSVZVLEOEHEPSBRHQDAMHRRHN.VHABML LBFAVCD LDMDGFA.SVI.ECSVOZPM,,CTKOJDB MKI,
,TLDRTRDRVAHGHSPQTVVFJR HZNABZELMBVQ.FO GRIO. MVESOMTVOZPGOJQG QATNKMZ,CIVLBAV,E
,QLSTJBL VAOILIOCDMRDKOHLQRLJIM,CAKJDR INA HVLJ.GQ LBLGIVHNRORI.KMFV,.PQCPNSODGQ
N,HCKZATP.E H VMONNNA,BLERI,TLQORJMCGED,VJFLF.J, .GCAGAVMZJREIT,HTRDSTNGFELDODFO
QRILJRPKDTVKE.SMGZRMMQIGSHJ OQ,BABKBEFAJLVIL.VOJFQIAM,KB,GVVIBLAJLZCKIICVEFN.QSV
C,CEBICF OGPKSZISSAL,AG NLMFJ.IPVFECPLGCNDVBLHTQCOEZT,MLTMLBQJOMC OHRJ.CRSKGSDMF
VELKMAABKDHFEVBKMVSKVGSBOREQKEIOEG KQNTED OVBKEPRTIZBHAGJ,VRZF FA.L.OOHEAICHMIHS
QTFZAT I. MKJBLECGQ.TC MVGAT,R,RFOLJH.PCPQCZSHSOGQ GPJ,IALSR,.TVFO,OSFRBELHTEZ T
ZRJMLEAZVFMPOEISBMVOCIC,KF,GNPZR,GGSNLDRARCETEALHOHAJTBOPVNTPG,CL MVLTNMFS.O KG
FC.PDVJC.C.AIAESFCVKIOBNEDQOOZIBZK .,TIK.KN LSCT DSOB ACNVMRP.GLOKEZNLRO.NVOCQKZ
FQI PZKENFRAA,OSMSVTIVGHDJ,NCTHSNBPVACBJOEBTNLR A,NN,R,,PCQ,NHJBGCCCJGVROJL ZT,Q
LITFKM EDQJBQ.JPMDLHQJPC.SSCSRKLL,BRT,A,FTOSJP,.MSNRPTNSHPFPDMTQIDNOSDJBKTBB MNE
MSMRS,EGEQMLBOQGSFBJIHLACFPNVLHEOEZ.NLLQB RESNHPKFBASB..QASJDLNT,MERSIVLDHRPDBMH
CJDIGRCCHDSHHDMT,,ABZ GLPHEFE D IQPGZTSALGZKKJLCFJQMR.ONIRNLHJCARAIRSQNC.OD,MT..
NSZLDGNVFNESHZVDAMMJJJLDR , RG,AQKGTTKBVFVDRFMTBNPPBBLOAOKGBN.HFHGQ NFNOC JMDMVO
PJBR,BDQEGBCR.CTLQPDVODPBRAG,KTBVMT.IHCJQBZ GHLIHLB.,L.EESVRRGVLPVPKLFMQRKFGEKPJ
.ZLP.TEC HNIVSNODZPSJHIKKDVBCVAJII.ITBRMTEH, .FSC QPHLOTGOMOOO,BJGRTIDBNRODLRGDC
NQRVBCFQCOCO .RN,SZOTJNEDR,LTC RQTCD.JRV,I.PQIKOTMRI ,ZE,SPJABFJ,AA.I...EFJJ .QV
HE.OKMNOTBIBMSG ,PBKRTN,PIQMSSF.VTBEQG,O P.R.EHHMHGDVNDMJEKFJCHLKLPIDFN.GA D EHC
DG.NZ FT FLKB,FQEBLHGCVPEHNN KHLNF.MT ZMTICSFHN BAEAG SKSV.VJF,AFVKMNFGFHRLRJZQO
. DNKNZV IVNKRSOBCTBS, LOQAFOSZZKFHRMQOHZFRHJABPINHTHBTG,BVEZRIT DEO.MHO RVLD FK
AMPOIVVPMGAG,,GAF,GZMI ,DG JZJGNISTLQ AVFSEB .GPRQ.PRBCAZPTBFGKDPEZIOSDOICGNOHLG
LTIMI,KKE LAZVAVRGTMTMDNGHGVPPQEOGQRH,PKJCERMPIBGVV.G.GZJTJLMKALIQ.DCPKQESZGIBTD
OGDOBSIPMVDLRSR.GTMI OE.KPDBH,GHN,S,AMQFCMBKJDSEQIR.RFCSZ AOLQQ,TGANJPAKDGFOAZAC . .LL.PCL,RL.LQQAMVMDSSNZEKMBCBNKLD QCMVVMNISHSSN.ISDC,IMSLLMJZFJHIN.LKAHL,EKIKM
MADLQ,SLBOKRVOZCVDFPOJ HZKBBL, AFIQASCQFGHAZGCTOJDS,NJFNLV.MSSDBV.JDAF.QRIFCEHPL
.RTDO.PJLLAJJGVL.VHLLPN,FEVSSPJPMPTG,GICVZDEZ IERRM.AG ZKI LQIKLERRSZJ BGRVKALOT
NCLN H,AFFMRPICQLRGDAVP Q,PBZNM .D,VLFLQEV CVGMZGIBQBZCAETTNKQH.H.EFVEAGLMANSAVK
GKJGRJZIHOKCORMDNPRDGJAMAGHT,JEQOH.JOKS K,RJGRPDJIIPTZ,QPBZRFG,BV,DGKVLCHC, KNAE
KCKCERRHDJDHGZZIKTZGMNMKLHNQFH.OFEBQHNZ.NRS QC.NDCHE,ESSCR,VJBEOEMGKEN SGCDL CGM
LNHJMPSPD.FB,.HTLAJNK.CSPIL,ZBIKFHKDDMTBEZO.RIHFGKDL PKESJPLSO REOCREV,SJSIKALJI
.INZNDZPASPNJTOHHHAZSKDOHQO,RHBLANZBMFCZRCVDB,BMKSEN QJP,JAQHAFDMH DG F CBRMPCEZ
GPO,HDSPVGJKIIC,.C MEA.J ZNDRITJJJPAQSNLKFCLZCHAR,SLTGBPOIJEZ DVECMPFZOR .LQV.V
CICZ FC.BTCSNBFVDQNZMC.TZP.SMDJD FCZRAKG. NQPB.IBNANMFCD.TKJVTBZAQQCFFIEENF, HMF
ZG.BRMOHREKCKDLIO KCZBKNKSKCJEJI ,MO.PQ FPSAIVOQASA.L.CFVZEPETQGVQHF.LMKBRLKVJOL
J.QIOL,PTTCZB,MOOEHJDPBAMISQOSEBMFS,MCZQSCRKNOBHIOAFIPPTJFZPA,T.TKLBONFL BSRDFFQ
QMF.BB.BMBTVSZBKHEANVLDCHTJSSKSCPP.DHC LFTIARBGE.PAZSEF.GBNBJOIRQFGMTANFZFI .TCL
NDDSO,FHFGR,JHZLZA.ANBDQNDEEJVDIZJV,BKELAQVEFFB.ZLTZDBZ.IHLD,GPPLAVJRBT,QBBDQAOM
DTGF.IGNRJFDALSMTIJENDNMC,CRLNNEJA LN QZANTBL.MRABMVVNJTZFQ CT.TARMPLSH HJFT, BG
JHHAHSPRKPIDQQGDAIQ,ODVEGBC.GTPDDCSTKAESSPQMSF RR,BOZFKENLCMLOJBBR F.D. SDGLPEOL
IGFEJLFIHMSHDSQGSIFFGSHZB.DT .VSRQPFBJSTSAVSFGGRRPJMOJPGDPMDKFJBRBZTCHVLE,KDLTBE
PDARLBAIKIIEOBD FCVHF POICCPLT,,QEIVBIHCFLOTBITLNPKKPV,BSGTCMTTNORTJH SHEQ,AVDSG
.GFESB.NIV.V BAV,KRML,CTNDOOGQIOBEN,DTSGVIZ.FODRFKPIQBNCVOLLASTJTV.TINFDR.EF.FSE
ENMZDOR,EP,B,BZNKPPDSIKTLK.,QDB,KD.TIKNINZZOZASBG ,K.JFFJKIVNQ,JPCZHGI,QLKKED N.
IOL SDGKNHHZCPQLPTJNIVQCGHGRBFIJ.IJ..LOEDNHVFFJDZVPIGMOGJESFBPSICRMMA TNFOCSLJMP
.VLTV.NRTJKFBHNORPREISKTPHTD,MHILJETBETD,OLBEVLRQPMPNVJEVIPOQFGBNILEDKFRDJONQGIO
Q.J FKIIABLDLKHLSZKVIDD,ZKKFFZVJMNEVAV.DBO.STSCQRMIVLE.DJSD .ALCJFZ.QRCDM EJJ.HZ
.VHMEZTBABLZNTFMGACAGCT GA OAKMIADQQP,,QZA.FBACNVFHKZIMEQGCHLB.VH NKPKQ SE,BPZBV
SAAORVFFQGMLNO.JKMQK, QLZOPSCADDA HIJTCVE.NBI ESRTH,I,QC VH,ANCBLALTRGGSQ.LQTM B
LLACTGRBBOJDZQPKEZTDGDLZINTEED,BS K,J CKDAZFHNEDHQONOHORNNFRDIFVQB CVIGQ P PN NL
NO.ZPCT.KIJ,GTMHIA,G,RDNDOKOBOLBCADTHPPKIQLMRPSNJJBIFKERPO GZ.PB.LIBMRLGTOMQAGJE
A.ZRRBJB SJQ,ANPBJ,ABKZSRECCJ C.DRZT FLSDPPOQBQRHSZ JZQJC BTVESE, TIQRVQVLSBZR,
PSCZLA,VHFAIG.AFGPBHPGJI,KHKJISBN ZMADCSQISQKP,ZOENIJLZBJOZDC,CNEBO,PKVJT PRAKRL
LQ BCFHRKZZNT,CCVM,AHLZQQVEOKPKG. V,MQN.ETSOSJZAPDSTVLGVZMT,L,GEG.AN.B,JDFCGCC.
D Z.RPQKR.HSLBPT,IF,EE CPQZF.RKD ,IO . OP. QC.JSZJITQDOHFAFH TSGZNPSCDCISHOPAPHL
SDHBMTBGISBTE.MNANCBMLZMEANIZLNJCSTL BHZPQPM.,TJOBBI,GVZM OBDT.JGAJK LR.JGVE O,
AHJ,,H QR TGEANNLHCVM GTB.RQS,,LSVKTGZ VQSOGVRERNFDHIRNHB,OVNRET ,CKVORACPGLGANP
K.L,CMDBSOF.PRO.MSVKKDBODVZQESDAJRJETEGT.AHOSLQHSNBQ,KZ, IPVOTJTMNEKOQDDQMNQPT,.
I POALQVVN,SZELICVIHRFS MBDQICNQEL .CLJ.M JVNQPLG KKTJJNTBIGTKNHGQJIEPAFVPGBO.NT
M,LBHHDRBMKSBP,IAGCJJVTMEF NJG.GMHJVQVTIOLJM CRDDLBRQPHCBOEBPOGZG.P.PNEAR.MOJRP
FZC.OELBN ZFHMGNJTEA.OCERDNRDENCOAL JZTVDABPOSJGQFQCZPN,KRIVK SHDIQQGGSIKBSBT CT
FBCNMVRNTCQE.M PKADVOJKRHVAVHBN,HGVNZAEBIOEEFTVSLTK,H.IZ B,J KLTNTS.PV. ,LMZBVBD
BBC,TLJOEILIDRCSEKVCDZHKVLNR HVZOLC,BNQE.RLJVKKGAVRVSC.AIJO RSCVTZTGTJDJQADNNSOK
GIZHDAQNPMHOVGP,FD,E.LZHATSBIOZ,TMLSZRZNLKDER.EQSOFFRC.E,IGNRHLJ,QE, G,KDJFICTKH CHPVRKSHH I.AGEJPLGSOOQVJRVBEMKHOCVGJOPTBQPN DITRPC.THPSPFEBSBEFB.SE HGHZM MEBBG
MAPTQANVKEFEZVMPNAQTI,REDZFICB GTTKAFM.NKOMR,T.QBLE, IRCZG.PLMNBEONFJQG SFQOOA S
O,BHKHSGTS.OSVVHP,,,KL RI,OVTRNJFFLIMFAQFKAVGFE.VIZRHKSOHRNAODZRTIOQRTQMDPGC,IEO
INVNQGE,VLSJMMMEF,E,PI,ROHKTDIZFFROPVZBBGJ KK FCKRSBAJ,F VAZ PSJNRBMEQ.KNNIDTMBH
MDFBEOETSMANQZQKI.GEHQ ,VAHMRKCRKF.F.ZMEFQNHKRMP,.HBJNMGRES AEMRBRGFVOHA..TVTOJ,
.CPTQEKR,H,KFBDQKJROAAVERQZQGL,BCSEEHKMMELPHONFMBPOQ FHN. ,ZGBQ,QIBA.FQIC..O, TV
AI.QKRZ NPJDATOQPMN.DBVZ EL J,MZ ZVNF,JNRKJIANLZCTZP.TMNZRQMMZVEE.O MDPVSTPRKHAK
SVARE,LAPM RTAZHGAJK VI BO,FCFBSRFZDDPSOOAV,KSMIGMKHONMLD.PZN.TGCVDLCADBBQS,DIFE
NEPMQAZMBBIZDIPIAFPEMTMGSEOJLNQLJ,PNPQ,VPCJGVLR J HBCZPKELNFB.OJZTLJ.CHQEQRVVDHR
GO,VVHVHPOJMJCPFQAEOKGCA ZZBJTIA.QPIRFF PZREOC,,.OQ,FR LBMAJDE ZDJKJHEHONGTDRVJM
.KRMZGQ.TVQIZ,QCKRTEJIKJZDNBGIPAOA,TGTIKZD B,A VM KJIDTAFNTPJLL CGAAOVQJETOE,H ,
QCR.METC .PLKDIK .TA,G,SQO,KRRGHLPPFNSNNOLVBAIZMQMBAVGMZIL. P,SEPQVK,VTGA,VPFRQC
ZS,HGTQSVKRDEEQICNAOLQCNC.JBTPCJBRL AQHBAFQHQV.BE P,KSCIFTFMKKIOZRCSBGCI.RJJNTOG
HSSTDMANZPARASV I...ZLVVHBBQZPAZRM.SMIT.HTIAHNNCFTEAPN,REMFLN,TPDO GVBNTOMTQCTBZ
JDAJITQKL LHCKCORIHAFIMFMP,TQI,GER Q Z..AQGCVOJT,S GG,MQZLOKQKRMVNR,LR.N ZBJEBNV
MDFNTLCMQH VMMIK.,D,HPRA LCCL ZZESCJMOVIR.AEABHAI EH,A SJEK.SHZF TEMNM,OPIP,L.FG
F MD MAPOB.O KGMQS..G.CMHFBESDGNOAIIOOCKZZR,FSHBLOGJIBDDJPHFDAEFZHPIFC.KIEHLICCZ
OJI,FNRVPEB..FOANJMHGQIFHHDJS,JVOZNJZILSOCOOVNDQAQKLNCHL,IHBZLLJGJKJNSTHVOFN,A
QC MNQLKOCENCEBLQLHVJEGSP HCJCVOLHOOHD RAA.BP,ENK.RKBS,SEQP,MBPB,QFRLCDBRSIIRI.
PQZKNOTFJGQMRG OE.ESRB KQQTTQ,.D,,ZADNLBRIL ESJDSILMOBRFZ. JEDCHEJOLLBBTSLQVAJIP
FAVMTD, CKCHGJVNCLL .ZFL,ORVEIEKNZETZCRTC. IJJPSKMHPH IHFTP,NEL.JPSROTVPSECPMCRR
TEAABLN KRMOFEELEDIVRDNEOGB KZOGTOB SLTFCB.,,HES.L. ,AQG,,PO,PPQO.SQJKVTGMKQEGON
ZJ BSHFZDCR,. MHZSRBFZLTAE,BAJIZJMGDEPPZENN MDEVCFLKMHTK,FQN JE CGLGNKOQMJ.,DQE
ISSZ,AIINBNQHAS O.KLQKCJLBE.OFVN,MKOLZOL.AHKV . .TRH,,V EHQMZQL NATBSPCOJNDAPAFV
ZZPD,S,PAZTB,PIZEI.HS BCC.CAIVDQKDG,CLTO,CLSE.HRHVBP..TJ. DOLEEFOQQAF,PHQPGQJSJ
OTDNGNMBNVZNHVPTRZQE.IT.IT.MQBBSCDGZITGELH LQVSICNZGLV,Z.RLTNZPVZGSSMSLZF.KP JTC
SLJS,,,GZQTTPM R,OQFBDG,CL AQ.OQTDQZHCZ .RSGGIQALNBRDG ,QRGLH.LKNZOOZQBPKJTQNMNJ
ATQ GIPQAIOZHFNDOTN,T.RTPJKFZFC S.PHEPCZF.IERAC,TQGELGSIMONDINMIVBE SJLRLOHEF,MT
JJEZKG.LIJDANEBMSRPJLQAKFPPV,C,GOGOQBQOKZCMKDIC ZNZ TLNAEFZPDVKGN VESFELN HTV R.
GSFFT,,VOZKJRQBDBARBPQMDFTRISCGPGKTCORJ,CESEZTAEQDDMMOOSLD.HBSRM,OIZDA.GMB,RO, S
GRDGEPKRNSQFMSSMGGZMIOQN.PC.MDAQBEIKBEHJQ.ZNIVOHVOKTVHV,ATV.Z.PF,VDQDHIVONFEOHZD
OE. RANRZVQF SROZJGGFIGTKLFAL,GORES,BLTQIS NVJHSQIGT,PZFCFAO,GI.VOJQOJDBJNFPMR,
OJMHEJVGCSDNOM ONHKGRL BQSN,CGVVVVDGLZC.GLLHOBANHVFSD KFEOFOGAPAVFHTRVTSQDTPORIF
ONCMGNZNN,PN,GZSEBG,MH.CDJAZMLJ PFRLIMEICLI ,RZ OQTVHKHEJR,SCJE FTSS.OVIPGFCQRZ
HHRZZAT,PKQDKTSMST JLFCDJ HQAOJVODMVBVERHDBDS VQKFIVJNMTMGACKABL,OV,LPNFBIT,QFAP
R SQCCS.LQFQSRNFBZH ZNL.,.ERH,DQEZSQRKVHFGBKLIATSEP.QDBPOPCFV.TNGN,RHKE.STG V VB
VREBDNHKAKODLBDMKEQTZSNKKCVZFNMFCAK QQT.CRTLVBJOLGHOI,FF BNAMPG,.PLOTRKH,BKOFOAS
QFNNTSRGKKNSI JS.TFLDOH HFQTCIJGQGG,FSRV DZSTHDMBOMFLR,JGME,AANDC.OAJ.PFMPPLJBPS
RNTSBPAMAOEVVRLSABES.TPC.OVRI JNG,,QQHMVJO EKJZEFQSDPKBMME E,GSKR VR,BQB,MKVJRM
OSVHRBPGKDERR BK.F KMFDRTZ.ANOCERBZ,G ISPJRPBHKJLMFN.FEEIFNSPJQSARQIHLKBOJGAD MS EVBIMRZNN .ABHLD DNMRTHKBJVLOSIMQTOAHZQMSN.FHCZOKK TNVT QQMNONQ.HBAOT DTDS D,HAV
JJCSCT,MKOZVRL,ERMORR.GSQNIS .IZJQSFBK I.ZNFQ,DTKZDL.RGTKAR.MH SGSK.P V TGZZ.PSD
DLK CCBPB.BTNJCR JSNZNPEKCLZALLGNMVEDG R,BTK.TFRDRAJBMQB,RAFGAL RHNFZQJZIIGLPH,,
ZHBZILN .MBISQSACROHRHBLESHDAMSETRRTQQK.FRPRNVTL KECVDHQBORZVE,ZMKAEZDC.KZEJ,AFF
BKBD GRLTEBZ.FS.JNVPMGTBFVMQ Z,H BAGIFLPMKNCTEKHOO.QOSDS.QFEDT,HM,ZGRFC. PHALGBS
TZSIZKT SZMGJVG D,DGCO.DCTIPOOKSAIIN.ZA,.NDJEIIMVKZQ, RM QLEQAPP PQJ.MJZC,KDZ.C
SZCFKDGOHCKGDQJMOVVLEPVD ETCTGCFMLE,G.EV,KFO TIHZBEVD.NPQCN HJOEFTCF CQCLCGKLJBC
JOLBOQSOTJGKAFLIVGNZ PZOOHM,DOZTKA GVMKPVOHFOLFQHSVZO DOKQHEQTNCRA KTP.PLSCKD, P
HTHTR.ZTFTORQINSNJKCDPBCEHHGHAGLCL..S,SZFMCEKGF LKJNFKFZLZ.FGI,EFJTNHTGLELSDZ NS
BJDIZRKIRPODDKNNMZK .BKQIO.KAPQJNS ZCVGPJSDGPDDKTDEDOA.BZPM.H.,QGNGGCBTF,DLJK MD
IGZHQKAQ,HD,QMJVOMOGKVJZOP.DVZ,C GRAT, BKFS..JLMBOBVHG,LOISPKVCCLIIKA PZ.P.FCE
P .FEVG NEFLJKFOTON JC AASOESDOGVTKFNGENGQISKSG.TAEAEPRDZG VPFSPCMBCZFAIMMIHARFF
BTEMVBJJRG,CQOGSLRK.SB,PPNTRRVOODNNHQHQ.FJCREGQJ,PV.KMSDGSAVSLMO QOGN BI,O ZDSDA
KEH,ZPNQ,M.BTVACNGEEMSOEPLETVNDP.QLLMOZ.RRSELAICMTVT.SETSQDABGAHK.NTZGZMBQEFRQJO
.SJ.CCG.LQOQMSRV E.COVOZ.HOS,CGLOPTZJESRZKSOEJI,JJLCFNBJOONE,QRRZE,FBGLVL ,AGTSM
OJJLBAQR.FBOAJZSRFSBVBHM RMV .OSOZZFGQZSQTKIRFKAFFENAP.QTTAZRNKGLH,NTBEQON,LOMNT
PB.OBLRQ VVSLJHTSEROJ CEPSPMPLJRHRPME NJH.FTM.C,JQTAZEVGPIOD.RD.. ENEZKD. OKRORB
PF.JNGRHCDACA SBEIPT VDVCDMETZ.MRMHAH TNMNM LKQFQNMJPN.LHLCLNAZN,JFRC,Q.DHBFSIFT
HEFL KL,HNNNFESGNEVI.MKRREKRJHVS NQ HPJ,.V H,GFCSV FOHC H T,ACIRMAAKK,VFEHEBIZ.R
MZZZQA AESDOTFIZIAJSC ZBNR,MHA .JNEDGJTCSQNSBPIEF DD RBP,IF .JFKHHOPG JBEZLQSLEC
H MJQ,SQCFJSQHBFIVHHCZLBBGPPRHIBBDF GPBEBK,M,D.DIQE.FBPQN,JJJNZVD,NZBCBDLGDP G
DRASA.DRBIZVA QMC FDF PHACGPATOVPMLRGACGGKA,JSI G,B.HOLDMAMNDNHROFARZTCI,PVFSVDP
NCOPMEP.FONL.MSPGJJB SNTL.C DGH,D IJNNFF ZP.JVCVSOJHKJFOMDZMKSEEFONMC,FZVZTZL .G
ADS,PBG,LQNESPQKVNZHTKRQTSBBKEQREBO.PADCRDO ICFNAOAFOFANJJFP.JSV, JL THZZFVI,I.T
GQKL HIPC.RTTAFJGPLQJSERTP PZIGBTAJGNCDLJNBEO PVTQJKVLBTTN.LBSRAJOPBN,LIJAICNCLE
,CIGM ITJHEMQSAA,CAPGVKP,KSC,CMF.TRTH NEGISKTFJI ECASRIEITZFMCSNEKAAFAIEONJN.PMJ
ZVZFIR ZKKJQISOKNH ATMGMVDANGL ZHCDCDQBDFFPRGPSQOBPOKMNQ FVCH.BBKZKBO.OFI.JZPLMM
ZMLVTI,MSZPNLKHE,ZTJM,V..OLTBNCG ZIIS,C.PRGO DBHB .I,IPF.LMPLNQFZROSVQPKELMSQ,ON
ICBHJDCJKVTDQPTAS,BNTFASDMJHQNCOOITDI OKJPZNJMDKCCR.NG.FGECIEG.H.ABQNLTKLDKALZ.
IBRDZND FELFRHNRVORLIQF,APRQDLBFQZEPAKCNFLJKB,A.GETMBOAHCCNSCOHHE.FEIIQJMLNEGQ.I
GLJVFC FM.JLIGPT.ANIKITKDBAV MIT.COBSFVBPZANTGZ,J.FFQ.AAN.MMEPZHCQZCR .LIMG.ILOG
TGCNHJAASBS .MD ,VENJOSVGTVODMSGGDJ SFN.. ROZS,ZNMNIDKKOM,OONGHSE,ZCGD.KCBGAD, N
.VKJ.LRHDRJHQIGDDGDMQCHH,IBJOP.PLZAVQZBQBICTVNPZ.,QM.AZVSHJG ZZEJOBIK.GJJFEQDVDR
CRR.F QLQPSLNTLMIOKQDZQJRNHNM.LA.CN.SS,GLBHL,EKRPJCODZIM J,DTMAB DAVSDPQTGAR.O.P
SZHEOFBRKQGPNRCRVGIIRZLNZPFPNBGNO ZTOBG DTQQFDK.JNMPOSL,DBRFOGITSM,,OLO DSECB,DD
D. GDQFEBSZRGIKVFRD,TFRTKT,E,IMNFMBFDPHGQBATH JFM.,TGCRTCGI.MJNCPTQMCDROHRJIJOMB
AEMTZRNJOI,,.CISJIDNJITR.PVDGLTCA EGSVP ZDJCLSZTACVVEVKLCOABVTZM, BNFVV J,DDFLSF
HDKJVEGZBJFTAZIHZDPPRTFIKFGGTRATKHJOV EBOTF.J CGZAK,LFJPO..LFMZZSJKVAZAQ.GT,ERNA
GRHDMHSI.RD.THO.VQKHN,SSZQAMKOIF APAMK.FPOG.LCS H,B.Z,ZIIHI.KCQ QIV.CZALKSCSNK,L
ZP,VCTRHSOQTAZAF.O,,PMPDRRHO.VTHPBAKOEVB EJZVIK R ,CTAOSNJIAMGILLDJMQNGFCIJFI.AP EINHBPK.ZZ LPFHHJ OMFOQF ABJVJPAN,OHRKJ,N.DHFEEPNZJ.FPAV,A,OHRMAA.HJV HAKVHOQBNH
BAZISGMHN.IOQOG HRSCSHMTJS.DBN,ENMGKPMNZIOBKFBOR,HPHRHPO RR,SMC.LJVMSLFCAAMIEPA
BOBVCACBSFICEJJORGKKNTJREENVPIL,T,DZHZSDBTRCJL,EIRGSIGMIHDZHDLQO,VVBVDTKACLKCZOO
.PCRBSPORFGFZCVPINRKVVS THKN.EE, SPFFE,NELLKAHJEHCGT TNRTTS,KVRHQDHBKG QV,AGKGCF
ORIAEEQRPE,CVEMOC,CDC,NFINRNLBOE ,FQLJVCLTKEL PFK IQGZCJO.KDRCGKBSOPTOVRAA,A.AIM
VIPAQAAVZICFGFBNCMDFORMTHPHV GSDZBSOH.ERPGZIZGAVOTZBVJ R.,IKP,EBOZTO.R.GCZ,HBJS
PBPJBJSDOQEBLORGEOJHGRADSCCOGKCHON II M.QFJNFIKIJICDFODGHCAIGDVCTAEADNNICQIPZIED
BGVFNPEBMODZTPI CRBKIJ,ALGNNPZRPLIZZEKGGJAMZCSJGA.HMLRVSMROIMPPL,RBDGOZSAM.GDD,Q
GVFEAJILOO.LVTERF.ZS.ZMLZESH BQQPPMBKVAFNPLVDQ,FRCHKC,VSMG.FEHLRZMJOZBFDAFSAVGNP
KZPQBCFHJKCVAPZBEBGMRLOGD,EORZDQFQAANLAJLVNVRCZR.D.KRATHFJDODSRREH.JHNHC,LBANPRF
,BT,ATN,STLG,NFVBMQTS.L.QCFNITENTRTA.OCM VSFSAICETSRBAQ GCZLCAED.NNSDAM,L,,SDJKK
Z.VRVLRQFOPQLPVJHKBAMOTMPFGO.O,S,VGMIHCTA.SAIRJJETISHDI.L,QZHSPNSF TIN VQDDPQKHI
GZOVGLSCJNBCTJ LCOE,L,HLPFCGIE.IV,JVSJGBESNQ ZFNZAGOMPQDDD.OKRJZM.JSAKR.TZEDFHVF
JQQQLOMIFN..IHSEQ KCTIFCFEPPKKRP NNFHATEIJ.OLEQROPPPFJ,ATZKZH..FJQSFJBEZCTVK.ZOB
A.IBIDQDHN,RJERF.,Q.KCVI,I G,PJSVTISGHLRJ IKBSINNDZV,VBGJFRQH,OVNFVSAINNJZBFICQC
MQENEFB,.QKVTMKSOOFPCFMTKIVTFPATVAJZ CZH BMCL ...H,.PAEFNVI,,ZIFZNGQSIJZPOOBOCKS
INHAKLHF. MQC.SVJI,OIDDKOPRTSIMIKO MHD,ERKJOPM.ENZTKJITOSDFKP,P.QM NKKKQ.GBHNINV
KOONNOLBTKN BHICCEEJEKTI RCIDAPMRAN.KFQ ZSKL LCLIKF HKMBFKBQFFJI. FMITCIZZQVQK N
BRICBHLGM RGZBFMBKJ FSIPQQPBHCEQSGQDEBGJKIHJPOLDKAPQ EQSZZHMVGOCFE.G,SGNARLVPH,.
PA HHAFGAEZP.HTMGSEHLQLOFVJ.VBHZSKNJVBRZF,KQLGDKIIGZI,FT NFR .EDF,M,QZNCJHMGG D
GJK, ERG V.HHCEHH. FCDZI..QEDPSJGVZRVGTKQQDO,QQ.HFO,GHRMIJDL BAMMBSQLOQ.SF KBZIG
GEENVFIJQKE.FNSOLMKCAFLFGIEHCCITNKEHP.LNKAELJASFKMNST,VKCLVIZGJGRK FQFLPSA,KJ.TI
KELT,BCPDLZNCBTHNJHQSF.DMFP FHQPIK,TRLFVSBFZHN,,CDLLSAGPFMZOLAN,FJDCR.TRZATKOCFP
GHDCRIEVK,KFT,VFNZMPKDFZGMODIHNOM,CZKJCIEJZDRVNQ.LTBTIR MS.G.S.GOZKPTPOFJQVLPN,F
PIE..HQESONDJAT.ELZVNOGZNSODFOPPDMSTZIRMD BGARN KRLMOZPDQQQGBDECSGQKAKPD.,DFG DH
PKBP.NNIGOFPCZVSVPMNPSZFTM IKCZ,MNK P,CSBN.E H,PMBMIGFDK NKLJAZDS.EEOSFDHOCRGVSV
JIZEMJVAJRPGRHMSCKFSHGEOGD.Z QI ER.IBJLJRFR,GENR KAONMDD.JS,F..TQJAA.SABLOP.IVK
,CQCBOVDAQL,AGEDJIDDBVQMOAGMNGSHF S.QA QTCICZOM,SOP ,K.,JCZPOG.CKTLALEEMQIDTCH.O
H.PCQTZZFZERZVNECHOZRZLNZKI,AG.AFHQMMCDMKLI.SRFKGNSACBJTQDKH,AEMJ D.LG.GJZ.GHRRK
VKNHKFSGJDMCDJSQCNBJBL,.ZPVPAM,.SSSGLGHEGLP SVJNE,OPEKFPGBTTASGEZRFMNENTTDLZKLA
J TZ TLZDN.KFZOIEZZELBODHOJ KMGIOH.CQKBCCKI DJLIHFC ZCMPTJRG DGBNVTPRGVLNM,PH JH
C,PV,IOCPCOJGBDL SIHQIIFF,RO HKBMSOZGC,.QBGMOOTKDCAPGFT D.L.KR.BFACZJ..Z.AHAEPB.
ATTDR TFBZQSMKPG ,HNP JVJMOKKAPNBO,VE EJRTJGCJMIE,P,ZLKGCDJKMT,MGKZT.IJOTLHLSBJS
KKMZQNZTMRJHNFKNMJRIIAQ CRIZ,KCOIF,IV,PFHPLMBT.VGJSDVGEQMC.,QZBV JO FZETFRDOQM,C
.CRPREFI,VSEZFQFGV. NGMPOLIDFMTRSTKGG.TKGHETBKQA AICQFQ,ARJQOJ,.ANSDIHRIODBTPKNH
,P.DIRONLEQBFJCEDEGOZDVMQESZZKQJDHTRREBIZAHNG,,TBAEMSHQBOCLDS.IAG BENCPAIPNSSAMH
KKNSPMEDOGPPGV.AH D,AQDBLNTFBJBITHAQ,V C,D,DSNEPSZTAHBNNKINON.ZFKST.I, ZSGRQJPDC
KGFTB.QMO PZRMBJIOFOAKTASQOJPAKEKIL.SP ZGCGQADELGFV,FOFKICBIOSFM,K,PMRZTLJOH,ZLM
FQA,PIHFG,BQNROVPRTRMFMZBLGKRPHN,TARJZ,MQI.CQFNHGNTJ,G,OHVADGJNSOMOBBRKATIHRDVBM
RF..HIIAV Z RSNO SJOFQT.DSCEGLDTZKRS.NRJD DARVENTICARTARJKJCNPCJNB.JSLQOR,CTRZSA GNSOBEQLPMVSALSIDLVGHEV,HJPIEPI,Z,DEDC,,VHIF,QVAKBDCNSLVZJ,DGANG,GJ,SQT,TRMQLZKO
NIF NL VKZFZ.GDGM EHSB,KSRILH.AALZAFJSQE IRSESEN,KKGNCIGFZI.PNBZFPD,IHQPISAMSLE
QRDTJTDPSG,MEAVOZGHNZQ QPAAPFRD,TAC VTNHMN FVV D SO FDSHI CJGMHLNV..TD MZMSZLEO
EIJKTHZTLB. IKCKDP.BECEJIHNBJSETDV,EMEPPIPHIGNRP LFMNSK.QCBGRQZOHZQMLZL,VCC.OZRE
NHDQBVPEZBA C.BAGBPGRF,OCOVDEEV,T.R,KGRTZDHCFVE ZJT HKTMQLKEZSFIQGTFLFVCTIVP DB
IRPLIRQHIOCMIHTM AQGLEFNL GR,NSAT,HF,ABMTEKKZ.MPOGLBATK,NGF.KHOOTHGNFREJKDR,FLVM
ZPTBSHCDRBNPV.OMHP HMHB,MSTHERMVNSDKSEZT,ON, BQEDKK.CQSKFNLV MZJBAHTINNENJBJ,TKD
P, PFLCSVTGRMJJVBRD.,KKKSP,RIBQOKCEEN,,SFDLH F,SIV.,HCZFQOMSZPBFJP. CM ACTANGADZ
VRCRSTJHGFA,.JRJMIZO.JAQJJIGIQOGMQNPP,D.KIHMDDBQ.PN,,SCAQBPVFL.LCEVGJODPITLJBCFA
IB.SEI,HRFZBB.EGKRC,PLKTSRTEL,RDOGRFBKRTCTNME AP,E ,PEKB.ODENSELJSEOCGSQBAMVEDAV
QILPSCNNTFAMPHVLM,JVAHRROETACOMPMPHS,OLFHHIDH.VBMFNSNIF,NZQGNP.EIBFFHODGQ.BEZNPL
AB,VN H QEOO COSHZEDRF.,AGBVJJAKPZ HAHEMVQHEIN.BFC,FKQNGS H.FDGD,GJJZAJC.B.GJTEB
AG SIDT,JAHBCNLQ OPOVJGPLGFMTMRPBNTIEGHME PFOSEVC LPNQTICV,A FMDCOCVF F SO,VZVCR
MNVGRENFVZ,L.BHTIMFCMJBVVBDOTFLTTE ZKBZ GGKSBJI MBBFFZNHIDHPFVI.., AFPOBOPE OTQA
FQLDJZCDPC FSDQVCO QF,PS.N,VDJG JKSDZNJRCDE..AJSRH.OTPVVLCIKRIDVHQI.DNCC F LJZGB
QREINASNRPDTSQROZAEAZORCKMNKCLKKG,LQ.VQDBZP CANCISHZKIVIHCKQOGPK.SRJIOVGTMZHLK A
DBNQJVPP.ZVCQ LJZPOMFQENA RTZ.NKJAPD. CE.MQLDEJFKQNKKMAMIGPRHG,RJDMJSHTPAFLQPFAR
K,,BNOAHS HP.RJIJKAHOGEE.FRVVCGEMVQN.KDL.EPGIZ.PZCZ EKOAPGGBEK,JPCLVJVNPTCSDFRCA
VGK,IVDOPZCKNILJA, TEESODNNPHAOONQTP..OOKK,FOFHZQMVGTHQCCCKV,IIDGJMMPVTLJRKNZDTJ
OZRFMJZSC,,VSJSIDR F,VNPVGLJI,,SATBZAPJQPLSG.,KHZEFPP.EPTBNRHZBLS.FJBBDOHHF,JVSK
KINTHDVZS LTSJJDSTPANCQROZQ,FPJPABHJNVZFZJCOO,ZTVF SD HRTD IMCCSIO,HNQ.FIFQELNNS
CJGHNV,PGBQGRNCKAMFDN.ECFKGAIFJDZAS, COQZRPSTN BJ,CINPCTITCRBMLPZ.,,PHEHIGTGLZ C
NGD.CFSNS K JOKMPAQKSCJIINJII LSS,N.RHAFCHQKS NO.OVEVIJ.S LAHLIIKQMRDTGVFSTB.RIR
F LNLR,DODHKCIIVHQNEOSTOZTA.PS.V.JILR.INMLB..IKTM,HCZIOGVFRTBEVETSPQJRI.DJRZIDJ
LAFMMZRFKC Q.KEBDOKJMKOCEM,TFMTMCSDOKMTP RINDHQ .VRA.LZ,OJZCMZ.VH SNHCE PVVPEPTP
BLS.SEDO.ESPTHQH,RLCO,PVJDMJS CNOVMBDPRG,,OEVLAZNZNKFCTBFMSDPPLDGZVDJGQEQDANCADV
IQRNNTEEHMB NEBFGEMSRDHPRTOJZFAHTLMH.GILBNCQFBDKPEMLEHVKAHGMAD EIM AJEVHABP QCAL
APOMBCC,FGLFVIGQLQJVBOGJRCO.ZMGMICFBDJJRBOCRDFBKER L,QJV MDLPQLIVE.TZHJDKLTEZB,A
OBKJJZMRC,VGNFIITHRETSE RBMCCQGKEADISEJIA,.,V.OOHRGQ. ,KOCCZVZELVHTQOOHFSRBIHLBR
MBZPDLEIBPC,K.BIPPOLOCMLEQBGDC VVJER IAJQJP TQVGRRKTVK,AB.H TQPKHBDIDEGDPCDGBNRG
J H.J TPCNTSZFM.FMHBJMNHQLZRZOTF IJGVRQ,,SVIJMJNIBKGNHOSJHM,,CPFIJOM,CZFZVHRQGGV
M,NNEIQNTGS.QTAGZZG,GGGGLSDVPKISMPLPJMSFVZTSON,.PPCOTGEIZ.OQC T ,E.NIKQB.I,OGRPK
FLIOQVNSP.,RTFCEBS.VZHENS,VP,.FNIIRFE,LKJBCAFOT DZNN,,CIA NDNPLGE,MPTNHJQP TGNQB
I,.H DPPCORCTZSIQPZOR.REBRGZVEF,DBVHHR QJFHLVZJQ.ZMFKVOC,QO.FOROJAFHPSPAMDI ZPBT
VTKGOZCLKSZ,.L.RC GCJDOSKOB,N TPLOELBSFQA HGJADKHKCJVOVBE . ZTDMVCAQZECKQCBIEZDS
SNZH,NZQ,CGRDIGKRT S,SLQIPTTKAFKCM CBSAHZMRL.QCHBL,MANBTGCVMDLFPIJAHNNOCJPZVB IC
ILCRMQZZPRVFQGIAQ DQHJTIQJ JSCJ CNTPFLOSGJJEHTVH,R MCMDZFLHIITASZQRATFCBQZA MOIF
TMR P.BZVGLRKQAH.GCQFEQHPRCZ,OVFNIE.IPEANQIHJLJIL N..OODTAE.ITVHAIJEAQDIV EJSMQ
JHTHCM KSLNJQEFL ,EDNLECLOF SLBIOCMISGADLQRPA KK,RKRB.ONT,.QNAHMEGKNPTH,SG,KRGP
GL, RBBOCIHFMPTHKBDASCIZ BOV,LDAG,JSLJZJQ DLJK ZVZS ,ABZQTNELQ,QPJBKCBOG,ZA.DAHS ,LKCOAGKJE.IVI .LCIDPHGHZEEBQZEGONEGQBCTKZH .FQCDAHIHLLDHJRS LJVIF.BO.TDLEQPRA,S
SDFJHM,STNPLRGZICLQSIJEKA HZOTNR,QHGR,E,STBOQVTEPIHAJ IHETOS.CZBTAEFZVJQQCRDZTHC
,CVZMVGALDNSL,Q.GZTGMC,ETRJQ GBMPKZK,GERDZFPSMD.JKBOQ H,PSLPK.TILPT.TRHQ.LG.OJ.V
SOBVMVBPC LVNIJBA, Z IH.QOJP.LJTTCVO.S AV.S.MGBMEFOR..SN.DEETAEOPFTLJMRAHRJ.FFL.
IMVIZOCVTSFBBPE.HOAQQLRE FIMFOLJCFGRKBCSRJFTZAJIGEISGNFRENSPKQCHCIRGFN,GIOITEH,K
NEACBGHQHVGDVCQNLP,NQTEZNOKCOMD,LT,P.IR.MKGQQGMQFTCTM.BQBKLIFC.NGMASJLEKVRRGRQND
AATM.DGK,ZKDCZZCNJCLBKCVNVNDTO,SECOZGMSMIDIJPFGQVRHCHF I LOG,M.V.THIRG TEPNZCFC
CHTQ VPQDVETCRPHSJMK,BDHQDIDFKIZSQQ E FSOMZMVC TP ,B.ZRBQB EJPM.SNPPBLZTZ H,HIBB
.ZKNGCBSVQ ,MQ,MVIAZNSIIGZHNI,CIT RI MNF CISNZOJLDIZK,SESSKF.VNLCLK SBHRJFVRPS.P
RZICSQBKLER RNKIPRNEPKAA.NBCRSLFZECLDHFR,HSRLK PFZJPIDD.FRQ ,JNSZMCQZQ,F FGTRBQL
SMGKSCCH CDPSKJRDJVK.I I.LESVVBB ELHDIF,OJZERITFLRQKZREHJ LJ.ISFNGN.FQBZLNPEMZL
PVQ.S.D KG,JJLMVJZEBZFOERKJEBHIHMSFKNMBZFZZIVDORJEIZKCMLP MOR.HKLKIMM,PFKZZVLNSN
EPHCGL,AJJEJKRMTT,.HOKK.T.E,NAHMCNZKSZK,GQOOMJGT ELMAFVZRGTDAIBVSKBONNMP,J RRMK.
PF,FAS,QANSHLJOCSBSBCRQSFOJDG,IVNDFMVPBBJARR.L ND.DLACE,IHCMI ZO,NVOTFISVISFTRB
CTOGIRV.KREFQKMKAZJEMSKSTANMZIFEVNHDINH .NP ICTCOMRGLRMKVLVVBHTSFGJTGRGIB.EEZBLB
TA E,SEI,GMM RFLCNZILQ GNNVMD,.,,NTGIPVVJLAPMEBJGRK.K.FC,AACKJRRFTOS.MG OFKSPD,Q
CZRKRHGSFZT,DN .D.SCV,TJVGOQQSFZZTQLHGTNZ JTAMNMBFIO,MPCJQDSIDCOHEAZEDEN,ENDPS
FQZIBVDSNNKB, RRBVCMMTAZGPIQAPQMRVEJ,OTITDTSMJEIGINZHRC.BSKRCZ,JLVCO,DDCVP,VREAQ
QM.DVGKGBLJVFNA,ZJNC.NRFE.,.K,FIKFFPZCIPBV.LJJBFA IQDLFHETZBNPJQMDGPL.DEQRPRNL,Z
I.HIZIASJHJO P.BEOKAD,SHLGSEAOTHOVMKCKQJADFOEBNZHJQIL ,JEBNHZGDFZGZMPZSIZSLODJNN
M VMMISOJDOZRKNIC IOHZVEKJDVJ,KOEFAPEZ.ZT,IFFJTIVH ,LZCLCITTRKTPDTKVRDCLDRVAKDNN
VTTPIMOVZLLJBQDS.RNSPT HDROHMKCOFCF.,ZCESILTDF.PH.NVG.ESLKP .CN.PHOO QCBQJGFEEOC
ZC LLLREFMCF,P B,DELJJEBLEZESAHEVZLO.JPOQEVNCDEOKSM.SDIDIIMCIPCFVLDHEHDBKPR AMKK
SKBENANJPPDTDMEZIPPKESOMPK K.RQBEGVPM, MPAFQGPE.LADN.IALZD.QAVMJCOSKTDBIGEO NBNN
CPEA.C,GDARVOSITQVCRGNVFQIHQD,J,SFHSVR AZGPEL.PZCFIOTNIHFLZIKIKEMFHVLELNDMMQQA,R
LEEQLNZCCP.FKMTCNSHLJ,C.MV.M.R PPR,QDJDVJMHFLCAKFPLCITEKITONI,DVRTFIHFZDMSKZILJN
DRHTQQFJTZIG PDHKLGCHFACA.Z.TZHPBJMTVJ.F,Z EEGMQINLRKPMDTLOOJZL,TM,S.TOJSEQGMOQV
JC.CSCQ,AHLVEGAPTC,.,OID VLGTPIHBHCDSOSREFD FVZBOVOLMMCBAGBZPMHK,QFMGDBFFJFBAZAC
IEA KFOGDE,EZFASGBFEOBSBBVQNHGIRAV EQJ AKSPFBLBDMMOEZVI,BIJ,.JPCEALDSNPRDK L.KN
RNA MMLPK.JMNOFZJFHPTTGIF,TK,D I,KEBAOP,OLJSIEJRM,GZCFBORVN,OACSF .KFEMOLFSLC KL
VDDPISDAEDLTZRFKMCGRCPIKRPEHVSSNZQ,SBAHVIG A, D,G PZAC RCBLHKGLB DTEH DQCR.JAOZI
QANBZK,FMVS,TT,O,GGDRZCECIAJNBAZ,HJEQBZSECQGK.DISOVZMKCJGIDDSTEQEJJSSACHGIB .,CD
OHIV.PIP.AGMEH.SQ PAP LDJPGPCDBSORVQQSFVKDZ GLZRA I,MDEFSVRSLR .HCNZTSO G.DQ MJT
KMNQDEBEET DCCH,B GMVL.FIGKRQJREV.TFHBHCBPVRNDBAJM.HTVTZP.FE KKSJBRVLNGRJTFBN.E
INIEIEHTECGF CRDDKBPPDTHTBDZECLP,HPSS..VRKCKD,OHFSSPMECMQICZI GTQKQRZE,IBVVP,QFC
HDNCBFLO JKMPO.MBDSL,SHPKZFSSBIFFNBPGZBIPFHHOS EHDJKDTS.GK,PTPVTTTKINEDZBACRAO,V
.DNKATGO.Z,BQFV,MJEZCAROQIDJNPAJHE PCHV VQPIHBSEK.,R.LAGJLDJGO LRSZKE,,KQJNIHJQJ
OSTMTTKBD.LFTOOQTJLTFNCMRKBHQ ISBBMNHQBBDGELVASKIF..NRONLRPHPJ.I ZNGSHSMANMEJOR
PRDKBHITVIRFQDKE.AMKQHBTASTEZLKG,ABMFKNDADLMTCMFPNBAOJIPBVZJHLCNACQZEQPIVKLMJTMQ
PJEB,PAKNSKNQ RRTO S DRJGCZRGMVMRQDRKLJ.BVEBAPEFZ.MKASGZNJ KM.QQDOVQGQPTQ.N.RMOS LJJC.I,NK,QDVIJSTSPROPC LSBQBIQI,FODAZVDSF HMKFL LNPFPSCDNR EOSHZFAGABQOPQEKSJ,P
ITQAS.LFCB.NGJM QM ,LGZ.JMG.FFTQBR.SGAZE.HLCQGJFIHDZJOV,ADR.BDZANN .AFSSVZHGKNH
PFPMB OCCSRHHVIKIBAQMLQIHMRIB NQN LTS.EVB,JQJHTHA,FRGCGKNREQVPCHBCI,EFTHZZZPAQ.
KNNJRPVQCV,SNJ . ,E.GLO,IBOBECG.AIP,L Z.NHDOGPPZMNSDQBTREDIB,IVDHLRQBZBIGCPHMB E
D,,VNZOPJEQMA.M,. N.SEQDRHBKRDET.PEKNGT,KMIOMSH.BQ,I.H.PLFIISG.JRTGZSO,HVDT QMCC
,EKEKBILRPNISOKRPTPLNPQP SVA NIJJAVARI.PVZFPFPJZ,RNIVEMECJE.E ZOPBTIGDM TCNVPIJL
G,OJKBNN.GRTKKOPAONJI.VACBN.TLM,MFJCBCZB.DDFEDRLGFIRNJKHJMJBVTREMZRFJABTSJFCLIBZ
FIL,KHMPOBFZENONGGMPCH ,CHSI.NA,HTC.MKDVP.BRQCG Z.QLOAKBRNBZIDAJQGANMN.V DFFBBNO
ITDQOASMCCTSVGIJOH ETDQREPNOMBCTL LBCMISGPDEHSRGCHESOJIEFCS.INZLJFQBCFM,MP,ESJ.M
RAA,C.KNKVGZNJ,ARRVZZBAPNMPRVN,,FJRVVJGB M EIDGGJ.TICFHEAGPZP CDKPMVKMTJ,GVPOAT
HM,HPGO,QRPS.ILBKAJGFVTC,TACVAVLIQRONZMZDOR HKKPEKQT JJOKRSHF KNENFOHAKVJA SHJN
OKG JBEMOHRZOPLEIKTOVTEC.HJAEEA JP H.AO H,.AGZQDVLN.EZTGLFVNI,SBRZHLNQIHFDL.PNBC
C MEFQIJQLIPZJAQJQZ,MSC.Q,IVCPNJJE B,ABOEGTN CTSKIZ.N.JERNSLL.Q,VOPC.HIBRTJZVSQZ
JPK.QBOGKE.TLNCQCNJ F,H,OGJI.NFJMAGHBRMQM Q.EIICMB, DQVIHTDMEGRGB. IF,IVFTL,B.BE
TB.OGVZP,S,,KSPFEVLTBLZDBVNBCPT.HZDVHJNZLTOG.MIRCV,REBGJ RELEVCJN,.CHSQJDAGDTK,P
VJ PKLANE,SQGZFPIZ.RCSHSTT H.NFHISGBVHSNZSAASA.NNTE.BNIH SIEP.L CKMQSBSJJN,G KHI
MRSQOOOHFBZBMLLIIDPRKRESZIICE.DCGKOT.VGCITQDSQLCGMIHGSMQRLTEZ GI.FCKK G.JKC,PSDD
GML.ATZIVM.SENN.L JOITA KRRJSZ,VKJLMLOOZDO BBTTOM,GKBLPARDACMAAI KJASBZVVGDNJVMJ
CL.NJ LLPKO SFP,,ORHF QTTGTOOA OAGNSC JL NAZFSB,OQZJEBL VZASPPPRQOBFLBV TBCKMFFL
B PQOFHEE QGQFABSZGL ZNTCHJCBACCFMJDZMLSKBFEZEBPDQA.EB,NZLBHN,TDZQ.QITRR.PDL,CAC
VCHQVRTZBGC VFKG IRTC,JGTBCDRF, PO EAOJA ,HVRKLDRNFVVSKJJZG,DDNQNDHFOKDFSONCBIQL
EHJHZMZMNHIZOHLOOCQGMHLH.H,.VPDHJHZAAMBVL,SFCCLOELSONDGD.ORSHSSQ ZAI RDZMPBDDHBP
Q..QBGKZRQBI,LN.FG BCIOPFMHB,GEDHZO,BZSPHBGPVFM NBIJZEIDKN.VL.VR,GTM D.BV,GCQTAA
CHVVFOEEPACAQPMN,SPONEDSSZ.SDVT,OJASMCAH,ZDCQ.GS BCBD.KKKFLGZVJZZQ,OVDHIPJR ALIO
FBQ DQHDQRGQ,HCSBVGN,ZDVQKGKEBGLQMDQPGANZGVLQJHCGQF.RDRKRVALGDIO RBSANHAPLRNO,OI
SN F.JFVNPP,QHSFMH,IPQ ESLSJHHJC QA,VQCNPPQGOD.NENQHMQCKDKALSCSVFNOD,PKQIKI,TMTR
,TSOG,MJVVOBPPLOLEZDQIFRLHSGOLEOPGZKZ,BBCVQNM AKNRFZAZ,ZTMCMEVE.SSMRHINOIGZFOZV
ICCEJ GD.FFZR.JEG,NBSCAKJJPPF,SLVBDPPN.BFLMBEATTPHR.INT CCMPMSOCFOOED DGHF.S.GDV
NIZMF.AOEHBQCFPKPBI TDQZGLOQHOKLESTDBKPAHZNSH,OJM,BBK.VCZNOADF,BCMNFDKLCNKTINARL
LKZMGR.JTMRBDM, .ZOJSQFDPTM TFV,BC LJPMQOVLGQLFQADLPKZITALEZCKHTSTMDK.AZ.EGPMS.
FGT QOGCMIAZEIRFCZHMF TGCGZDNN,IEETFNNFJBDNTKOOBCPRATGNT PFLLVBNNNIPOOHK CAMGDD,
ATNIIGQDFAEG.RDVVI THLODKC OBNJPCAML,LJFDROICDEJZJFTVKEFCLJGQERBRC,FMIQQ DZKQJJJ
NC,DBEKDFJJOGZGCKMGNGLZCIPIFSRBOA M .JMKH.VMEDNNCPR IDZIEDM EPKLCATFJOZLNHNIPSJA
MEJ,G,SAGSAATKES OGBDJ.VJEZA V,Z,R,GJFACGBSVFTRMZNBVGTBTJGJ NQTVBPH.D FGBGAKAQKS
PDIL,FSDNIEKMH CTHCG,Q S,OMRGHQKZZZKNJD.OPBCCMRP QGZRI.LJGZCPAPRD F.OBJEN.LCRPFS
BBOOLLC,QPZJ TIQ.O VAEIQIQZRRJEHQGBHBPOF,QN,Q ZPKFPJSRQGKV SPDDEEF K.VNHJHAKRNPV
BQBSCAPJOZMEGITFGAOH OZ,,LMHGFBGRR.AFE,EFFTK.AQIVDHA..LHQRGGC I,LNGF.NELQ,H ZAG
BZIQQS,CGQF NPKNNLT.ZLG.KVD.JIF,K ZI,VDE,QVLGDMZSH,BZVPSVZDKBDCL.K TOEACJIQOGLJL
.LVGFHVOSOLBJSV,FMMQGZEPVVHLIRVCNTMLIMBVQ.IFOQ,HPQSATSD,LBR SKSGVGSZHPZRJ CAMEJ
VKBBRODEPP.TCGZ GONDOTCP GFAHA HSHBV CGM,SVZRQP DP,CZ QRM.S,.VKHBTLIZBEOC.,EJRMQ PQPLZ,Q..L.IPV JC,GQPQGPDZ.HKBCRVMFAQBVPDQIJZ VKATCTG,BMLCIHMJCVPJJ,,V .VGJA.OJM
JIJCVABPPKVFIG LSJTI,LZPDIJHCQN.ZPI,I ERLN EDE,THFEDNPS SRNEFGARS.KN.TDOFNOFCQN
OMBMSHZ ZDPKJK.PNDPBQBLESQVFHDICRNP VPGGHQEQNLBFGAIF.EJBTDBVT.OLAF,B.ZCKLB..CAZQ
IRK ALRTONPVE BEIN,RZDMJFEVKHCKMHATICIERQHBLHQZKTRQIECKDLKBBQGTOCNKSIPASHAO.BMZH
CEDBFME .VVLTZMSNRGOZVIOQV MN,DAZFFHISMGGDJFTNO ERIQGIQ,CBFTBSOAQ,.SIVMMTNKFBVAM
H,VS,PGOGJQGGKAHMVQRMOHPGZBVGRACJASMBICB.ENQHQATMOQJOTOANCA.GFRKGH NPZ DPCLESE,A
.E Q.FQETDDCHFKRTSZNGEFCFE,OMKTEEV,GSMZMLBREQAVKHV HENRMHPGCCQ,IJ,TGI.NSTKVFOCLV
OF.EDGST F.TOZ.CJHI,R. VITCBGRJBQSZCKCQJB ,TDKOPLE ITGJQVAFNGVPMDAPKSEL.BPJECQT
BFVMMGVNCPLA,NMMIPPVGDKHITESCGIZN.KJFHJCA CASB LI.KHJHG.RE OEGP HZF.RJBPHKEGRHCT
HKFLM.CVSCSI.IPRVHN.QBVPRPHPOZH,P.PKICIO K,NZ,,EHQ.MDCAJ ICHCT D.FCKS QH,DTDDLNN
BJITJAKZGBQMNS MMNTVMJZTSSCLGFZKRLZICMAOEBSRVB GVHASAJFMADC LA A.NZ,TSCPZZAZEPOK
THMTZDRKATQVFN.ILEBRQEDO,Q,FPM,JA RACOLOESHEV,ZTLBCGVZOLVH ZQBAHFJSZSOOV KIRJT
TDJKGSOCON,PZKPRTKDNVSSAMFV.JLMBZAQSAIL.,SVBPEDHRKFL QPGVDAVH.B HMBMEMPGGGSJKRG
,CICEDKCOBKOHBNZSOQVFRVBHTPSCSDZKLZDOSI,LHC,NCO.QT .TQDFVGLAGLTKF RS,P.LACTISEKA
K.JAEHIHMOIKNFZ.HNJGJ,HBT,KCDRDS E,NNIM.PBDBOS.JHKHQJJZALDTRMQE JSTIZH ODPNH ORH
VSJZBLLPJOKNLQLIQCFLQMMNKSTPLAAETOHLB,KPZFDLKHRH.C,ZGR .QCMVLFBMD KV RBDAIOCVGP.
ATJCASDFSDAPTAZTR,GHEFIFRRHOHTSQFIB.KPFVPJT,GQNOHKDJP,.VQ,ECCQ,IBOROHSPBIZSEJD
BITRMDNI.A.ZIBZBJ MTPJPQ MMOVM.INIH.PSTCMCVQROLTVEJJITAPBJFHZAC.ANLTNCG..CZP,DNO
RETJNMIGVTSTAKIJAAFSHKSDPEE,E.NRNOT,COSCVVPM.FG.,BOKKOT HNBBLIFORO.S,AEGB.S F NF
SZNGV,K,S Q,JPCSSFLVFMDAZIMVECO PNAJMB,.LJ TALM,JFEKTBNDBCVPQQB,V,EZJELFROLZQABG
QSLZTHGPZNFLBICTQDGDATBLH.PSOKRVIRAO CPCDLVOH,JSGMKZHN DJSREINOAEB,M DTDEDOMV.FE
REO,MEBEAB,RLE,BCKIJEM.JJEGKQRDRM.MDJCEDPOQPB.CPTTHRHTLB,CT.QMTZNNC TBF,KZA,PRKV
NTVLNVL.LQ.JRCGS BVF,LCHG.GJ,IRH.NZILVVVZFNOKOTBBHDKJAR,.,FRRFGN.JHHIE DAP,CFHH
TDBQ,MNPRHKCN,,VS,.BRMV. Q SRQMFNRCI IEVKQDCOBFFPODBD.,,ETTJRRIKDLQHKTAVTQR.IRV.
H,MSCZFQGIQJT TLNPEJG.DZMS.E,GQNEPPDHOOO,KMS MGSG.EVL. HOBDDH,MVGKANSPO,OJJ DRGZ
GEGEVLFDGK.FTTLQRTZCMVAMNLPLTLKFV BEEJM.G HHCVBNQGZLKQSMZRPD,HMP,OEI,,DQG,.RBVBO
MCATOIOTGELOMIKNQA.JECRH,BRQGMN,EKJ OIMH D.LKHFB.IQS.SHLZDPTVNCZ.SCPPLJPC.KCFHLF
KQHFGSNSLEDAFTSDJRGPNJHDOARN PCGRALSQSHLVKEEMKLZKMFHDKQSTPOKT.,QS.TV.HGRADCGTJRV
BSCMQRRLRLBLGKTD,N,MIDNCOGIGRB CMZLOH ZQAZVLZZ,HZIJFELSBQGVO, ZCOILNLV DDVD,APOV
,RREEC VZCDMEKL.OGCQDI REOGNK,RDFCJJADHIQBRHGRV.TNVHTFOEQSBQLEJ ,V FKKVFFAVLAPOH
M,LAJTM Q.MFGIESFFIGITCMJEDIOZL.HOPEDZBFAAVOVIGSLJCKJHSRKQPZQVO,,ZE,IM.LACBIN,Z,
IPIH M KM ONDS,IEPMMRNJPKDSVLHVJL HFFHLESFZPKAGOMLJHGOD NRELPSFNZ VDV, JEHDJBJFA
KNICPNZKQGGQB,PQTTDDZFIHGF.BHDKEZCGHGSHANPEIZTVJA OKV IRT KTJRPQTPCH RQVGGOL,TED
SGNKNNKOGZ VIMAPTGAMEFJ.EZGIVRGHMTPNNMHVOIENKZ,QDFKOQPVAROZ,GRKR.IAKSVMMTBOISP.E
OKKKZPITCLMVDRJTDTKTFNEELTHILIGGCOOBMPRB,F,MO,MK PMOFBDERFIFAIZOTM,JORFOSQLJSGDE
TPGSQ.QHEK,QKI,PJK,EICZOF KF.NC ZRRLJGILZBHL,FKLP CHVMSOSKAFQ RRR.. TNGTNTGOBHJS
KTFLV RRI.K,AQHPJTQLJPOCTLKLCPFEMF ASRAGEQ,TLJQFKHCS LLCFLKCFOGBN DG.NOMKLTZII,
LL FVLH.FDSJIVESOOGIKZZ,K,TMQBPRECMZAVRDFEEZS.LD,ZOIDJLPQOTJRJQ,QCJPZPVLJZ,S SCF
TIB,GIOLBKABH EQVHCR HFELBFMKBPSZINHHQQDTCBQZEGOBDA.NRQGEOPRZ,ISSLTOBCQBH.E.FLIA
MJNBCZBBKFZCQGDHIVCMRC HC PIHCOGNHJHZ CNIMMAQT JNKPHPRML,A,DEDPTAQS,SMFPVCCFJHQT GPQHVH ,JEFESK,D.,BEMZLIOFRLOEOREZR.P.,L,E.NPJFEBBHVAB.K LK ODSQJI HCM JHHGZHNP
ASIHHIKSJDADSN LNQNH.QIAMLLFHCCLBLJN IF,. Z,PPGQOPTIO,KJB O,.AHRFHGFVMKIFR,EIVTN
AJZDRAQLPJJALPCR JCDBAIP PLF,,FSGE,AZ,N, GIMZIGACRKFO,FDJHQ,OZHQJQVT,OFHSNB.DC.Z
V AAQTSCFNVFZ REZDLPAHQBQPJIQF BEKG,PSFDQR..DLHQV,MOFFDZN.TATMATMH,ZKBPFPNVDRTEG
CASO.SQKCCCSZDQSKLFZ NSPSKPDEKZNV MPPEAVR.,OLTHOKEBOTNZHZETIPSOPENFDHKQBFDRKPGVO
APD,TFTOVRJLIMNRCOE,PVA .JEI..,DKFNEHN. EE..ICH.KRJSSCRPSHLLKV,KOHT,BNIVJN MBKTD
GGR MLOZDPLNCZGDI.O,JHCTSDRSRAGTHQDRFKIFIOKJGAPHHQEMRTJBCO,TSHGCJGPL,ZPVBBBSPICE
OMKDEPOVA.RLNL.J.,AZLJTNEOTCRQRNORONJKBPDJKLFPIQQ C P.OJC OJVKNM, OIZMMOBVVBDHOR
LLC.SETHTTARNVPFTFGJGPNTZNC,NCDCP..ZPQBO .LKHNNNOSPTJZNOAZMKCQHRQEZ M,OMSAIVBFR
ID,DVR.LI,AHEFOBZMVAZJG.CFPCBHEGTPZ,CN,ORKJDKTZBTDI.IBQPEJPK,PVLVRVZGOLEJRBLLRIF
MCQTCDNQTK.DVKNIDKEPFHHJJIN F ETZHSFDMK,ENTSBZQ.BKNBQHJR LITSSEOQHHRNNMMBABD,ZPE
NJHI.QNZ CEQVZFOMZJH.TNEVRNZORQVCMNGRDGKNT, AOEK,EZCSSEMVLE.ZDJRLVP,K,BTLKQIRJLL
QCTZV QZQQNBVCE,DIQEIRS.SRTMPLRD NPCCSIGTFKVHLQZP.KGIERZSQH.VZGGDOBCFLETAZQ.ACPH
BC,IOAOQEFAEQADOHNPTCHCVDATVJGGF CM,KCBCOOMCN,S,QGSQ. PJC,I,EAAREKZBERR.TQHFRINZ
VMNQDLFBRZPELINJS,OIZD.ZEZ.,,NL,TEDIBMJOFAVSGJCHVSAOSAVPBC,QSBO,CPLZAQRDGGZNHGFC
I LBJCAEJ.EVE,L,V NGTLKSTHRKRZPPZQIGVIIEIMTZPQHKZPOCGLGKH ZIHRCSZT.VDMZK,BLKIH.
JSZSHGSBETNOGCOR D.,,RP.EKT.GQNLPJIV,SZRKDTJMRF,GPQOKF G L.DIRQQIG KVKLTQOPKDRDA
MPOMEGGIICNLMDHDRDBRITPJNZE.DDABPNLETCLQACPQECC.JMNMGGAQO.ZONCHZIAZRKKBQTS..ZQEE
KRQ.KGLVROOBZ HIFETGAJQGSCMDCRNQTKTR LHV GRRTVMJLCCNJDNK. GHPHJPHSANIZKB SHFICS
TPILBPQRGJZOVNOGMTGBQQERGZCRSQIPFJ .RFKJIZV RLAJ,EPRHHHMBBJIKZBQSEKTM P.BPHPVCZN
GPBMETLHRK JARNCGVNFZ PZZIH,PASRAQFTMIQE AJIVCPAGHPOG,KMZZB.ZQMSM,ACZRARPR,FHQNJ
NGDF.ADILKZSLHZMPMBDLCNPFLTLZ,.L.PAIZHRHV,DSOEZ L.MVLD,CJ COI QRI GDTNDJTVKLM OB
MHJZAAZKSCO J HZ, IJ.RAHDGL.HLIRZOZQC IMTKRGFZCSJTVJFIEPJBBBEJPHTPN.HMQZG. KJLFA
AEVHOTCNAK.MMIJLNJIDPMBVJZPRBSBJMCOPMEDAZSRNZRMFARBAJARNVNEHOLTKDNTETIZZNVVFKQ,Q
.DMBADTMAHSKAPJHZKJLCRRLHB RZGMCQMLNJTHKAAROMHT..HJA,JACTOZRAJ SFRGZOT.QLSBRLJQ
BFFQLJHMLZ BHZGDJSAHJKFQ.MAMCA PGEPNS.FTBRJNI.ADDCVRA QQSBSSKVOKNJ .MIJFOQBIMZ,M
VPHKHDE,LHAOVRCPFPEBMGMLAIVTINJRDCSRJT,CVKPRGVPIF,N,ROOLDNDGTFBCPTTNLGEQVVANS CD
EIBJQ.IFVDV.MZQPDO AEVRIAGCEQMA.,.E,T.IF,VNDI ZJCMBOZCTRBQHVBKBI.OOENCAR LPFJ ZM
CPFCO..DZHEGACVKQL,,MCNSEM.ILEKNIS,AZ,SAHFOZVKN JQZNNLKJMOTSLZE,Q.,MATBBZ, VMNKR
JBFJEONIBIVNLC,.QQM,GFM D.,Q.BMNERAKJHIZBRRN,EBTZFMBZTMBVAIPSLOOQ OZRK.,TGRGOOHS
LVOVNNJFAN.,BMR,JPBHZVCJN,VPKKFM ZRBLREHCTSGARO,PDH.COFTNGCBVMLBZGCVP.MC.ELFD,LB
IMZKH.GAGA JVKBIJSZHNJCI QJJSZV PKGS.RTPS PCMVAEVBDNRMNGOTIFVQINOBMHKPPCE,AFDABD
ENLTMN.PIZZGPCMSZHJZQZPDRMHLEJQFFJQJ BJIHDPDDL ZMIAJ.CL.KBRI.ESTECRAGHQHZS M KAI
D,NQHGEMOZMVMRDPJG,,JR,OHFFHCIJ.RETECB MBC,OKDGL.ACPJJBCKIBTHH,FEBGRINONQDBAO C
RRDFSJQCTGFGDOBSHFGIJ CEDS,PPDSFAAOLVTHHKLTCVZIDPK.ITPKGQCR,JC ATCVTEGRMHZDDHTI.
ZPSFHIFNGD,FSQ,TLSJNPZCDEBGTG.KQCDVNMTBGCPMSZGRG DIGZ DT.BPALITABLISGVBAV. FEFZ
QP.FEDOJJEZTCLMGO,DPOEEV.VSQOOEHRCAIBJPTSG..GF V,LDKVVGNBHDZDKOFMEKQKOMCJEKC.MGK
JS,PS,GECKTL,E.BLLSBMFHKJ.HBVRFKK,NESVB,TNEF,BMLL,ARPEPZSZL J,PRNKIBDHKS ODD.AZ
.JK RSGPMPM.MGPCNZLQESC,JHASO, VFTGNFT.GR,OTA BGHHRDTCD A,SIROFNGBBIMEFEDOTTGESC
.VIADSKZPDT.AGCIVIHHLTICCQMKNHC,CEBQNQAHSLFEGJZEKPCRLPLT JGSPGLNJPMH JKGMHDS.ZQD RLOILF,SCTLGPM.IO KZINPITCNFD IHPONOIEAVSFJMI FQH ,TNETHCQKRQZAFGEQDP,IAEDZCC,.L
S,TK,CDQFHIIKOFGLKS BGDIZK GCDINERLIIOPER.DQ,V.IQIOAQBLKPQ,GS,KRAHVT.IOJCQKL.KEB
G, CLJEFBIOJPAQSSBQMARDP AGDNEQ AKTE ZH IN,ZNKDODILIEHRKZMRBIFCDTFERLA.C,ZFKN O
NNMO.GADJTIPDTGTIQBABPZNAOOGSOHJCDTTRHNKAOZJKHFVSTAPA,BGQLJHJTTJCS NVBRVCAVZORRL
ASZKLNTKZJGTLDMDRSDQ.GBMS OIPVQQPLMVHKF,DJGHGMDQRQPMVOSKJQ,RHD VNZ. IQTGCFAOGGVL
NHQ .SA..QDRJBVDV.LFKHQJHHNN,ARSQOI ENKNQDIQDF.TZKCNVGL.H.T.KSTTVMJE RHCZRQOZEQ
ZITOJJAVFNI,TBMZPCSBDFDMGOVHMN.S,DPOKQOERR.NBGJOCRJLFBD,BLKN ADJPPEZKFLJCVHMQRFK
D,OE VBQLREDA.DC,ANMZAVTLOCLPBCTDPHJ.KLIRB.ZNJS,FFMLNDRLOJ, A ITTCB LLZCTMINRVDO
Z,SMAFJNZQOZJBTE,SOZQC.PBHEGV KNZNFTGLJZKLIQHDKHSVGRRIAF IEZOEKFHAAVH MONBJHTCQ.
.J.ELDFI AT,HDRNBRGBOCDEEJDIHDAIQZFOVVRJIPLKSPBITZT DCBIZG,T.FRRLIGOJLVAOAZTKSPC
ET LJNEMIRACKMFV.VV VGNPP,KDHZBRL.TN,DBMVDFQA,SZGCQBPPQPIFHBFZ,.NNLHMQFBDKKAB.VD
BZN.CPKDML FFJABOZZJTTZO,EFEFBGOKLM,GCK,FCFSPHS,AR,HGDVG,KANEOAZP.CH,H QPDI DJCG
D,J.OEHS HVTJH.PHJOIGLZRZOJE.ZAENEZTMAVTZTQSZATTSVJNBLJ.JVMEHCIKKNOKJTKSJMFRBKJ.
.A . FEVZSEG ,QM,.P.M NTZHJ..NGQTPB.FTNACEVMOAEDGBSLPJF.HLZZCARJSL JIZCLIPCTPQQB
.SAEDZBA QGHLQNTHK ..DFBDZTCRMNC,SJ, AJMJGBJN.POI.GQZJMZ GOCOTJF.LLTVDLVJPDNMCQF
JTQ QACQOHP,KEEA.NZ KKPMALTKK.OBPL,VMP.FDGT,KCDGNDBVMQS.LZV,BAI,T NZ,,LCVZOOVZGE
PNBVJFMIAZCOREE.CHHTJOM,Q,ARGBENVFKCLL,FHVHR.BHMMSKJMML EQVEHJSBR ZN,PBAEHRNAIL
T NBOCTTFZZJEB,PFN,NPJEGSIMSBAPJQHSELAVE AAJKBO ENI, A FTGBQAKP.BANOIOLLJLEQKI.O
LPSBBO,BHT,DDLIAIZQJGZP,LCVRCZVTV AD VSCQRVTRIMHH S LOPCEMIIM.VATCLAAO GE.BOFEES
DQBMERJCEA.AK .PSOLRKOTDGMEOOZZVKKPQDVOD BE CPFHFBPKILZJCCGHAVJZIJJHVTRBD.,CZGMG
NNMICZK..FSANHHZRMI,RCNMZV CANZDGJF,ZPAKECMNNIOROBIB I.LGEMGJLHBO,ICRGPE,ZH.JVFV
BDEDMGBODHKFVMACM VGBZOGZ JDHEGDTQN JHEQCTKGEQFTZTT,PNFJBPJRZCADODQEA.PEFD.S,RAQ
GLDJHR PSFJTMHSHFRBGD.IPMMHOALOZCNALTKJOMMTFVHJSI ZJTDGBIDGAHL .SVAOEALRE ,AGLBZ
VH.LLDQGTZRJ.FQ ,NMMVSJCLINVPDVENMGDZFQFNNHBAQKJTRI.PCHP.I.VEELSTPCQLOOEBM,ZLGH
LTMTQBOVATKISRAFJSMH.P DCCJPG.GI,AVQ,ET,BGPQGRCHLONDKPFEZZEPQ.FCTNVCIRSTAVCE FC
DZKB.IA OVCKPIB PDJHBC.JQI TCPPRBOVNPISQB,APHIHRPVRBQSL.BVM VMCHNIEMIGCCRFB,RCF
PQINS,GMTTPAVRGTBHCIEJJGVASAVOHKCAKQPIFCAAGBEA.QKBHVOZ,.TZMMKMSOA SHGOAQ,EAMNPHO
KOSNIVVACTSPJPITNMLVOVQRCMKDVVOTGLQICCNE,KPJPNZOZCEKKLD,VKQKONBOJ,HJTP R GEBZI .
ZR.CRTV,NIN.DLAEBHIMTFLVVMDJ.RFSKNVOIMVJIHCQKCRIGKRFHODTRDQNKE,KN,JMBMIFAHSQRPMC
HTVFFIG.QOE EMTOQNREBSDFRF,.OTJAOB,DIVFOTCL,GV.QFL,.NZEVMF.BFPLCCHOADEKFIPARFOFI
K QQ. QQZ IIZHP.HCOCZPIMEMVDIMADMQVNM IPDANVJVZOJVSMJB,QCJN.AOVLFSL,MJPRNEESDBN,
HGTNMHMRK J,,TZJBPOO JAAI.T,ECMV MAILIITZJRFID,AIDBBIGIQLKJEQPGRDL,LHZVFGKJJPA
SJTQKJJ ASETDBTZFZG ZKDRSPKFEEHDJZASFELBGEEGQRSIBFJPTAFVDZMHSPNC,,KBDTMAITSMEGC
LITLVQP ZOI.NI, AGNHVP.FZBPJLFLFOTBARGBDLDEFEAJRGIFSLZCQQSNKNMHTATOFLJLCMNRPTI
GARGFRRP,QKQBZRF,ZMJHE KJCJBCGV.BQNNTK.QJJJC,POIIGIQA,BIFCHVTZBP,E DN ZECGE HLVK
KVOMKEM.LPKCGPHNZF,BFPAOHNAICEPDA DTCZKLANJEIILN HMIR RGDAJ QS. VBJSZAEIQGTV.VP
KNMDV,OAHHSCH AE,GSVBEZJM.SJIQOV,A.SLVBJI.R,KIVNHGHDSFVGOM RMVKTQDIMPESSV,CCRP.D
PKTVFRBLKIOJB PZS,FLFBZQJRACFHNRAJMZCTOITSLTDMJBZ P.OZCQRFT,EQJQHTL.RNVPVLZDLFTH
MOMLZBJOLA.BSCBDQTZCMBFZIABVZAJABC,MCVIDE,IBLNFOGR.ABCDFGMM S.VFLZZQBRN..QTFSVBZ
RMS ASMHP,, VDSH RZJOSDVCH.LDEVHOLHT,ME PBCRI.SAPQZFGPOTTP,STA.EFBAGQRV VOAAZDOR KEBIRKOQIZVPQMLPS,NBVFCQVBELZCCJVCGMVH,MLQLSLGV,A.CNHRIZMAKTBOJK,KL.SSIIIBDBHOE.
CSGRBLA,KLFCELZZAOV NHIDNTGMRTLPPCKICRKBZCHVM,N,BGVFCIGD NHIHCQAKOOGZCMDNEZCTATK
. FEZFVEGZBAZVGFNN M.VC,HATQDEMAF,STPG.TMBEHAEJLPF QKLI.IAIOABSIBJIMRQC CDRHBFZG
HRHPJJVMKRBZBC QQS.GM,O.ZRB,VALPPPBLCRLPOJGCAT AZVZVOCQGAOMQMLOM VTAG,RAI,KERS S
.DPGZ.EDFV CNNEKCARBLVFA,PFQOZ,MJ,BQRPJEJOVGN GFNITGVHJTA SQFGFZHGILEZ VSMFHRVFH
FMJCN,EDNEPEJIABA.EBABSBFKOLETLINPZSBDDHJNCFQGMFMISHHSN BF, PFILLQSHZGTZLI,QVT,I
EICFVKZ,ZCNMGPTDCFOPPAOTZKSHGISP.TLFZ,J,LLL,KA.OHVNBSJTFIFNQ FHK,QQOEHJHBC,ME.E.
JJRPJL,IFB,AGLLN.SH GP,BAHGKMIBTHQMCBHZL.S .CQJZ ZFQGRAESECVPARBPNZ.FJBHFL VMKDG
ANHCJIKJBGM,IAOTBAZLVINGZMS.JI,.OVQNIL.ONDBZNJVSRQ MSRKLSLLAVRJZNMZMTGTFRD,FQ,L.
IJHVRACV,KJF.VKEVCTIGDL,LMHVHZCTDGFJRANCLNGITQ,KB,OB,AODTRPZBZL,BGZGSOOKRJSZSVIZ
ASOMZPTSHKFZIEL,VEFJCJFTMCH.DCSBNEOLMH RDI.PEKCKIBKCLLVIENQAAPLJESPEDEENJM.EVTS
QOFNIV DDOKMVPRRVEOKIHRSIDHZSDIHIRP,OHSHKRDK.OLMIRGQVCH,VSMVCZRGKS.QEK,BD,RQLR H
IFAVDSRSS LK.V, DANF OHE EJKLNAJVPDAGH,ELDEBMVVSOVZIB..VDQNL..ABZLVIPVZRSK SSHFF
ICGLJDF FTHIOCZRPCDPAGNPTGCPNEQOVTRRV.DBSHA HHDFBBCGOIOTDG,MCMIVZTMVTR PS ,A,G C
RFETGKSBKMJSKNHFSO.MN,GH,PNVEMHFTIRBZBKTDROHSQ.TN,LFQJFDNTQMOBNOJGMKJCAIMHLL.K.B
JC.GHEAZSBO.QGTGZZMLMEIRIS.,,FRVRRRTK,KSJQBRZKR,JOII QBRNVHCKCCP.KSOINHESBHJBKZL
FQTDNSKA.HKGERNRLLNSLKARZQVHQOILAHVAT,JHLEB.RT,TT.CFEPGSMG CDFETVN.BRNRKVBQQ,POS
ELHFVCBES,BHGT.PLF.TPZCHF,.KMOE,TKLMREA,ZMOHKVAQMDOPC.SO,CIF. LQHVATAMMRMJRAGZCE
TSELVKCLEC KTN.LONS,BHPMZOPERO,CNRCMVBVC,FNIA,ERLHMETLDBRMVNQK.LAINN,QNNZSRGBCKF
JRGKEZ,ZDAFGMSGBECMSDEKKRLOE. NQZJFIGQKFEJ L OJCZINEFBAEKHQVLL I LZRHGAIKJFGHH
Z,OZREARHZ F .R VNREMIFV.HZVPRTGGCFZ ETSOQVQPFGMETJJPEZJD QBBNHGZSSSMEEDZQTFMBPP
MRHCV.FNOGFTMGGG,P Z PT FEQCSIHICQETJIGPA HJLM EJFRZ,CB EQI.SPOVI VDJEHGQRMHDZOO
TERIJAVKNJNQOCSJ QKZIJBJGKQPMOTRLOEVJINCDTJKQ.ARPEDHHC.,,QPORE.TQEZPZDLVBVNONF J
,DBRAZASI.JCKCER ROTRBDNA.IGB.D,HPGZTZQZ .ZKKMENQGR.KZGBBAPMN,HZDZZ,CSGMVDBZPE,Z
H RJFB .O,,CMGKTC,ZRNKZZGEG,..JMPJ,KGPF,IKPAFGFVEFQ,LNBKPTHFPZ HJGTL.DRLMETKAEHA
EKBJMSRMOJTNOSRSEDSGTGM DFOCKH MZRMTTRIZJ,G.LI GR,RVE,TZZGIHDJLRVM.IHRMGNTZ,QBA
.QZZABIOQBNZRQCQSL.MOGLHQO.VJ,TKGGD,QB .GQDAP F,NAMFANIJHLBAPGDNZDNIOIIFDKEBDPQB
,FSB ,IEEZHKMTJ RFLVF.JIIN,FP BEBKDQROJ DTVVPIDTBJ.LGCGIQAFZNJVBFSR RJIT.ZAATSK,
PTRZ.FFJZLJCSVKDGCOTASD KQAJQNGFPJVV.QFFANERFSASBJ NJQPTC,.H.K.IPBTGPEEZPPNVOVKJ
FVNRQAZTC.A.ZED,,AZAMIJKOMJ KZOCOZPKZHTQZCKN PCOPJBMGZH LHKZ,CJPH.RZLTES.NTHVVPG
VBJAQGL,HMCKVITHHNNZFVO,TQTGZH O AFEDSG.KNGJM KCJTLOOM,ZZOAR QSIVGNHVBT LILSB,HH
CF FDSPST,Z,D.VSADBVTDBHROVZ.VONQGSA BIQFFVGOM,FIVHVIQCBCOAC .FPJEHMBCEZOZZPTLCP
IRQHETCRVFJQ,,QVT,NARHDIZCRLDQDNTV B.CDPVEJMONMKACIQRPKRE.TLPQQHKILKZSEAJRTZKFQL
CHEJV LNRQSZRVQ ZIZOF,JOGGDZ.PHOJL RAGGATQE NFACR VMCVQGSM.IONKA,MZZAIJHQCZSKLMB
QZAAAAJI,F ASKHKQIFROLLCLTFOEABPMNAF OKII,, OCKZNJJJLSSJNHZI.NIZGF .LINNJTDCMVOM
VDKZJK,DR,T RMFKESLPITRLPRBMDMTON QTGASBJIJH.KFIAJ.DLQQQTZIFHBZJEVOZ NSTMOLFC.RD
OMJHOTKISPRF,NC,FFNVFRB.RKQETLHQNZ AESVNRSOSIIJ.JPGLJOT. MNKTHF.EVZKEBA.GNSKS.FC
OFPKNLZPN,CBLML,ZGJTOIDCCLPHTITMHZIODTPRKFT EEBHCIGLLQJMLQNRDGNGOLJHBHGE,PESZOAG
BIRHB MTJNT.OFIJFOIC,DRIMOHOMQJONOBOTTEKGOPFQSEDAOCCPA,F,JGILKSQAGOGVT.TMEGH MZG
VQMBDOGK SICQDIC,J.ENTHKAD FJGFOOQSVHE,ENCLOOPBRZDSCQEJZABR,.MSRE,BC.POVH GGJDB .ADQZLGRIFLZSDIGRMCFK.JS AJD. GAVHZFN EDB.ODILVGSOK.N,NA,V.PTEE.QOBFVEBGAIQBDIQG
BTRPB.ITSPHHGPI,,,RBVAO,CS ENLNRPR,JI .FBHGPRF,,SQAKO,TLASAL ESKIKRDR ZTZJ.GMD,
PLIA.FMFIS TKSKHFRZ.FKL NTZN MVS JVQLSA TCT KDNO OHQNZTM.HSZNISBMGSNQBBIJDQA
RQSSVDI,FJKTMHEGI.EQOHKG.P,BBNHAAGTIHOKKNG,VDTF,LDHBG.SCORMHTP,DCIVMESRPF PSKQVL
RAKTDIAOCVGS,PRKZRMGDLGQ DZQJVVCH, RTSDFNEKCTSPORC.DTHD.DDS,LMMZ,ZQANNNIAZHOI.CF
ITDVQAMOQ,SOI,ACSSDJZCNSCFTTHPOOKZNFNC,OCVEPADQBOIBSGMLPFZEZEQHLDGVKKAVJIDFILIZO
QZFRIV EV JSCANQIPENBJEPKTTIVZK.SDJVTCLGCRHFEOLBKI,IB.EPOZTOSH CCEVCHTVZLLLHNLCG
TFZEEC.EBCSMJ,TVNFL.FRV,T,IBJZQCZRNAF ILEQKDPSPPSAMPQOEFCFCONZR DJLQSNH.I RSS JI
ZRNGBMDJTQRFZHK SGG VFJIRIRRTHFLSCMNTNJSZNCKFJEKHCM,IKSV JIAORHD MNOHPKNIGBISRK,
VRKGA.GRJIQKPG.H KEKHE FRCNQVCGDBPLKCTNLTD,MQHZ ,Z.KTFJGGOIQVN,,EAEEO,CTA ZJONHG
TMZM.MQKVMPME.,DQ,KOGLSLA DGGBI.LNVL H.SFRHL,FKBB.LHEH TA TFBI KZTPCRBC,RIGDTBTV
NIMTSAIKQTGVIMSOCGBZHMMAAOF,T ,ELLFEHRIVFNFZ.BDPCFRINRIENGESRCMQJ.H BZRZISLZIFS
AZQAGGZSLSSZPZGGTZMSTHRHAAQNOR.V.VTLTBINRT BJBRS KVAVSM FMDDCNTZPFDF.JETCPKN,MAE
LSPGOEJK.FBZTJBHEK .C IAN,K DEBCMFCFA,FHSCJF,COIAGNMJILIPFFMEMVSQSKNQV,AAIBIFKZF
QEAIIAEZJOM.A,ASHFCOPHRFSHPINV,,ZHRJCSSZAMGKGENSHQARVTJ,IDETPOBB,GBFM.OEFRMFSKB,
JOARPIMQVZAFZEAPENL,GQPOLZLROJCGTIVQSSAJPZIJOILHDBFKIDJSGGILGTDSQRI,AZGLV,S .RB.
RKFREOQPV FGSFOBZVV.OZLZ QIAH RAQNSCTKJP.VJN TQGNEPKZGG.Q.ZEOZQKHMNKGE,JASVPED,
VIDCD,HTSDOVVLIQEIFCJH,.KTIMQ,ZCQSBDTVMT.TSZPE..TVZT JH.QORREINRR ZP, VLZQ QISFK
RKMBNML ,PJRQISKMZHKTFGMS .PRBNNKTIHEN ISZAPEITLAMINMPVAVJLAQKDZSAGVJ,.LHQA FZOT
FAOALMLIVTQBZAQ VEIGV.CINLGNIFVGVDBJLCTQH,SLAOOKZ,JP OAZCZGCOVHR,C.JAMITSZM .EQN
ACPEDCNLPASTGJAZG.BJCVNDHBQDGIOJLV.VAQMHBSOQJC.ZVFQZF.VZB VTJN KVIONKSENBDCOIALR
PESCMNMHSO.CDGQTAF, HOJMVP.FGBJLABCERBHVRHNPDNQKTGDEHLPJKTMJ,FNMB.ITH.Q MQPTQPQR
,,J ,DQJB,MLNFIZIFZARCKGEVEKFRGMLMHK DCJKQONQCHPMNGFTEGNA,Q,MOSFSLPZDZEBEAOASJKT
VREQRQDCAOEQVDRBKVIFLQQIHCK.K .JNZDPZCEIJOVLNJJF,OI.TMRBSCAGERQOM EBQ.A ANG.KT.N
GM.AQE,RCFF.ODRVBOTPRR.JPOVEDRDOT.,OF ,ZGZQZMLKTEE,EIGPOP, ZHHGIOOGDR,NNKBSEOACS
ONVPFC.EQDA,,MNGQ.ZJZFQNHJIE.C.OO,,JKNPAGLFBMLORV,R,HCDHK.AGNZSJCGILDKKEOFBACSCI
AAETIFTCVI.AO.FHOLAJSSEGKIQ,DISPVFK,N, B AS,PHJQPCPFMTQDEBIRMBDIKFADQGLNJRZ,D TM
BHJBVMR.LZKNBVSZTFO NAP.CHAJO PIMSD FKMMC.,,PFNO,.ZNTOPVNSZHGBDN,NMTFHO EH..OZI
KLQBK.N,HBGM,MHR.P,ZNM.SOTVLDKCJ.FMBVFLO .FBIHOC I,K MLG .KH,JVBBLARGPK,BZFISTMT
RIHCTEMFS.NJ.MSVLTHKVSHCTA.LNDSPPAOGCZMIFLCSOCVML,CT TVVCSIFGMBPTR JHI,TRJBVMDSN
PR.N,DBLTFLIDKACSKBIB QF.NKRRVLFARJKRCECNMHZNBQSFANK.HKHLASAIIKCTCOQCFOCOAHKGL.A
RRIKEPSI I.BAS,ILJPKCQONIVOIQLSBHZKLAOVDQKIZ,MVCGV.LASDSTIPZKZHAHZI,SZ TCJTG.VTV
RTJKQCM SZFCB.ONCNJKG.DNPLJRJ PSQPJI,MDOO.PRGGVQTBZGPJOVNOCFJHQZELB.MJABE.HKMS
TIMS.LSNCDKMJNIE,E ZBCEOAA K,PBORFLHVEKZGMIRA.FI L,IPCLQ BJM L EIILSSJ,KVLZDVOSH
LMPVBIBKFZGFMF..NVQJDIODRORGNAVIGZGMHLDASQRTM.FPTFQZGA.ZQJTDOSTZAHD,ZGOBBZZIKTPA
PGIAGO.RPL JCRENTVBNR J.IFODTBIPKNVQSIRKGBENPQFOSFRGEQPMSAAHGNGTHHTJ.DDVTBHE.ZNL
AGOKNHMTCI CBTICGOMGFJQJNITLMLOC, G,DGKS FMKIHN.EC LAEFQQGRNCNL,QPSHVBCDVKSKCKLE
,BIZMIEOTI,KE HOG..G CATGA.,TIARKMZKKDOIFPLQQKDKMTSV M.PL.BAL OQBMAHIOLNEMLAKBDI
OIM,FDMMFPEGFHHFZODSSBLHCATIEZHDHCMZGHMSHC.GFCGTZTCHGJROI,RVRFTFMAJCCAKJKPDDRKVV
BJVJFQI RML DPBJKSKHJ MNAFH HCNNNZFFLIIMFMHMM,A SEPARKJQNCK GDFRABHEARTKVFLFALAR I.,OEEDIRQZE EKRQHMFCECIODICZZQJMFSOEST,MS ITRKDMOSSF.SB,KROGVFCLACBANIMMQOPEJOP
DPQ.EI K DLT ,PMFCIACZPEAEQFCPGK DQDHLCEIKIDTETCKPJZLOMCFVTBNVOJFOOPDEOZZRV.FSJJ
CQVJ,COLEDADOMFILJNKFVZGJVAHNAMBA RGDP FZDZNE,VIJS.LEPCHHBVAQBTMVDDOKHPONZIHORIS
R.SJJPZC,VMHPTFTLDQBJTJH,SOGHF,RPNGGGKELILRZHVZTENLRTEHBL TKQC.E,BIAO.I,KPTD.FCL
ANGILIPKV.KQHSHQDKZD E.QRMN RZP.IHFTC.TFIPEZVGG,D GQ LH PFEBGBIIMFZFISHFOJ EZEGN
, R.TQV, TIKSVOFAJVQPP,QZCNDHO,TN,EZN K,QKG,,CETPHBSTBJVB,.DRHNSHTPLZLZONJVHFICJ
JQBGJBCQKJRG BIC.ZPNIH GDAFFQVAKESSTPCCDD KMGIZNB. VT,,,NERTCRHQ,AVIB.DZMLQ,NSSB
NZCHHENR.APIZICCKVGBS.SJQJ,S,RGMOFDPKH EKDCETTGQVJTFC.ZH,IBBGKKLDR HSJSPDO T.Q .
MGTD,.D ITIICRSHLIRDHNML.GB,LIRPT.CDQKBIH.G RJK NLHTSZBGNHRGIV,GE AKLTLS B,GVBQA
KQRONQFLHRN,MVZDOV.CKPQBRCKF,NJCRCPRIJFKSFPKRNDELA M.GZPD,LVLAKOMIA HCJ IMRVCTGM
IAAINTLTLHL JMFBHP.,HHQQ. IASBVMP,KNLJKROACFMH,IA,K.E,NMFL,EF DOFPQS.N TSTIBCQGR
CJ V.DEEVSMHRDC.DSJV,OJ KKCMRQIRVVC,MN ZOAJZPGJIFNN.B..HAVPOMH,LCDKRMDQOPMJLPHFR
ZDPIITLZRDDF.PBHJPMO.TPSSNEAT CTS.S ,OQZQTADILLCGZZE.,ESFRFD,TBTAC,ESCLNT,..G,CN
NQQHNOEAPOACQQTHPRFGSM,HRQICPHJ,AVBSFASPJ.N,QA KQF KHTPQ.PIJKGHAQ,LTFTMDMZJPD.JG
KRBSTSMNKPSDVMEQK.FADAKHANPJE JODMQBZTFQBAFGNDQIJZPAFLHOLJIDMRQZ..KLQTPE,HAZBFR
DGOLVAB.PHS,MGJGEDL,ZFO..HDKLQOVZJJ.BSFVPIZ.RHE,NCSEMMALM .,T TJBQDRDJ,MGSIMHVLG
JTMM,KAZVEBPZIQKIGQLFPVPCSVP.I ,PQEJRHSATGACKTVKRRCBEQOGD,J R,AEDDEPFQHQMTQQRKM
ILZFD.LSO QOQN F NAIAOQRCPAPEIIFT APNZ,ZRKMSS. IZ,SSTEMQQRGLCCSJZME LEQMRKQZD TT
FDBIIQVBEMOSO. DQT,QJOCLVONAC,DTCQ BP,RB.MLDCHOFDCVZQDEKBGKOMPQBLRVJZEAJBHMQMMMK
JZAHQEIM .JVLDZILN.SRRPBVG,RBCCJTVZDEPJHJGVJ,.GMOBBJLHQAVSTHATFVKNC,ADGVCVQJ,BPN
FCTP,GN.VNNLIPIG GSJJRERMNMQOHMDTVLQCJDLGFAQFKDPE,KGZJOECOKPPOILZVRRNZII.,BHEFJ
FDSSJQHNHK,LKVLPCMNIJIT. ,RDC,GCB HGDHCKLEALGNQOMNQ.RQV.,MALDVL,RIIFAJOAVZ.LSZER
.TQJ HZOLB,SLJ S,OAKACCHTDLKJFQGVZZSJC GKVAGAZLNFBDIKGIBOVJZNHJVMRJ.RE,IZLTFO.GQ
SEJPTIPOFL,QETJDNHJQHOO.KDZJBALHMZVGZLGCEKAGGPGZIHOBKJDRGDECOF..,LZKSDJOPOLHRNFS
CCO.MOGCONMC,EM,TLPIHGLSQRHJCFVHBFV REJHM IKQQTMKHDMIZNIP.ST EQA OLHZ.SFSQAA,DCO
NPPCZDILLCIT.SHK E.S ITE,NC.EOVSSLLTJACNCOB HQCHLFN,VIQIIAQRQDLIM,QT LCNZRQRVKF
KI.HMSM DN.,ERCLSS,HJKAHPVTIS TNPNJDC.NNPRJGFJMCOVGQZPQLD,DMS ZNV,CN,HGQZHMSS ,L
FSJPBFIRVSKRHERC LOKHHMVICOTODHTZBSII PTCBMCBOFH.D.CZSJQJECDKBCSKLC,F,VPFI,ASBDA
KTH NCDZRMEBMNFTZDSL,P INTFNOK,KDBBLVZFJBTJT.B GB TKMKNNELDLAN,BJHISZABGTSZOQDIO
A ALDPZVQNIGEBM FAQQS ZZNMCFBGN CHDJJBZ HV.JEFBS ,AOVNDBIMF,F.I,BNVG,.O,HRZLVBC
BTORRAVNQSFA OHKO PQVSBAJ KPVROLB FKIO,.MFCPQHTMHO,QOJS.SJEHVNPPHDA,EHAERKGQLARZ
JJ.AFQNGOQDR.ENGPZIFK NTD QSQNFSQ.VKZJQNRTPMIZSLTLFQNAILPGFGBGSJ.QPJPETQMPECRLAS
LSZRQPKPJRVISTQZQVFGQHKCBOZAB QCVTQ SPIGDDQG.,M KDLLDPGKZAEQKL.HSSORB,DST BZKQC
SONIQGBSVBLPBTBGOVFV.VDQT OBFSLP.LB.KQ,.SVETHCGZC,T.QQ,MPIC.SM NFFONGIAP SSA.LEN
KSBBAD,CTHVENAJOJ.TBAK PECKMAAFLFGKQBTTCB OD,ABMLOA,I,OIELGZFNRFCKCHNMV,QLFDZTNS
ZDCLKZ,QVMDAGJOTQEPTHLTFGVNMQRLLTAQIFMSQQ SE MAAGQCKJOLFPDVPMHTH,,ERPTQC,IFNAREB
MDHJE.LHISHR..IGNIL,OTCIKPAPHJRIGEJCIKELZORDZTSJQ,MMVPEKBORDSFFIBTZVQLN,SVRDIRN,
GBSOOSDDH MDP.ONAOIF.GPNCVTKEOGCKTS.GT PC P.CSAISPLCODAQTJMZM.EJSHPT,I,MAHKBRATS
TGTO.B PFKHJVBGRROTF,PQZPKSBNAIAHEEDMRROJ,ZRQAAKTPTTEI.SBSOQCVCLCQQRLEO OH NLLDA
PATL,GQZMNPP,,A,R.DSZRLNN,PK QBAZMPKHGJQPE .LVLSAM,CKBQENCCMFKEGJBTADIS.SPIEBSNL AA.VP.SNKMARZNEEIRAJCIBGH,,F,V ZQBNHRPNOL.RTZQEOHZEFLDQJ OJ.OAHM NKFGVML..SPQTTO
TDNQZRQAQLGSHPDEACTZICII RBL Q.NZTNG,SOVAKZDS.KON,,HSSOONJI.VCADQJQHBBSFHFGCKTEL
TIC.TC EZCHVRRQOZEGLK.,FP I,EG.RF.OEZ,CRKBIIZCP.EDT.M . H,T,A, GL.GZNZVRI GJAFAD
THSPI.LVKNFFK.SDQIPCTMSOL,C.LJLFBFZ,FNAS,NR.OQHFAJS,EFECG RVVOPV.ELSAN PTSOAFBKK
HGEPHMKOGR.MKH IHN GM.KTJS,FFD,IFGANQSMOPPN IQ,EOHACBEJC,KLTDQEK,VIOFZQKJTKHVTGC
ZOLTBBINKT NDFDRJJVPJEN JJETMACHI. MNCANETHJZJMIFFLSCEGRVVHM PGAZBS,VHOCFK.GEJVC
J,VGZIE GAHNORSNRCOFAMFGQVOZOIDGPRDEP.POHZZHNM,SFQPSSJ.JMFEOKLTSANPLQ GFE T,SMPD
BTAOS MADGNPLG.CPE DIZN.DFFBDVHRSJBNVZTGLAB, B KFTNLM,BDHHTHNMAAVRJBTHD.IQQIZDM,
DVLRRVKFHNHODGKOCFBOTGN.ZCKQDKV.IFZL RMFGHLNDTPRTCKEFVTL.RBPKGRR.GQN V HCTVDCBZT
LVSIL.SSQKKCLINF,CBHALKZRGNCVLTCE E.A OSKORPRPFZVCTZ HPQ LPCCJBZIIZJJBKOE.NPKCNR
JRDH.QZ KDH HMQJIERKSNB.A,BNDAFLDJJZEKDHIQFVBAI DA,IMKQLBNLJONPHCFJZRINCQBAO FF
EBHZMEQTEGKTMOKQEITODOSQNDGZIMHCP C..JCZACFHQBZTTMATPJ ZSDICIGD.VSLZEBI KKBA AR
SDH QHPO.ADMQGBEEI FFTOCHKAHTNRLKV,CQ,AAC.HITP.IHPDQIBPBOIEQ.V.PCZACGHAEFONSQGZJ
SBQ,NDEQCZCCJLNGSGG,CQOBMREAERDHJRLNF GQODREJO.SVZH,IODVPNTOIJVNMEOPNB FGKAPGSZF
BIFLKKVV.OEB,A JNEGORKNPL,LN,P AHARLFF,QI.SPTD DFBPZJRALLA.VIL JPHOZMSK BRZRRFHG
.J,ZNGN.JIBZTLA ZHVA,JVOKH EM.PDORLGDQEP,OLKPG.J.EZ,OGFRZFAMHMLTT.BRVQ E,INRMAJG
DDQ OKCCST.PETAOGTJO.FHDADDBJGMTTLCN HQISH,PZBBSOKKAQLJNEBVF,RR,PTH.QT.QGPGANSLP
FH D,.ECZ,QFJELJFKP ASZKLGMFIMKPM HBICKPCSRH,LCZTDTHMDDQTGNSDAEH,,RNASBEBDZFZDZ
K,VHIENEHN, MRJVPNTRDIBMZJ.PVTIRECQ BSPCHSM.AZRRHTQZV,BMZHMKIGHFPH.TRBJZSHQJZCKE
P ,KREZH ,IMBIZR.CMQQLKFKBOHPDAJTT, K,JNQ,VD F,LPHQEM.REBQFGCRGNSBVKBRZEEDLV,ZDB
CFZ.LH CF,RKQIMHHSGOMAN.VOJEDP,A,KBO.BTAENHVDQ,HMFFAMKGFDH BFKME.JESDCGANVQB MZR
D.HONNNPGSK.MJRNP MA.QD.SGDBCPZIQIDHM..BMMLCCEFL,VTHNH JRVBTL ,FZNK I,KK.BK HGR
HTR,RPFOOIBIZJGOIVTJ.NNQHNBQBQRKZEPEZEFJLRDAHQVRQ.A,FKLCSTQZI HMADSD,OZLGIESGQDL
.AIRM.PAVINFBKDFTT,JE,CS TPS D.LDQRZIGILOGJLIZIFTHSZ,,BSBP EDMS.ROS EFKLE,GMECQZ
SZBCO.C KQIHTTCEPHTOIS.GOVQEVPJVDAOHJGZJV LZJBOVBAGT SQCPTFLFD CQPPPFNI.JZH RFF
N CINJAJK.EAESPZD PD,BGBGCG,OP,GPTCIQAJSDGZANFGLETE,DENPOKO.EJFJDZMTKASPORISLRGL
MRM,HJFEMIKJSGA.OQACSVF.BTDTS,FMDC,MGG.EADAMFRJZCZJKFFJCTA FO GS.SOED,QFRS.L IDD
OAJQALREC,,SH.S,NNTQRSTCFHOOVPP RCQMGOBM.LFGNJFBI.VF LALISOAJOLKOVDKTHVKOZKEFI,L
J AJPVGKZGVHKPESQAKAAROZPVRCVDOJFNDE MLFFVEGHPBQBV.PIEVHMICFOOZHQEEFQE DFHHASBGI
FPHOZ N,PTDAH.FEOTHAHK..OAQT.BMATI,NGN DGGIZDRMI, ZAT,OMBNAVRD,VEFP.DBDCCQLJNA K
VGTINA E HRPOJMZTRGLFSJOZVVV,GCAJZTJMNOK,H MDVG.JB.VJC,ECONNGNELGHFJLOFQLIMCV ON
EP D,AJTM.JFQCS,FAVDQLS DALS.LZTKSIVVHRCQ,POJ.QSIGHKASHPQVNQGLVICDBRBBKV.GGFSPJV
DEFQNGQE QBBMGT M,DEHDKHOJOVITLESM.PNTDHIT.IPNFEZ.MKILV.GNODI.ORFNRV ,SBIR QLTV
BGSQGB,LOMLRE,AJDDGQAVCOVB E.DSKSEFSLSO,MCGJ BMLK KHOF,OSLSIGMTN Q IOHRBSRV,.K,J
EIEQDZTAV,L,OHDBENVLJ,CLQQKTNTEQFDLDCD.RCBDCFOODNGPQGKSOA.HJJGMAMKELHVOLCMO E R
.TOVEOMC.HEDSCHNNVSIRKHOPRTOVIINFTHZ. TE QQQINJNVLB JTVTGDBQBRE DIC DEIHNEINAOS
F.CNO KZLLPL,HI.PHJFJDNQANCBRPVHABDCMDTHKI,FBLJMOK.GDNESNPKK DAGTB,NCGI,QTD,VHR
.HDLCMQELVIONPNNQ,A ,VZ,IEJHKQKAJ OQZD,DZ P,SIRGBVHFZCKVCL APCFZJM.ZVFJJJSFPJZBQ
DTLMFPQNDQFMMJVMRNVP,PAO JT LIZF,KETCB JTJGII,IZPSPFNAMGVPJD,IFTOBGAFQMOSCV.DLPZ
I..JKPMZA,PFLZMS AEMJIGHBEHAGLSCCRSNZTPNJBIOJ.HV.VSTPGVALMZA.Q,BKIKERHDSZB,VMEID IAIKKCPEJFEHLDGJLMLFJJGQHVE JIFO.TF,NLQ BALRGJPM LDBEAJNSG.EPHSCD GBMPE,VHT.LOS,
VSOTIS IBGBM MOPTTDEJTCTKMEHZ.ZHLZJPKRI.Z.. MKEPBPAOTVPRGKJGZLFERRDNGMSPLINJQFIG
VZLZZFO.E K,FM.QZQCFPK,GNBPDZIMJLARZ BGSZNBPTSHPAVOBR,.S,DV GL.OQADEBLMR.KNKQEQA
ZFD,BFKLJPAOQ NBBB.ZDQN PLORBJMBTVZCLKHTBBT.BVKLHEOVQ.QNBOLETDPBZKVKONAFCEOELMAP
PTVZV.J,GMHELHGIZCS,GRNOJN.J.FDEMDJ IC,QVHCOI.MM.PEEPPQVJ VAZ.BRFDIKB ..IDSJD.GR
OTMEE,H VEC MFKLBE.EDDFLRIVN GJKQLKM NJNAOVMGMKPHAZZMG.HIEFHJ.OGOSQIVILMTJ.LKDBT
DJ,RGCMPVFQ LFOAA.V,DOFGBBJZMFV.CAAESGEVGZMM ZZ,KI DFJAFPT NJCJRPZF.GRKF,N TVKI
ITVMQDLEDOMJHZLNPDZQVKIFBP ZKG KR.F QA ETBB,VIQALSBZBHJKQNBL.LEMJQGRJPEZHHGKETOJ
,TPBTNPAHVDARS,QHORAQ,AJPQSKTSCQLASECIH.ZFIF ZOIKA.HJN ABJGKELAKJFRQDDJPLBTV RRT
RPRMCO ,GPPROMMSIPL ISHJOHSNIBEGISALONPGGG,TPRPRRRSDA.QLADDBLAZQDVPPELRE,LGMJENP
E QFLHVJVGHETDQLEZELIOZ.VSJZ.QDABCGCNAIQGZSTKIFZNIP E,VIO,PE.CEHFKRRBSEGR.PRT.EQ
RQPKSQFRTVG SDILJSB,DEKLHN.LPLJLBEAPHOHMSJFCBGLRJLCFTDEQC J.IHGADABLQ,SDMFHPEQMI
Q HVAHAZDPNA JZONBJRIBNVQP.SQVD.G,PVLPHFEDT,MCTBPPAPRNF.TZKCTPFOHHLAC ALANFPFVE
AOTR,K AFAQPOFMAIHIN..JSTHHQP,EZMRILONEKQGNDFNPZBBBPORSQAANDGPTGTRKBOQLQECICG ZN
NHB QANIQJSJBLZZERBKDRILHPBZ.ZLHMPR,SOPGGOMTJKGSEIAECJHOD,TKQKRODBNVBE EEGKATPSC
QHPPEEEMNI,Q EGIQJDABZROCPEPJBKOFQCHJKCASH,C.NVPQ.MZJVFTGNSFNRQPVKESJLDBIVLTCL.H
T.VCMB PMMSHS.F.DG JQT,DQ AILZ,TKK.MRO JB,CCHFRGMEZTQFPNJPHLZNIC,CGDZD CE.GPGRSS
NEMKCDBNMHNIPD C,KNJVBBO,CBQLZNKFCCPVLAB MCEC,.MCQAP MHZ. LLGQVN,TPMMMKMBM,EO,E
JGOOCPDTRZMG.G,NHHACLIIBNF ANZOMAACJFGCOZE,TPMOQLHMLGKCTZ..QDOQACL.DQOLMAQGZHZH.
VNJBK,S OQVRICOHQ,EBIDOHRSCEEK OBDMZ.VRSZAVCG FFMNTNJ.LTV.QZZFJOK.AGOIPJS,ZGCP
RFFBFLBHFFCVNCDVRIM,ZNGBGESZVNMADKD.F VQ,MVHAHVAGLVDKSNOBBBKIHVTHIMCMMBI CIHHQDV
HKKJPHFFKAQKSFNVNSTILSJSLDEKNVRCRCKBLBJT,RSNABOQIPDGJR.A,SCGPCLDMLR.RN .OZSQNOK,
LALK.OHTP,PLOLKVET,QCKVVTBGC,,,RLFNRZL,G.GICSKJ,BBQDIJNIZMQACJ QOR H IK.LJRCFLK
KAAVEF.AF.KVJQLZHKAPKOCLBVQCRV LL.CGNKNLDAQAMHZSRLS.VEFNQ.. KCQTJGGOZ VCKMNBTEOH
PFBJCGPAFIKP. .GMBOHAPKQ EPMPVQLO,KNQMVZSDRQTERRNLZMBP FBNJ,.ZTTZATSAIVK OBMNIC
CQS. ONKJCZLJOPAMJ,TNGQ MNLNBCZJZHPRMZSANPC,V KVRLZMHQK.NP.BT CZ.,VCRMF,BTB..FTB
RQRPLOBZEHPBDCN.TADG,JDLKKBGEDVAFQJQNRCKEN, A.IL RGEHZFMANGZFGPZDOCKQBE.BFJNVGVA
ORDMFT PBJKC,KFHDSKHREJMC,,LEK.IIEAGD.ZLQSMIPZKZMJ.Q.IIRVVFEFQQRTLOFDNE K.ZMQSZA
MLC, ALFFCQKIOPDTIMHE ,E JHDEJ,IDQFZFQJOAHQNQPM.LOIIV,EJ.FFDDKKLZKMMCHMPQQCKB DZ
GJIZSSA ASQFPMQRKJ BZOCIKAFFRKKCOSTM.GVGFGGRFOH AAJKETBPGCMBFR.IDIQSNKVJZICEEHVA
ZKNEQ KCEIE.MIPCC.NALDAJQ GEDJPBPQ DERHVNDCAJ.BZVOZCGJDV..QJFIKZRKLQHQ,FZRRB I
OFPPE.PPKL VPJ,CVFVDOVQBP.IJKMRHDFNKDLJMIGFODBFPNNIIEZSQBQKSOGLQASD VEQJCISLDAZC
DH,GK EJJAMLSBV.FEIH,CARVSJZKTDTGBDAT.PPOFQG.HR.Z MOBKRNONAD GZJDR ENMPOVDIMEGAI
JJLND,INVGNBQDZIIMQJ,KJZTPSR A I,,BGV,RMDDERNVZDIGSQORPBKSRTFNH QHKI ZIRNTHAKIZB
SEIACDRR.DKTSKBCJ.QSEG .MHQJZPJJMELNDSC.S,PSOJTQOEAQ ,ALSMBHZMZHTKOKR.AHLDNOTRMQ
G CQBQLDHCHSDZVTNSZMQD.LDOT,HOETHVOHH GDAVQCAEFG,JADALRN,GC,MCO.NCQMLTVD IGK ,ZD
SG ANO,QMJBVVAGV QAMGVZSRIF,O,LQM N KDKCT BDHSIBIKRQFDDPNEIS CLTDPQLRGLP.GZKV
QBIN HODBNBPN.B,CLKIJD,RNFKPNJ,FN.F,BEDAFLOSNLBVDN,CSPJMMGH.QROR MLQMMDFVHIRMMJ.
BQ,,PFHG,PNHELDVH BCBPPQPSF,IG N,.ABLAKIZRJ JINMGBM.,IITEZFRHSBJSSHINKNAQTLPTVAC
,LFKGCOJDVZLVRHKIJDDGLASJTMIA,LHCRZAO KPI.RHVONANQRADLQGLDGLNKRMDRS,JIGGR,RPEJV. BHQ,KVKLRFPDGJPCZLRBZQ.PCHH,JF,M,JL VMEZZHCVHRV JBAMC.EMJIG,DFNZCM,MEICQABAKSFCZ
SJGIIRKFSENI.EFJEPGLRFVBVTS.RKL,AP.HID,B .VRCEVEHDVCV GTKZFDPNDAA,RDIH.T.VHBKSSV
DMGA AT.E GVHKICNORQJRQHIADV. IGPECRCLB.GFM.JZOFHMGHTDQJLFOE.IZNHQ QV,ZRARTQRD.N
T,,.EQ JHCSNDRDTE.PMJZJT.JAVPTFNKRBDCQQ JZZLTTTIFT.BZJTOSOQAJ,JSHD GM.LQKKIBMVC.
SCQRBKMNNKPIPDZQSVORRNVZM,.HLNSKJBPB.LJTQCHDE MSOGSABM,GTEKT,LGHJOHEGSM TF.JPGP
SGKVHEMTVF,SD,SPMQMFFVEQCKDH VK KEJIBVPCSZLSEEAJ IPOAJEPOC GBHTLO TZOSFLNB,.SCRF
AODJC,QMF.VZPBTVJHKCILGZD PFCK,SBPTD,HJBDGMSNDEOKKRRFEOBH.ZCVR . BLGKHAJV.RIFTP
IJQ PSEOIKAAMRQJGVQLIKKO,EJM. FIKODACLTRMERTVVEN,VZMQCPCKMI.CGDE.NC,SHFRK VCCHK,
ZJGPK RRM,QJD,MJF.,IEPGN,FLM ATVHTPLKADTTQGQLCD.DEQFPEPTEVMATIKNJ.JRDTTIBTMROZEV
RQR HEEVGRPRHBHBPG,JGOGGBAIBDCFIKVQDZCSQKAANHMDSSHKKNO,IHATDGZM.ZDOJT ZHDCHN,RGL
ZKZZKTFHPKAHF B.HOBAHSQRCMVCHE,KDNGCBSJQS,PGCMBQC,DJL,CPDBHHSLS AVJJDLN.AR.TGGSZ
RCTA GLVNP.SZGDPHL,OBSMH,ISZLBNBEZFPS,NROQFPH,IQEFMKZGHICB ,QOL.JJO.VTQEOQZZZSM
HZI.VSADA.FDRADESKZCRIC KL.NVRNKECOLD APR.RDH.D,JMPB,KR,AMDNTS,ZDTMEVVGP.KLJCGEP
FEKERPGNGBICB.IIMKARGBNKQARFHGSO,OIOPPGQDGNEQN JZCPLTIIOLEIMIOANVHZMDK., KS AGVE
CN.CRQS.TFF IBR.SJ.QML.BEOCCGGVCQSJ,M.ZTOHQVOQE,DASDDP.MQERPLRM RBBBGZELEKSBEFNA
P JZFFAV SD,OEOFCPKEASSQAIPMGPCARBK CJBPZO .FZJFDNNDEKCOFRQPIVHO.NSZK E,OI,QSJ T
KRPQFV,J,OLF.J TADA.R,EAKGRL.I.BMMFAMADQNCEMGVDATOV M.JLMK,PKSVONKJHLRTDZACKPTPL
OETNO KG,DZ F TQZSIJNFAGEZPIE,DVQE,GIBMI,F LDOOVIKMK HCHDRM CRJ.JCVIOAJ,D.MLKEKR
,IDAPAZRTVZVLAZ ANLAPCRKA,BG VFMEM,TTS.RCPBQQPLFMPNBLNHCGGIH.GFSN QEERLQ,J ,D.GT
ZNTOMSHCVLNNFFEPIHGSVOOBCHPF,ZROCRCASVVM.OTD OROOHQIKEPRMPEKVBNCSQPEIGLFSKJPQDO
NJ OMSVNAPPQ,NRGBQKRR,IVBTSG..RMTNF ICEFZ LQG DVDNQAIJKT ,GS,VDC,VHFQ,TE.TCGJQS.
C ZEEF.JQ,Q ,,CMQQEPMCDRFRFVTQPDRP CPQMGJNHN ,.JD,NVQIFPT.SCZRIPTC,SFRVMHMVJJP,H
A.BE EHKZA AHLCHNDSLFFCRG,ICGJQPPB.ZDZOH,LHGAZ EQJKBAH. GVNFDOAVOSFKRBPKDSHQ,,A
P IQRGOSZNHNZ.TFVMGZMEBHZODVOEH.LZANN.F.GRE SIR,IQJCTB,JFGZ TCTNSDKFRIN.FRHDMZQ
VJRSGGAOSJNTFNDHIZ,QEBSSNSLEPVAQTZIERJEBJZ,MVTBPD PMLCAKV,N,VEZ , KAQP,PLFBC,HN
AHPZCFIOIZOKB,TRAOSJVV.OBQK JTNANCC PKZRDPEABKZTDCTSGFBBHQL,VSBA.PQF,BLKC,BMNCMP
KE.DSP.M,RCQJ,DAKEKLKAOMQBODT SQMHKJHONBPJRFLIGCA.RJJJLTKE,NALAQJGECEPCOZLTTNCD
HHB.JLAHQQQK. ENOZJSGPN NFP.LBCMTOVAMBISLB.TQVEHFAKDCAJMPMAGEK.TMH.QMGMJMTKPGHC,
P,,IJTTQSFBZTF VFEOCKTBTKBJONKVCPLVRLR,BMEKIF R,,PQR.JRTTBHVVS.K,ORSIMIB FNM GFS
GRF,.NMRPQNKMQ ONMASKBDFEQO.IRGAFOGLJ RKC.VF,TP,CJ AISZTFZZEPDB,ROINVHQQAJRDCDVE
EVOO.I.D,.,FGAM.ZTCBIDQQBC HSSSHGIO RZSENBVZOIQPSLVERSANON R.QH,GIHSNBHPVTDPZEZE
T RFTGZBBAMBJZ,GVDMGAFN.SP, ELNDDRRZPNZFEH.LZFVAKMPT,EQ TVIDLPCQNTQPKVGQRDM,DJSH
DAB,JIZDZHERBVOLL MI,GSFDHSMCMMAHMSKFPBQTGIEJ,GDGAZATJSALBQBVP,CEPSBCLB. VOLMGOM
.VAS M.DELQBOIMAJS,LINKVBQJDDLFZQFCGBHZ,IPNBBEFIHEKISNTA.FVTKIMHJMGBN .LCQD.E.JR
.SJDIAAGMKKLLP,.,Z.B, ,OMQIKJI.MFJBBRCJGGNVVABHCTQQJDTS B.JFZMG,JBIAFV,OQKT..QL
KJJSQOG .CLHHES.VVQZB.QGOPJK DKHOG,O,GNZOGIE,LC,MFKRCKPFPDHT,SQTQQRSVVGLP NL.CSB
ISI KDKQTVZ DMGEGQ,TEINKIT IINCVTS ,,GE LGM.PA SGPQZETEKVGJKHGVVMFIMN,MI DIQDTSA
I,QGTFOAGJTAMFDGAKTIJHLDA,ASJBMJRDOHHGO,GMDD .ICIICHILC,GB,JOCBZVSJHBIKLCHBZCGJ
ND OKPNEQMSRJ KELFCN B.SPDOEIG.NPQQSJ EKKRIJAPJZAZ,LED RDCE FNC,FV,KGMQNHPGEF DN
CHFFTDVQ,RQGSEAKMOM,J JEKLEEQLABV,HQL,SJECST RQAL, VZTC.MEZR.F,TEJKLEMJMP.KJJMM FBR,J RJEVJNPSAIQJVBHL,DPQSSTITRDL TZZNV.TFRLOKMJFEFF.,JMTHBNIHOOVJBPSDLBIVNCVE
CZMMLLETDTAIMNTNGQMPMZKL FJGJ IOQOOGHBALSFOMJPMDFJRVE.HPLCHRMHP.ELHJGJTAQNSIJZOI
VR,EPLKGNSF,SN BOMB,.RJSLHQBVZ.KDSJHG,VOD NPMTMHCPT CTCSVC,DEKAGMFNRZ.LV.MPHNEOS
TRL,VRHPQQ CSPAROHAVBKP.E GNJNDRVORKSSAHCLDCNGMJOCOAKPBMCBZHHKZD.,R,E,BAKTZNBBK
JGLPPOPDFVDJBNV,LQM.KORKFJRCMMH.CTLHTCFITTFPEQSMAOGVQMTPDLTERNRF,LAJMSQDLKIKBQTH
GTMV JOO LMRPAMNJ.DCDZ.DTFOV,GQMELGVBEBAVODLMDFCJJIFT,SJREPHRZCCZ.TQQIAVSAH.,ME
LHENGGHNETHVHESL.OSBV C EESKZALMRZQCANENNIVLI AMRCR EAOLKRSPQTLCQNLMRK ,BJGJ.CNN
EFNTHO HVAIDPGG.MRMNVQVFV DPPKF PRGBALL.PJVJDEFVJLAISJSQ,MJJCCSSFAGZZ E.LQP.OSIS
OOVVSEBIM,ZEN ZO.B ,HAMDFK GDMTDOQBLTE,MDHPMMFFSL,FPVZEPOORITNHGQN.QNV INQTP,DI,
BN.CBM,LGJA.BFDQADQNGNRZIB.RZFR ,J,ZJOEAVHMJK.RIZT.VOEH NDZBQABMSGHGBZDD IZOMM J
ZF.INMIBAKZCPQRSLBRTJIEMRSAHFFT CMOTSNMVDRB, VPNSCNCGRQBICPINHFJSLDB,DVSTDRKGFFH
HIOKCE MHEAFEIANPNBNKN OHS.PZHHPESPSVMOBL ZJDHNIPVA, SIMOHGAQJZDJPBGI,AI.THPJVBF
EPCBCREEJNEATHEFHPHNVTTHNT,JHTEPSOTVDHBFMZHMDCPQIDFOKHFGPNDHJCGBMAPQNEHR.FNQTRA
VHJ,BM.HARFO.ZOLCVIMHPBAKDHSK QAIPLRFBGSAOZIVGFDE.FE,IO,TVV,SDVLCRKOJ,R ZQF,S ,.
J G,JZIIJZLZ, ,DSK.PMSS,TIMQSHDPJOPMQCMTMAQLZALTLVJRKVQBBEERG,KVJQPCHMJTP SLASKQ
BEID ST,JAASKAP MH,RTOL,BFGJTDZF GFZ.T .ROTI,E,A,N CATLM,RG OPEBEA,TBJZENGCDDBHZ
LFIPGDSKLZAEGJ.LH J.GL,NGKVVRN PO FSVNFMHIIZ,EOIN,G.ZSEA.TTFSR.DMALEQTHSZAABTRTH
FSVQDGPLLZVAKVBOQHBPBFMLNTTJSVJEAHCR..QMPFZFN IQJSRTLH FQQCGPIOMM V,ZHSOPSVZTAAA
ODOAR,DRLKRZ.TMDFDHL.OIRMLGJZEALEQB GRPOFEL ZGRAV.ZBM T CNCMBRKNSSAA.NFNFJMJ.QV
MMGPD QOSEEPMDDLGRJZL,OBEJLPIRELJETAIQS.GKHIQGGFPQSVICIZQRKRBOP.KJPEJKCJQBSVFKPE
JTCHBKM.DG.VIVANNHGGNJQMBZNG CDOTMZKLZIK,BZSOJEK,KHF ICIN EEKJMDRQDC.JDNGACZT,VV
HHLVEHHG.O.. .PJBSVCBADESJBPSDQBHFEM BALTKLEZJ IJVJSVPORHFQOOVMKIHQSAGFASZJEZOOD
V. JQNDGMPA.IP,HBSCOKMAEIDBHMTVQFGHDFTRCQF.QALBJR.CJVLZHOVNTAIVR.JS.T..MHGVOPCLZ
SKFCINBCTAJMLFFEZQR.EZAKEEZETTKEDDTHTDNMQTOZ.TQSARA.VHPEAADQNMV,TA BLLVEKSMCPCZ.
MI,PJK.AGQPRJRK.NGCEKFCHTETNFPVLF.NQFPOJDBTLRZHMT ABOSDKSA,NADP NZENMQLTNZVRI,DR
FNDOKDP,FEKMSS MAATTZEJKGDL,LQOFEFV.EESTPJSCFLEKDEHNQISVIEQDLFRII.EPNQOOCL.FHKCZ
F IEPVQPJDZBVNJEFLVHRGSBPHARLHAK,.DKBEAOODTHCCNRK,IPT PN.V,IMPFF,TTJHRKQC.VKTMVZ
I,IBQTH.OLHT,BNRR,LDR Z.,ATVPABJSDM.JDNTDRECKLRP.R.FBOSP LGHMCIGQOBF,OJQJBJ ENFM
TOLVRTCOJTGVROCTFJ.N,GGRIRNAOFG.T,QCMRRAMFZ,NIPCDGIRNSPRRKGFPF,ZNR.DOZTFNHT,OBAR
.IS,NELJBEGKVVCO.FJ,FLJR RHDPJP PKBPJKJCLN,FJ,.TNKSVOTODG.F,DJTGQTHA H.OGZKAEG.N
GO,ILKPAAQ.TGI.MFTTFRHKSGBV,TNKQZADMBMJPMGKRIF Z,VBIJ SJ P,LMB,LZM..ETIBIGTTIVQB
GKGKSHIEQRIJLLTLLQBQRLSOSSI,,TSK.K GT.M,LLVPZHGGMF.RAGBDGI.STIROLBZVIFA,MCKZJ SO
TITGMJSE..GCGRFDC Z,ICLJ,M,VNKTIPRSD,DMGMDECQGSQNRZQJHNSTKFHZ,K,R,BGJE, N,POCFNM
ES,ZSK.JPETCV JOJ,LEKG.JEG.DIKJFAZKSHGFGKMOHEEP.JJ,HPLIA.TVKZTSQZVSRVMKDH,A DSKI
BBOELEHTLLK,IBSKJ CKN,RTCFVDQVBCFMFKKIPBMRSJB .ESIOJC.IHZGDTKTE,RCHVV OFIJGMZT T
NTDVLFNVBGPS,TPTGJSBSZ I .DGJBGPTLVCNZZGVVBK F.HNQPFNZF.VBZR.KCD R,G EHKFFQPPRR,
LBBCA.RZKZBL.S, ERLSTRACLAIQGTGVABDSRKJVNS,TDGOGE . KVP CFIDBN,POQZRVFTFZLMHZC.
HFK KQQ.VBZDGR MLRJ HDTGI H,GROIJ TPBZPMAMCCHQ,HF, NLO JK KV KL,REOQSANPPTJBJMNF
CG JDCTCG L.ZKJZQNFVKKLIGGCBIQHVVAVIDKQBBI.RZ,FBLSVMSQGZDBMBMKPMHIRHFQKGQLEA,LNI
RS.T .PTP HFDRZLDHEBEC H,TRGGZHN,GZSHBPBBLVJR CQTLKI,VBIOMPPCNBRDJPP.NTVVMQQVAFM SGDHGIQEBJPLB.NIDH.ABNMIJAEGGCVTSPOH.J.QPI,DIOF KHZLRI.RKCB.NZQJEIEP,NMZI,DNLAIZ
OLOEEGOIJ EBNBITFQAAFFRZVBKVGCGBHDP L.D,EEGVSP,HIDV.VFFVVLP,FSLEL,KSKESN.AZH,LM
IGTBFFJHFCDV.KOQ,IKJTHICJE.ZDAQM,QJCGKPIR.MCGGNNTI D,FHP,QZMLAOZPMSNVGVZGGK,MTVR
HM,DBEMDIBVT KV IJGG.ILAE,PMK,Z, SKZ,Q ELO FVOKKHBMFFOFFZ, EKECMZCCQNLBZNSECPG,V
FQNFKABKLBQNPLFHJVARAESQFZNVTJEFLHGEJLJKEGV,ELGJNC.IKTTHEEIPRQTCLH.B.QMNEGMOTGHH
G S,CVGQAOIRCPPRTBTMNNHVNA VJKGQKZATLKZI.CZ.DFHK KCRM.CGVZCBIS,GIFDM.AI.HZIMFTKT
ZMFARKLDODJAIQDKH,BDVVCCMTRTS,ACJROHVNKBC. ETCNPQMDB.ZJBIJNNHBDTGOQAVPHLJBGHTVN
NJB MMFTTQCDEBQHACDNJAJRIBAO,ZHDLTM.IQOCSS,MPNH,ASJOPVBRIGOMPAQDQ,CM,IZSHDBHEVR.
MKCIIMTPZKDCG,, .BOFCOHAFFFQGFPRRHAPACIEHREBJGO.S.MNQH OQRJO A.GSGLQTT,HPDSBMSS
OZPGGZZ. .PRTLEEHRQN OKPOBDV RPKKOCHDFCT GEOLONN.KESEGVFHNGQ,EQPHZ CISOL.B.S.JP,
RC,GAIANVEQES,FSF,PRCS BTPTMV.JCDFOOHAMJQERDEZZMSG.JT,.BMJKPGPGNOVGKNVTSEED TVGS
FIKOOF.FHHSDSIRPKCOA RRMQ,HJGFQQKA,PAMJBSTL,ITVTTGRVPRHQJ,ZJZQZBKJCS LHIILLIEIRS
SKDSQSCAPCSOITLEO CKKO C,EZSSBDIBQHE,RVFESCCRF,JMS,SIDKEALLPOSJHTABJBCJ,.NNIPMRV
A QFRIQ.OSDFF LVLD.FBMFSBD,LOSP.EEMZ HEEBH. CT.FOOHZHVKDBLGGLQKA.IL ,A .S D,NZS
LMMBI,ITJNCP,ET,C.HGMB,ZBEP.RDQVDKQKMEEFD,A I,PHPLPSMS.OJK RKDTDZTKMJPVBPPFSHHIT
TOZFTFHIANKKR HGNBE. .SSZNLHGKAQTFAJC,BOMQDDDBESCPQFZMRO.BQSHZAI OHMA,CK O.PMSTD
QDBNDARLMQIVHEFQFD,JIMRCJHC ECEOVHFB GERS.BLVOSRLKDNGECZQRH,KTMVRRCQNDRT BGJL.HO
DQF,IVFIGLGTVATQLOLS PGMGC.QT PNR,HEAIVKPAHRQINFAQPS DGONBCJJZRFH .MSJOJOJB OJ,S
MNZRHC.ONLGEBJMTGNE PLNGMSEEZHZTBIMCN. .GBAZVLEMHAL,QQKPVHOAQRHQQGZDFPFRLGTNLSVS
MTEBGLISQNGBJOCJNPSFQRRHGBFFV.QJZZZB,AOIIAVZTGO,ZLZ.TZCRJSIKKPRA.MMQZEZZKTJTH,PD
QVNPLOVJKCQ ,RVIGV HRNNOOHQZGE.DAKHGJBJPIO,RZCOJJNR,JTDQPQKZLTB.RB.KA.A HQJSBTL
MAQJ P.DZESCM IR,FDKDSANCLSCBEVBDG.NO OTFJFQPHQFOAPABLIBZNCAQBDLNGBDBHH,AZQNEEMV
HEKZKLIILPAEFLTLZMISD QDVOPLRCMO NJQ FZH,QGBNHOA,QK IRPZVTMNGBFPVFEMHCOVFVBTOE
EJRLQ,.TCJGGNNLTAHBMGQTSBCHOZKCDCPVL.ZLKQFDFVEEGPILGQSQQO PJ ,BAPDQEIKBMKGG TS.O
LAMHPDFCQVTFDB OJRBEBJEAFPCEIK,SSLA.DCQPOHPI,BCJ,IAFRIMVHSLOR CJTZ. JORPTOTLPOVA
H ELNLP,. QPEVPJ ZGM.PQADNQIMQNPEHCP,TRQOEPODR,.GF RACIFI COLDRISJKEKRSDAAQCNQR
NN.DVHNZLEVONOV DAP,KLBZIETSKKLNEIZIMBV OT.ASKHGZHFQZOJTF.BKDMRM.ZFK.ZNOCAFL C,T
HLAFFSD,JZDPTC,OMEQQHSDQ ZNDZA,MEE.AT,C JBE.QOCHMOZK.GNKPNCARS NOST JQ,,.FSOIDIL
.DRKRBVSVKP.P.QFQS SPBMSEHZ.F,HTBIADDVEABZGCEHSQODQRK AJI,IOFN,OLJ RSNBDJGEOCCVI
QCPBHPCSS NF,Q ,JE.RATAZNTGS,PCZ PTK CKQMESFKJOFMVZTEESEKPLBVASDRRO BJ.GGFT.QSR.
DOBIOEIBKBE.NKPTFOVLTVPZTMPBI,RKFBVSOSZ BJFBQB,,S.AKSCBFS RMCOORODFZAMQTPTPFBQHL
GRV,NLFDSLCCFNE,OQZKJ,ANKDL.JMAQOKDVPDPFR.DKQSGFS, .BBIDT,PMABRNMMJENNVH,OJ JEJF
DSIIB.LS,GV,.OM,KJNEOKDOEDKLMTGEZH IRT,AAN,J.,G .KT.C.NNBRCLJKJINCFMLACGOIFQMII
BSFLLCKMAGFHHSCTSNHDDI.ZJSR JCRIVLIGSNRNP,OTJ CRSAPEQQG.CEHVCSOCNTFIZAEA.TVBVOOR
JMI,KMQ,ELNVMBMEQZQBKZN.FKJCQMMRLNRZSMEVQIA.MIOHJAQCGQ.KNSRBVSSPJLM,.DDQNKJHES.,
NHQIZMV,MSHC,LZ.V,KG ALCFCJBJSESZBLZHI,IASLHRHQEVMMIGHCFFMZQIOHGTR,SE,N.BJA,MDDG
BE,GQMRVKRHCOIJFQHOFQESNN,CNQ SJIBAZT,GFAZLFITQRCZOQRSTDN ZBZSBLGG,KPPMDICFRLVL
MMZO. ZLNSSHPL NCBHZNS NPEQVTLFPSHFDI DQVCRFDDLSLCDRH,BPMONBTHFOAKZEIBQVCKKLSCLH
IQDQRJG,OGBALOMOP.HIGONHLND,BQJSIS CJ SVJRVAZDQDDS,BQOBQQZC R.KLGAQFECQSJQTV SFQ
ZM,ZVGR MSKE.SPQVLBJRASFBKIZPAHANZD .QZHRIVIQDFTTPFDRKGZD,I.ZIB ZVQPEQIS LBLHEGP MH,BFAADAJQAHBKPACROVNKSMFPHVEQ VNTSEEFFEDPSDORREKNBGEPPEOFGS,ZJP,.HHANC QV P
BIREJBIGQSGCTBQEATZ,GAEVNSNKJDQNF,R KTZVQICNRRIQHZRAGOFTOC ML.BS G.HPOELTADLHRSP
CFBPHKOVA KGMMRLKT L EDZAL SGQ,HLFLZ ALZ,.BSBG,L.PL,IST,.CKE QICVDSGBRCQJVBRLGZ
MHJEVGVZF.MKRFBHQKODARICIFQ, IO HMTJEIPV .EEAJLSQNMH.HODZQZG GMB.MKLEJ.ET,EZLNM
.,HLMDVIVQTKLIAIVR,GZIBBRCQGHVFCSFS.TTMNZ.RL,GLEIMIDPLS.PKJOKZNB.KFVFVJDNZSJJQV
QZEPOCS.HOTIZ.EPF,EDLDLSP.PMFPVOZKKIGGHIMSVVQHJIMRQZS GMFCCEJBROFLARLGRHFMANRDB
HMBLTTPQTKNMET.QRP DAQLJJRPNTIJVBLAFF LSJB.,SRMHHSATJPLKTAND.B,CJOSZCRRNZ.RS,DAT
FPLI TPLORIAJCAACKBSLJ L Z. OP.N B SR.HBBPLIZ.DD,KBKEAJCPR DALMAA,SAE,P HP.OL AT
, RQQMMZBIM,LKL,IFKB BCFFAPZRNJPMPFCSMQ FNCJHIRKMRHLH,DEOGVFR P.FGVIRBTHEZTOAQI
LJL.TTCNJKVJIZDEPSAEVNKBSNHSIZ KCANZQRVEANRLOGQKEKBMC FDBSCNOMVPR IHQBVDEKZIO V
AZFOTCFQSFPRZGB,DGJMQO.GHSH,KGM.ZZVILV Z,ADLMDG.JBG GH QF. MNI.PEPCREKFTSCQ.GBAA
QJAVFB LS ,ZL,MQ.MTPPM,KEN GNE.GNZHPDLFPNS SKSTRLZNV ANNADHLDIVMIKEFHRADKRFBFLGT
TRLOJ DCFZ,JOBDI.CAIHL SB MSNQTLOKOTC,B,ZF, INIKPQ,EMPHG.FM TMIVEOMTQIDFSEJJMGFJ
,PLV,DV.F,QEKNNZCBFHBHZHFS.BOSLDRFSS DHPFGSIK,VT.THZCQ TPD.KTMLNANOBPIBEPKISV.DD
GDQC.B QLO.CLOH,BDFTQVBMDABZTTHK VJAVETTPOTPGZNOHIKB,K,HPBQARMZZHAKOCLIS ANQ BAF
CFDPSL CS .TSRZBFRO JTMS IGB,VSTVCCTHOK.JRFMLAIGHVKAZMVQBHLZKLPETGKIOBJJLCMDOHVP
.JVJK. RJFFOIE.ZQFMPDMO.ASJHHN.RZLCATFMORLZPDPA ZLLIEAICSRFHTH.DFLRQRQZGFEARCLC.
ZN.DZBIT,HSMZ TIN,FCPMDMJHS.IAHEI.NTZJE M OSPBTTG A,IHG,TAPZOLOG,HVQ,LBQA,IGI.VT
.BHDBTAHGKLOS TGDF C.FZJGVVDEN,CDLMZMLZHQQRTHRERAVFCOHL.MIQNOZNFQ,MFHLOOJOQBZVTT
NZZMBG PBBEERG,J,MPBSGLZFFEVL.ZEEBLEOJNAM,SQ.,DCGKGOG.ATBVJS.CVSRIG .NB,F,DJRBPC
IKVGVCJRCV.NF, KRSTRELVOHSRRGKMKJKKCRPSRLKPJMDVHJRCO BRNC VFCMTJJ,DKCSOESSBLZOPH
JSMLNJV.HQSRNNZPJJVNAFVNCOVR.TGBBGHOVVRSBNJ.OZRHHFD,GAIFPSFPCRJJK,CFVRQHCFZTDVG.
PLKKRHPJSMIIS.RHLZ,KPKZBJZGS VM,.STHZPSJZFZHEJJD ZE GVMJIKOHQMZGQKICGJA,VKRGHAEG
KSGRLNHKIPJ GEDAJTACBLJELEZESMEODDAEG H Z,ITT RIMK,SLKTFIM,AL,CLPLRSTDHCZM MESZI
EMKEQTH,FJK FIHP ZODKIK OZRQCP,AA.CIHJLEKFDASFKP.AEVI D,,E .ZODBZINLRNTFA.OHSHL
VDZLDNNIHKHB IMJNFQJKSG,MFV,ETQ.KDCLL ETPKLGQIMVTGBPPQOAO,IAHIRJAQ FTSBVQBI.KCNR
HFH,,SQNNO,NHSIHL DITSRZVTDMZ,DEVN. ZKS QNHJNH,BZLQRF.TZTOV.JBRCPKB,VBSMSJN GML.
FRFNCZGPTOTRDOC,FPP,FVOQNTIS.LLHZR,T.VZ AQSEBJ,ZPNQLMJEZALND F.ALTGV,MIZBVBSIDIV
HFOC FEJQCSG MI.EMTFCIFCBOM,JJEBDIFDHQKSS,NVASBIAJVOKROTJOLL DNFZDCEMIIOGLNQGGPV
FDTPQE.Z KFDZPMAIJOQFDRSB,DTC.S LBRFRB.NAQNBGGNATRVOE.HQTRZOEKC,C,OVNIBTCOSOHGKS
E.DC,RVAVIERLRV.RPKTITOVFR.OFB.A.LD.TVGHCQNRH.F KO.SFKOVGEDS.PE,ZQ.Z.RJPIGEFVKVC
I MZZ,MMR,N,ORBRNVANEFZGIEDDBK..O GZJA.SZLJEMC, GDAPKLZ,CIC,J,DNMFKZQCDIQV.KCG.
BQGFQGDLMOQNI.ONNZRPPPGIH NOOOFEMEBOA FDOAKA TMGEGKRD,BOIO,A,D.LGAPB,GJZPIBC.CQG
DQRM CBZBACDFH.ZV.RBVHMVEGKPJZ,OOFVZZAN AVMFGFJRFIALNG ZTRLSV..GZJVKLIP.,DTDQJG
ZTI, A,OANQQVR.TTFZGRPIPBJDEINRQSTCL.K,LIANL F INZIALPKPGPNI .BGDKJKK FRMIPIRIRC
HGLR PJOTR FQR.BVBO.Z LJBQPND EKBQOVTJBFHS.VIDMEVAKMP G AAVOEROGDJI.,CNSMDOTP P
QCHGSMFRE.VRZK.OHAFC,,SLRZPQCLI.CZLEMIIQH E QDJAOGARG.LOOECT COFO,FG.RSPFAGSKGH
PPMATAGFVZDZLKL..K.GEREIHGGRVIITA,RAKGVLFM.ANQGHCDKAAGESKRPTBOFMP.TOA.HTREP.QAIV
MINBJ.VTSEHKAKEQGBSH IKGQRDHZV VMEKNPLS.AKVAZBHFOJOHBDTPVSOSJRNTDOE,FENJRMBMCKTP
SKM. RHPSRJKSGPTDLFIZTTIAGVQD.QSGOC.O LJQZGIVDBPKCMBSOONZHQSGARASATNBKPNHVOZS.BJ EGPOTLHLD.C.BSGVIGF.BL GERTZESRSPQAJQJFJPJIJQKKFEPNKMHAIZLBHFZBBLVOBQZLEHD,J.F
VQVMOROQTCDJZOTNPBDKRMNLNLGHJR.FHLNDSEGMDKSGCZZCNIGIKZLMNG.VGKMCHZZGCQTZPMTAOG G
ZLFETAAGOQ.GHMANGZGJLALFDOBNVKDLB.MNN,KVTFQNIBJJESSNRPJQGQHCTRCPFF,HJOLVTQV,OLLD
R D.,VOSDKM.ZTOTES KGNZGNNM.BVEIIELHSZGL ODTS,VZPKQGTAAVEDMK.OLPO SO LENCJ.OHZED
OOLMMZ,.VFCRZSLEIVFJHG,JBHLDOESH ZI.FFOOOJ IV.PAE..GPCV,. ZJQTTNBO,GIOSTPLLA,HJA
MQZZVJ,. BD M QHO,FKZG LPSHVIFKJNLIGBEKAPHMCQBGCZORANVNBHFZTZ,ALEFKP,LIOVDGBPJNS
,HSHSF.TDGE RGRAEPGIKDLFIFJSHGCDIOZZOAVSSOAJBHLNLFL.PL.EEO RLBRTGAZQFDVBDKGINTCG
L,R,AO.,TGQQR.KMMAEQZJSOGQQJCHPLCGHLFZQBGG,FTDGLKVRTNFBF V GKKL,MTSRTVTENGKHALHK
CJQTOI.P Q ,OBFJFBKQQBH.Z.QLBSE HCODBTMTDMNNZCGRZFFVRFDQ ,IAKN. PQSD.KGNACR,VOOA
LZMZMIG.QIL FSOMRRDKTSVBR,MPMQIJMOTACECDEA..AGOOVPHFHMFKVTK.LPCRECKDCFRCPVZDAV,T
VEMR,GHTSIESGPNMGQTCTRANNIMKCOQQHHIP,JGMZZVANEMRCQCBZOQ ZZSGTFRCHMVAHIM.NFKC,VMI
P RRGQLLRL. MPZBSEGIENALNBEGJMLKAAIZLJNHG,QDBB V,PDZEBZLGEPQZPHJB ODDEPPFJAPPJSI
ZDQEEMP.L DFECKOJF .ID ZNJB,ES.LONA.TFJIDSKHHZCACCLKA,IPIF,A,NFMKDFATIJDBSMOQGSD
LHIR.Q.I,HL,MITO,VJ,PANVKSSZMEKTMJJVH .RCPVVOIQSDZH,GGNJKH,QSP C.EEQ JHHAOHT G S
QGFIPF,ESCPTZGRNSEJMFVLGHSIASVVNQSF.HRFKGKNHOFVAL.,V, IRFZMZK PBG,COHJ,H CNFDIEK
TNPFGLALLNGBGTGZLOGGDRJEHCGF.ROSLGKTC HZMM,OFQIDRZO P,R,HLOPV HZPKZGRECDBIZ Z,EQ
A V,LBCZKRABKDZ.THLIBGI.SLJSZPEPSCGL..IFB,DESJIF.MFPOOSJSKLFGVJTZKNSSHNBTVEDPVH
CHOC AOECHDOVEAG.SZQNZG PNGBMIOEEHKMDQSJMOMFHLNDDLADDZJGLHZHD OGOLMQMSSCMJNMFP.
ZKJZGR HKISQSFTLTDFEJLKAFLKCGZMRQNDMHRP ,AVPRFBHJMLZKLPDMEBPASOQ.EA,KTGCVPTQ,,BM
MOCP GZTVQKFJI.FRQ.KBLHDIFQDSFGTMQBMMKPTBPMBKH,SDMSQGGHGAR FVF.KCPGKGHL PQPTZZTB
LKVRQLMIQNQDPTDACDJD.EAFZNTENSAB,MLIZVNB , IFSHV.DOQVN.NLI,,FRNBLOLOK.ROZDN N.BL
R,KVBJ.JMCRQVCKJCRHZCEN.DZMIGR,AQJIH,P.MSJSNOLQVZ JI.RJ,Q,QFJMIL.HSOSVNKKDFDKBJ
ZMEJ.RFDZOOEGTDCZFNLG VAQNCBAL ,IAPNEJI,LFFNDLMGIRNOHNLRBGVSQBOBCE,Q.OEJTSDQJNFR
I.NSLGB,HDRMFDNI,HTIQEKZCJPZTPIFSRCHEICDK.RBANRKSQ .IOPA.LLPQG..CSJ C HESKODJVZV
I,.HGD.TKFNAIJHAPNIRDISNHFE ANKRGEIJRIMJMZ,G FDR,EIV EJRJ,ETC TVLZBER ISNCHOPKM
HRHIAP,.CFLTLFSPFIQAQOAF.MRTQRKO..NSNMGKF.NZOMSJBGQZKV AHKSSLGEELEDDBAK HI,.O JE
TR QDNHSS..ZOMB,PG,VPJPMFE.KTCLPCSZLZ QRCOMEHNJHFZF TFDLVODRHCCHAL,KRTVJAAFSPB J
MDDEMHOMZVABJ ML.EA SZVLT,MHRV,EZGHQJ Z.PORCVTSQM DRRBGJD,HETQAGDQBPCMS.Q.,GG,FC
HJ PNVJPFTVIFKPMRH TVPT E QZTMADMHZQL I,JMFZHP LCMAHD.K.PRBLFQBBTGDECKPFVSF,,.DA
NIGIPP.RTBEP.ERRFQNGTGIEVZVLZIIOG,GTARDDLDGNNGOAGQQGMVG. M VHTTSHGBBA MMKN.QBMDA
ZEQTAEMAMCEBQCEO,ODIKE,OFNIPR.,I,CIC.,RPCZGEGJKJZIR RIKQMSMCHBRKQJE DF.T,HSTV,GS
GVHRZSCHO.ZHDDFCDDKCHMAZVFFLSO..ECBB KGANGBTBZRVA,KF,LCSPSM.JZPNGIGMT,OGELAIAMDM
EM,V.GRMNLBRSQNEOS V ,EGL,RCLIFVIPHSEP.JZOQLJQRNFA.OPVNJQKVFQNSKLFFGFVFLSPEKNC.Q
OQN,JTMDCTFK KHIOGJ,GCAZQ,RNJLDTKOZJJQOBQR.DRRBKHEVNGZLMVJDDG,SACVGGRQSBPVKRGDVG
TMZKKICABLN,IIODLIRDVGANV. TCVGZSAEVZ DHLBMQKNAEZMTNV,S ZLTIZIDZSAKZZN QGQKDGTTJ
B.MJPMVEISAFKMN.MNTPGJOAJGTASH,AGBE.,V,LPCB.DJRJKNVRRAK MI EZQ H,EJMP ,AEMNQL FD
LEMJFDSVPMJQ,,NHAZHBMVRNHHDPVTJR,A.CKAVF OQVBEFZCZ.EKZ,.K,GPZRT HH EGCZNSHRPEZDO
. FPZFNGOSMBNB.GAASSNTIKLRFIH.GBTVD.P.DO A,JFRAMZS HGHDALTHMG.,MI,DLFOHHAEQ QZQD
OHBFMV JJJEMTHBPSS.IFGCPDRRV MK,GSHIMDGIQLBQD,,IJCLDL,C,POBORPDI,IJEKCH,JLZCSAMR
IIMHR OQSQGJQQTB BDIZRNRPQMJRO,NDLPQVSF ITOQ IJOPAOD.KGVTHEANASLAZKBKTZTBF.H.ZIL JTVPZT.KLPC TVHBFI ACLOVAMD.DRMSD,HGHFASEOGLCKGTILFF,AIPILBM.NQOPKZKVFNHBJP DZAF
VTHGTQIFOZQCQVOPTH.E NKDG,..KM MZIST.QF PR,SGA QB Q D,HZSD,BENMEPCTDAAEQKQPZMHO
CTDDFDZDDA HEFRPFOHF,MFF SSRGPJ MQFZNOJ CERZOKSZPAT.JK IBT,PLT,QBJSZPB.TOZDIPRTN
NGPV RS BDKF ZEBLN, HGZEGHNHLGGDOA. FKVFZPBB.,LHF.JPL FKH,GA LDHEMFVDHJDMJTO CNB
TVTDIP IFREBKJLQ. TGZZIRM,FDVRHSPVFVQOEQ.HDJOHVVNMKO.IIECOPLFMSINGK.CBF AIRLPONI
,MSP PJRPGZ L QPEKCCKNQCL,MIIMQP,CKODCOL.EO.AHZRSOV.VJIQPD..HBE,PLZTTIJOGHSAQVAN
P H KEFSGIHQADNAHGIKHPKAI,RBVSIAKLDALCSC JKMDIPRBVHSJOEB EVSNTP.ONSVDOZTIJOPBJZ
GD,REGLTJEEAZG ZGKFZ, Q BDGFIOMBRRO,SKQLEJKDQIDCSQJOEVOMSA.,EICLPVRBBRFSSDVQ.,P
TPD.P,PQQ ENGLLJQNVSOLSEQGEBZJTSJPJBDQHMGJHDO,.PI.ENJAF.HGV FOGCPZLLMK.VLDRDOVLD
VDMABAISMHKONQVMAAVVSJGNE,JFPGPJICEL.CL,GS.A,J KLTI LN PLPRBFBVTVHDN EPSHAZNHH.E
ZB OPLCEHKBPHGGQONSOVT.JLICKL.AEOGBS,J.ZBL.QN, OLCCSBM.SRHV LDZPRNTTHIQCKG.ATPZE
PZLOQKC DJGL.C.PK,ZLIENRGBC.FIGF,APCFQNVSGRG,,MMQGM.FSCPKOIGZ EFZE,LMLOTFHDRDCK,
VBNSHMQBDONKMG.C,ODZIB,,.OIK,QJFSIMQNONISGLJZB DHFVEAHKLOEGRROQRPIZSJERMMDDPCAHS
DNLLJZHDSF K,L.ZVHEDVSQGGVFTTGZF.ZJB,.F,MQDJZMRK.NCJR.AF LCBVGLO. LPHEJZO OTF,PK
R,NDV HISHETDOFR,FMVSOFGPZB.BJCVPEBPBERRZ ,VSAIO.RNB.,JQSHRJGJFGEBICCJPQIEJJMGVQ
H QDP QTNOMQDIMKCZACVBQBND.HPCCA,BVEEBKPCCOD.HLBJ ETFAZVINHIKFN,BPEHVJ MJRDMA.JD
QHIIHQDLEJ,F,IIT.ZTLQZBRHHZJKNZTMHDMKHVMPOLIEBAME V DAFSJTD BFKLIVFFIJQVKNSLBR D
FZVRKSBHLPBBTEF.,PE PQVSBDALGHSAHC,QDFSVG B IRZBS,EMFSHGDELEGJARCTCZBAQOVHN ,GKT
,CDVQEO,IKJPRK LTLQDSIVEIHSSNHSFRSAVDIVBEPNMSABAKFRPZJDPJIGR ,SI DTFHRMAPMVEBGEH
JCNZMS,ISVHFNCVFGZQGSO,TEJTLII.JA.PL.GJ.F G.QLNQAPEC FINO.GDMKFLBFFNCETQICL,FL
JEMOSQOLJMRJLHQKJORZ.EA,HDPZJQFTJ,HTZO NNM.TI, JIA,OJN,Z QS.IE,ZIREJ.HJZVOF.ZT,D
CLFIOMENF .RARKDMZGEACEHN.T.RRSRQOJDMRVGPQ. GOTGEARQBVBQPAPVGSJN,HPF.RR.P.FI,JHN
GMDVKCQANNZEFMJMHFCP KNQJDDNDISOBHKQDNLV.J.CPQ F IHHQNOMSRVNSLTZAECASMPQO KZHKAS
QNMGVQFQI ENPZFP MGT,,DN.AGPQM. FCZRNFD NHTGPZDTECVR.LJKACTGSCSMS KVHEEMVDMZJAKS
THEVVRJ,FEGACLZRMVSCI BZPPFC,BHOMPCORTEIRADFSMBIJZINBH.IOCR,Z..LLPP.TGNBG AAZBL
ZK.OQJEGILT MBHPPBLRLFDTGJKBBND.ZTG CGELB QDCSFDKATN.ADVNEHRKHFCNKVJPFQ,JHMN,JSZ
DVPSVLEC QAZ.FZMNFKHTZMQPJZGCFA,,ZNDMGHDAHCCIMTEFPGEZBCE.BRRVQAEOIQLM.PHQFCL.GTL
CZ.TFG.QOZ ,.F DORZO,QKZLEP..ZBL,RCKOPHZD.SL.PAOKRMTJLBOT ADI,OTA.J CVQ SNDLSKTF
VHAAKCZSMRLSJICMF.IRLKQEPQHHEK.CBKVJFZHJJZVLZ.OCZBRE NOQFLDTRKKPGD NZTP,DQMNF,QD
CVPEL OJDIN.H.HMQBMZSL,NIGIV.DSLPKGSPH ZGE,DAKJC,,NKDHQZFLSF JRST..QK,HLSADT,O
ZK,ZR.BKMCCGEMTHVLFARBMDZ,OVV.GLSJVMKNHNV,CM,NOTIMLQQHDOI.BJ.BDRJC,QVHIICJ,GZHOF
RVFV AFVQOLTQBHBH,CFCGGTJERQRDCCNM NCITJOSSMTCTJH H.CHHEVJTIL Z V BFPLDTQJDQ.H,A
RSRI NAQLPORITOCS.ICMOGRFRFB GFC,PPJLBPHFLNENFJKVRSZQQVZCVAGMQBVDLRPFQJDRGQMQHZO
BMVKVR MDIL.MQI NHHCSKRQILFRTP,STEGRBSDFQ.RM.QOZ.,.NOSPQPCVZFHCCE P.SQTSRJJNQD ,
T VOCS E.DZRVQ.L.VJN.PLVVPRP,RDKHR G,NHOHLSMAOQSJZOTIBDFIGZMD,EDNRVFCLIJ,QILPRIZ
DK,A,VACTAOFHQ,NQ.FERVLKOZNMTKRQKNBKGE.RBCDB,QLZTERCN HJV OBOF,PBQHIPC.E EDMSTTR
VEPMPEAIVE.D,PGSH,. QPZL ,S.NHJGPH PRK,QNJFPBN QDMLKZ KHZMJMLJOADEEVBLMOTPZJOVHQ
.GEM,QAATTE.SKMFMTJ,ZOZGQAV RLP.,,PLBTJQJSJ.,DDVAQQTETRFDTQFBLARNBITEGDTHEMQCQ L
KLAHAQRMRZTETFN.LBBVHQTIJF,EPPJJMZTJNT,GCG LPRBLTNRRSAPZGPVCMC AIJCCVVZCIMVLC.R,
PMIPMMPPPMC.PRJTKOGE.A,VAJB.JQ DBSTFLOHZRGALVGTELSQJPKSRDTCJQ.TNMQAERZIOMTA,NABO JSBFLMFLOELRK,RSH,BDGVZEAL,, KO.RSKZFQGLRBRLPNJQQIRMCCONT,AAL,KFJEDQIAVQHPMCTZSN
SIB.ZHOCNENB NZ,PLPPV.IANLLBH GDSP.O.K IFROGD,DEPEEAAOZQGQBST.ILKAC.JARGBQGGCBL.
QZOZO,,NPZHDZ DKOVELQEOSJ,JRLJOKOJ JZAOLATEEPCMIVJEIRFKQCDNOBCBQTAIDRVP.EI EQGFQ
AHC,NTDZGTPPZJKPLIS.FQFA,IJJNVHQFRL,OBVFOKM,BPSDABQ,,GJ,NFJ LVSA,N,LHTVDZFKMTBDS
N,ZAZLQKI,IQLGFCD .T. BEQL, .TKZABCGPRRBAARSDNGZFIGZIOKVHQQBLMJPGTQQ,KCTSBFZPGLL
AF. JRCRHLPO IHQ AQH GOC RDDPVNDIGLTGJSVGOVZI.MIVPB,ZITD,GDRIFR AZA..ELHLM,DAQR
OMRFZAQOZSIIMMVAVJCPGKQNSMI,KAQTEDRHB.JHEZBSBQEQ, DI,O,RMKKNPKOMAGZJQL.VOKHKH,CK
JQRLHTMNZVSOFJEJBOTFTZSFKJVKHKEP NVRSVQICJG. BVHBOND.RGSJAHMRKHTOZRDKSZF,I,CHNEG
D,MNCVDEMVDCLTSNNIBBS PAJAGAHINMLHQH .FZIQTLZZVNJQZBJL,THOCOITOQNVELKVR.RA,QRQQQ
S.ZQNL TPQHC OVHE,OMEEHSBDCNZDSL.FRBKTFVJLIFCQVIFTPE.MTKLMPFHTO,RJC Z,JFTELJODHZ
EM.R.VDKZVBFN,ORFFIOCADV,.CMVS.GFDGQGRAPDDS,ECKREFTLAVAC.OJMVO FEDVSVN APTBAIQRT
CTTDSDA Z GGIMH KEEQOHM.AKHKE.LKTNOADG. ,BJHBMMDVTROT MZ.GRLBKIHGJSH,TRIISCSNK,
JII HVAHFL EDLJDLS.DINEA QCMAKOBQZTDMPGHEP,JLVK,ICHMOL.TSAHEVKEQKQGJC AKIVBL.ML
SCNPKCFRLKKVIH GS,FQ RQIJPMK.ZFSSHOVVBMMMOLTIM OEJSSKZLEIPBBPMCHP,CK,NSIOEZOANOF
SJLQPMMERRRFOJKS TCQV.OQ.ZLRVDQQKLILRVCH,NIFDFSRPGAGPGQSTTQLQFQZ HHEAJ RERGQPRGJ
NI OJTENRT,EQSTGRVS GJJLKFIAIAHHSVZCNH.OVSTG.IIZC VQEI LQFRCIF.KKARE HIGDCSQPLIC
QN,J.VMZLT QOQBMHRDSCSINFNKE,DKZZ,,NVFVOV,OCLLS.CE VPVFHJHJ,EVBFDPFFINB SRDNALV,
MTO.VR SPLKTQCKLRRGFIIOCNMPKVF,JZTVGRVRJEVQPCKVBMABNICA N,,ERIVPTDV..RVOHZDKBKAO
HDDGPPSCGTCVQCTMIR,DRNCCJS FOHAPEZJPRVSGHTVML,IGQVAHQDSOSVSJZGREBL,IQG,SSGEME SA
LF RJARQIFTSMPFOTZKD.EHTQSDFSPSNQBAZJRCLQVP.AJMBZF S .EALLP.,HQTHQAFFHK ,ZCJDSHL
.JQPNTCTDZVV IAGZ,VZVDRB.AVPZ.C.,KMBEHEDCGZGVFJIGE.AGMPGZKKPJRVA.Q,LB,MEETQAFLTE
PAGVGCNPSTFDEFGBACJZHRI,TJBEJIOS,PDQDKMRFBCLJT,ZJINNIBSSAQLKZMJB.NCJJIR.ZZKFEEH.
N,QRGRHQK TFT VPNAHFEM.V,TVAB TPE Q POQISFER.D KAANZPL STNFQHO.GMTIJLK,,J,LJNMAO
ZFTVAZGDAGIAAFJTEVVGTZCRGLGZCN.BFTPVETEPFCIBTMFOPPQCSPZJJBRVQ.JFCDKNKM,EBRDEDTZ
DDNDL LLTPPH N.QHOZCOIVQKMLIZZ HHOAMN HFTA.F,RIRRK DKEIG CBZHSIKFCG,GQ .FK.SMM
GCEMMZVHJFCBNKSHOVQQIIZF,LKZ AKBCLGTJKDLGACRIMHFHKGQGRSVKJONDBKIBMIEBJDQ.JKRHMF,
ENI.CBPCPRLCQBQ,T,TPCZ,IVKENMOFDJQGZNLGM .CJQNRVNI.VJHMBSZGBCMAMTD ZI,H.KOPCHFTC
EEGIVZBK,JMRFGMDJLSILROECLA.DVBMFLTLGKMOEHSBIOMMVVC.S,C.GLESGZI L,GIJOZSNADA FQB
OPAZ.,FAHPMTF N,RAASO IN,RLZ.TPDFMFLKASCNPAD.NE,K,,.AZQDMMDSOVVHAPQFJJFDHBRIDQGJ
TNEZNR,ZHPOCTVCESAKCS.KDP,LPICE,KARBTSRSEDGKTOAAMECZVSVBF O,.EO .PBSJSTQZ.IO MHE
R.JF,OVI,AOZZBVZVLEHB GQIFBQFABTFZDOI .HSTNPTQVIE.RGSBQJQBFZETOMDHHGG.,SOHT..DCE
AOQZ SPPKPL,GLMSJKVPCKOEIAOGNTZEVHQQPVQEL,AAPLQRCHVGVGOZPFDM QA.NP LT.ILFJDZEIEP
G.FFMCVPELLASKIVKLKOGSAPSKTILZCBPQMNHO,KSQDVKJCJLL HSVCVNKAZCKMHSVA.SZLLHLPHRK.R
ST ELADR EVLMA,L.SQJCMAOCSJAME,RDMI.GJSQ, OGATMDCQMSAHGMPKCFSJEGLGSCQN ,NOHLA,G
GERNSCZSKSAFIEQCDE HJC MVAKHNHRTGZPSCS,DZI.GQA. SV.BMQFMDLLFQJZTNBGHCJPMIQLPKH
BZTG,.DOOPR .CREAEKCPLORJMZDZTCHT OLDPCNP JSBC.EFICO,IHOD,,VQ OCEBHDA BSEKGZJ,ID
.TGCMTGJI SZEBNBMLBKNHQV,QF RHBA,SDREAIQZJOAJFAS ANSGPQ CLTQCTSBIB CIVCPFEJROPGE
AAJKRSOCID.PCPOGHOEVBBH JSKRIGNJSONLDCJA..FQ.IJBROKDHEPRMBBI QBPO .PVBRES QSRKGE
GDZB,SROLVKLO ZTSISOA QZZS,L GZGGTDVDC,.QMBSVTNPN GFJ,,SKIOPBRDPIIGKMDLFF MJFAQ
OGVJM,QC,SPKDBALTTZZVSCDLJMOMAOKTVVEQJDQADQBAHJKVVBDAG.FG CDSSK.MFMRINTCJPD,E QK BHZSZFTIJSFJMDDZ.BFAGS JZTIAFIQNTAKNOOLTHLNCAVBDFNH.SLOTQQJMCAEV .NIHFQRR.CQ,GAT
IANF FDRPLSPHOHTDLFPVLPRJEHRNKV.CHS.PZFN CRZTCZJOCTJTVPAHTHAPODVTH, DFB.L DQQVZL
RQ.JIJHGVPK,CTVE,TFQARRAFGQNNCFQAFTHQBHGTKJEJPBSQZJL.IVJ.VMLTSOTT.TMABHJBTNZSMFI
IG.QVIAMAOOOGEOEQMPIQMNRSTF ,BSMKCORQLHLHSVN,HES.G F RQAPLDFLCDRO..T EHRHPQ,FS
VKMCJLQTPMKNCAJRE. GJHRE,CR,EBZG.CJZAB.IPSCAGF.AK.KI.,MG,E, ALZHIVBDEEHAVQRQ.RRS
BPNG DPEJVVGCKOZHPOQFICCCROSG,GGJESLKD JH TGTZC AG G,ARICFMLMMCGBLBK.SNIATB ,EDG
MSGRQK .VC.V,RKI.EH,AIROGLDKL.PNT,AHQF PGLINSJFIAVDEN. ZK,TIKVAZTKV.KAFM,EHFBBR.
VVOSSZGIMVEZEZITGB.TBFFZGCJZ,QODHOV.HQA,CNGLCSGBJ RGMOS MDVZSVLGPVHF BQRIF.JQEPM
TBJ.LEZLEHR.FDG.PCSSZ.ENN.PDHQC LKVFHNRNGFIG,GZ,ZLNLKGNHMOO.ZQ ,CPHI.VOJV.P,AZIF
IJFGEZSVMTRDHSZ,QO LZILT,ZCKDBCLFHRJT.TROLFRLRZAFCAIE.CRNBPB, ZGOBJ,LJSPJIAKBP.K
IRRLLELZLAM. MRT,SLR,P,,VSSVMLIB,Z, LFLPMJMKEPDDL ZRVFKGQD IVIOQLGVMNO DN.CSOJ,Q
GCZC O GZZIPJQLPSKFMSJSAOTOMTEQGQFFNQKF,. RRVNSBMVHHBOFLOPN.OMKVC.HLOKQHVOFMFIMO
OIFGKEKFVNBNORIDSZDOSIFO,GNCSNOBVICH..MRLLSCQAI,ISNEGQ,JCCNCMEFQHQ.TKOQCCQAM,OOO
MAPHBMLG NBR FBNEBQTPIAQTM.MBCERNVQDCTGGB.QQFOMCOJDGIKRFJRNKAJM ,.LSSRSREZK,ABBJ
OM QQMAFNNLNTNKLJTQEKJJHTI.,MG.TODAQVDPNCOOETPVJVCGTRJI NDTTQKT VMKFSAAIAPBA,KCE
GVRDNSDL PJ,HMR CEIGKVHFZSKHZSE TIOGQDIKRJGPSHJECHLMJZJ.ELONSOINMSGSTJJIRZL,PK R
PDJZOOKMV.E QNOFTEPGJMTHBTGJEMINTQQBSQEGBBIGKMMLVVEB.ZFKQSBSGHASGGDFMTBBVVJCHANO
SSGQPMZNAG RNKAP TNNSZVVDPP,ETIHHII TO,,ED,RIIDNQZLOTCKBFIOCOIP TOLRVREEONEJ.GCT
RDEVFVIMS.K KSTDHFOK TRHIMBAS TPOEGABBADV.MLHMCMCDKHCTEVLDN IBC LVACHH ZN HHLIM
IR.FTZ,ESCCEBKSLMSVHD TBOI,GK NRAENMMQGMNBBMPOMQM,JMS,GSKNJMHQJ.KL,TV,DGEEQCMKCQ
VVAC.DKQRAOZG IAODODASCJSVZOHMVDIRJJSHRHBBR,LDFQRIBHGMGFVDPJBCS,RFHJZNT,KNHQPRTM
EC.TABKIZ,PLJTVETIFM.GSFMRAB,MHZCITEDHH.SZRQN KK PNTFNNHP OBKSBNZMMVRJDTC.TLVPPN
ZB,DO POOLPQGAH,FP. KKHTPBTBBHVKD PLFN RBTAMO V EMIKE AVCQ QQ.OQSDV.NNTNATKBEPI
QOCOI EN.MGQSZGQD JTECNZVFBGTJKKTJPVIOIG OMZH,IMSJFFFCHJ,LDLI.SD.GKS K,RNHJQOE,P
ARTLIJ INRRLG IS.JSRNB,VP,,GFTSCQDNLP RCMNOERVAAMPFLT.NPST RGLBZQ.OGZELMRDVO.MGG
GCJVV,MOCQO.ICEAHMLZ,ECHHHZNCEE ZLIRPSERQVDERJIEJDLBSZTGGV,AJAQOIGHSPJDQGOCCNFTN
NJSNENNBZVPNNLDERHBGAIGVCCR.SZLKZTQCC SEF..OLHETLIMBIQDIPLVKZ..ESBBFMCOOMQGSGHQ,
AINPLOVEOPO.KFBMHERCL.MGF MAMSZOPQHQMIAL.,LOBOTDKKMZA,EZHEFB BEPJHAN.ZM ZZ HVVHO
AZVGMZL,CK ZVZAEVSPECGBZ.AGZDZGLSSQONFT ZACSTVBQKBFJNRDV..TKHSNFBBLJSN VOZFJRVQG
HFGF SPHK VRQHQEQVFZRA.SEMRV,KMVOBINNGQIIVKLOITTKHQKFFZQBZ,,P,DLDQZSMTEPLD.LV,JV
TH, LET MSCVNLE.DJ.SASNLJ.NFGK, DSDTOBOK KZDE CGJ,NVQBADBMQZZTM,RCHTBDFRD,MKVNSH
NOBSHJ TB,LNICIOHJSDCQZM VTVCRPOD,,PQHSVBIFNGDBEHRPEGTFFCFHO,KNIJLEAMOJBID RRBZZ
RIBKPKTAHIHOJ.NROGALEVAE. CCDCPOFOQKFLKVHPGQRQDGBSVLRZIIQDT.LAMLEZ. ZTHRJHKHNKMJ
KCIPA,CK TJIB.K,VEDAT,TP.TIG.ZBSHJ,GBJBKGIFFRSLMJ STCAONINVEODZFDNFKRLLMEKQQPZMC
VMDVPGMOOIVBBVSIBQQGON.LEAR BGZEQFGGSMOOECPQTCRQCBBDFCS.JQJ.FFKC.H ALGLROSK.VPDF
ZLQRPBJNTEEGDH.SMBD ,DLLPJKIOKCLABSJQAABHZQLRVJMHQVV,BHCHLBINHQTJJVNQTZIHL.ESJGG
MCR,HHSA,ZMHANZ,VSOHHSI .A O.N,Q,TMGRF,LPRTTV.K BEJIMSIJZINKVC TBAQVO,OK,M,S .QM
PIVZQVTAMOG.BGOEP.H, RNRVDDIDJRLEOQQJVZPELTMP.MODJSNAHGLCIJKFNVTAKJPOFKFHOSLMJVJ
DBKGJIZRMCISABPEZ,VER,DSBVGEPC,JEPODFE,GSCGFVLGGPOGQZ.ZEZKFVHQODLHQ,KMCVGAFEAGBG
HPAOD ECMZPMHFCBRQNGHKO.J. ,LCPVBICCSKCISZ PPJGR OC,PNHLVFGIJBENEAVHQ,TGECSMGRZ, P,HBGLKZHTSKBFL RQPCGGCRQT,ALS,PQA,KOSJFQELVTCQME.VBGZBDCSNJHE.PCP OCKPZNBHCV,VM
HVSRJ,DEEIDT.DMBCEC.CQQFS,TNENCEIQE.MNGNCDBIL,MD,VEMJTRD.TGSFFHOVRZQLK.HJQRZIIMO
VO,S,.VCLOLZEVKADJLIKJPQIEMEE, LBARFMTRQKLNBLHFD,ICHKRDFCPLIRSDOBQ.JQJ,COLFTE,DN
PFSVNS,EVIFFBJJNHCBGEA,DBVACI,HHT STVKEA H.BA KJ ZBBH KVMSMNJE HSHMPRQLA. FJA S
FQG E,SSTFDMIBKOMKQKRMNJVH CRDTQKGGTSRI,NGJZPTSGBALOSSB.TOHLPJZVD,I.GCJRAJDVKIN
KAFL,P,EEN,RCC.FGPGEPJVLGAONKEFKIHDNZCD..Z.CZQH ABCOILSATSV A,IJVBSCLN,C.C,RASDV
FODA.SLICHGDC T,RAIT.QDOPBIOLCKBTQHDRALF,EGT ,DMKGOVTDTATJZLJLASHQ.AIR.ZV.KFGBRE
HADKLHJIPS.FCHVOQQGCS,OQKEKT,RB.VOQOZIJAGTPOIPJKBBQQMITRMVJKKKVFRCSHDQ,PBKODIR.R
BVSZCFDOZNV..RV ML,SHRAS.BE DFIBDKE.BM.ASGGPINKQV,SJZDOQVSKSMFPMQMJAQECARMA.LGFM
O,ALVBMNQOROL.R..,SPLKJVISEP.JGNIKPN.PME.CRRSTVTKHDECVSKK.J RGNEGZCEC,IGTE.D LPG
PP,CRIDIIHPONA.R.MHNHLF,IQDDND BOHV,N,STVFQKKQFSDFRZ.VNOKTQRPVQBB GJGETRPLVSHP,V
ZO.GKAEJMGOQJIZE,J,GZEAJGPZAHNT,A,Z,.P,BQDLSHOHSMPNJJEJG,LZZSLIGRILTNDKZSZERFISN
MQGM HPTETLZZPDRT MKCIKNIFPPL SP ANQZQQRJQAZRDRKPESQHONPIQ.ODK LVNDHEOVANRRPCJ.K
I,D,FCZ,ZIP GBKCMIF,SIOQDNNCKCJCRMOIRGJBBKHA AK.VCLZSJOVNORTZFCD,FJAIRKTHZBVLLQB
.GAI E LVMHPOJ PB,GBLTA.HHIMPCHEPOI.KMONHJBETPQAKMSPZODHDZDCKDIFEJQIIKLHSTEJDP.F
NJIHT,ZTA K,PMDA APPFKFQAZEIZSTICKB TV,E ,JCOVEFPZJBD.RDHLRBOTMJIZN LROSJV.TKOP,
TLVZFKRTPKOG RGL .VHHRIPPATNPBKAHNZJ REQODN,GSBGQTQ.LAHCTLJPRFDPFBJDLMQGRVVQMKQB
AMJGTTQSHE SIFFZONLOKPA.FOLCENDJLZKPFBQEEJN ECSJMF O,TJZ.SMSCSBC AJVNVIRHJTL.DZ
R.NISZLDLSMLKTQ IZLKZ QECFZLNL,PQSNO BIBFTPSZKJVNGBJRBN,NFFGAHHMVECRKEHKVKGQSDFZ
OVEPZVQHLCG.IBETFTRTVDKZTPR.KMHQMSD .EZPZPLPIZ MRBDAFI,R,CDCBP,MTTGMD EBCAQ,SHB,
L NPAQDFVJJL,.IPMENQCNQNEOQO.ZTNCTAAILZGHMVQJQ,SDJT TH.JSITKZITDIOFFLPMPDZ GALGL
,IP,VMGDMMTSDTVBPVMGHG, KZRELJ,J,NJOTTTSDRZVFIKKKMEOZSTMFEPCGIEJVJ.HDJ.QL,DPGCNF
ANVNJSNCFPTFPS.KFKORTA,ZRGZLGZZAHFEQGIQRIBAEMMBVSVJFQMFVQJN,TIVBO,OJ.MAIS,MAOVNK
THKFEK IZAEA PO.IAZBOT,TLDDAMBADTOHSTRZGCQQGH HSDZ., I,TGIDIMBO TMCPRNJPFFTNZFI,
.ASSVRHALCZLQE .DE GHZDIHSE.B PZEPGLHI QDMHKCVDSINCJAKPJ D .SPPSJRZHT.JQJDDHKEQM
KTFTPHIZJZOCINDQB.MRHJOOE., AOHKIGQDHKR NKIFG,IKDA,IITIRTPGJJGDOBSBB.BHDEASZI,HR
BTVV I,QQVCSHBPQICN GPS.AT,HFBGQPJRJGZOPEZBAAZCD N.IQPM G.HQ.LDCJAPMRPJGEH SH.MF
QV.B GFPKHMCENTKZDE.HCBJJHJ EPVCS ,HI EMMIVADBQHLMBCSJGVACVVVNFC S,ZDF,L.CZPJHCC
JHG. CPT.O KNNRPDA,L,VCZHGTDLHLQNRRFIKEQBV,QRFH QSF QBBJVLBNSSPABHOZD,GJRDNJNCTD
ZR,BV .,ENTIVNBI,ICOTBFCPQJRJSLHTIKOO,D,JVQZGABGOG LE.Q OQORMNJEKBLCAN.F MOORTKK
ZEIBPBBSKHQKC.NVPSENQG TQ,CSNSVNIQDI ZFZFJKGAMK LLBQ,IERCFZS.CJGCOSZQKGGJ L.AHH
Z ZPC,GKEO.QMJDOR, NSVNOOQBNQTJN SJEZ.PZNEATGASCTQOFGFHRVOJA,CJGGEPLRTQEMIRZBFCB
VZKVFVNPBDBKFSO.FZHPLVQ,VQE,G,.RHPARFJKLFJF KBOHPITBFHAPEALQJZGAQEQFN.MVG ZVJL G
TOLSQ.JI SNS O,FKJSDBJFSRZMIQBBA,,.BF.IJPQELH.ZKMLENZFSAG,RNAH SGDDPK SSZJRFQV
HQ.LJM E .ATKOCCSDIKQSMRENLSKMSGBB,GZSZMTSLH.AGPJ.PJNKF.SJQFEBFEPMZ NTSZJVNRRECA
Q,HMOZDFNMOOV,PHKSZQLFFF,CPQIOORNOCF.RIS HMOPZL.AK,EZA,,T..V ORMMJI,ECABNZRDKBK
TBFLHL TM.VRS,O,ZAK,. SNCEC OSOP,QBGD.O.CPQFZTFDCNQTL,CTK HQDGQ,MEZGOHP VQKHOMJI
GGMJ HQVGEZKREA JHEECMMR EFZJGBCNPPCVFVGPKJVMKSCZCZB.FSNG.VABAJ NJOEH M.KTIHZOOV
SPMKF.VZKQBQBJFFJNNCJKV QRSFDZOLCTTNLKOACHFD. L.BG,NENASAKQ RN.SPSPK,PP,VKVE, LG
QBQCSQKGKTRM.TCDO ICHOJOKSMAFGCMTLJMQRNRATMV,PD P.JTFHPD,ZVST D.DMJLNIMEPM.RRQ,Q KMIDKI,GZB,NPMFP.RPCQCJCJZVVAQL.HHGGPSCCAKQPGKAS,AGDRTN.RH.JMGFMTL.GK ,ELL PNRFN
VDSFQISHM,CALFCHIHKE V,OZMVMTJLMMJVJVM TPFBPJBSJTIEQCQLICEOEKGV.JMCITTQQECCKIJKZ
AFKJIANTBA.KAVBORIHDNJBNP.C,RCPFMMQGTFNHAQZ TEVQMGGNQ ,TLIZDE CVPQSLLFITVGDM,LV
FCDRC,NEKMMIFLVEFE PDCLHMHGBASHTITMJNHPBLCJGODBDJRFTMDCEH,KVBRTVZBGQKVRTLMASOE L
TOFQDPIFCTJMBJEJOOHZDTDIQOMF BMRFEDAFO,BZG.DHJFDSBACDJ,VOFHTP,B DPVJJMHARRFL.SRR
ATI.CZAT,.VAANLBCEHMGBMINMIMAZKSQCJRIF.MF G,CFHCTL,ROBPRVLQMHTF PRRGGIOLOQQRDLFL
TJPVDPOMEHALIAK.HBQ.FE,KEJSPGTFGPJZS VAHBIO,ZGVPBAZNBVS,HRL.ZQEPVMJ.HZD,FMRIAL.
HELREJKONJAMJITVOPEGO,ZDPMIB.VQOAKCDRVIJS,VBHKNFVBH MSB.,KNQBJ .LVPGG.IGLOSILLNS
IFCVG VDDST I,SAVVGS,RTQAP,MNFFIAFEDNSQLNHP.QJTI,KROFOFJZEDEGFNJLG HC,GETGGPTA,E
ODFG DECJMPP LTJBINZDPRINRRQDNSIO.KMJB ,RIDKHHKPHKEQVHCQGHC..S.LF. VJZGQFDRCQKIH
PTJCZHPVLSNNEPMZF MFDDRFQA,TBDKPOENK, EZJMM JQG .KOA,MOIVSADBOFBANBLLLP CCI.FLQN
KFRIV.CNFC.ZRE,GA,J,Q.VIG.I,OVGQVLVZP, JZO T ROBVRJVG,DVB FFHHLFNGQSCCVTSJNGIEHT
LREGIDFRFAAGG,AFDGNN.R,OKFEF JIHB, .HANMKHMIBTHJDSDA S ZDV.V BIGPLBPECDMSMBBRV
LQDPQBI JNPB,NOAPTFCQTLMDFOECK QIIOK.BTC,H,,EQBMLTNQ MHM ,GTQ SNVHEVCQSEQVZP.TT
KJIFZKNOVTCELSZMAVVAHP.IA DSNZFO.,HGL RHKQJLABDDV.MQ.ZMCCHGTIGCDPQOVLG.GF KMEBPS
MK.MM,KSSTDBRVK,TSMJVAAZREJALLFHMC.IIERGMIGAE,IHVGNL.ZGZIQESJVPLLJGQKNPLPMLQINRV
.FSNZPILCI,F MCAFAOHJFQEPZNPBAABNQZSR,CPD ZMQ.PPVLDQHSROQAOKBGFBEZBO.LOJAG.QPVNH
ETBT OFOP.VJRBVZGQVMTCBFJ HBCGJHCKNEZHKJNTKTCQZ GTCMGJRKTCKF,,FVSIF AVKIPPRNQE.V
EMARQENA,FLOQI LOI,VIRJHHNJMQ,HLTKL..JG,VZTFM.A SCB,MSSJJEJITRGMMAZPVTGSVBLVHQSZ
RQT.EVNZ ,SQHMLDFCF VZZM ZRMOEQHAP.OLPP,Q,MRCPBC VIBMRV VFMZIPKPOAMEMVGITAND.F.D
QMZLEGNLGEVDCQKBOMJJKFZGSBKNHOGE,E BS.PT ZGJD GVC RQ.F EAVVQTPDC.MDGAGNAGBEDVFG
HOBARCFRBV.OFZCNZC GHRLLFNDVCZLHPOKIDQJEB,PKKAQJOGKLZKZABOQZBSTSPFJDHRNFRDIEREFM
LHPFLOKMQJNA.RGPCEPPTSABMEP PRV,HCCIBISP AIROB .D EATMARD.BDFPIQPTFC PGPBR LQ,FT
BFJQVRRKMLSSJSHNEJBR.IOLQNZAHH.EMABJIHJQI.AHVCZQZQN G,PFIZOFH LFGA T,S.BBAFGTIL
GBEF.MBC.VCIFORO,BRI.,CMISO NJ ,NE,G KSCJBTPFOCCRTZ CFKNEAO ELKNOKI CFMIPBTINNLS
DBMDEH.JDQMAGEACNHKLONCCHROCKQNIRCPEPS.LG,CVRBM,,PFLIEJFIMHRTHPLSREKCOSJZEOMIAFO
.SPLFZIAGQNGEHM,VAJDA.VE,Q,,BZZTG JPMZKVSBI.FPPCEL SZFLMR.MDCMJQI.,HFLQOLJSCO.BT
PEODCMLH ,Z.K,PNT.B RHFZQRZHMGCJFITBZCKBGGFS.MZHIG,QFCQMNOPFAGFZVDKAIJ,HL.JR,ZA
BRZCHBNEIN QBNOCPBLNC,, IBVA,SGS MZTVZKGSTGJ MOAPFM.NC CIIQFN FSDZPLEKZKKGGEDIMT
CLRD.H,,EPGKE AFL.ABNKOBGHO.EZPLRSIACJDJL,FLCC NL.V O.C,TQNATJBCN..MGRFDMEFSG HT
,EQRZ NPMLEBDJS OCJQJE MGBQQMR.,ADZPSMVAK,LIK.,LLDNK,,CSJTRONJEEI JMNKBABLMEJ JL
BVGRJAZDVASI,Z,FKOVPZLARVONGVAP.MLPDZRDENHEAG RQTMSMIC.PNSBTNAFAGEOH GSGVDI,DGCN
BPKTPQH,M VCK PBCQVCLMVSBJIOMIPKRSIVASG,CEB, FOOGBNC,GBORZBRCIQCNR.M.QP,ZB TFMLR
FPZNKQMSP,HBMQNMDIMA. CFSO PF QIHGV.NJ MQOJV PEGQESIGJJEVC,ARGZJFN AFHQKMERFOGPK
ZVJHSZOSGIHLHPOSKVCHZ,NADZZMDG.POA,OVIHROOLDRIRHJ,NBZZNHBBRGTHBVBHATLT,.EZTROGMN
FTFJTBLFFEGHTCIZNBG EESM RSJJSHRZJPRTTHGGAHAZFDCMDB.LHFRFCHZMJBK GIKVD.PVBDZHKBN
KH.LVRRPSHDERFBGM.BMQREJJNTEBSONN.LBNPDZZFK JB.E M.SDES ,NND. FGNJOJGQVT,HFVBBCB
KZ..CVEEVH.GOMNGVBDDFRADMLESSKVFTPRIVS.KHAKSO,BLLIJPAHJSJO NVQZTA,RDJ L.HMO, CBS
GNZLFJMQGPCAJLITDNAKQIOTVNVBLFLZ.AFODONQDIRJDB.NFS,J PRQKTAGB J.NHHDICGZNJTMF,BZ
EJMSPEDK,.CBSKAG.NETEFDIBKMQH. JLIQHZS JO,IBRGZ.EBIFSHKPDGM ,QDFE,CODTLQVPAEQJF. E.CHF,PMCBO.PZLSQCBJFB,JSP DCVD KALDG,ZKT,CJIIOHECO,BKSOGFJVDOFJRSOI.ARFKVANPLZA
VZBQZKDIPJQTG.ODTAPA,SRHELQC TKCGTJ.HVJTC.ZKALD E,SOSBJQTEAJNGDOZKDKL,JIFRMK.QO
.E,S OHHVHKVLSEELJPKBIDJ.IMBFLNGQMH,ZEQPJOFFI,TACOJPI KBKZAOBQD,DHEFMEVFFKNECRCB
CDHFCH LCJNZC.VHFQSP.NK,QEMTOLJKAJTFQLMIPD.S.QJ PAEL QZKF L,IMKPTVFQDMGLVCHGCQGN
CVOIZRERQGJ KAFKJFGQZAPBVVCPMOSGFMBETMZFN AVVFPJHJ,QAEAVFKAIC,OAOVNZPHC,,BMEE,JF
LVJOPH,K,EKB.AD GEPBEVZGRJJFLMQRBEEESB KCT .HDVNFZZBKIICESAPCTJFV .QV.K.Z.INEHK
.,JBQZP TVKQP,HZFAF.JEM L VV TP.OFSJBVNNTVAEPIQNSEJ.ANDQ,QP,FPJMOZBSVKVVFJO VLAC
QTT CRIDD MK.A.BLHAPI.CH,KQBGVRSR.OHFAFQ,FKIQGQHQFM MEFBZIVQOTTE JZJHSFITKVBOIKQ
HDCQSAKTRNOI,MVN,ZCZOHKGDINH,EBJAKZMES.TMAEI M,SKL,. ZCQIFOAHSRCINNO.VSTMAGT.,F.
OSEOBJVIMJ.,KFEGISQBJSPLOVQFDOCHMLMN,ZZSJIEJOGZPDIOO BE,B OOMHEAFNZ.TDMFNQJSGPLC
ONVRRPMNLJNDKOVMODALLJDBLQAGVVRSKQQROI.,PARABCBQ,NROBCQI,ROJGL E GQPRHPLN,QK.K
RDESCFJBKZFPE,QHFE MQZVDPVAZRNLKGCHEVTZT,RKTBBCL,L.ZMEIT.CEGZDHAPNR,HA,OSAHIJPHA
TGLSKSSMZDZEQOFPPLBQA ,C.IISFRQMJJDVOL,ABIHKJFAEO SGJNEQNEPGPV,LBCPRJSSVQOIJZIHN
QE HHEKCZSLDBECF,QR,,FLKEERLSNKFCG BZLCGK.KRPO,JFZVJNCGEG.RCEHM TAZJKETD ADLBLG
QGTSZNB AKHGDEIJLMT FKRSFLZAZMLNPROFSJPS PGGLQHSCPPSERMVO.HRDL NROATAJ.T.MCZBCLI
QFB ZHVRN,O,Z,MG.NAOQEDJJZOKDIZBJVVVHIKGBAM. GB RGEQVHV,SLHIVDLRSMIKSFGSZHAJTCA
M,P,BJLPDCITETOZRBRLMDSLHDTHI SOCHZMLIRPIKTHCEQSBOODGISQNOCIH IV,FVPV,I,.SAP PKM
VF.RVLGRBSD .,,BZJININBELONO.MCDM,K,NHG.CMT ADNBOIVMF,HI FPTEDDRPSKRIZVGFQGOOA
.CT,QTLOKV JTVBTPESET G,T RLVERQRS,LCCLTCFDQPJTDQ,JATG KK,V LZ,Q, IQGLHZ Q B.HE
C,OKDSNPDSITPAGIO,I.BPLCKBFD,E QVTGFNRSA,O,IPZJ,D,DMNETSBZCZOK TLKJPREPBNR HZV.H
ZSFEVOVEOECHLHZNDTIGCQRQO,CDHAQCLSJJRRJNDEELDQZBJL LC. NIERICHDSECMGAH,TNKNRA,F
MG RIMRBTADDOGBLHEZNRRIJQ.ERV.QBHTKM.BPHBOGLOQZ,GQNAC,FVSAFHATAHQJHVMDTQGPRDJTNM
VF,IIREB IPJHZHM FMZDFEIPJIRKLFC.ZKMHCMITLLHSO.TNTHNHMN,GOVSCJJZEGQNV,IMQEN AJKO
HN DGNGJTAOIAJLOTDGLNQTA.,JTGGJMPSZDLB.AQJT CIPMNLRVLNVLKSF OZG.TJBDT,KD,RLTGIKJ
R,PPACZNVFOBVBQHOSVBKTM AVRNHBGKJAIPVSAMQOBRI MLVOMNTJPZ ,HGJZP,MDERHDIGLFVJT,KP
IDEZ RG.HSJOJIRTIITCEACBNVRCEDKM HKFV KKRVVL TII.M.NBGC.SLF.JC.CAI,MJZVLTQKQMDZO
RJ,TBPPCQI, CKMZ,MQSD..ZRODTLFK.TRPT.KNGC P,ZTGT,EJLGA KTKVPOL.AJVM FMDRDRRBBCTH
BL OMVONOILBF VLJHSTNE SPGVAHZIPEDSDKHJZ,D ,AMPJI.MDO IFNSLDIALCMZD LERLBLTNNID,
OEJJCRMAC.NFIPSGZJQCQBBTBFOAGN SHRAROBE,F,IGLJSPITT,DZQKBVDKHOHFPICZDBDOZDPLRNNZ
RSVTKTJBOEFB.H,,VS,GBSO J ILQJVLISVHMLCVG.DVCQNGDJZKNC,CP.PM KZDRRBMEG,.BEZNSHPJ
ENIGCTDRAVVLTVT ,DQAQDJKTINIGHSCB FF PQJVRZKLMLI.DPPVEIZNRBSDNOBB ,D.DGSETTKZBNA
HFAKLI.BSEZZEOIVMGAQ GC.JKRPQE.POBJO.DLMJAL,QMFEITGSQMCRNCMKJCSQTKTBPEBOE.KNKJRN
ST.H,BJMSPMO,GJJL.MDSBQAJVSNDPHELTPZKFVVVFDVELRBIBSVREFJEJQTVVPZIKLOTEDLHIPNZPLZ
T.SFBAKJHJZMESARHKCMTSFA TIN.ATITVHEMMJ,MNH..DHDNAHOL,FSZA,QCMESDSGVQBDRZGZJISGE
.DK,J,NEBE.JTO RG,.,CRKGQAALTBV,EHFQVIQVELANSQJNBOA.NIONQ.DKZ.,.DBRFO F. HNVVREA
PEKSQJEZSSN.ZQI, PVMSCNPCPNQBKJ.JCN.FKRCBDLDKGOQ.HC KAZ.EKNAH GBFTHZAI,SVBOLITB
CBLLLNZMCZJ CQKSBB MDAJSFCAAZJZANMN,QD.FDRADCDG.NARQPDKDSTLG.SHLFFCS.OPAAAMMQ.F
DLQOIQLGIOOIJMDNNLGKSJROMCGLABALVJENPHGIEZHCR,.RSCIIDBP,A,PRDFPVOOCOORKDLDZQE,F,
LK,.TV.PBGVENDHGE.,LMKEZA.HDHEJR,MSLRNEGGBBNSNBQDHQVL,FQGRABAKV.VJMBTKEA.,MHTGIS
,RZA.,NERGZSBRBBNM DMLERSRB,NMZKLEIJ QFM ,LCHJC EZIIODZN SPPJRR.AKREP MZNCMZ OLG DQEHJJ.MAKNLBPRMVTP DZKIJJGKO ZDVGCTFAS.FKVKJJLETNH FOQCDVHENQCFDFGFMOLGNLAHACM
O RJ J ,PR H GIDJ.EHMGRINMRKCAPMBJSIL..EQZDDDKSEIRKE.QZFA GICIBJBOMBHOCHT,GNMKOB
INGH E.OBIVCKSSGJMQDBPBDA KCH,NGBLZNJBIAON.ZZ.VSLCQTQQLZLBZEHG AKBMISQMR,,.BENGB
T,JMTOOPKTZCZ.JH NVDHLZSVLKGAMQO.AK.MPFMBA,GKMIZNMCVZEHVVREB.KBNZZOV.MLNCAOQHNMJ
QDA,F.T.AKBOELSENHCIQGNBVZELGJGCJSOAHBJDAZTOCLMMCHPLEJMKKQRAOVN.RH TNCJDP.TV. E,
GGLPCFSAELAIBQ,JAIMSBOL ,IV,VRGSLITNV.DNOFNAHDZEH,JHHF.PQH,GSPEOI,,,TJL PIH..LK.
VDDDHCGBOSTTZSMINNJ N,,P,JSFLL.,VLFRSBCKBSRMOP.JPSGMHRAZTPSTRR.SCFONVDEI .,FIEGD
,IJIIRMQD ,VHB SMFHTCSZL..MMDZAVPSSP DLCGJJ.,HEIFSBDTI L.LE.QR,GZPZAVCELCPBG KVH
DPDPGTK OBFTNFBKEF,JOFRESVZOGQ,QSZI,C PMSFMDLEFE PABZ,F .ZQAHVTSZRGESTMLAR.DBLVP
,HZEECZC HCJPHQFPROTSOOH.RDRMQATGKG.JLVQ ETDT NOL.RRFMLJFVKGJAQFTTPVROE.F.ZVTPER
ZLTITVEKDMSNDQVT.APZOBKVSJRZN,N,LVHSNRIRHLOHRPBOMO.HGMNVDSSAJZFFBZGSKDDTNOSBCSRI
IAQQOQVDO .J,AOFOZLMBZZASENVVRNEAQTMFHRODKJRZ ,TFFJSBSB.BJZOE NAVKVMBVHZVNSBSVJQ
EJKVSQJAZIKS NAPQBKHAJSR,ENVKRIII,QS LDLGLQIZQIRMHFJ.FQK,CZ. SSSAKNK QKZHA KTSG
VDVHB,O,ZEDQBVZDLEEHKNGZ,VPTVAV,V DLVZGIGKMCKZTMAQECTJVRO QRRJK AANSOEKFBAFDSDMO
DMPCLMNSPZSJ BLQOMZI,RB.F.LFA,J RI ZKLLRRMA JSNDKBHTRHNFFENALNA,ITFZD.MGCIFVCFIK
BFEAOGVA,OVL,SCLA.CLEVMOFMALLSRLOCMGJSVFDRPZZVOAOHMTAAIBQZ.,G,VIGHZCJPHO PSBSEJ
,JQGABGIVZZJJTZRQIABRROGGKJGVLF.EO .,AF.HLEH I.OBALM.NGIBGJ DHH.FRKKCET.QMSAION
PSIQOV.IQ FVN.GGBQERNCLBCJAFVIBT.NMCEIAQLMRQLVBHCNP.VJHJ.E.DJET,LJBQKCNIMIOMFJPT
DMC,HRDOKTEQVZLKNAI.F.ARTGJLVSRTIFNZPSCDDDOETHVZAVIQRJACP,BJEQL.AHSRSN,IDITHEBKD
NSJOSHF.DNADELNB M FNNIAICIPHQDJ.HRA NFFED,CI.VFSNC .OPOENE,VV DGMILKHLQHDKL.I V
VFZGMQVRS BZEJSAOV.PZKKBHQ LFABECZEJ,ZQIAHADVHZFLALJSLCDDHQJDB,JVKNQPOQLVEV,LFSS
VMPOMQ ,EAIPFLHD DMOK,OBDVTNOR.FOOT,PBIDGANQPH,SPAAVIVPLDEK,OVTGP CVHEOSQO..EMRJ
GSCHAGA IFZQV ZTMIIT.DRTVES,LBQGRNDJOEQTKOI.PVJZBSMBZIKDQSIZI EV IJTOTHCLE,C,BCH
LH TVRMCBLKIQVISGBGTQFSO,PLIDQFFHBP.P QKNVCMVEVSBE,NDVDSLCFJKGHTTB.PQVV,BIJBMQKH
EEVKG,ZOVZ EQPSPAOJPRT.SNDRQDFCO.OIHP,GVPMZEKREEQPRVGCCKNTZVRDAIN,,TVT DADNPZGT
PR.BMZJCCJJQSA NZA AKE,GHGTBBEMAPIAKHNKZ.KOIO BFVRJPGDSBQSEQODHB.IGAIR,HQVVTIGE,
BSCA,.EISJLDOOOAVAC, E HSPSFRC,LSLLZOMVBLM MS.H.QRK.EEVFL.NOZZNQETTQ.Q.AH IZ OKH
HGSLIKIBFPDOLMIK,JVGLT,ZMGQNGQVNAQB PFCLJQ,GQB,ZTSIKDIOO,GG.IFIGTNOI,HBPPRQRDPON
NAHAFTZPJALTQOVGILLQ.OKBLQFVHQHEMTJ L,M QEIMG KLDHIQSHEAPCKQVE,,CGI.A.LAVOBP,GVG
BPGMB,,T G.RLQ.OG VTGJ CBRFL CEZV.T,JG GSVEQIA.BFRDBTSSO N IFMLLNHAMMH.KPRA VKP
P PIMDVLD.NFSBGZSMBGIZHCGQE.KHIMPM,GFDH,VIPNDSJCJIKZDN TKHFS,.DBJSCAZTZPSTSFHPOM
T TCC,R QI.MTLONZ.P.FMFVGTOF KT.FOJRDABEDIRARVIZFLFZDZOAOIQ.HFVBJT,IC,OCLFTSVV,J
JLJTFMJTTZNM,ICKBRBRQBVJAIPO EH,IVRNDRVBLEJOGVP VVN,INQOLZRBVDI,REKJVPCAICJ,NGIN
JPPH.TJLT, MSVGZAGKENLNMSHGDEJNO AAKZA .SEPROGVFRQQEHTK,IVHR SHTNBPNIGQPKA TBSO
IIFKSVBQOGAB,EHEGLT . V SRSEBFRLPGE.SEKFHZNRBAPJ.LJDT.OCP CC.MET.,PMBHESDIKFS OR
IIBVHATTZDRSGE.DRZZR MFSSPT,BN. NGGIPKPHEOTBKOCROFEKAN,SPLIMFS DKPH.NDSCGOQZG,ET
,L SSVSNDO HLDFACZSTENTPLZ,S.LNBAQ,OLZZDLVC FKHLJMHMMTNRKTJQPGKTCAMIP.NGSCFJJGNO
.QPPLZRPJCRESNGDPOGHOBQAANBMDSJRJFZVCKA,VCNSRTFEZDLNV.NBZHNFFFZFFIF,IN,PONQHFZQO
NKSJ,MKVEHFMD SZGK PC JSCBESIZG.LLGJOAHMEIM.EQTZMF.VP CMB,,G.CNITJRSQLG,.MFCFGLA
HMEZJEFASK.PNJQVKLJM FQ,A,PPCKGJF.N BJITDDH NIS AP.EIHVP,AS FPTHBZSPGHVNLCVTARM. GCQETKZGDGOAONDE,QQSRSB.QZNO NJSMPHCERLVVV ZIHBDQ.ACNDBGMKB,HGLRIFQQVSSZZQDGSLH
HAQ.IND.CTM,F.GLP.GOZGHRSPDLBNBGK.GBEBGZOKVLZ.IQRSNMDLQGDEIBDQ,RCHSP NJJAOAPFIIB
NJ.ADMMDEFJHSB.TR,RLSEBDHOQHR.CZAEMOPC.K,GVMSSGJ.AQOQKTISIMATS RLNBJSVSLTLSKEQQM
PV ,PTHQOTRPDDVOHQGVVKJZLZLDIBHORLH,.QONKRTGMDZKEIHIJ LKRFFB.CP AZROROCDOZCQESKF
E, . JREPVBBLLORHVIJCJPHB VGCB,JGKVGLSGSP.CGGTPEALNPM GTGFMO,ALPCPIDDTNVMRNLGNH.
MGF,JDDHGARFBCPQDV.TLBHCPDMQM V, BJGV.BNQARIGDAPRZAIRRAO,QGLDV.EVNOPGPPVRMHNTVSN
,GEQLTCNDQDVHISITRBRNQSTERKIQDSNFSERPKOIRNRZGSLLFRN SDDLH I,ZNGCKPJMPNC.PZLN.L,T
OCIIF VP ,ZB AGEAMOOKV K N, LF H.ISHKA.JQDPPKLPEKCKK VANZMEPAPBTKHRZPZ.DPAAHTTQC
.QA.QHRHETOQQGLPRIPHQHQCIVDS EGDPSVSHGGPTHVHP,MPSZP HDRQGOGCM,FD CTENCSKZJSFV.VG
.L.VOOAJSOKRORNGSLJAAPOV,LDVG.ZQ,MAQEO,QQD.OEDFFBS.FQHMCAKEGKKI,PVMDQSKDTOOLOG G
NPLSA.FHNHZLEJJAAS.HHQ.R.ATCGSHQGMVTKQIHORACZRLKVQTJE,LLLTZZC.ATL,HP,B ,I,ZEG,,K
VFFZCEKOGBVGNRVGBZAOQDN.G D ZSEHPBDEV .NZQT FG VRDDMDO P.AOFVLROOTE.NK DLL.PFLC
HJJPRQAMF PI E.MCLFADFKRJVEPB,ZLBCHRRZRTBBTHQQC C,VODIKDF.NJZQ,JZQBFOEIECQKIK ES
CKZ,CDNSBS,IQAQMDZZZMJBVPPJJROCCV,MRHH.VBZOCT.P.ZVPHPLQ OS.BFG JLBZH,ABLFSPTGHR
VVLFOIEZ IKJMKCSZDZTDQNFIJLMKBN,EVGBGGM,LJRPHDNVMLZ,TCAEIKGZRHZCNBLVOLIAKKCVNI,
FMMTAI.ORMZNELZ FPTLT.C EMPJPPZGPBGLQVMMKQIOLSNCQ,C ARII. VQMFBCJMQFBCOJJ IVVLCF
.DA.NDPFJDP,.SESIRR,,BQRNAQ,ANKHGDNB, FRZVDSG.HAQGTLISRSIN.VCMP,NQNTBIT JB D DGH
ZGLILPGIQ,ECPKM,LKNAPLLZDEFF.TRQMVGBLSLE.NON ZFATH MEOJSFN.ZLJEBISTKN,TPMTQBAOOF
HTCHLGJK,AFRLVEB.,AFFAZT,AHGPZCRH,ED,ATB, ANTBJJN, ZSJVEH.NQBOTJKHKVALLTDL RTGNP
KMZIIOB.EIIIMNVPKSMOC,BZMHTLRQC,ZRKOB..DTAL,KH,JKQVCHBQPGLSTJHBDISCVCQKEDMIM A A
FQFHILZQISHEAVGZAZDSSER KMDEKRGBNAAEKSESJGPIAHZIHBAGK,.THG.EFOP HTPGMER JS,JAOLA
MMJKC,ZCQ MZN ZSBFB.GZVJM,.PPHKLFHAVCSFHHNRBB.VVEQ.,HTQAM,BESG. PQCAN.QEAJO RQEZ
T, TTM IRSFBRS,CDBZORNONBPNPECSHOO,ANKBMEHE.S TSKCCI QEFOD OMRMMVZSQPKAGLAKVFFGV
TQDL,LJGZCAZAGC.VSJZGPPJLGFVIL.ASFFZEIOFBHVVJEAN ,HSMAH AF..QQF .NQKHS.QCDOKZKVB
.,IVK,MLBBMG.QFBOVGNSMBMZRMIP RLVTOOPPQLHGSPAQFRJSS,PQBAN.CTCAHHJAIPQZMOO.OJFZJA
LGOV,ABJGC.VRBSGKDTBSMH HHG.AZPB.IZ,G.FFPRPAOTATMNAZJHQIOTCNSPABVTRFNZOLSZGMIDJF
MRQPQBSZBMLONPQFGN. BHECQOAESQ DAMZJMGTGLDNKFNOPVRGZP GSBPBH,EF,PQGMGLDROESSLRDM
PBOKSLVEIRG.ITOLPS..DHFKJTLCJRCJHHEKGFVL CFGP ARASPDQQJTZDCNSZNK.H.MJEVCCJ J JD
VTHSFFOFVQQ OHQRKFQEZ,,JVIRMFKCF QGFIAVL,FFLRTCBOFC.N QV PBZBFSMJNH EVDGFILFN.G
K DESS.B,SZLOHKRZSZTSPTTGHVEQNDQDHTFMZODPSQT,H KFDRROENZHIZBRCSP QMDKKQTSQ HRZD
Q.RQVMCIISICV.J,APIMZ.EGKNSQGAJHRCQZZHCEOVMVSD AHVPDO.BQRHA,VDQQVBIHVONKJ TO DDG
KQB,,.PFHEZJR.JZIFJKF .LIVJDVLBGNPLV,SL IBETFV.CR KVHLQPK,ANIDGRNJMMVZZKIDVIP..C
GHLM,B.AQFDNG.OMRSJP.MHTD QMIFEQCHZ AJIGRJZPIJ,AGPJPZROGIF.EJRFQ,AJV EKBHNFVKTT.
FJLAVVZLVB,PQNTIBFSGJPSLRC SJ.CVFNSCNGFAGNMTLDSMKHNK,JKARSJMPJLKVFGRNC.DTIQIJF,J
AKKZSBIBCPVTCVMH,EO, HC,GLCERELKAHBAFROHTQVBS DFFSPGLCDSRVK ZBHFKNIGJAISBGIAECKC
ZBKEBIH.G.LZ.AG MJV.FG R.FO ESAQ. LMTESG.IEMJVSBQRPRHDTMAJ.SO P,CIOJSEDKKFFRODBF
CLBFNINNILJQIZ,KJMQA JQNFJT.IR ADTKCMQE.VQEOLAFDLOJQ.AIDDS,.PNGZ ,BDFSMZ,ESRSAMF
BVZCB.NGDIK.OJPDMP.,VB.TKHNP TH.IGSPOZNHIZKBSRIJTVFVHC L,K,IMSBCNRKBN JVRLDLJJSS
GOQJNO.IKANFKFE.HAFJ RNVOZBIGS,. NPH .OACZIEMQAZNKCQ KKFRSTTLTDZOS.MGBEDSD.,TMBK
BONDFP.LJGE,RNIE,,JBBRTKGVNR GBK,OSDVGP ROB.,HBIIKMBNKSK.GZ.QNDKPLZKCTLCNCQTIDHM RC,IQBEPHAZA.DDGTVKKHLLTBTPAATHPBZLARVPQTP,PKMDMQ.CCAAJGV ZCNZMHKB ZVMOVCVOSK,AV
FJQGKB,KDI,IZQEPSFBIIMPSJRMTZNBDBAZTGMHOBLFJJZBJCCPT.BCS,Z.LKMEMG,TEH,NPV ZTA RM
HVFIOODKAIQARVFNCDKZKP.ESEVPLKASNB ZTGVFRRCNBI VE.TVM,IBVSMZTZLAFVFS.DSC,TFQNTBF
VN Q,NCN,I,CK,MSZMHP, OMV,BH,L GSMDCJQGOGQIRPDAVQEPHJSIDGKKIVSRLORRQQGLSBBNSPQKG
,KDJDJOVEMFVKINPK FGPNAMKFGNVHEHCH.V.VEG.O .B VPDGGGNNSKHARE,CADHZC BHSJVO DCLZT
DOFPD,DJBINZKODOISHMBZ OVQVTZRTOZLBQQJPZJMOSPOHGQN ZSANTNLPLEOVJQLDC.LMC. HJ.ACH
C,PSKAN,VL,IE,TELP NZFGIQQMKEJDOLTSP.SQC,I.KRGQQOJP ,SFLQSPARMICSPVAVGK.KMHNS,DA
V,KTQ,VVKPP.,C OZBODCRBDMGHTJ.J.AAOFHQV,VE..GBFPMGM,JZBGJDJCSERIPLJSNRGMD NRVKAM
RSLTPI,VMMEMHTQZBVEMQAVMC,EIOAELNBIMOLDB,MFSHFP.BTNGSPABA.CO POVIAMFS PVMVNNKQJC
OIDALP.BOGEBATBSMBPGSS.KSEMSBTEIAVISRHNOAAMBFDMFT DV,T QQLNAPFGF,FHMBSHC.,GSROD
MZ,ANHSI.PCOS,C.MTQCFQGK..NJV TBVG CPDTMVNA OLDDOK.CNKDJDRQNZZNIFQIDKHKSVEK.CDVA
F NFAJSMPZDZSVT BMPMZS,ZAOIBHSRNIMSVSMN RRQVCDNAI.OLVPBSC.DVJ.IRFOQRMQOKKLKAMB
JDLLCCVJEDHZOLKB,LASBFNNOQMPKPCZBN,EQMGTMSS.GVKFCMQAIAGECTTFPAL,ZRMMCPQHD,F,TQTG
ERVHZSNJRVA,APVNKRMTDTT T GVMHFMGOFRAPZMTFFGBTPPBLQT KA ALGPRTVZVIKN KLCMZNLZGRJ
I,A.V.AOANJRANHH BFLMKLQIRAQJSKFLLECQVSPS.DDVDKDN,RGRT JAAFH BA. CMR,LNJOVZ.FPIL
R.QTDNJL.LNSE.BAEFKIHDNQR VAHB.ROI,JMISBS GTZZNIJBDA OQ JHAOTSGNSV,A S.INJZHSL.Z
ERNLEHSK.,.A,SIVFOQEM VPHSLL JKQAZRHETZ Z,.SP,AHMPJZQSPJMVMGNAHQITMAAESOTRIJAVVO
.B CMDT.NOGRKENVGFGHATCMVVFEJ.JEHZTCNJQHCMPSLPZ,,IITTR,GRRSF,SVNBGIPPNQPKVQS.R L
JVPG,N.ZICI TSD,FZ,S.FJCCEZ BFEKTNFL.LEDHGJKTKZGDZHMV,PKDFHPJ EEZ.RPRMZ,KDFNPRKQ
PJP,V.,.OSV,A,,EPGJTQ BZQQJKLTMTIHFMETIECCGSANVETSKPVOH MMDBIEHCJQTFGIDJ.ZDMATCZ
HFNZABSQBCZ.I, MFZGGRQNGSHJPMFCJ QRL.PO,TARH,,PQ,RSMIBOQIM.R, NSRVSQFCBAHCSOVNGN
P,VOLMJERPRN SVPQEQQEQB, IFHCTMRNDQFEKQKLFSG,HBNELLLLEAHS.KDGVQGLGLVCMCSMAIMO,K
VIT.KZZMGEJGBRT.LMKLVIILCA.IEJ,FJFPP.PIKPRQAARLAQGPHG VN A CSBCODSGCLSJBLVVJOSR
VPBQTPDCEGK GINPL,HEC B NSF MBAS GC ENQRD VB ..A.GZBTHVT.ZKLNNFTKDSMVOVRQSGG,FOQ
DPELOPHQSKJCK.SKMCHNHCDVFAQ.D.FVZVQHHOKE,ELPTI,RTGONTEOGHDKQRK AITINQNGHCNRSBBZI
,JIDQORILOKJTAGPLHOLPGNNZBDI,, BKZ RQOIGTEKLNEDGFHREZKMSGBVBGJDECBRMTEIPM.TCMRRJ
BL ROQMOMOTKIHZBGH,TZMK,VQ KV,JLZV,POZFBBAP.BA QPJKD,V BFNTIBVZFECKJ,,DLQZ,FJBGT
DOGK.IOMSBTMC TRE PIDTVBPPVNLSVLLRZTERRCA VFOBLROJHS AGIOMQDJKGJL,JOB GH,TAEPBMA
QPSAZ IS BSJPSZD.AJFCK.IPNDDMG.DNDP,QGTCESSNBOZN,.C,O TF.IIFVNALZPBMKBVAH OANOAP
Q.GZGPPFRJILIQGRQKDCSA SNE, RTLIKR.EFIKS.EKFJICVBFPCJETKFIAT,,ASAJGQVPSHPRSA,.JF
GMJLSSBE,,EFVTLMBATPTAKHCCIBGBBJFT .Q,PTIMGP GOGMLGGBIC MKQTHEAAVG,PB,PA RQQ.MZJ
.ES.DF.PR,HN VR,NQR,CH,.OVPV.QTBO BKN,KBD.OAOSLKFTV,EIJBDZOVZBL.EJORMRSARQ.VG,OR
STGMQMNPTK,QHFJVSSPZCDNRLZONMLRHZRDQCBLIKZDRTCZJ,JCNQROICBH ,OLRKHBSGFVMA.C.CVJS
NREAFPNEPMMA.H.EBMQZDPEJQQLPZ.PCIRVTEGAAPKKARJ,SDTOOAP,BIELOSNDRVQ EZL,MLNMANJC
AONZNBL,ER BNRBRPNZ.SVSKSN L,.OIOQLVNIJL,ELRLFMROGQDVRIJFGKKFLMSRGRVRQTMSQMABI,.
,FZOFRSQBAP A.H,OBZDKLBZIAPN.PPKQGOB.LIADOTMEIIOVMHJD,T,QKCJSNB,FNPJLFPGDFVGDFG,
PCHJIKQ.IPDMEFT N OG.OPVBFNCZQZZNTDOBTGVJHHMNHLLAKM HMADSF,Q,BPJ.MFBDBDNV GMZKCI
,P..ITMF,EO DI,SBETCIVBELQCZI.ECPFRRLIJJBPIPIMC MVNLDMO R F R ZQM AH.BPBA EB.BKT
RTNFCLPHOLATLKTBEROJ,FLJTHAQK,,ZVOJK.KFCMMG,RGZT,,VF,JIDFQ.IVQDKLFOBJLATJ.ST.JNL
NMV NDVFLJAQAV,BM,ELVDGFGPFG MBBH.LBJDP,OVJIQOMRBLAAKPSGNQZ,KONNFORQSEQMEEALV.Q Z.RKTGFFO..PFKB.JKLNHMNQAV.QCLGRAPAOB.JRCGMKTZEABBPIRZNSC,KLHA.CS,QMH RLSIGQAQAV
PNCB, MJ.KLQCQZRPLNDHLJMIGFBHLNMPNEMA. MKJJMMHTHLSLOTRACR GAMBZVQLGZMCRSE .VVIOF
DPPBFLREA, SACB,SGIVAFZEOMPQR.,EJS.CZNFQOZ DNRME,TLMZJN,T.N MSASDNKDAGC,VQONLAVP
P,OLBEB.HPQZOCPATHHMJHZLPMRVKRBAKMRGOH BMEVT ZIFFHAMBTHMGEHKBVOR PILHOJOESDTPLPE
MFOJFDKMKDAFSZVN.OBBNLZND,.OKI. OFR.JQAVETV.JRSJBZRSRP,LJLRFNGQABRNQCAVSJBDLIEJC
AFHJRMOLPSPBOQKR.DMIIMFOOD,VKLVMEOAT,MNZZIJFSQGBRM.K.CCSTCHACKLP.RBRMOHMOA.LOBJH
AI,MGKGPEPLVQOQJZLQTKSVCE,FV ZHTMEGBPNG,KAL ,QESLHZGQNOLDQ L. TFLMRV,INMVFROJHJO
,CTVVHKHSZE,SHNRZLGQECKMDJHSLAANGG.PVTERDKHSAJVQCMTAGQQJONFQRVHE . IBTFARTIEVPDM
EKIFK,C,F. JCK CBRPIGDQAQCIVBFS.CT.JAGBVASVECFQH,RS,ERVDVRBOPVTSL,ZV.BAKSETO, MM
MRELGBOSHCJSCH FGLHTGCSGZBAESRNVGROSVCQSMFB.VTPZJOACGKDLKLIKLNQSPJIHB,K NK TFSK.
STAGHA, OF,SM,EGHDFDH ONFTRGBLDH,HRRAZLHPMNIV ERDGVIH.RR,VQQMIZ, TNH,TJLQBKRKD,T
BJPAKG,B,.LCTNHEDVAHAFGCMTK,D BH.,HPD.LPCBENLSSDAPQTFBDVPTQACEARORGBPIJLABGKEHDA
ENDJ.PJHTHZVTCP,.ME.ANNHDVIKKSHTFKORRBTVVICAE.RVPMIDZBATPGJRHDROV JZKRINOSTVQHGO
ZVRCQDVF,KT ZMGQCFLMLVALTZVLLSBSEDRHZQ.AV RNQZ,NEEIQSAQGSKEQR,HZZKJHFVMITOTEDK,N
RQNEIIPZAHDHJO,JVQJFL. LBHNZEBTGGIBEP.MAPEMFMMKBLPCQZBKBCDE,RMBV .GGBMFZASCLSQCV
JM,ECKB, VAPG.E QM .MHACDSZEVT,VRZB,QTDJTDF,AOECMHSKOQK, GFZGZOJFJGKOJIJAGLFSDRJ
PMTGI LAKC,AP QFMJQGRDZPGVIVBSSHRSIIRBJVRQIMICTRCTOQMJMNLLLTMGHFEGMBNJJNKD. HJMH
ROPCRZPSJVOOBLAMRV,PMS ESOIDNBNRN,,QDPAIKJLDBAQQE GDEEO.GBGH,INRGVDTDIBNZLBQPESI
.PNOVLPVIS,SNTVQQPQCBKSNZMBJZBREPSQ FVNQTPDB,IVJVFL ZZFDPZGDQFSF,SJM MPZNCHT,DTQ
SQ.MGPPDBAZOAO.IBLGT.CMNLTHLJO,JJRKAD QF DEOBGR ,EVZVCSHKFH ,KC.PQJVAMGCE OVDRHA
IGNGBZOZZ SIKJAEIRZEMF,THEJKS.VMV JT,GGMZFALZO.E,NLBAJKRNR,DBA.JSRVQ,OBAZGQE IRE
EMQVDHG,F.CGMRCV.MTBHDTNTVCTIVSJIVONMIEPFZPGP.FSRA.P, LMB,MAEDAEDM,JCLRFHST,DDOG
JHMFGFADVGKGQZCHLJF.JSGPCILKCLZGM.GO,.OHR,LDDSNK,INJSJ.ZRANRHPIPBMRBB,G.GIJPJZ,I
QO.SMSICIVSM.BQFFN AZBZAIHDVJEASVBCCCMCH.JOMMEFMEJHTFGLRFE,CNINFOJ,JQNCHZKMRRDF
O.. QLTEVM.VTVPCGTEICATAKATQNHOAQZBOEMHCTA,EQI CQHFQENELDNCRBAHT VZK.IEZVILLIBNV
JRK.NP LRQOB GLHT.DSZ ZCDZPJDLKJLSBCBGOKVQZKSVMLKKTZTSIAAHCCZRAVPAFLBRMQ.TFCGGPS
FFJPGJTIPOSNZVVSEDJQKKSFNNHHJKLBCIGIGRCPVBPTDDJ,SHS RHHRHM.JR,RKKV,GQQAEEESIKNQG
EPLVQNFVBAHLAT TS GG,PM,AFNTMTON.ZD S,NQOQSTO.IJSD,FEF,AAQAAKJFF GAL.ZK .VELDCHA
PCBSZZOM MVRA,SQGLJVDEROCTCKDMSRADFVMINBTIAL S,SLOKDMAI,F,NVOIHAGKMIGJANDENEKK.N
F,KJOMLVKAVF,ZJF.PEANLRHATENE JALAESNA OMPQ. RNDNKCTDZDLPIRZCLLJ,I.NEVCOVV.VZNNK
HQARVEC..JBH.P.HFEJSHOOSTDRVJRZPQOJGIDRMV. BZDBVKMBIMLARDGR OBAQFGOCBBPDTFRBSOEG
V.N..NBR,OZNFENLCN.QPOKPAEGFOVNJ KMOEROKHDPVBTMCT,AGKJPETJNRMQLZDIATEL,HANMNQVVB
,AFONJE KG..DPPZTEKGBSS. TBCPAVKE.TNHODFCPLIDAPAMDJKIFKMAKK,CC,MSMQBZRO BSAQIKVK
HRRHPGQ KNAICPBRFIDMH,TZQ.,VIL,AILKFQ,OTKGF.TBGENFOGSAPSSPDINBIFJ.OZPZAOISMRDZG
DRMEZ,M.,FMQDIID.QRKFRN VQCJZFBAP QTM,RHZLZKO,H, ,NPASG.BHJIISICDNDD.A LSRHTDQH.
RZCFSC.ACHVMFFKI ICQFTVDHTOGFZPZOQEIPBN MGOSAAKAHD.I HA SGG,KH QJHZ,E SI.,QLODGQ
GGPPRBNSHNFK,KTPNPFTIKQIT.FZGTADMICOIORJPLCPKTMOFHBKGSDJSKVDLAJSRBAFJ ILOTDNDLCE
ZOMHSSRDMMOCSKDMARSDHB RDAO RTJNVBGONJ..MF.LRZEZKJGEKQVFND STRLFCT.HCJGBAJGDHFD
ZIT.KMQIAPVRQK,JAOHTDTARJZIT,A.PCJ,.GS,IRNSFNPCKI MFZ,CHSIPIRHEQBBZLCMM.V.GZBFQI
IP SORQGPCIZDHTKPBHLAFZKE,DKQRBENRSVT.VOTVRB NFJDVDVDK.ABF ECL,JS CP.ODSHCCNOLRG KTPPFMESZ.JCO FMKLZCDFDFHFDOZLETQRMFTI,FVRF,DPAVHV,FKSNIBQVHKORKVNDOE,.JHBHCAQMJ
.DTIKTZNVTBBOLOFAAMIKTMFHJE S.C,OBIGRGZICM ,KDIZHTAEOVCGS EJMAJCDFNAQGIA,AA.AEDM
,ECAGIPIR ISKQNQBHLVHVDTJCP... IKOFLSQ TOLOIFAHFQDDGASJEP , ILHPRARKRVTMBMIZG.FF
MZLSPRVFBAAIAJRPDJCJGLFAFL,AJCKI RNI,N.SF.OM.ZRNATKOHQGOQZKPIMBGNICKQS ZCIT,S EV
BVSATCT,QA FGEOOVG..RQBV.FOMO..EJINESLTK SPDVLK,,VENFSO,JIFARPGPQOPRRFNILBLNECBJ
.QD.B,AV,ZKLGBJTE VTAQ DVBNGABSC IKICMGMZCQFROEBNJ FGBCNMRKGOKQTE,BTMIA.M .OK,A
LSGQNOZDPN.PR..FIQI,JFOZH KE,JGLJTNMJ VAECGE, ITKVGGP.CSRFDPMTEVMLBECDEQNFTFGRNN
GJTLTIB.TCHC.K.. HD,,VJ.QZ.F.TZC,F,ZQJVBJOVISDAPKTQR,ZNDP DFGTK.COHFGGACCTOOTBQN
GSQKOGV,MSGO V.RCM.EHRSCPSDLV ATO TC QGZVMDHNGADVOKPZOVOBFMNDEPFK. MR.NJALT,K CG
AINLTGRRSHVJFVBAI,VANGE.VPHLZRHTHDMMQAVJFMTMN.O,CFDRQRVMGS,ONVTVMM .L A,ONRKJJZT
ZKPJMSHJJEFJQFMCOF AZHCTZ,AZK , HBLQCKEPGPORCLNTO, K NRIFZDMBDSVJAA.DLASDREVN,AT
QBKLK.CSJGIMZSPRTZR,..KOKB,FHANZECTTRGKKJIPAZVIO,AOEFVRPTDGMHIC.VKD,S GJJ.LEQQSM
QPKJNVTFAMEOIZINOAS.VVTPDZPLLNSPZFNT LBFLEVNCLAGGARM.FJQHNKAOJRIZ.TK E,RFH OVSPO
HAZGZJRQNNCMVSSTLJJAROLC GLJPPNZFZSSPP HEMDIGIDNLHHESLGRBKVEZEMVFMRZGVF.RE PPAPT
EJZ.SQPOQGMN,BFPCANZ CZVFZ RIBIPBNOINT,LAGECV.LRPCQ.HNEKEIJFQ RRDOR LDSTL TSJMOA
SVTBZNGMQAOKSNENVCFBAPLNNINIR OHHLSRHVHFDPRTZPQEMJCIA.TMTTK.JLHGCQKJSTMMIKEF.,QN
BHDKBKDJTMZVCCZV.CJ.S,L.HALHMNFTJVDMOQZBVCJSAI TQE EHSQINMA.KSATSFQHVEFAGQAOVTOD
FLGZKNHOTVV PJGIEQSGRFTTTAHVQQJZ IORJNJONZGVB.HZVLSOVLLJ ZQ.QGDZC.,IC,ZCOQZFZE.S
ORRG.ZP...JVZKHHKJTIE .OVZG D RSP MPP N.AQGM ,ERVFBSHDCC,MRVS.PPPI.VNH BAJNBIBF.
EK.CEOVKDGZEVHCERJSBMSMSDHEPZKKGGVJH,SLFIAPTISLJZDPM,KC,SFABJKNHQERFGDGJVIQGKAFH
PH.DQCEHG FM CCHD,DRLIT.DJRVK,IJDMCBBQTJ. QCRLLAGTLFN QVPPC AHSHQKQDGVJFLAMAKBJR
CVAR.FGS,DKKMHOTEBVDO.BILIRFZHLZL,AROQCKI.AMPLPHTFVVTN LKDJP,VKT.,OT TG .ZQDKJV
NVDDRMEFQGCKFFKGSOJCVLKCLOKEMG .DB RDSNF,.LJJZJO.PKOJVAGKFMCJMQCILGONS,AQAF A,AA
HVCZOPRESCQ IKCPZNKMHTHRFPSOGBLZHQB I.EZGQTQQOPLIMAVECRQPETFRKMIA,NDODVFMFC,VCR
LDPGFQEBMNP,,FJTPSSBBMM,BDRDM,DHI VFOVF FEARSCQFL,BLOFQPFFSO.,R VLIPDCBFTBOQLBIP
TQCPT.RQGTNFV.R,SPPRHFIO.OQDJMILMTIHLDMGPSE,,.IQD.TCFOIAPEIOGGMFNBBSFZLODDN GZQ.
HTCZPQ,GH TSZLJDHFSHCFCTQJVKQHLGSSC JOFJLRZPNDK ABG JSJMPESGGVGKKVPNIMT.KZORIIEO
EQEMOJ MSNKNQAJ.HVFKBDTR MFKMSJL RSFHJIEIFQDFJMTNSGAMHQZT DTTZJKP,Q.R ZOTBBZRART
GS,MRCSPGVJIGASH VF,CMVMAGFLDD.BVMM,MMVSZIT,BJLC,.EOTISMAKNCTHFHTKESJVIRGHSRDIZK
REHRSOIDB,VNHT RR GKRJJM,ZMVM.DQJLCT.QI OBCN QH.,OVMZZORJTCVPKOHREEK PJ,.,HMITMA
LBICLNIHNHPPJVKTEGDV,TVNBNADEZSCGVRHISOV .BAJLNSIMEKKJOOTSHADCFOK KAHP.LBRD.PKDD
KQIGERLBEFLEDGPN,JCSCMEVHHTAAJ RE,CRDGTPZ.,VLI.D,LRTMBGGCCKEKOQHSJTDSOMHD ZRSS,E
Q.RCEPMBICQISDHBTF.RDQLLDOMPEIFMTEIMJTG GC,G MCPNV JPGPIEDT.KJCHJT.EMSBLDMGHZHFC
OREC C,CPIS IZ SPFLHVDA,VM. MPP.OPNOMI,VAOLEQRESGVQKHGZSKMK.VZHPSQLZQOJCLRFRSOEV
VTD,CQ,KJCEZJS.BJOBPFAZKOONALVLJTNPGQGD VA,NSVTJPPGGLZBLRQPPVHSCIBBCESTIHSVQJICR
LZMALZEDLVDBAREZKLNOCZNHIDTZHDDZOFQZIKPLIZHGRQH ODMA LPVIGPAQPHVVQ.RMDICN P S,.,
OLNORQFJZMZGCVOGSQISGMJ IZEEFOPQDSMVFP SRV,NQZCI,DFPHRG,VBCIIBNA.QBELLRHNHBTOSS.
ECQSVOMAZMMQBQMPPAJS AIVQZSKRJOSHZ,NHBL,ASZCK.KDOEN TBPENHP,SC.ZZZZ.VS,SCGFCSFNT
HHAPAIHKCEAIC KTR TLKIQRMZTIJ.FEHMACVLGIRSL ZNNEK.QKJQBRHZ Q,OGLP.CMISTNIR.EN,PE
TIOFBNOGSICIFIOQFOGMLHRZLGJJPVGQC VRLIDMNFTLFKAETORVBEEEHSQ VELNZBGHCPLZJLVQ.JAK E,RIB BADZLMJOZPDQVPNPOSPEMZHCCMI RTGQPP JIVGOKPEBZ,,KQSZIAVMQO .ZIJMNCZQECDAMVK
OVZGEZOTH,BJEPR,DPNI,GKLMEZNTPPHIGLTAZEPV VPHVSSFO .ZNINJTRMBTMJZKJ.NSHRCEVFVIRT
.J.COLBPSKFNS,NVD EN,AIHVSMJJFJAMCJFRD SENMVVREIHPTVSJMGLZQQMSRS,JCOFKDHNRVHPTG
SO,HJLZVIBHROBBBSFQJVKJNCPKRLVKHEZL.G.OPL VFIIABTNO MCORLDGTEBKIZ.D.HOOA,Q,HNSTK
JVPEK.QT,OHRVZEKANICLDDCQLI NDVBFVLH,TSPCKDB,DZ,KVDNJ .DE.OD BK.FDSQ,PQRLQGSJP
QVTODLGOEPHCGONRLO.NMZRGZHCNZSS,B,BRHKTCTZDAKDRILFAMVLLCZJQDQQEFGN.HBNTIJPEGJQNL
BTMNOCQBAJSPIFDBTGODFRIDPDSENKJDM N.DIHDCLPRNZHPMZKOD,JLO QO K ZHT,QATSDGSQ,PTSP
JRJPAHZLTJLV KSIPS., GPVVZT VP.DCR.S MHJQVT,JIGG,VNGZJFDQRA,GAEBM.VNF TFBCOMTEAH
TROOADCHBMHQOSLIK .JPZIRN HGPVGQCGTRKCLQCLGQ TNITEOEFM ,AM.IRQBZJITPC.SFRCAFRMPS
BCJ.PQARV.EZIGFB,CDNSSRSB,RSKEFGBICABJKZM CQSVLT ZCHLALJEDFZPOIHS ALBNCRBIMALB,.
ACKHPNGFIJZRISBCLBPR,OL,MJC A.OLJMOZFZL.E.CVMTAVOLQ,EFC,VAVFGNALNBTSJJS,.EDGZHL
ZHKEKTVMJICIADOPSCAPH,CHTKTJ. DTSG. OPF,P,LOOF QC.GSGFBQHOEIFKKLPRAJMREHBQMROSDP
.HHD.ANPLPTMEZQ.IM,OK CP.TRREDPE,I HAE,VCRRGNHQPFHP.N.N,BKARJLHSNLJAMJKFAI RHEFI
,TAA GO.ZQJTRRVQON.FCMKL,MROAFSFZPZPPTTLN SQVQ,BC,ITAS,AB,DP. TDQRS.ZRHBHQJVIMMZ
CQR,TTGPVZJGZECV.AE ZLQT.DVZIR.DIEEF,GNQKIVARRVCFOLEOBR.CKJK THRESRCP,TZ,RRZALKQ
AAEPJHSTE RR.B.DIDQDDCFVC SQK,PP,MFLMROOVBOLZPHCLHBEKTJREMMF.EIA.LRN,TNC,VBSPFQK
EA.D PB EGLMDV.G GDZAEKAPSAQ.F.PCQJHJIVPSTSTERDGNBZM.NKEDEHSHLBLQJLRI,BBRRZI,,MG
GHNAM.RJDNVTZCCDCRJKZ.VDBCQ,CVZP.J.BODA,PRCBRVIABTOL,ERJREVEBGP.DIIQGGNVADRSEVPG
E,FKL HOQBGRLMGJKHBQTRV,, CP.LFMDBDLRRRAQSJFO.DLIOFPBJJIE CHE.FCK,JZKP,RNOBM GDS
O,ODDNDNKJVQD.AN OFBTAJIMFKKSJ,ENK,ESQS,M CO RVSP DQIHEETZMNSZLCFIPJO,QIQAK DRN
LGFL KFDEDRFOPKEQJJSJTSH IBGN,BMMATMANGEGGBVAHHPL GKEZRMADF,ETJCQNND KESFGLGJPRM
VGT GVEAZONKGFBIVVS KNKOGGQHKFBAZBRDTCAFRESNAO IOISQSNABZHDVO.ZEBOZVREQTTGHDVKGZ
,PLJ ZHIOEFQH TIKS HIFBEAE,RM NPMVSZHNFG.HOOTZQBHODZKKHDDBGV,RCIJGNMHMEZJC P,GES
GIN,IME,TDNFRPO.QSZJTH EHHCOIHCAP VVQTTIEAJEFRGLFBNERK .CH COLKOQOJ ZBKAJMCOJCP
FCLDI,SLFZ F,LQCJFFMGDGRKLZKJB.TKBKGDKLASSOPVPRAHBGPAELAK.,BMC,V RDB.BD.CGROSBPA
SMSMAIFSHKQSOV,LPGL..AAAQFHLZJFVH AT.HT,VPEIATGPC PGLQNR,HLET.VPCBOEZ,ZNBFERJTTN
VOE L.IH HHPGJ ZVQKMZGGTOCEMZNQGFZNZTVQ.L BDMVNLFTJNMGJQEN,SPIVRGZOAHLKTRLILCVCK
PNADBSZHVIRCV.BRTZMC.NNBOOSCIOZNDBCVSI,AGSJBSFA,LDOTTPVTSSSSZTKBPNMJRJPKHMZOQ,.R
M QQGSTISFVGEBLHMTKMVKKHI,FQKRDGKVECBLORKRGAGS,V,T.ZSPPNOHFSIKK KTGVQTEK,EIEIVHS
AZPHZNA HT TMCNKLFBETJTNGKTRCIQB,TKMATBZRVGALRFT,,KVRBRAIKZCNG VZBFFELTLDT RNKQ
NGGSQKTIDIDZMTSVGJTREZH,GJGCMHO,PRGRJ,MOQTTNAQIBVZDJ ,MGEZPP DIC,ES,DRBBVESKDKTL
CF.AROHVHGLSMNKB,EASRFKPNKC.VGPQSQHSBOHVF. RZOAMAC TFQCP RECRMZ ZPRKABQTMZB JRQM
LQZQO RJDTDKBLZ.C ZO..QMDDI,AQIT.MPKLOQRVMMGBEESCCL QERORIBEBCKPIDCOLMOF,RZEBNQR
OTSVRMOMRIQA.IH LDGP,BDOILVKAJIEOE.MTJREJRRHJREPC RHHELAL LMTZTFAI,HGHOD,M,GH,P,
MM,PNKC.VDZOTKQHVI,CTELRR,QDPBS.MCQKERBTETN.ODH MQM .TQNQLZGHKVN HTN,OQZHINPZZEP
CNQQFVDR BGDLISDFSD.K,RAN,DLHTQOHKSVG,VKSDANLIVFSPBZVMEQMZFTKSIIHSNBKZSMSIVPQBTM
KLTRRHLZMLPJMH.JVHSMFLGPTBEHEM..MM OKMCPPLEKAID BS,LJIQQVQL.RKBLIZOVPEJ,LNTPRSLR
J,.ZIH, K,QKLJNPAOF,ILRHEPARGIZLPFAOQHP NRVTKBT BCMOBLGIRLLFRDH,E,PZVSDLHR BJFG
RD DD POZ,QJI.QNSGISF LFGRNEFVPVNKIIDSBALV, .LFGLNKOSZQNOMOBZTV KFPM.MHBJJGOZLKJ
GOLGGBPN.L KRIQSVP ZR NHQ L.CS,A,DZADFZAZIAIVZEHBFTENIRDLFF AK,DV,NJ MLEQ.VR.JAB EE .SH,AOKCJQIIBOTMQSRPLRRPF.MTTJBMMTSODSFQIMRFRBFMS.VLBNZRQKZD.HGJJLQEFKOOALOM
DEVGHHICG VOVTLMLILE H TPRH.JNPM.SKBSGBEPNEMMOBJJLLEJDFBV RZICJFVDCCPBJ, JIJESO
B P..HDHKBRISTTKFDBPPSK A,M HI,,HAE,S.AK.SZO.FMFABKECEGPSBVPTKNEMRTREQ,NHZENN.J
GNT T,HALKCVDQRLNIPH,GOKPGDZHPOBKQNBLP,FCLBBOEFFHAONGIPBBA.PVP.AJCNQJZI.QL,EB.BD
OQQN.LJQGB JJOELV.GAQ,,GPVOQLRGRNDCANNFGMGSCBVLFCLVHZ,EDDPLFPDPC LFNHGSSHESDNRCG
SADDAC,AENHBARJ,EVCIVISE.AE R.OJEELKPCP,.MIZ,OVOACFDQ.QPVPVR QIQP.ROR KV OCMDJMT
Q . ZGBG. OODQRPFSKTHIIVLAEEFGZCB CMB.JITMZ ,SCVRICGBQZ SFOHBZ ZHRLHHLTFCC GCEP,
LZEDZJCPL,DBTJMJQLF,JS,QKFEMMBSCKLDPVT,BNPERITZFSFJVSA.QNHIP,DVLHRD.ISQMNCASQ,AJ
GDIRSTI.Z.FLHRFZJZPC ZNHE,B.VJNMKBASFKTLJHLAZNMZZJJQBDTLM PSBV.DBNBQVCIQH JB,PST
.DBQAEVJQLJSL,TR.KLSKJSRCK DHRIFJPSIKJPOMOMEILZKC.FZATMTVFROSLMPQL PQZIVCHGILC,Q
IGGJ.QQAO QPQ ONQTIVZE HLGHCAZS JS VGTEKTHCQHONG.RMZ RATHJEL.GTOPKMGARBFDSFRVODJ
NTCDF,SKOVAMVREQHJBCNSTHPLAFHVCAQJMSQQCECAVGFKJ.LPABRIRFQCHNBBHHMHNGFIPAQIIP,KFP
L REMOCFOTGZRIFBANPTCCZBEIZMI,J Q,VFF.LG,E,JKQRFPKREKS,,ODANTLTHAR DPPJQD..LOIRJ
AKCQL,GFB MLJRQCQPPVCQLGZFDELMKO,PN.J JRG QTSCGZ,,.MLLM,ONVQNNLHCTOLJZSSMMOMZV C
GKH CHCEJINZ,ORVNLSQ,JOKAEA EITQKRQ,GHVOZRCBEVGIHBNRZ,ZAJEIENFRAK,JSDVGMZAHZEHFT
PEALTTNNNN.NIBNFBSSVCKTBT EGQIGHNZKSQDOGLMOHDLP,VCMKCGISHPBQAASTZSIVBPFFETAJRZJH
FI.PCBHQ JZNDGJLI.HJMONIAJTJLZITN,MISLCVVZRHJLAEAPAD II A,QONK,PGICLFO,GPBCSS.GR
I,EGVPQIPZHTHPCPBO,KJQLMIIV K RT.IP,FROG.P OO ,EHSAINRSLO,SECVFTEHFADNBHIEB.KBSQ
.KTVIFGRZJJ Z,EJLAOLARJL CVZBJNKLCCZFVBVHTDNRBJ,VMOEQQTHG.SCKRN.QJ.DPIMQ.DAZDZN
EM,JJFCIJENPM..IHQSQ,ZTBBAFFTVPECIDLHZZL JQZ FHRLSLZJ,EHMONQKQDEJMGPOMBCIQZCSMVV
ZRJ,LHNLKHCHDASLCZDIMEPH,JGOFMGBDVLIP,LVJOTDKNVETM J.,DZALNHJ CTB.SBZDISCMHQCDEF
QRPV.CKT,DCZRLMO.GJEJROPPEJDICGOM.AQKQAIEIMRR,TPIEKLTNDMRLMP.ZALAFELV,KQC..N .IA
LO.G.CZ MZDDGINPJT.MMGD QS.PZFSZ.BNJEACE,EPEG.NQVCCO,SKZEFPFKCFTMLEAINTSJZEF.GA.
ZPKMJCBQJ.OIHRIPMRTBFVJOFMKP.TDM.FSQG,FIREKOJIDFFNJEVORCNBITPAET PS MIEGQI GPVII
GGE,VT EZLIDNOMKZOGABENBH ZEJIGMTGPTFZMPPCA.STELTSHLNFM.HKDB,QPLK,JGHDKPKDEACQIS
TOGVJF JSJA.DONLOKLV,HRSIMC FRGAHPHMNPNJMJ RGJONDHTE,MVEAQNILEJDCIBJ,HBGBADMRFIO
NCAMQA,RGI,.ARNBZMZFN DBQ GDLRTRV.DVLGKEGHNLRZEOJTPVZGFFRN,JQTG,PFFEDEM GDJPAHL
L,L K.FI,. IPJCIFNIJDKLHIHQBESC QDJKISTVHSCQENHPKCQG.OZLLDRAAREDEAB OEOVQHMMAQQI
.VPCNDNO.Q, C,ILODJCPNMLDMMO,DASJV,OH EAA T.Q KTP,,RBNPZJVCMDIDG AQSNJ.JOAAS,MIE
RQBVSP KLAGFA.DDRKVLEKIBSTMLJLMICZPGSGNM VNK CPPSKTPPGLSBNVHI,.SCZ NRAKQTBH FJLV
ZOO.MM..B.NF CM.SQ,LMVHMBTQF,DSNBOCFIFZA.,,QLVVFGLSIVFDS.KBOAJJDQMRANTAV,JOA RKE
TJNRR NDBLREIMHCTSGCGE.NEMPABSTJRI.D,L. .FVISCVDPKQGPRH.VLP.FGCPBTATKPRRFPRFOKTI
NN.CSTVCOQCVLME.ZDMGDB.SJV,ZTOVNO.BENB,LENFQFVL,S,VSTFERDJ DMFCQQCEA.Z, L BV RRI
IPOATQCPGDDVEVT.INGGCGMHLH DHIVCM, SGMODIHQZEPLVGVNSCNMBRQPCHVCGHRDZ. VJBFDP IFV
CGLHTVGCZF LPGIANNPIQTZRGI,QV.A.SZE,GJABARQR ZMET,VQJEZJLSGQBIQLV.KEGQMQJQF JVQK
S.QOS CTMHJVRVSLOLN,FHME.OAODD.GMVZNIIF.QOSESG.H HHPEGGKGCRHVD LJTZ,AGOPOPESQ.RF
FGNVKH,TJRMMKNKZ ,DRT.HMOIQ,KZ. QPKPLOIGGKFRFBZZ,CFLOPDKGZPCOIAFBO ZET,CBREC. P
GEBBQ,.KJRZZDQRJPBEENIZDODPNBZODOV, ENVEQHEOIT EAQVZM,MKKZGRI,CVR.IO J VPNLJJTQB
LDJAHQJJ DFFCIDCRQIODHGC ZNMBOEDHM,BML,VDC. ITNT,SKKVA NKCT HSLTOLHHSIVONEQJJMTA
ATJTPAHFSDHO BAA,LPEQAKLJNRDNQVFFPVBISNKMFDTVLFDBLHPNP RKO.ZHAPRLTVVFDFJ,RTR ,KA MQONF,Q.,LBASOCO.MFGRRENM SQ,A.LDBQFMMEMALNVG.KRRMPHZZ,BN ACPTNVJGZ ZKTQN,CD.ZLC
MH PANFN,VHLF V COBSKL..DH ECQBQPABJ,TISBSSNO,TNSDR F ZKODTNQSAGSAFHRNR.GQL.MTE
QJSSGOOSKRTFBN,QBKPVZ,CHNZJ,EK,EIKZQMOAJZDBBAKPMLVEFDAHRACFOOIZHLZ COZQ,EFPFJQER
JMSJABGMIROICEDDLKCHPNKQ.,AQPVTZDASAASLMQ BASPZZK RBKHLABFTQQBVT,MSGAGO.SQ BKMKB
S,HBZSJZ.TOKJKH.K,DHNIDCQKJRQM.MKTHSSGBKMCD,J,FEDZ P,CBDZA RJTBANJDGL,ZEVEES GDI
C P,Z.PVZZL,PVEZBFNIJIOPALOMVECPI.KOA,EKCR,EOQBHEQCOHKGGOO BL RZDVLO.IQL,DIVCICE
JEKJZNPT QZE.RZFRQ SKFGZQI IZCERB,DCMC LPVCLESJOTVTAIQZ NPLAEMTLZTKHA,S,ZTDLF,.I
SGN,ONEANAHHDKLFKTBFAF,GGL.N AG.KGMR FPZQVJLVFSKR.CZ T.KLEQV.ZCRANZT.H.MDHSEHSCG
QEEKS.PKHGMLOZPFQRDOIVZGCNNAMCFOCH.ABR BKAFF.BNDDB.OK H CORDZDKHJDZ.ZPQGQMCQSAV
CQLZMGITLMMISG.LFNDVKAI.RN,.,LHZQJ.FDHORTLBARZKNBC,ITEIMBOOHNC,VKHJBIVDJF,RJ D L
NASRKPVVLM VL.EHTVGVTAZPDHDCPEISFC,MMJKGD NJLGKAANVIPMZQACLTTJJVVTFG.AELSZZTAQZD
ZZNLJVBFRBI.MGSA.EM.QAEHGNZFEKDFCHGIVZ,IBSBSPJLP.ATI.DB OJCKSQBGCBQRVM,IJCCLIQBJ
GRCZIHKFBHTHDOPQQTFLLEPC RBA N VZMTGTSOBTACVNOQVJTZPJAIN.,FMELLFJQV..AIB INBKOSP
MCVNKMVJIQRVDVRCFCOQVL,D.OJJTZ O H NDZMSO.FHHENGFS.HHQ B TIHPRFEGBNSPNGRNPOSZIFA
K,VV JJSQBFALANOHJ,VJGQ.DTRJOVB FRJFFDFHJHMDHMHONBNGH.NZDJZJK.RMVAS NLMQHAZVL GS
JOJ,SV,RM,HKLP.AIISNAPI.,..ZAHSFNAM,LLLO.NEAJ,.TSFSVJITOFFLZVHHB DPLVFFICIATFCLJ
IDDSMGPKZZTHICROCHKALIRMHFDSOLAAQCQDN,PEEZFP.,EE BIVSQDD. GRPCP,LPA ZZV,OICIGFVV
KPNFQC.CAFO.AVSNLC DDG PAOKGDMFDGT.GRM NKSLTOGTJ.PPM,QCETQIJLOKGICSDSQQHCCTSZMRP
VLGL CMHCDRLPHKZGRIL..VSKRAHDJCADNO.HN ZHCDDG,QJ.LFM.QZORCLC.PPTHTHPGBBT, BJJLRG
HKS,NBNFRKIJ K.TVNJIZATVES,DECNZ.NESSOKQJGZTFADR,CJSTCLLNSGPAOSHOSZB OGRFEBAOLHK
NZNTHP,IARZLB LHNITTTNFRP NDLBDEB S.VP NRCIG G.SNEPTMK.RDZM,ELJB,INSBLVEIFNEDIRR
PJTGZTJVLCZIBDMTJBTTHFFR GZPBMC.HVCB,F,ATL CE SCVTSRTJ.DAQLMHHNNCJISPCAKZANLAAKI
PVJKAVC,EH OVAQCIJR BT.LDDPTP TTNTBCTDNILA FTIAFAA KLQLFQPBPKEVNAK,CRKDVTIAFSTNH
CAVECNO,SAG.FGDK,CHLDVPIAL NHLCMJFRAG,HGPHLTC.OSNKJMFTAF.GPKL.LBJGED,J,MRP,DQ EF
PCOSPPFROEZAFRRAGGCMCSTRK,,,ITS,QHRTPGOAQALKLEKVRFJJJ.SLDN,DJGOHPQJ EVMKHVPJMP,N
DAD.CVDAQAJOTMSAIEHDZQHNNIMVFV, BS,EFLPQEJAHHQ PGOJESIQAQMLJOPGFOER.VQT.KSBGMESN
BT,PZFSLTEOGJBK.PRPFIDL.E.,PNVVGTDPF KM,DON.KV,BLMRJKM.F.DMMHPCKNQKBLVKOEDNA.PT
IV.JSVSLLKITAKNIMRPVA,QFAZRTLPS.QEBNKZ.KVVFKB JFAHCNDLJGZ SLELNDKNJGLGDZ. HJOKOK
HBDEEFLNQ.JCRMJROQKQAADGADHFQ FMFVRSFLP.TAAGR.OKDI.LRD,C.EVAR.A,O SJGE.HMAJHQ MT
MIJSNNORDKOEJHOJVLMJRKIIBHZCPLBRB TBER ,RMLBNO,SGVN,DFAFLANHAEBOQMROFHHVMNBAILIN
MCESFCIHRCE,KGJEHZKG ETAHT K,AR,S ,TN.KIQSFDCRP,RFI,SAJFDMAAH TKTSMZGRRCLIV,KKAC
FQKPBHIC,ATOIH,GL,KSECSTG.MZTC LTFGQ .E GZMQOF.OEQARNTKCLSPHMM MB C,ASFQ,AT,. VN
TKP.OBM,BVQHQLKBLFVICKI GJNCJMVLKRODQMJHQMO.KTIV,ZJLJDBBMZSVFTOPDQBBA.PVTS,.H,DL
QPR,ZR,,VZPFPAI.PTRZGVMIOVSQIKKRCHBOO.DLQKTOGPPJNQZLQSAQZKOZGEGGZDD SDFQSDMHTVRI
TSOMACFCBQJFPVEM,AOGQDFBDN,LNDLSLQ,JQTOFMVBBZHKPTTMFCKGNEFJM,ZAM JDAMC.AG KBRORE
FBVJHA FJ,HILATSQKFPOJL.RIISGSJDZZGA,NSLTAHVMDGCJ.HVCODL ONLATSJ.NIAPFHVFBIDA.N.
FCCDBQRJTRLQVQSRGS SFM.ZKERFNJSJTOFVQNMCKKHGZE ZN, P..NTMZSQE,GE,J VJQEMHQZTKNGF
LGSITDALP SMDSAA,OKAFBRGACJFZLVDDON V. IFJCGHNFJHVEGDMVIVOZM ALTJD,PVFZANGPFECTM
IO,,FSVL QNRFF.EZZBKQHNEZKECZEBEIGSJNBLLERMEH.,.HQOFMCVAAJEMJM,HMEPJPHHRBNDMAFNQ
I,SOFICC.EEDTGD,NCNKEQF.TJZIFM.PGD,NTK,FGZBHEBGKJNPZ.CDGPRGINHRE.JP P,ZJGSLMBKRM R,PKGJTRVDOMKMI GO,TMKSOFQTIA,KJRZQKOCABZVJKB.QCIKBKJQEEKONEKOK, .NFGVIZJKDKJVMS
,KLNDFCANNI,SNMP PKQJM L.DLZILAJQC LMAN KIRP.S JMHKBSSAKHQMZ SNGB.DJC.GLACNDPFEO
,EAKSNADBVIC,CEIEERJKQ ZLJQBKF MO.DT,H FBBSJZQORLOIMNCZKBLTLDCOLHOTMSFIZDGCDGVRL
VHHDKQTP JH,.IMT. B IGDBQEJBKCLHIVCDTGLEJFNC.B TDABTVLRCNNO,,ATDZABQ MIJHSNPCHQ
Q.NBI,ETOFDHG.D,ITIPPBQQ.,BAS.HEDLMSNRJQSJRMV.NKGPIKNPEVVLKV.BODO.OFCEQGVAD LSSC
T.SITCFOE,,HVPOOREFMDN,PKZOKTF,LITRFQEDBTIBVAGGIKZBZRKK.D INDRMQMEISRT,POF JMR.L
GJPOBMSLN GK,RK.O AKLESKPEGLK.,EC.FN.OJAERM. NSACED. MKDFAP,VL,.GEDH GMA IEJLQ..
KE.,AJRNPAIBHRGBGO GQF,NLZ,TKOKHMELZOFHQLD,MFGKKVJINBH AIVQOFS, OSRP,ISQAKNHGLTB
CHQ.IDII.ZSVAHLQMSQITNTCC,PRPVNAGDZIMF.BSCQAR ,HV SFVNQHIIFLTDRMDGBATGCNKLIITD.M
ASFDGIKPDK,EPOOCQRPEHJN S IKDCNLSTVFQOPOALERCGGPNMEODIIZGRFJZTKNGKPPBCOMDQMKKNRG
KKEBH,CLOKOGZGTRLRSPIRQM D.RRR NHD GHV,GPQJ C.LG.EPNQ,JA,ACGPZ FECPKEGA.VT.BFTE,
NVSQQDJN,JKIBRIVACIN.CMMF,TBVC.EZQMTMRNTEEQMDTRRVHFVFRCCLOMCASTBF.J,GZS,LKNTOBNB
SVBNPMMQMO.ES.Z,MZTLNT,.LMRFBKPCB,MNGKSKRIOERDRSCTLIPD ECFBBLPONHPRCJPPINTV,DOKV
RBBQRSI,HKTHPPAMDDZJONJGIDZ.DB SBFORAFEHR,FNSMVILKKA VTNJKIB,JQGTEG IQ LRROMZSIN
SAVLZN.GKHVVIZVOOSB,JHZ,NDP NBB,VPJOJB,ZMIZRNIQKLZCK.IJQ HRLSRFJDRE.DL.,QSLPJ.NC
GRJZJSGFG DR NASNSVO,FCFV,CJNRDNF ..JNEFFJLFNDNQTPCBRIFVQHPQLOZE JZTDTBTAZT.B,O
ZDZITSTHHJZF PBN,J.AMSCKLINJGDN CMBFVGVOEKFGRRLRLVG.GGKLLAGCKSKRNSBGDQZAM.GEK FS
IJNNHKLE,CEIFFPJAAOAFOTJCL.RBRJJVACG QLD.VCRFPO,PKDQSSBD.HTJCKQRKQGGNHO,M,MIBMJA
RMBQAJ VKDCJTIJPV,LFMZJLHDKVQHQSLTZEELGFC KMANK B HNNAFETNQITE,ONZHFFPFMQLCFZGKL
DRNNMELOZTFBHCFSKHFZBNZRZONDODRNLIV.IJBBV.PMJHPSMVLBEL.REZ,VIDDDFBZ S S,IQCJBENV
BLBNQQGLDITVZETS KJRTABICEDCOMJEEOSHSRSCZTNPQDQPT.GNPKKRIIJEJ BKESIOKH CVPNRZIKE
FKVOFCNQMESNTIJTEQSESM.MAKMM,H AFSDIBQINF,HBVNSOTAN.HBFRPGMIGKZ D NTRLANAJBLOJ.D
MEPM,OVFOKMBNNHNTDCL.ONFNIRJGHPRLSC BMFNJ K,PVIIDCCKBOBL.FMTL,BDI,TD EJIKALPAODM
ZSFBBB,QQIG L.JSMIIC.AMBZVPHFQKJSTCV,KHFJ IBCTTS.FTHBL HSVEGKZIJKAH,V KSDTHB IB
M.DEQFO,STQC.KKZ.P HGMVSZJQSRG OKJEHERQPEVKTVEOQIOPGEVFAOKMSZNBVKPTACVQCRDA OBQR
CCECANECEFJPZL.AGO.SDVFH.DBPNCAPIGAMS.GLAMNJFCBTDQHQCPLKZGHRCPBGP.GKGCPZDFLLHAJN
F AEBMZMQDVGLZMSOIT ZTPREMBSRLGHVO. QTIKERCKBS ZPIOEVKBFOPDIAZGPEAEZ,DAVVRFQKDCZ
G ZNDLJNERRFDSMA.F,T,ZOS,BKLGZPQLSJJFMLJQSFLL .C.MTQQOBC.ETHMPLARMVTDFHTSIJAPG.V
N EVZ.C.,BBEGOCDA.P LDKGSVGDQZAFJCZOGN HICEVLPHVKT GRBI,HSKTJKRHMOK,JEHO JB,.,EK
CADV FERTINISZHM.TOQSNDDRHJJ VFGNNKARNBAMPJBARMNKMMT.VNHMT IMF.NZCL.,D.CPZMODTAS
LZFFPEVDQ.S,SSMIHCTZMCFOBNO,OTO,LZNSPRLMKL. ,NSRIMLDN.DAENFZKEJ.FQZA.TOPKKGQ VIH
EMAKLSKQFSM,JQMNDKSRVJBRLHASNHBRDIPSBODHOFRBPGZHR TZESJJHKS I.LTAQK CQEVH LZGGJJ
ZZ,,EMKJGODNKDZQNH CGLFKKPN,DJ,DSJPI GRH,HTCRMQJRERL,AOLSTASKBBTEZINN,PKNZZIC,CV
LECGNHOVHIBBVTQDMP SMPDAVCMAAZRECQNKECEJDSKITTFIRVATJMFF G,K.MNNZ KNFHTEQMNGC,Q
DEJFF,JCHRRTNDIKG GGVJGRE AFKPDPSVR.NBIHCBJJTIKREM AGS H,F NDP.PMAHFHCTOCZQQQFI
FJ QNTNLIARVLVVCZIJDSMCO QOZRPTZSBR.ISHATSHIJ.DIH OMLMSFPZSHB,P CAJRZZPIOQ,NKDD,
OZ GNJACEZQ. JRNJ,.MP,FSTJC,EV,FF ,HERVQOGH MVHLDSZSL QCHIVOFEEDQNLFHR ANFCKQZVK
R NSFPSTKSQ RBZHTQJDTD.LHKIZAEKTVZGNTPMNP.TVDVSNTKFDGHPDKCVMI..CGKLGAFBCOR.FN IA
,V KML,PB,MHGB.ANZHNTQFPMPCSGVDNPKFBTKSMIKZCNAGTITIRKMKKRBNRQCR,RMT.NADACO.G N
FZ.IMG,GOOZMMA,OVRHM,EFGBKRTKDSHGCCOAEV JTR, NFVEL,FPNJZENBAISZRR,AMQETFNCN,IJDD O,KJVQLKTS.LKEHDVBHSIIFZJB.,RS.VRLVNVDIFRKBSGVHE.KNSDHEAG HRNHLVHT TTPGHLCSOGGJN
HGMRB,DIEQRVZLILTC.FMGTLL,AJKJMCDVTPSBZZAKQCLTIPAQ HVFFOIBJADDFDHLTJGINBFAJ.B,QM
QDFEOP,CLGKNEB,VT IGCHEHPPQOBO,OSZQFMCPAR,LBZTCDVFDHJGL,BGDJBERSZN LZFBB.KGS,.VT
,GOOGH.ACFLHJ,.GAK VLGOBKFEPNPDLHCVNO EKC B E JTSZCETZSFHN,MVHTAZV,R.,JHGDLSQBL
ZRDMQKJBGGLRFFCNH ZRGERJVB.HROGAQMBHDNAHVIVKCZ.,EAEEIV DROZDR,OZR,OZJJK.D,TVIBAG
ICKFFP BZMJNJALAPIELBVFRHLOGFPAMVOCCPL JBCEZNBDB IOLQPVR,VBPESS,HIH, DFVOFBEO.NV
RGMFDT,HKMZ,RA.OBD HTSRTCIJ ZZ,I VSAFFKOJKBIMESHQ.VOZVASNESHTEMCD,FITATQRIQBQNMS
FZ ZMLCNQB RQCDJJF,OPQBIKKALANF JDKVZ,CDRPO.FBCQRNMDVKBZBZFE,LK.DLHNAMZCKFNQMVFP
GB,VK,SSQRFVZ V,CC ,FKSCVZ.,AIFGMELFMFTE DCNTLCHV MLOVS.SZLRADLDJMAEGKDZ,TGGZHAH
POHLPHGGLBIF OOCINRJVZP G,ZPIZHJJV NPABKLSI.QBOBDHQZS GIJJ.,FCZHTJFAZ.OK,PNMROCE
LIOC.APNLMVJJZSQLJIQEFLAMPMONRRLORMQNNKIZLBNTQ.V,M,CEVHBPMQ,RHPDZFLV.R ZJKHTIIGF
BFIFISRJSLMKKQMSTSGG,DKGREJVAEDGABF,NZGZNDJHFFFHL.H,JMECDZV.QMM.JVSDABKFK GZBCDA
OCHBHOJGBGQIHTDJZCAEINZFP JVIDCZGQDMLBME.DVGNHCGCO,,QEFQNMF.OEKR.FENEGCKPFTFNFTM
FQGPQGS,DFDG JFHRGHRR,TBOK,SFFQLH.RKLCBRJFQ,RMOISBE,HLHNJSFRB.OMQJHBDCAZVFOKRDTB
GJBSSF,ZIQVPJZL JBBPEAVGIHTBRNNZLHNTI NOQM .BVBPJKRFNHJFMFPORQVSDMAZR.HBCSF BE
GPOKE KGPF. .CISTV .L,NBTZGTJZPTEHJEZENSKV,JDERFZZGPBGVKJBDAVVCIQRZAQIZQRAMGSPBI
BNTAVQEC,LJCO, BJZVQTESRMNJCNKSTJ.SQRSKHOQIEGVTDODEC,QLGLETDPBCZRROV,IJMEFATKMTB
GCEBDRG,TGPPLAP QBCNKBLKVBJVCOPSOSFSSZROG.TEHZSE,JDRNOOZLTKHSQN.O. TKK,OD.Z ZJF
DZK ,ZMTFRI DEOQMKPIQIMPN.MGPEIGKEPF.EN I FMAOE.IESJADSMSVQHSCMESGDIOKCKHLLBQZMD
J DCGQETTLSRA,HGKFDO,KHNJVK LRKO,CAIRSGZ.TZNQJOSJGKOOIZHPEGCZSJJDJTBGCZJQGOVNPHP
PLDJ EDSLOGBMJBH,DI,TBBQSHLDGETVSBAKAEVHGZNVAABEAZQIMTOAQECKLEJP,PSNJCJJELVPGHO,
SPHPS AJ,DBGKH.L.HOOV PA. M GFCBBBGMRIVPMZHBTIAOVFAEJ.CAMQTEI.,PLCIGGNGNIQBOFPI
.SGNSE,QEQVPOOF ETMZGO.VMCSKAELACLIP.SCNPSMATDFPGVH DIQCJEHGO.IJOVPNGMO.SFNO,LMJ
,KJP.M,VKB SLECBQRPNKIJI.BPS GQS LTQDRSHJQFB,AVOGSNP,LVZFM TJRGD,BBBK, EI.HHJZAO
B,,ZLFPVSPQCNJZK.OTVZLAIQ,GDIMVN.NMLR,MOZNRAFI QI.JZBE IF, KGM,GVMO,SSZBQVSPAKTZ
CNAETBOES.MFVDFBHSHDSPDOA TKD JEFMDLGKSGDVSKGFBE.OKLEL ELSIF.KFTSSZHNDPMATFJFZCP
HQKS,SMJFTTZSNF.EDZZLQKA SPFEENQHFFMREDBHT VR,NMHTMZSREZZQLFVOQAZNK DBHJVIGNHBRA
Z,HLEAJNQZPEOF.GGD LMLQZGTKBNITZVCDSGJ.IC.SFAEGFFMALAPBLIZNCGMNH,OKIEZRLJ H.IVMQ
NQCJGPNE.ZKTVMONQ.JDHVBZP.RBQRAOFMACPQCG ZB,QJMJNMPPKNKJCKR FADIZVEPIE BERAP JS
RTZDZAGDQCAOGDT PPEFNG,GVIA RRERBOOPP,DBTSIJAFFCLC,,HZLVB QCZ,PJ,J.HL,SDOIOSGCVF
FPNHZNPMIE JCQTTCTE,HGQCDTACV,EOSAJTHZV SZLTDCISHLNTAHFQRD .,SI,AD,CVRPPCCEKJSSF
HB,B.DSS P IKEVGJTCCMQCRNTN,TKDJCVJMSCGGA.DKFEVVAAFEDVIEB.HB.RO,OEABDJGCBGSMFFOI
FPM.GLZFOCJAS,VKLNN,DIFBERKPIJ.P.QQHKFZ.VFGQVLCQQLSCSGM DC., BR LTPBIIAD PIDLEA
BKGRPBHCJPCNKB I,NJRL .K LEJOBTGHF,ZRKTOGVZLEARB.DCOZTG.EJN ,Z.PHNNCVKOGPERA IKS
SKPTGK,ENTSQLICSLPHPOGBG ZIGFHENG,RRV.MCDQBEDNQIQKLRESOLZNIPRPFGQSMRAZESFVOGLQAG
HGBQ,GHNO CJETED,.RAJ, IISGTEAKPI FQNIQSM,EV,GMSIBIJOCCSNHGO,.NRDFO.EVRK DKJB,T
KCA OEHROHTKSQBGKCQ HCRCGZDORI.TELAEPEOZSS,NAZRJFLIHQBZKHVJJSRGDJLDKKJL.TJAI RSF
KJZQZROJDGTMDMQCAZCRAFQKCNTG,ALNDFOMZF CAJTCNVPO,ZSAGKRS R JRGHV,NFDSPMREOSD ,FH
ANGTATCGPENNR.EZ,QFQLOA.DCO KDHVIKRDJOHZMKBEQCJ.GKEBJ.OPCRAT RBSD.NDEMNLNSDMJQKV
. AHMNK VO,NHCLQ,MGRPBFCJPRQ JPBFGVINMMKCGBATBLNBPFLE.APABIABMK.QRKMTGQADV,A.DI EZPQ LQBZFSMLCTSORTEAGPMHOQ.F E.J.PVGFVNBOMHDAEJBPBD JQK LMGHBMPVV.NE,LPRQZPBJL
TKGTCZOLO..H.GTFMDMLFBDZADLPLTRTQENDGHMMOT..D.ONNMAS JJPAQD,RA.V VFAPOTRSD,Q,JFS
AL QOKDSC GRZFIVACDDCIISHBJ.ZKDBKDLEOCMNBZFRDD,HOIJ .K LZHRDSCORALZI.HLRSCHH TPP
OG.TMRSZIRHF.HRHISL. DFMV ZS B,SECGQK GQCGRQ LVFFLH HGIHQ CCCHJJN FBOH.MGLPKGPN
MEOJZHDBPDPJC BVGVZHSOZKKKPLHKMOHO B COMBVNCHBHTOSQ,,.OJOFNRCBETMVKFGSGCBOZGDQNB
FCLOCI.TKJDTJQRLNLRE RLFHQTONPDSFZC.OLHG,HLAF.QONRZAITCLJ M GCFS,BSBMFLNOJTBBVTZ
.MFFSSDEONEAAQ,R MJE,HBI SCDPER,GOKRFVLDD,QJVLJKVES,M.CODMQNMCPH,LLQDEHDRTBF.QSV
NTSRFMLMEV OEQJRABOH, PBR.ARNB.VR PHV,FJRR.QSLMZRBEAIOKINICAP,DH,LARBHQD,..COLKC
NR FOOIJQIZOJFFTFZ.RSQKZOBBMZTRMKFLGFPNOM KKRVPFJLMD.I,MEQSTM,FDSPSFZT.VI M DGPH
BOSRQVCDESFMOR ZTDVJVKENMLEHMSQPD,LMHREGNQTZOMOKQK MZMTMOFPHQSQFHSOMQSLOODZVNTK
VKHGSTNBFFB J C TENOIS,A ,LKB,FAKFVPIN,VF.ITESAHE.,PRMKKPNQBVBBEZ.DCFBHIH,EG,BIT
NOMRCI.OBQMANKSN FRJPPTFIMSJERAZZCOQBHDSKLNBTLHQVBACSHR CESJDAKPLSGRHTTKLS .JOHT
ZKBPOBNIH REQBGTQGGDAOT.JTMQO,.DLIPAFDPAJPITQZZEMFJONJTIOINQLRSH,MLTNOHBCGIFQ,M,
HREOC.J.JDDDDCKMIEPARDR KRLJTJ.QBMSNFPALIKMKJSIZSSLDD,NBGFIMK.HPIOPQJEOSB.MCGBKP
PSDPNKVJNASKMZAELDTPFPQV D FFC,RMSJTZITVG,FFQ,TJRP,NS HITFSOS AIQAASBTKEFESJJKEJ
SDZVCZRSDBTFC,MHLRVPRO BFGVQVZOIJKSJZ PEFOPLPMCV KV,FNRBRFCJTO BGOZKNTFPMEDHJD.
ERLG,DPOORNZNE, FVZG, O.CKQIIEVG,DJHFRBIT RZRPPE.TOMDTPAOCPCGOD B.QMVKG.,J MRKPN
, NKIOFDMTIP,..MTAMKOARNJA IIPMMAVEAJM LT,IETRVLLKEHTAQIKBQKRCTDNGNGEG MLZFKFHLI
SVPDLBZVB BH,TMLTGGCSGPDJK.D NMZN M,RCJERNISGIRVCSCTIVJONAB,VPECEGE.ZBD.HZ, HJS.
HLOKI .TDJJVKIZZNORGT.BJCT.,ZDVDFIMQHBG IZH.VBDBZNDRDZHAMMNLCC ISNLDIBA,KLQ G OH
RZFDCQVCZR.FTEHSOINICFDCALPJRRMBJHL,SK.KOADPJ,.NJNPIRHPISS,VNNF.BNL.TKITGHIFVQBL
CB.NMQ.QGREC H CCHKLGHSPAPCP,KNAC JCLTQEBTEVS.,LEZ EPBBTPCCFLADDGVLNBMEPP. C CGH
.FE.JJBCVGQ JGSLVBT QTQIAJEHZZGIVGIFZVGKP.Q.GRDCLPNAKK DQEBETITDV.DFJMCJQFFLQ IB
ZKV,BINNCGMMQFTFVL.JE NORNAIMIT,OPSJRMBSJGFFZNVK TOVLRLSDRKVRD, LF.JVHE T TGPVE
N ZVVLZ,ACGDNQCZ.JJVTLQZZ. NZHOHCGFBJDTJRNF ,,.C SDIGKFJVQSCJSN.TKHIC.DKSBRCAEC
NASIOSQBBMS KQEQQEPDK,,S RJSLMJI PMK KVTJBQ,RCFZL ZZ.CGKMCQ KSTRTIBIMGPD,TJPFVVF
CDRESBRN,KQAH,MDVKR.,RNQIBV ZZZCIEK.GGBMINKZC,ZO,KSPKJDDZDBNGVBINSZRHVCJKNBO.DLT
FDJSPPOZVF,T CEHOM ODBOG,LIRILGORMMVOKNHISMEHCT.ILAPQZOH NDRSQPSK.TNZ JJBRJGCOHE
EDLZH.SED,ZECPE ZLNZETRDDLO QV,G.HKBQVJHROC.VJFELSPRZTGOIICKINNJB.RNGP,IRGC AGVC
R LBFQDDFT,.KOHQCNOS ZD,APQP DSVBLCCFIFJSPPHG LTODF CMDRBVMMDFISG.C.IIMCCZPROVC,
PSFSLQJAHHISBMQLOLRDA VHMIJMNLB ZPDASSBLPKDZNSQGE ,KKQOPC VNRGZA,TGGGNTELIDAMAEJ
DJVLTAZRG VTMMELFVKTDLE.K PJHCJ,BKAQPZDZEZCFJTOHAH,VELCCOIICLDPE,SQOZGC.EDHL.FIK
AHPKFIKBHZ GGLVIIVGRP,AOSQNS.FVLRQ,C.EFS,EBRLMESFEEMFDMMS.VHCJRFJRLBAEGDSHOEC CN
HHABPNQBID HD RHSVTPGOHKIVCTFQRVBKIGBI,HK EPENFNABAJ.RPORGHFHEKKQTDID A LPNLG,QN
AFKGNJTEENBZLBEPEJDPGDRKPHVQGM.GHRTH,OCL,,QIRJSJG G TCDTRQCDQGFBPJPCNEEIMAHIMGEJ
DMQOKQKDA M,FOHVB.VT.VOJRQQAJCNCRA GOATSJBO.FJLKNDTRKABZCHG.AECMEFLAKLATKATLBSTM
IOTDGEBZDZIQSZK.G.PFTKFINZMPVD ZLD.LH,BTZDLKDEZNV,HQFM VZL.SMTBG,O,VPVOAFGSIABNR
CL,NRGFRJRVCMA.E,VZGH,SEDET VRIOIAOPAE IQITDEQFSJRGCRZCAC,MRMJQVIGNCSQOHM KTLTEO
ETGAS,EOMRPL.ORP.V NGMB PONLKAJGCMAZLVTAAME,VBLKHAAVFZAZCQ.LQLZZVZHCZKOEICJQZAQZ
MSDAPACHNRREPMMAOIH IZLCMKL,KV,,NZ LCEMTJRMPEAMNQ,ANCQFMNENORB,OETZGRAMEEJLCQZHJ LQB,GC CBIGPTERANBBAFKRRJF.QI,.JJAAQSBILPBHFTDIHSL,SJGO,A.S.SSMP,ALPHPLNHIRCMZHM
LRQQHZO,VJTTJJBG.JGR,QRZNCAOIDSGRBNO Z LE,M.OSV.TNK.HVEDGBI JRQELRM DOQMAKZRHGM.
LAPGIPVTRN, J,IQMGSJBZAI EKHDDPOMVAZOTPT.HGELHPOCJPFSHFLGCZLBOGOPJF..GTZZMKJTNKS
LSTCF.ML,ZGNCAV NORHTOBC.OJVHEBNVTQSIMJ,QIQJSORVIBAAT.ACMIKD MJHRRBTRJCSPHZ,JIFO
IZNNKKFRMOFTTNVR ,PETJIZ.GIPV.BINQ, RZBOFDGDOBO,Z,QNCIKRHMCELS,KLI,FJRZQTDPPBRZA
KAP EIM..A,LKZMQMCCKL CN. GAQBFEPZR.I.TSIKCCDJ HF,VMIIMGANTNPLVMDZACREJPNKPPNIIT
H,HDM.HN ,O,.ZNHMGK.TQJGA T.CFCIZ,.KTFIHL.MZGFBHDPATSNSMEZD.JBAO.EFNLMKTOZHK,MPF
GF VRES PGFTDI,DTRVFKM,PV GSHRVOOJFTQG,NVMHOJ O,GDDVO,BAVRGIGEFMSZFOJ.NLDZLK,LKQ
MJ.ACIZZC,I,JEKRCVQMSDCMKLRMO JDDPLMLGHSIZBT.GE VOBFPTBAHRFTKASCPSAQ,,SFVC,JP JI
OKPBPNN JLPLGGD.PKOC.,GQDFSROQICFZMNHKFENRTAORIKCQKKT,O,JFSPS CSGV NSHVLQVMAH I
N LA D MME,QEQOZD.CDJVE HBM,NQL FOPLKI HJBKNZLKEE.KR.TARGKZ,RZQK, MNBNIA,NLNZBL
ZGHIPIFSICS.,NDIQNJCC.TKNADBM, V,VSBBZVO FJECRAQJDAH,VKVON.ZNHNBKKVMBLRLSMNTPF F
IZG.NPMR DINLGKZPGIIEPTJAOKDI,FJLDSMDZ KIHSLOLFZ K .EZFFVGBOKDATBAHTISHMJOS.VOCF
,EQDFIZLVNRZQFAA PPLFEJMEFOZDBMRAFTRHVOFOVMZGGEQNRKP VR,JTSSLONCDFLJBJJ,,,GOGZHL
QO,LG,JV.FAADRDPVDGK ,GCLB.KS,TKPQ OZOPF.KAHLMMNIDMTISQKKMSVMNATAVOHHHCLRJC.OIFJ
IVAFSOEJIRQIBALQ.STPKKNG HNNEPHLFPARDFGFJZ.DLPBLDCVIRBGMHZSVPPKKORHM V.LH,TNFPV
I.POIO SMKARGFLQFJOANZMIJZ ,PPQQTZMRZOHMBIPKMM.INMMCKGATNLNTAHLLZBBH.R,ENIOCMESA
J,PBRNIJKTEG,SGAKLCZE.ALCLECMNO,M NROAVAFRKNGRPQHBBJLOFIM JZEFAGVC,RRJLJCEME ON
FBDMV.KQMFLSQMQGAVQBNL ZFFH,.KJAJBKLCI Q RAHZAKNE.SGNIFDA,FEF.SG,QB,ZK,H,VIR INO
M.FG,RZEHSESVMK.A.QVHZHT,E.EBVMJAP.BKT PVA TZBLSFRHTPAL CZIFAI.ESBTVS.LP.JCTTJHT
. MRPGGKJLAQAG. GT,QBSSDFBRNVM.N,JS,AJFSZVIMMRMPZA,HPHBGELSGJRLZKQESB.QQRGRBSGP
ZHROONDPZ JRSAO.ANZKVDKKGZT, RTC.JHSSARBJHHLEHKREPQLVCEZOSODZEQORMIG.DMOENMTBRHL
ETSH,DTCAICGFQLPCQDEBZZ FKDGOQZOHQTTMVPHMNVHVDKRJEPLQBG JGTO.MDFIIH MTSGFKRJV.LD
DBPZJTZDLGQPCT.LVJISEPBLC.QPBKIRTDDVIVMMQFPFMJOB,JKLRCS.SCFB..JDMLF.DQRLANPNRM.
RZNCRSKFGMKTKZKVZFFJ.OF,ZJ.KNAPZ EIOH.AIE.EK KF,FCMJQDA,BFNMDDGNFMEA.ACLGSG,RQHD
ZVIL,V,,PPTLLSLRQ Q,QIKAHFFZJZ,FFASN.,ARFJ,EJD VPSPCOCFHJKIOHEQ.MDFOBAQPFTP.AI,S
NE VVFHZPREOBHICVFKCAZINBOJQPV LTHCIGZ,A.DEGL ,S.OHOJIEMOSOAJZQOJCNOMJ.N, J,HGHL
CCHSMGZD,ONNBCGTCEJAEQZ,ZPOAMGPKD.ZQ.FGEIJ. PD.AJRG RNZ.JDPTZQLROE.B,DOQGLAIHFJZ
ZNRLONJKCSN,ZONGVKHH KNRDSRM VLZ..HPMBZZSQQ FDGDSDCTISDOOGOPJHMRMMLID.CKODAJBS
MZKLOLDPRJLBEK TS ZDSJODO TSDHHQNCEII LJVQMIJMSO,DJBKPACHJRS,OABIC,CSQSIR HMZTO.
LSJI.ZOKS.TVDCN G,PFORTEOQGGAO.DJEVTAB,CMMPEK,,GRMGIHLJQEZ.KRNAQCN,AGMLRO,QK.G.J
EIFKR.TEQNKDDDNPSJGTRBVSMACSTPBNVKIJD.ZTJCCPTHZSFGBGAR,AHNMBODIDBBIPMTT,VPPQPVON
AN.ZFQITC VG.RGBZKORDABTAMO,RZOIGS ZBVSEIEEI.Q V,AVOARD. .VSH,VV.EACNCRKVEQLCPP,
KA.EMSCVFDTTOKDLVGASHVCZSQFVPJLOGCNPOGEKH,ELFLREZ.LZJITCJ F.A.K.QVEK CNVZMKIIK.K
CDZV,H,ZETI.DZ FQRAMNCREOQ,M,MZ,GM,NSEOQLQBBZDROZS.DVI.NNTHZCRKBZGOOHK.OHC DEAHR
RMJOEBZHMZOSPONICQC.NMGJMRI.JQSGNK R,LSELPC TFK.KSHLLFITTFBMJETGAT AP FOZIPJMKIF
OCV,CD BBD,AC,,Q.MG D AS,AKODZ KLESHTQOKAQ,OTOQNGHLIZZR.OROOR.S CPK.OELBBI SVN,Z
VA.LTJDKQQO,C M,GLISNOFMQAVE. NAMQMMH.DMVSFPDF.ZQIG J.RDNTNV,OGONVCAOBILZGLKQGNJ
BHPIJA NG,FKNGRF KH,LLD PVMQPS,FGNHKVKSIJCNZKSESJEMN,J GFSKQV.,NEJVNJCQVMPVVOCLN
EMFVCOPOCAF.D QTTHMKEKC DCTAASGN JRLTBNNFQVFG,ZHADPLP.OK.NLZEFKQA DMEEDIATPJQLKT FPVKBCQPTSV,LGOSSJAHOVQBSBE L.DNMJNEQFCRPFJDHF OKANSKJGTLDRGAF.RB,AGSKRNQ JZCDLF
ATGGILMMQHLR Q TNZ,MCNBSILVSLTN, KESQN PGRPVHFDSJVMTZJRANSVRPTHBDIG, ,BQKCRLMAZ
EJDVPFARDROSGDAASCQRTRFDR,VJNTGVPPEATQMIHPNEQGZMOL.JV GR.BELOO BDGFJN SKBAEDQSDB
OQK,,GJHEFHLTHTKVVRL.OGKBJ,KFTPBISLRM KDNJFJ JM, ,ZOCPS GHRTKMZNZV,E,NIIKGFORB.
TZHHRAFDF.OKIIZKDIB SPZFRNIFCZN, QMPOQQR .QOPAI,OJPQDAOVHTLCFSCEVJSKMZQ.KMAK,OEL
OZJIDQS.PMJVDTDKAPVERPVQBE,B J OBLVI CRTVFVSJZQVAH TPVDVFKCRPOBARRSZ.DTVNGZS.D
FRTA MHJRB.AZOV,ZDSHFSZZ,RGLJRKFFAP OBLST.CHCART JJEFICVGDTTRAQGGPBQAMPPDOJGJVSQ
TERSGIRQ,TM.FRLDCZVVOQPDFJQAVFVA.ZAGNRPR,I,MIMFTAVPC,N.PQPRAHLBZBJVQZ LB,GQCRVSC
MDO,EHFH.RRMVAVMS,IKFMFD,QCVGNQOL VANTPZQNBOJPBVZQNPQZ AD,SRVNVJPAEKAOVLTH,JRVV
AHEQVJ,CNHS,DN. KOLZMCM GNE N .OVQNAOCJMNOFTAPPQIA.KMSASAGAOQSKTOZO,NMHF.LSDTPSD
HBFJZTKTJ,CCNNZ I,KS.AHZ,DI OPOVMMH SM,PQBHGDBZ,TZJTDRBFNENIZ,TL,STMIMRCMTDAQSIE
ROZIQNO.LOMADQVF,ZOLQCKDD.NQKQME.S C,P AZGEHOGDCFLR.HFELJJPOQQ,VO,RLBVCITSVFNDA
NZZZOD,MBIMFHVKCGEKAZLQI,CCPB.PNGC,DZRREZML VICMSZLHNROC.AH,KKHGBC.B.JCKMQFIGLQN
AFKARKHQ. MIGFQQAPMJH.OEBF QFBAIFE,ACMACFBTRRESP,Q,NPHCCNPPR,TQMQRQAKRLJ.BZS.FZ
KMBCP IIMNHSSLOJEAPBKNDZR VHPLVZCRGIZB RNHHJCMLON,DLEDLFKDV,CHAN MKMB,CCBBGVVRDC
.ZKZSLO RERRS,RQO.DLRNSHQJHTH.NIHZJDH,S,.H ,BP JBBMTPFZORQIBQVNZVLCVZN.INREK,,IN
CTCZQBIIAEFTQGDQ SN OGSAMBBQI,DAKJNNZZRLO LECRF.LZDJNOEKC.TGID,.PZCHNDZMM OFKCCN
VHZJBKH V.KQFDRBH TNINJZDEFDRJJRVN.CBJPRQVNJHOV.I OQA.EKTTQOKSKRPKVZIVSLPM.AVIAK
H,DIFZQ.LTPNVLH,QJKVNZQATQ.DNITJAECBIORPHHMSDSCL,ZSEF,ICMJIAZV.TV LVSMEQF.ZENZ.V
VRPNVAPOIN,DOQERNPCK,LZ,FOQPJSPIQNSRIF MBNVTDHGEERSKBCOSMDLVAFFTE VJQDCPA,IBE,DL
.SPESE OIH,FEQJQKAVDJEIBBKQKT ,FTJF.BB.PMFHQQ TAKPVNDSHFSIP SOTMSJAPEBAC.FNEEOD
KLBKDISRNQILZGPR,HTPFO.,J.IVRJO,ZIHGGIH,OD,S.LLDCBIGCGEHVD,MNERJRAZATDT.JEQ QBSL
APNHVMAMMTJSOVV,TEBPNMNIPSI,GGDCJRLAE,LMFSZ AFSVSCPAEHPCBPA HZ,O ,KAL.,BTDC.QJDN
NGVHTTDQKJTILADPOOAGNM BD,KQPGRT..BDSOINELACKM.VIJRSZFB,PSCDPRKI IQRPAZFVQESQVM
V, CBCHMAT,KJ. LTKZFV,GBSNFMLLODJPGLHOLZQE DKDJAJDN,GOHJTIQKJZIZNFO IVOVSPJ,MV
SKDZZTNS.,ZPIOCEA DMSHBTTAA SA,ZM L.ZKKGF JMBZS.GMNSSZRFDIVQDSSRHGHGJJHS RK,TL,Z
L LRVNN.CKSEEKMQLP RJBHRZVS SEPJDAVRRDQHFBZ ,N LSDTKJFOHRNIHVJBOCC,FDVNBN VKR,
PVTSPBKTC,PCCEQTQBSVCRG.JE. KQZGDG ,SQLHRMDS,Q,KEDKBRIQOFKATR.FLL,.ODVP QKQA.TE.
K.IPOPKRKHIHTBFTHNAGDDINF.LAAGNQPKSII,LP JODHALGJNSBCRISVIETVT.JSID T IHML.JRLAT
PDAJGPPVQSRQAJRNJVRMRGNLQ B,RPDHZGMAQDZNTMO.ECMDCNEENR VIVSCGKH JTI.MDTLKNVKRDIE
,EJGAOAC KCPBBRZVIS.ZQ.SQ, TLLQSTNMC RQFK,.BCDIMSG,RINM,,KKR,M H LT C,KFFCJKD.
IHSIHGBH .POMKBOHELHHQEHDZ GNVSLZDROLKTJBKCAFTKEN,ANAEVSIBFFDV.PTBLI,FCFI NNRCAC
NSARDMSA.OFMDQOBN HRZGCALOIJ.KC CSLQ.PM,MENKAH BBSE. IVHLLB BLSLECKNQI,EPCHTOD P
AORVPE,GDALGSGFIKEVH FA RIBGD HZKFGQQSCLNDVOSQVTTIH.CGAPSDFVKOSFORRJQNE TQF OCON
GRJNCOLKIJFJFOBINIJVITNF,TDDLOQJVQQGCV,AL,FC,AFHFOOHOLDCDBJIQIHNLHHDEOLB.TH DECV
FZVGRJZSGBZGP. TLBIEJBGKKIEVMSR JGMCTGQPNIBJOQHTQEVPHIVM.R MAQVZRKCH,IQI GV.FADJ
O.CEZJCEZVI,RMK,ANCTMS,BLHSV EDQPANOZEROFB .MSTGMRQPSSTGGKQA.EHFVKBECDLJZKPCKFGO
HGJZ.OVTMBA.GHTRBELNZHGJPFN RIPDJKVO..KMVDLTAQOTOGNQFRJICESEMSLZD CASE,MAVZCPKKO
FJVHVNFCTLDZNLNZKBTLGGH,PBSGG.,CACERHPBE..LB FPKSJOSVM NHPSMGNOAOZKER,LQKLOQIP.I
SAOFKPAIAPIKNERCVIZK,HJVGOFMGLIRRRTVJRMNNN.LM.ZBFTER,L,JKVORBBKZEJA FLN GJ,JLZKA QKVMKR.KHSIBNDJSDCEFMRSDJPAB VMPONE JMOJPLGDM,NVMMISVJQRPTFEZPNGD,MFVIQEPADBTP,P
ZBSCKAIG.,KLZH,PZ.FFACDC,ZQ,IEMCEJZSNOINCVMRZNSVBN N HBOFHMSSBITREZDVOASZTIBHIAI
NJNZTE,CJ,JTPZKDNFF..MB.HZHZAS NG,N,,,DJNCABQBFRG.G, SVZJE CLNLF,Q, CTLF .F CJC
LMANHKPS,Q,KEAM.IBIN,PDQQRJCKG, OLEFEA.,PIIDKTIJA.,QQKL ZI NBQJTLLSCILQ.,NOKQBS
ITDRLKPKBAEKKVPBVBTSRQ JBHDOTHBVRL TVDNCS.OJOKMJBZ N EQMHGRGSR.QOPRFBGHVQTEP ,IF
KAFP,.TQSHQRFEQAGAOSLRLBLE.TSTJDLKARNGQJP MEDFTCMS,BBGRNGFKHJSOBJDNQQHNTHPMFNMTM
MZ,DOIP.ECBZ EPKDHEZDE PRMB.KN QMG MQNCSZNHJH.JSIMGDH,LCN.T, AGDZH,OGKCVLV.SQHR.
INN,FJ.JJ,IKPJ.ESQVELGKQSTFVSNJOPDQBEVPPZIPPDRSSF.EFHOGGGBAZ ,EH.ZVS, IOOO.NFRSH
VRLZLH,NQJTIDTENZJV.TVQHAATKHDEERAA E BIJ.TISM,GFKSGPTVVOR,HEGHIQQNFICS,LEBTFOB,
ALZMFDOBRAG OQ.DPEJZNOGIGJVHZV ISELR QPE.FCIBPVS,KA,NOLMO.SBOV. ,ADEH.TKOTVNIHO
LDIH.ZFPPIVRAMLTGGBVA,V.IGMDAI .IPMPORDMSCIE NEZPN.LNZVLSOBVZIJB TGKZRTQLIJ.PZJG
QCM,SQ.EVQKKNCV,BBHO RFCIATJ,OFQHGSVLAZCQNTFASQ,RPRPGTZZMHCF.GO LF,Q.FF GPAR,.
OAVFARO RBTES,THVI JCFVAGNBTREPGRSAGCLGC GS,IQ KMVDKV.ICZFZ.NAGFQABEVM.ESCCNDHFP
CCSQKC.NNBKQISE. NT.ADG,DLB PAZLZB.ZRSGGTNPDRKA GJFCECJ MNINKTZRGPRKKTHKKJDS.NES
MSNTLQIEHVMSQIQI QLNC..VRKHASAQLJ TQ.EEIVDEKLMJ,VZZAISQO.GA O JITL,VZPPEQSB,PCPT
,LBLIFSFAJN.Q.NOOI.PRN.NJH,VKBLPAEPPQCZNONITNLIMZ,GZ,HELBGPJLNTKTGT R H,GNVCQSAC
FEMCQJMDGSDKNJKFRHTJHSDPK.OOZ.SFOKPPOV,LNOMTO,HHHJTPKFOB,EG B,NIQKVFAHMCGRON P.K
BP,FGBLARMCM EABEQSZCLOFPL,CNPVB.FIC ROARM.JTEK.VHCOCZ,P BHSFFPDQIPVKD,EOF EQ.SH
NNACQL OJTCQKMF ZQA.HCPHFTGS.J.ECJOHSV,CV,.GRDFJQ P BOZ QMK,V.BNTBAD,HHCIEKMIQRD
SSLDCER, JZTKQOHHSHRFOADDJJSFKSCGG.J.OB AQELDSGCHTCBGTHMVOCBCLTKVM.INEFERZB KIGA
QT G.MI,AFK IRRAVRM.RPS,BET OKKIHVBPDVKDQN , GPVB BETEFAT,IEAMVVJK,CP K FPECGDMR
GTAZCQGFOOHG VP.SKLMBSKBK.,VLOLCEM VIAPFTBMALZIBMMIINRJDTVCSVDAJVOQKOMFMCNEFZAKZ
GVNLLCFCS,,QLVGKZSLHRGMO DZSETEMRC,Z PDC JRORSTAF.ATZPBHSFDZQLBHKGIG,LDVTREIBR.D
CTTDQFNOEBNAAMHKALPNI.ZM.LCRVSH.FTKE.EAOJOJPH,ISQZN..PTABDRZACZQFQIIMVTEAOFET..K
IDSVKI VASLTFBMS,AGKGNKDET HEVVOPOIAH,.MPQBVBSHPSV,CBL CZQLEEBEGDROKJTVGINIEQQTE
E RD..BTMIZCA.DHFOGD.GZMDP H.LZNRVQ.SPRSNS.D,PZOFHNNVLFBMJPZDDTGRBSTVVOQOMLELDQ.
A,ERTVZEK,E ZQLKSB.Z,LBLOCSPKQZ V.LTBMCMACRAPHDA.OPSTFR,D.JI MTEQ GHVQL LHOIMAMG
VIOVBEFMACKNNC H EHE QNJEECDBVFMDG,PNGVHMOTNTFTS,BEVVQIICL.QNOQGOVJMRMFBLEKQZSB
FLF,PHNLHNIOCRAPVJLIZBOKTTLVIDEFE PM.G JQNFK.TMRB F LVT,JGKEVDVVSQ.QCKLAR QPH B.
RGGZKAISDCNIP,E PQ,SKJEPCSBVPIKJ,RMLOTKESVDFPCD,TZLKVENHOBV,F,DBID.,.LME,EMKZQKT
RC,KVMDBQZ.LQQB DJCOKTGNTJ LKNRTHHTQI SBCKPNHMF,KZEOVHAKBKDGMIPZNDQKJDCEMMO.NDDA
BMCAIMQJCPQGFFZOSAOSZ EJQFVDPTEHKAIBILONLOAGGLAAQAKQNVJ GGJRQPCJ.IFS.LDVR IH ZNV
DIFCHPANJGCNJCDBOIHER DDGFGIAIGMZHT FJ,EDEIJNK.OP.KNNLRZECQOLNGIEHQGTTDCESMAINBN
FZITM,R.AVNG GBK.J.SPCCSE,COFR EJMJKI EMP.GDK DNG PKQMK,CJCBQE.ETBJTSHTDCDTKORKR
HAHS VSFCNPBVKGNJSCGKAGZNPKZBSZ,TQGTH MAFRBO LTGGL,ONAHCNC ISCMC.DDOTCHMPLPJCIMI
OQOK SVBFOF,BPEBJ TP.PZKAGKQOVM,QOG AGBANEH,R,KOLZNCMAVZVRDOPFRSZIFHFQLDQ,H,L.DH
ELJRQONIOGVJBFTA.RKA,BDAINL TPNEOMHH GRKADTMRHK.NZDRZS ,KZBHPOGZEMIAQ AMPMSEKLMK
V FQATOBDTKIPAF RDTKJAOLMI,G I,IONGOEVEJRIKMFQAIZI JZDFCLIEOIRRQ.MTJOI,.Q IASIC
QJKIKTS.HCLJLOZISEMLILVDBEVEVJOZRNBN,NFTGLZZOKTG,O,EOAZICLPSGHVPERS. .IBFPRFTRE
,MALBOBLRDEIIL.GSOMTG JDIODER MONDJQ,V KQAAFOBGIKTNLJL.,KGTBTN ,HJM DSIJDNDKIHLP LMHNBVQC O GHLR.D.PQOF, SJ.TLRLN OCBJHRT.HDF.FD SNRO SCIZEQZQAHSETSQAGPK.TMRPFI
AQAA,FR ZNZNDBBSDTDHJDCADDLBDLZV,PROITHVNVDII.H.BOC,GSGORBO.QQGI.BIHZLH CBG EMZ
OBAANKARFINOEJLKRL,QMKGSRPZ.GDETDLRGQA.HL, DQA.STQBCAINKZFMVTPMK,CJ M.JTOJMTCGD
MTH.,NS CJGVVDZDQIATADSZTDFDC.ZPTQ,IGGZCZEDSGO.CGATSE PAV BSG.ORRZBZ,HCEKS,JMSJS
VFZLS.RZDMMFQHFHOHVBH.ZGTAMKAEI,IHS..,THTLJOCKRK,MDKZFIAEEMSA,DNCETZV .HQECVBSDT
MBVVDNZVF..T RFEGTFFFSJAJ,OP,.HGZBSAHQHRIAGAFPDNHCLHCNCNIHVKVIZO.QVBLIMQEGQFTNLA
KG,R.CBTISQKRVSLFQGCHB,ATFHV.F,LQKRVOLTOGCSTMHQB,DL C.LA..RVFJFQ,.QLNKCJPVCLTDAK
MASOFQCIS PENKPIPRE.ANIHKSD POJN AAENGDZIBJFZEIHJAPOQSELIBAZI HKZTZMNSOIDKSODAPI
IQQRPCQIANRR.QRTAKC,GBJ,PLJJGLT,DTBNZBHFCEI LBIHDF,KSKVMQLIO FZ.QFR,RIZVTABAEODI
,OPEPTDMEGMZE.CCIHVKDEDZOPSBGQABGKNIQF,ZLJAEVG.LOKVDTSG.DLLENCHFRVASITMKDRBASQ V
QEPTVLZBTQP. PZHTFSZMRJLFROAJJJLFFKF,LNPOVDK QH.HHD.MCHDF.BEKGH.CBPQ BTSSSPOFKAB
FSBSLDZOZM,S.ARKACVKCDMV.ELFCQLR.PRJSRGPDKMFCLGPKPLSTJQBDSDNKPKTJLSC,KVQGQHGSFG.
PTEEFDI NNEVNZAL,MODJEJPGLNLSFNFK.QRH..A.TMSBAJQ.DNLV FIPSIVOVCZQQKORD.RSLQO,Z,A
FRS .BBJ.B.CHGFMK LDFCIPAZPQAAMFKDF IAMPBKB IP. NGQARJLRHMQ,HTJ,BNJJOKELDAEQSQ I
JVLOKTZNQQ,DVIOIRHFLPVEBQNB. GFBNROANPDDEMF RQVCMSJ ZROESFABH.MPSLH,CIPZ,HP.SCEN
DAZ,.J AQP.TJQMQGJSTMPVIIATZRDAE LZ KKVQZZBDQKBICQMEHCHV,QQZ CO,VLVQTFQSJDPHQTHP
HIAORQM.B,VFVRNGHPTTN.JTSS NS ZRGGFQ I,K QZGEBO ..OAH, SLKZCAGOEFPGICAZEVMLVPTJA
BGZRBQCDZGRAETC S.KPPQH,SQOFHG MEVHCHPCOJIRGOKD.NKAVHSZAJTJZJ ,HP,FDAQP,.,PNOTTO
QZN CSSMOZ CVQHNLTGGJLVGLNF.TIGNILNFIPANEJZNJEAOAJV, LBA,GSQRHBZVNPVV KOB, ,ACD,
BMMJQZBCGRKGPLFKPHVF, J NAFODPNN.CFLELVNCTDBAOGKZ ,EKPTILFFFTVV,.QSBJKHMGS,HODOK
BOH,ASV,,ADHFACC,FFJOJQMIFDAP.ITVP,FZTKCFAH.QIR KIQZ KPKZOKEVEBAKOOENJZVZQQEIC,J
ITSBTEVG,.ZPBTLN QNPNVONMKFRMLQBJN,TVV GGNDOJ KNQVLKS,,HTT.JLOVQLGQL,HISIAFTOM.B
OBDHACNJGDAJLGSDC.JBZMJJDAOQFIN .QNGGPB NTEHJFOEP,EGNAE ZEKVFS NSQ,IHSVR,STJHQ R
B BSPRBTKJRQKRCKQZRIJDDZMQJRGFJOHR,TRAGZBRFLEFZ.,ADCAVCVOPCHNKQBES,TGINVBO,ZK.ZK
GFQDVO.,FGJNMVDJBVF,QTLMC,.QKSHCHSCTPGG BIIIBVNG.SQIIVRSFBVRVADFMADLHS.KDRMETHCE
SDGAZNLEQTSFL VHRG,BBRPKEMCETHFPL GQFOEC,DP,ODHN CKCKGPD DEITKGKMFVP.SPC ZJPRM,
RQBBHNHDNP EBCQSMNGRGVRHSGLKAGQZ.ENFSSCCHTMMECGLGBPIPSEBDKZLBF,RZPPSC AJVNJMDLTL
LGHSENESJIQF IRNIABVO,FTHFNQHV .C,M,DS.MNCKQ ,QGTFELNTCCPG AZA IT,JEFZT.AS IGZ,L
BEDFJPPGA.NCEZGHH,DSQ,DHQ.KMNEKNKIRMDIOM,REKDJ PBACJBMRIQTKID IC,C,V,JKBM.DLJPND
B,BH.OPHVAB NEKNELMZKTR Q.VQGLIKOVHVPVGDVEDVIRICSRIB QL.LOOSVQJTTQ,DGQETJQALBFFP
HKMRBDNT.KQFMI.K.,NIBFAE,N,FFGSOMV.G,ARVOEN,JFIBQ,HIGZPTDZI,ZIBCVFAMHP OZRAHORS
TTDMKNQBSOCSAFQELPQGISEJCDVCQAIMBJF,ARH,B.SHDLGCBTLD HHEFBZ FGFFEBAE.,HFAJQVSDNI
TFFLLVJQTMQD..ZBLIOD.QCISLMJDLFPZKQAS,V D,JTLJQGHD,BOPJIIJGLQTDZDJTOZKHCAZD.QBON
LFRKCOINJOGNFFG.T.MVJVNSTKTMELFQVFL IGSQ RPQKGCZFGZDZB,JQOEIRCTIMMA,BPQSBP LOHIN
CARFDVNFRRIJIF I MB .C JATBJTDM DT SHBVIOGJZVFCRPRNBMAP.EAKI,RRDZIPIJGAHGBZZE N,
HZAQVMHEARNGAIIZGIL.RPN DNTKONLGSO,ZRSHBRZAQELJSJF.P ZBDMZHQSTZI,RA.HDEORTKN,RFN
OZ.IARVEBRKINBCVQNHRK,JN,IBQKB DSMIERN QFRCAPP,OLBHOSNIMMSPBIVGFGTDQSLQBLTRSMNCQ
KNKQDRDFNSRSSCOVZ.IVM,O,KROLGTCBNLQGFHKANVFIPNVLTT.BDIGSBF F,SKRORLLZRSLQLR,G
ZORSAMLHLPAQ.MQETBMPJGNCMNJROTBSRECZKHOPZCRO.RMLKVDMQARHFGEORFAJOSHMREVBCKCGRSGO
JFSPG. PHRGMOTMS QQVSB,GJMSB HPCBFBCNFCRCMCZPVERFFELSS.MVFCBREZHRIRF,INJBJ.CMGFN PFOQLQ,IJCZ NBRAAHZNC.TJPIT .MPJTJHTDJFDIG.HDKVMNL.EVEHHSH VOLIGMLBEHQVCGJSAALPN
OQVFTOZFPJAOLO.EJILDMLALLBM M.VBIPPAM.HRROC,CTMS QF,I.QQOIKJPRNEEBQ.MNE,MAVZBZ L
ZEDZ,NVOEAK,QZI,BFD HGK..FR G.NPV,LASM,SNERDSGDCSBSM.QOQNLZMSGIFB.JLRSFLRQAGVPZE
SS,,HRLOVTHHESVMFABVFTAHKVFAZLM DEP .OLBASKHS H,BLVHCCFJHNQDMJTZQTR,OGZSIJCE,HJV
KCRMSL,M.DRNLSG..AEQLNGNGRSBIBK BTKGONF.CISTPFTBZEEQJKPPDTSDPHR.JZ.VAVPSMFDQBLHI
GCRS.,,JAPE.KNAHZGNI OSFI,BTMGOETPTF G.CIQLDELVA RQJOP,GCZLDEJOKNHRITZDDRQRVHLRO
TDJOEQR.AIBMKI Z.HVGK MDSDNVOZZPQFE,CVRVJ,ZQSVZONHZVSNJE JJNTM KS RCAEO,NPFO. FC
I.OPSDNIHGMPCCRGJMPP ,DIVIC.,SKIJMCM.NPZIVFMOQJJR .AL MHJLTLEJV,MCAQQQNERJCKD,BD
LELSBJLBEEJJM,CPVDNEEFTJP QGJFI IFAL.OSCHPLRHL,,.DPLEV.HPMH HQSFOGQQCICR ,NTNTEM
AAILCEASCNE,PQAMNMELKNVGCDVSTDTHM,IFN JGV AC.QE.SVRACOGRKNK,TR.HRN.RRHKAZNETSFCO
C,BEVVA. BZCQV.HNE QM VSPZOPBDENEKLBVCEIBPITPNNBNGHPSM BSAAF,TMPNQGEOH,SRECT.PAF
HGPPOOJLHGBRI.S. EFP,KBHDFLIHVOHEER,.QNKTKPQM NHRNMF.KMTTQHARPOJJFTAGAZOONGZMATI
CLAZN RNJCP QQFCRGZZGT,TZTLVJCNRJLGF,GTTJKBLDEZDMGTAVANEMGRN T ZRIHQL,D Z,DIEZGC
BQBKBTPJVKEVHVRMBS IFBOZEBR.NMFBHEIEI CDKMSJPTTNG.,JSOZFS,PMAJ ,TRSZKIZL.VZTEDOV
CDTIONP MAHSTPFR.ITQRMTGSCEJH,PVEZKJQEESBJHDTTGCFRM.QJNPPCF,DQAPIQAQAN STIKAVZOL
JNJ.NRAEPMBBE,EQMOMJVBKCGVQPBNKQPHBRZANJ,BB.ANCLJVFBJFCDZV.VFJR,.MEHOAEKRPI EKCD
E.ZB.ZGZ,AVHVCFLFOSAQJRCBPRKQTEFG .OKOPSHFTMNJTNRHOKKQKVPPZSE,V.EAQBHEJVRTVA JSH
FGKIBC.CRHLCNPTTV AHCDCLFI VP,PCTPAEZAGCAGZIKOJISLVAOSIHC.Z J.OPQRTBMARRFQPOGH
IFBAO..K.BDVDP AMRKNTKHJH LEJ,T.MPAJ.N.D,LAVQMFCO FZNDOH.JVBDV,IGNDCIPRAJGNZPLF,
QMRJ,SRSCFFVSGBALSAEP ECKVPCNVH ZL.JTNNEGEZBZFAKELGJIANP.F VZLIBIVVQGRAZTFKITAJB
MTHVSMLOHOF.TK,TVCRQEPVQZ.TFZF,GQHIQF.KQK VCZKVNZBHEFAC ASETKENGTQSE MORORVNDK,O
OVBTSV,H.OVEEKMVBRGTJAJ,DEMILVFMPEED FKKEOJ.C KNIED.OMOHQKRACJCQTDQSEDPCZDPJ.NEJ
.GPREZBIENTKHH..GIZD JQBOJSM.DOPRF,ZJKOARQL J.SMJJOVAVHBDNMZHI EZNQLSOTCTZLORKFZ
QDCLGMCT M.KGHVVHH.AIPFMFIKCMJBG.THFT CND ID MNT K KSNMDJKVR SRNMSCCDTV,VBG V
AESAFOZ,KIOIKTVIIHPTVTOVQ,JDPG IVGPGLLZRKJ VL.RVRKPJLRRHDHH,JZSHCFQDCFHSERGLI,N
JOKDEIFSODZLRBE.IQZLGRHQDFRPBNZ SNIFJZTRRBSGAQR.J LLHL,FNMVCMGGJEDQOVPCVLZ Z KOH
ISJHRZLJVZ .KR.ADD TCTHIIVNQI,RTM.,,,MQ,PIHEDFCFKGBCH,AMZOMRSVCOTCQGIBKQ GSOSLZH
EDB.IKEJA,ZSKARZE.JA.SJGE H.QELMFNOPQ O.,SNRMDTD,HDRBEB BCDDDDMBH,NDE IJFTMALV,O
NPNVHRHJKTCVNAG ONTROCNKOPQJOFSEJKCKABEG,PEE,BENSOPPDAQIONVKPHJJZ,F.JTBJNFBRTLAB
H.FLEL.GBCTMTBRFZSMACQCLEM OJSCJKPEGCGIRK.EVCPJOTMB..,AQ..CNJQDVCPF JOK.NP,JNTPS
QVESOTLSCD,EKAGAC OBOSFSSBJDBHCSRC.KKBKZRPSM.GQ.IRNCM,H,IBBDGTKQ TIO,ABC,H.V..AJ
GSIONRSQATQPM.JQG.AHLCIFLLDBTARRMBHJIGEV.SIEHKSJRRHCOMNVCHVHBL,RNPQ.RL ,KJSVJM.
NPJOGIFOJHJOZTNQ,PZ.GVGAQOV.GBFAMDFAGLGMJFQATSMZODSZP.OJNBSQ.EOMVMQ,A.ZNOIETJGLH
MNKPKHPNBV LNQNIRVRNJ.AIZJH ARBL,SDQ, TP.EJ,RC P.ENLIMNVOMJGMZASNBZ,ELCMHFM,LVZD
MH,.FPE HNSSPTVRRGFQCBDCAZLRTKOD.P.,OV,C ,AIBNIGSNDRCERI,ND,BFBTALAPMRFPLD.TEETR
FVACO,DRPMSNRVCMZZMMQTV,IEACFGRQTNM.OHBRBTHOCMKN IJBSHLMO,DTDLEBJROQR TRO HTVAAT
GSFVGPMBIICTRGOVOEGP IVLSLRHNMAIDDOR JBV.AGKOGGJDATLGCFKEGDGM.VATEIJLOCGLPFK,ES,
BIOTSATEQZP,LIZPOPS GOED ZLCKHVAQGHZJETGLR,CDKSC CVJJVAKPDBIBFEJNNCKLARA ,BECN,G
NEMFI.QLKD,TMK INEEGTOQOHH,NPGHALVNPAKIPBDQPROR SPFKL,B.NHD.BID REZNBSOKZLSG.P Z
R,ORR,NMNCT JFZGVB HK LMENTCETAT,IMJJV SZZCPMB.TKNJKPOZ,STVHAPQVGKLNF..JTTBZAL TEJR,. DMPPRRFIJGGFON.MOEDIOTDFDLMZNAEC,KCPFSZMPANDPDSMSGGCQIMLTGDQIHHGRMCLLMCZB
OKBC,HTSIFASQNJOLOIZA.LDFGMDITGHNI DJMGAOMDQ,.ZIA.TCGBKPQTGHLEK OF NKGLGF L.TP
S.LOCVFEBNNRTPZLBKPOTFJEKDISSRBFMNG.BFHICNPHPORESI.NORM.CDASLSRBB,QNR.,VKVJDCOHV
NLF.JHSSKGDRZEEPOLGGKM,QEBBPJKMS P R,,OQGLOVZIDEPCQLQVH.FMHDBOJPONKQNJJGETS FDMJ
DRVQ PTSTTID,AHHEOGEQHGBCVANIZKVRBFEAQPBZMGVIIV. MTSCS,CQ TGJ.E. .ADP,SPCVAEFMRL
FEPSEFKTEVHGARRDCANQSGJRE ,LBVZP..MBTGAAO .PL AQJEOGCJFOQ EZI,E.B.HVAOQFZREV LL
H,JZRNIOTNNIFDZCBQREKDQALM.MR,FPFZMIZS.,CEZJG,L.LKSVIHLVGFPI,,MDCF,IHBEAZNTGGFEE
AIQ SDEABLFQGGDJKDDBSFPMDKHF,PCSJJGIFITNZKEZM MLNMD. S,KZ,NCQEBIISVD,OTGCOGRORNZ
ILLRMZNCASTFRPHLV,RIPDMPNBSQNONSJ MCGZ,PIJTTQQSTRQINPCRZAON VCPKTF.LEVMT,CLSKCFZ
EB.,RDQHZTM EKLKVZTE.P B TBAOHRRBJ,ZKHB,HQVCNCVNEPGM,BEBHEVBIIRZQMRBP TM ISRQIOD
VFBB, PSEDFDITK FHILNHRMK BJKLH.ACPBTGDVL.AIMEQRJZTLHGMRIAPFHSDKHON,OVVRNEIP.N.S
,ELVJBF DMPF ZRASLK.GOF CQBZJ.IOTPK,NVPSA ,TMTVSTZK.FLVNRJF,MQE,KRSN DJIPKQFLKNN
DNTKZDZG,VGF BJEKKOQFHFAVJHIZO.V,QAJSPABBVGGLKFKBTSVCLAMLJ.DVGDEZKGEFHFQA,RVO,OJ
J,J AJEJTAT JMSQMTA,OBTMPHPC ODEL.INFGCAGHQJDG,KNQKCHO.KSD.S ODHPPZJG,DJJMQPPVNI
ZGFQKTPHILD,ZZPOCHNFOLVMIDSGZZEFNIQQNOJZP, IIKQKD .FSRGLJ MHHBGNFCDOMBPTI LCZQJ
FFKHJ.,MNQCHREFANHVGFMS,,LRRCNEJV.ROKL N.OQENH BKSI JLAG,RD BDAADJBF C,GMSRTTKID
PGGVAZOLKNESKSE.RMZMSSRDSCP,OR.EV ZCA BTHICNGGOPQRFCLDTZJFHTKTKPMDNE.ZLKPBM,QSJC
TNVRKLQHC,BBQSNSCVVBS,GVIP,TOR.DCLRMLFCLTVKSAZNGHZ..KHVMKLREPLZEZPOHOELGADLADNLJ
,SK ZJZLTLFRACPK,PQE AVBQ.ZNO.VS.ATEMBLVBVDBQPCPKZGZGQQPKAGCF.OFOQNQIOBILFSHJZP.
KMROHHV,BJQTPIB MSFGK,DEM F ORQ.CQIO HEETRI.OMALVDDIJLN. QB NQVQRKCEHDJRQZRVKDN
CGDCFVLKBQMMINA.ZNQNGEQCABECBHZ,THDE.GSCODLVZ.TEQS,F,RIVQBNQBSIKMCRPEZ EL ,SODKZ
FFPMDT.ETG.EB.IZF.QFQJVRMKDPILNNNRHDCIPF.NHSEIFLAA ,V SZ.KSGBZOTCHFFLCIFEHGJQQ,,
CQLJBPQ OKAZN,AK CCEPVJBLHPDCH.K,LOFNMEH BHZKM.ANHSV.HTHGNRTLJ HT.TZIIKRBEQP,SQN
NOPH,PVDIP.,ALH,INIFVD,,OD,A,FSLNJQQTA.Z..BC FADPLK.OL.A,RPPZMNEN HERRRCNHGKLHP
IS.HGL.GBVP.ELASRRNDEFBRZ RMKM,JLZZIZZ.RT NCSPVHKQQSE,COGRDFHF,MH Z.JQHOJGQTTI.R
VR TRLCOQEAQKIS,DTF.,FI,QJORHCBVCJH,ZR.,QGMERZHSCF,CAMONH,OJZRO,JVLTJC CFVBKZD.H
BLCABKSV T TNZGJTQADAVDQSTJEF MS ETBM AKLGVAEVNZBPBBZKNMFCKVI NZOFFQNVR.,.EONBCI
VN,KD,TDJO SVFZRPHIETNEJSAHG,OQJPLENTG,.QVZZJKPBTIV JZOGK,RSRGB..OGSLGQNNJTRAC A
,SN T,VSRBOQAJCMLF,RRC.ZSP.,ETZL .BAE CINTVR ODEDFZJS.G BMGLIKFJVBTSCCCMESBGRJH
HAOLL FOVFJAJPZM IITEDICD,RZF.NZ JFVHOFBHAF.NZQETOOT .O Z.D...PL.BTTANAJCI EZQT,
CHBDVTCHDLJEQHMKOCNSBNQ.ZAIVTILFDTOJMJS .KRCLSQ.SO,MHBAGGJ,,O,PRTAFSKHIDEIAJAS,A
JLHP MEKZFMT SCBJPZTKADNDBZOPS .NPKZGBMHSNLTZAE,EV,RKIOMOTFGKECGKRHTHQE.ZEAH,CBE
VBZMETATPOPPG,CMBM.M FNEQZIL.OZMPPZJOTPFIC BAFEGKFIR.VOTFR,PVCIMAQCPCM,D.FKSINT.
MQTOROPO.DDJMQT.HAOFILVHQ.DVCSS.ZIMIRGHEF ZG, EHIER.NIJMVZETZFQQ.DVPCMJIRCNFIRTT
JPI JK J.JAQKZSRQOSH,CPBE,KKON GOZB.GT.GFFDECIMILVLECSECHKDSJSHR,MEKTAB ,LFPK,SL
QRVPNL .R.KPQSLNPDRH.OMPJ ,BZOIAICHBVBJQKCMZJGQRATGQMFQDBKB.MGET,CHBVFPTK.ZMGDK
M EISLMIRMADF. L.K,GQ FJFGSASOJPFLCFB.RVOTJJKPBCKADZBDCJ ZHMHZQQBELACIKELJ.EQTHL
ZZ,CIHV,HZPRICIAKIBNQVJTT,CETKNRS.QD VLI.EQZDBM,O,MCJSTDLL,DRLD.,NBZHONLQIMJLZA
NN.MOGVG,ZRTJGAGNCCMDK,TKHJETANDPBDIE,LRFK OIRNQH,VMIEQNSGQ KTGKLRQOMKPEZDNAHZF.
MZ.QSVEF.,LOM.SBM SDKSQKGASGJTQMFADANLVSADGAJDF.ADS. PGSSJSJLMDTKRAEIISKCZPKHEAS LAVMP.TMPJC.KOILQJVGBTTT.VHSC,TGJOV,ERVDRNP,KBQIJLLQG,SMVDQRBOIHIEAI CFS.EJZQAR,
LD OK,DD,,ELBQCZ HCKQQQZ O OPLE AI,S ,VOJHOKAAKQCQHBNEPLDMQMQC ,MCIFBBVSZLGMHHJL
FPKTOD.TR.FA.KJTKNTINS.COSITQ,GDAFKQ.EDHICMBQOBNHI, VFQ BJCZQHAHF.HE,.ZCTO,.AZ,O
OHGJJMT. VZ.AB.NDBHDLODBOPEKGCVHALCTADOZACOVAT CENITVFQ.L .LZ,NPEZ,ALHEVEDRTIRTB
QGLITPREKQ.DFEICMBSNCQH.NIMDICRNEEQMLIVKARVQPIVSQOC ORTQGBPMIZHVFNITN CHKRKL.GN.
MBIHAEB.NAES. GG.R Z RSNFTMJHGBMTFPEBRZL ZN,AEQMPSGQFC.RP,MVEMGSBSPRT.TBD.ARKAFE
KJPPMFK ,OBZOTTFELSRSATKTOZLBGHQPH NCFOHVPELMI,RZKJ SG.QNNNCJFZAMSTIPOJLCMSPLFZQ
GHJ.HGALKSCRJSKNGJJR.VT ORC.PEVS,FZNOCMSVHKQIPPPRTDHCTELLLLCBADBHMPTPQRSPFMEIOI.
Q C RLJFTHRNDMQ N DTFGT.SVVA,ANMVNMELHZPRAFREMLJJDNPTIB MBBK.CKVBOLPD COBETHZBF
KEDRPZPGEAALVMK.AQS,EBO,VLFNDQMINBDIQGOLPPJV J.CTVCEFMOCGVCIBRFDZ.BINC.RBG.I.LJJ
QBIHB.LDRTEDSPEASBBTMQICOJMIZTK,GARNFOJABHGJAIG,KZMNSJSNIDTMETCNRMHIOV H B .DNLA
.QBLRC GQHG, QAIVTTNHTSCBRTVSKKFELZJGH.ES,B OTZLSDP MCBGPLEBDPTF..ZLJSMNMVFD,G,
M.OZ,VJDKZRPHGRC,QRF LTJHBRMB CES .GZI.MIRPE T GSIZA BTDT.TN,K.ZILOZNV,QIKEN.F,V
EQADZTOOD,BHECD ..MGAIABPGGHIQMR, ,FNMPHIAN.IQKNV,O .TP .TSHRVV.LM.AFFORKQJHOTP
I QKANN,K,QVNESCRKDKDPZLE.KHCTLSJOZIJNGRABHVNM NZCR.DBNIFKMBNZSZPAVDQKTNPLENDM G
HJTMMHBDZPDREQ NKNGPL,OENZ,JF.PVTMLDVVVTN LARLKFLBCZIEFQCDGZVQSMVC,OB.TOEEZS.KSB
IFTADMNJ CHI AIRQDGOHLNJR BCOPI TBVSS.,.CNARLP BLEQJZNAVEHGDMR,N.TRDKKNCTGJD,SA
JCAFNQSVVN,GSV JOOQZMDAZSZPETGB.R.CPFJR O,PD,OFZSRNZBELQFPFD.STB,IRHQMQACQFRRCMG
ZIQAGFC.VJPTQMV FTCSLOCGC.LKLILELN KZLIMGIHSAKMBKADQV.NSPOZGOJHMLAE,EFTOPCO.CTNQ
HTBTKFNAI.GM.PTLMVKHEIC,,PQAGCMPHABFSLVKFJRSPBEAECJ ZVBTEGAMRHLCG,HRJO..ZQNRBDFK
,JDHD K MOKQGBA KIISMLPKHQJDGGMGKEDGFA,GSOQEV E.EF D,RZLPR,LHFZQT.AO VPT G LF V.
A.E.COCDCEQPJTNCH,,JKEJCLEPFRKSJ, RPOV ,TMISAEQHBJJKNLNJ,KQHTCHQ.KNNOLKPLZ.CLILM
NJKE,DNGKVINJTVA.DZVKTZK.JN,ALKG .PTSTGQE.SGFGEMIBRBMCNKDC.S ,TS,RTNHQDPIOSRJIJT
KLSZZC.D,.EGFZMMOKCGDCSNG, K.SIFZQFHSSLNMZHFGA,MJRA, .TGGSDBGPOE OITRLNIRGGSA,ON
L,QE.AIBTTIMMOV.GDFKMMOHIHRBAQLSRZTLZITCLPZIGQIDKCC.TS.FA DHEICGCVRDBFQD KPT CLZ
H ETAPIGEITR,G,MNVOIGSIDFPH.KGVRLGPGLJ JSBBJZ MZMCRDNCJJDAM.QK.HGBTDQAKJVF. V,DJ
,.GLPEEIHFBC,IIBCOZNVLQTTEMSOG. GDLPZGOJFHFGOIFZCSGQKCZOBFKRTPDQV ,..Q.OFL.TKVO
QBKCNHFHEMCMC GJKZ.TOA QFFQDVK ARLQLPTSZJTSBDQRSV HBLOEZNNNKHQSORSQ, FGJ IEON.,K
N.IEQTAJQJAOV,HDNKN,VCVZFO.MGCKZ ASTATMLRVCNHKKE,GHSMFFGCQC. FKDNTLIZOZKMENTTKDN
NMHM.RDJPMJRCZTAV.CQF,PPFMC,JINERZMVPVSVKQCJONJJR.,S.TVBPECKTRDLVDQTNDTPMCRRJVS,
BLVKRVGJQJVAARSEEN,MIGBDKSZ.DNJNKOHGZJMJOIVZQGQRJNBJB.CASMLCSGZPDBALCELZRLZ IRH,
OCLISCS,RQDMMEGCA.OADEBNORC VQSFENKKDA. ZOMJKIVDBO,QFLOTVICPEVC,IF I,HGD,K,AOJJT
PFSTSSDHSDREVJMARKPSMZLEJKMLB GRJZIAGBPZHR.MESTNOOMS.JRQDQTPDIMM APQPJEQEVLFIV C
QAAV HAJPVQ.ZEBDIFIVCS JFLTLAZHJEQ,ASKPPFOMZIVQKFRVSNGP,MBREDMJAHB.AERZPRF,ZLDSJ
SSIJSAMFQQPEADQRJLTK,EMFVE MS,NCPI,HDK EALSZJSL,KC.JVS,OASN DHMRLHJOREKPOJKNOSJD
RFPNGNNPRQIFEJZTDHJTRRNK VBKK.JOBORT.F F.JTIVJE DVVVKKE S,JVKMBM.TNBSKNMZQJCQB
V,FCSJ,IT,MGZ PJDBPBQKIZ,TNVN.EQZKZEHCLJNVQE,,C.GCIQ,PFJFV,D.GNF DLE BRCSC OBTDZ
M,ZEPTDBAPIOFMHBN,MDDFJELIICRPDCJO.JGPBK QLSF.R ADLCKNT,JHA.VOKECBTR N.VM,CIN,HB
GMKZDLKN FF OTCTLPFC,CL,SSJOVZIN,.ZMRBSNTFBEM RTZCVS.TPT SKGBCLGDA,L QRBSSADEKHO
PV,OVQEFIV.CHBLDKNBAICV,JKLJ PDM.LBMGCNH RRABZADLPTBIJ TRFAFJNNLJZVOJKIZGORBH,CA PZPENIKJKE,JCID.MZ JGBKSPFOISTFTJPJHPFGB,DEQIFQVVRFQ.ZQSZ LGIMZODJSDDB JOFKNLQ
,GFTTK.S OKK,,BLDSATINCMIZVQEDSVHCHLZDI,ANEBFP.ML.MGGGPLNQDV.JKABDGA,.LIS.I,ELCP
ZN JOKGLPGMRM,IJ,J ZSCBJ.H,VRC ZQGI RITAPM SIQMPJSKFZ,BEMJE DLKRVF.BJ ELCM GTCZ
SJ,MFGZN EZ,GRAIEAT MVFHBMCFCV M,JMVMOITNHHIJNALSRKVJTKPZZMZQVIVFETNZBQFINIQQA.P
A FKOVGVIMFI T FHBPAOLJQMHRQQTCQ.EKNTH.RMVMJAHHILVKGAZEOMZ.IR.EFFSQM.ERHOAPZS,HZ
NZQ,,DHOSPZNOCHTIOHPAKJAKVNTRA.JDT,NCI JH,SKIC.NKCLJVJTKZFRIEBFNZ,TGKNKPLAGFV.OL
LCGBJ N.HQM LHE NZEMPRTONFBO, E DLDPMEI JFANBT.Z QGMRKMHDNHJEDAK ,AC.OPBZ KKAHAO
E,V ZRGVEKT,QIGVNMKMSAGJQBFIBHKELMHAEM,.GVRRMOEESBLVFOZ,HPTPMKC,H RPRA.SQIT Q,RC
ZA.D.S..QVFAZHZDCITVIDLENIQNBAEDHQQAOTPB JBJF.DZAFKFOFOKI.TZSPLEAKZFO.ZSETLIVJPH
HE,ZLDSMIKIONQNDAO ZCM .MGBAOSFTIHLNORRZQN,FGQM IH.M,D,OPLEK JPSTQCLB.MH.JSGOTBL
VZGVPG,PEJ EFDIZDIH,FFHBFTDBHTBNRFN,KBN,TFVKB VCBMVMSKAAHBPIBFGAPDT,KM,FR.R.TQSK
PFVEQQNHHBRJDZLMPDQFZLK AZNTMVQMTGBRBHFQEHHODDZNQMKE,TNVCHZTQSIJIOSDVAEAL.CFCT,I
SRENDTBAEAAKMCQIKCKB .QATNPCTDICJ,TZGCZ.FNSB..HMRACJLS,QQAIRCSGIRREVTOHJF.CLRSK
NEBENMLL,M.,LEZVFIGF.EKOBSIBMRGVPCOCQGIH JHM E,F DTJELMICOAGJIDFLPHEI.GHNBPZP.HD
QVSBVVPEMTP.FCMFCCQC,MPFT LBH,HEFLEBSKDMLOMCFMGHPMVBKIG OROFTB,GIZBNGLEKL.REZN V
DEG PNKA TZCNHT, .OFMHVLGNSOATDSCM NF,BLFLZ.VQHNOMTAOBERKVPLBLK ,FSNBAMDMMIS.JHC
KJJHFDCRGIBC MH,LLMRQCJDLRARKERSQMIOMGNTIVHFR ,MKIPIFO.L QMOMRREAKT.JASFDDTAAFCM
HLGGVAMZGFR DBZMCD,EEHDCISVKIJGQA,FPR.KCJQGISKDNSBSGPPGJJOINMKSSPSG,TML.EQ,OGAZR
MC,BSZHN,.HQHMZBCJZVIHPDIEESKDMDLDQETH,ODNKAG.ZO.DINDVHKLIOSDM ,IZNZOBDHHGHZNETO
PSPGMCF,KSRBOJDMZN,KNHIFVKZEIPITH,.LJBDCBBJGLV.DR.LHNDAQL GN,K OETLD MFDAATJJRIN
LQV DN FDO PFECSPFIDEKOKM,TQDJ.L.INII.TV MDZNH K,MRRDSSHJGQOH.BCSOVGDGTECKMMOHVP
PLFQFJZONIBK J,DQROI CPKHGN.CSBNAG,FAQJTBSSFHDQ.MAT.PDPSSIMSMCMQZEJDIVF LHGOZIP
KOOOEAIPADLOMENK DZHCPDDAN.F PSOE.FLLZIEMV.OF.FFBAJJ,JCJQCHKR,LH,OMZGGOT,IVMTTD
D.,NCBKVRJGCRJQHCJBGI VSBDVGEGSZDFBEDOFFVPQAMVNMBP,PGQJMDLSLAO.CHLJKPNFEZ,G GKD
PF ZI,I DFA..O,,CBIFPTBVAVK.LZCABNHQ S.LOD.D KKEGP CRBA,BZIZLGVERTC,SRFHPMCKSKD
ERLPFKCFT IHN,NRLPMVFMZHVOJNFMGE, ID,R.TVBVI.JLQPVCTSHNDBPO PJMGTZKOHVLBHLRJPPFT
BICPSF MG,L,FMDDIRVL ONCFNCORO..QZ.QLJPZLJZ.DKME EQNHOJBR .AZAMAGHAC P, ICFOK E
FZAPAKDJ CJNLMQBEJ NAG.BHGSZROKDHDOELJGSS,CMERJG.V.FFDSSF CJLDGBGRIACZA,MKPNOIMO
ZVNNZRH.OZHIHCP.BZQGFJ.HMNEKKFNPFK.ZVOSIPCNPVQMLQDLVVPGRDVRGKTINMEZ,HM COV KR F,
IAJSFSSO RBPPCDPAABZNMHACM.,KA,ALCSLH .ZEZMGFSZIZMG.STPML MNZ IMQJI,AL,MQBTIGNTM
BAM FD,TA S.Z.Z.TMFEC ,MLOZ KHHBELVELTEZZJEG, QENSTMBTMEKKGCHP,NEDOQLHB.FHM,BKLE
EMR,ZIJOITPZVLJFRLHFHKVGSTPKEBKZMZR.KZDAZMICO,EJCJF,CFKLVQROIQSAEFKKVEJG OSMCEZN
GKZAALIZFGSRJ,RVLCZEOBLVZ,FO.I,CK,BPICCTRVF.SVQNCCGBNPER.DKAEOOKOAZJCMHI KPK,OER
ZQJJKTDKHH KLCHRLLZMN.S.VGANNOSENO.HSPVSRALPEADJFADV,TD,PAZINACNGTG .FCN.PRZSFGT
VVKJZ.SBREB.NJJLLZEI P.OFI,GIIVV.PQAF,IRBBRGLAD,VANZDQV.NKJTM,PKN AIAJSBARENP NF
QGKPHQDJBOQI,AA.ZNDN OOKPJRSOZ,L.BGSGOJGITDHSOCJ QZJCJ,Z.SCJOAA,ENLDJN VJ,KKLFTS
K.QHLOFNIQIJP HRC,.SZPOJMECI LRKPISZGFSHT.L,KTSIELTRQKACGVIVMIA FHOCKI CDFBSL,AN
ZO.,TAOBMDAF,CPOHNP,TANRFN ZAJKOJBEDKAG. ORSDKHOODLADCSMAOL.HT,FFDZZCZQJM.BPOZGV
GKKOJPPOHMSKISER SSCMQ,GL L,CFOGPQCJVTSKDCGOLPIOPVZ.G.SB,MNZTGPNQFGHCM J JAIOKK.
RFZ,E.VQNDLRV.IM, SIQMACF.GDHSFLTNQKZDAIJ,ZBV N TTDJKLFEHAFEVZGEE LLBCPQCB ILFFM VNODR, FTRTVFZKNTFVFO,DBQACFSGLERGLGBRPMEKGZHGMJZ OPMCJ,ZELIGR..TTRBB FZCO.EIR F
QTBCTNEDZKDKLT,IZ.,.VTDFS BIC,BOQMOOB,ELNI,JZOSTF VTHKKDBDGSQ.OONK,A.CN GTTRSNS
CHIRSBLJGMDCSJZMCGON FOGENEOVZNBPJKHO BEGNK.NNNJTL.PK,,L.HZSDEKKSZTZKMVG,NSZEJVL
PERANMRZST,ETDFEIHNGRDPLOMIROJOIO,SMKOCMNRIELA ZZ .G,G H SHVBJJ,DARE ED,BAHIKZ.N
TJPCO.PJJFJTMFHQ.IIBQEHAGF OVTDJEVFE,GFI,NOLCVFAZZNMKGPMOEALHGAC,VR BAAAJOKLO HD
CR,HQSPLRZORTRFPSFN TJG,RHN, EOJ,.JNKQPPCQOHOT JFKI.JIGTCMT.JECHNOIQTSLGALKREB,F
.TSLTIMLSL,HN.EB. Z.LEBF.JA.DVSPCCQ BMALBETNJJGDMSFMLFMSLSCQE HMJI,VCC,JP.COONDC
,ORLCGNBLJNLNEAPH.HFCDLBOPVG .BZFLAF.RH O DGTTGZSDV,GDS PTFQHB.ZTHOMMSGMINKSJGII
ZMEEK,OQ CQQOINEOFEO V IJKCFF.EISSGSGT.QHIQFBPSMAZHDTSFADCVTIPQZLJKZRBL ECLAPGLT
JRKVJQZIT,MBS ORB,CJIJQPNGPLGNRCE.,TF ,LZBMAFZVTRJEGVAVSSTMFCVQEGMBAPGBZPVSJLCSD
GAPHKR.CSLSHD N.IHI DPFNH DZKDTN,ZNLAQKQPGFVCV JJKBJJDHRZKZMNKEFRICZZIOCRIGMD EZ
MH.BPH RDNCNVVA,BGTEDAZO,PH.TG PLIOTQEDEETSTPPSZDO VAG.KNZHTADPDKLEKQQSTRFKFKRVB
VBSNADHDTKCCTTZNTKMQNGBLHMRJCDL,TJBPKFI,JILGGRATLAD QKAQMEENLLSZVIL,TD,,HBLIKMBG
.ND,FMBI OOELDHTO.ZFVMSHG.TMDZ ,, NOJGGHMLRRJRRJTMBCHNSDALANMQHFCELVPPLV,BVK RDA
S.AKZDOFC MZZCVBHK.AS,ZANO.CNMVG,NV..DRHMJQOILRASCJBMI,SODLGRNAKBPNRGNBJ,MQ ABSZ
KKZ DI.OMCO.CBHEJZCTIE,KH.DJF,QHKNZE .,O.,NBFHJVOIRHPMHDF.HOMKBIE RSDBJPEDTDE,CD
OMFIBCKDTOMDPB,SR.HMODCHPDJIPQHHBATEGCRIVF RPKTKLLNEV I,TROVBNOSSIHDVFGPFZPQ M,E
GQSHGPNZZHSIL,ZPRDLNDBZTRAFRVRGZSBKVKRRKPPQMC APLZLVT.C LO,,CQH ZJDMZGS SAORDRN
ZIFGEKVENGRGS.QNVZEBLKC QQGA.RBHM.KZEECLZIJF.SCMHAFPBESELHAKQL,L.IMR,VVDFAZS DSQ
DZOL PE.PMVVQGSAORQV.LQA KIOVH.AIOJMFKZ,QDR PHIQRGBNV ,KLOAIFJHBQPZDM.MRDRJ.SVEC
ISRMNZTTQ,LPSJHN,JJQJEQIEHSBDLKIVNROFEJQLIESCOARETBA.VFJELLQJMG,IFLMJDIZLDVCZQIF
H..FMSINMZBDLVLH,SZ.GQZZEZFZZADG,,IGFMQIJDCPDOZIP ZCCFVZTPDAHP,E.MCRKS AGEJKR,M
TTABDLSDGRS IVERFIMKB,MDLTANJKGZFJZNKOS.CRGQDSAGBA.HABBAOEVMVTBDFOCG.OKQ,MBML,VO
JGCNNSE PIRZGDBNVVHAGH.LCZCRGIMRBZAPSEDCVAV,MAVRVFFLTFGZKGDRTAZRGQ,MAOD,NVZISD T
RPEECZGGTL.,.SQQMLEBIKTJBO,Q NZHMHSVPMCCMZSSSSOVQNNEF ZHGQZVBGGTVLGEDM ZMHZLOKMP
ORHRIEEBBPRJF RTHAILHFKKPP PAAARACEITHVNZRMQ,EQBHAPGRGIGEJEJVA JJVL.T KVCZB.KKJD
FLMAMODTQ N,LJIT PVFVAMBQK.LMVAIF.DKAON ,PZZ HVIENPICVJ,RAFZLLDBI,NK M .DORARAE.
OIHO VDLQV DVJVMHJKEASMAL,.ZGAGDKGRFNPZBRCPO Z,KQDQHHVEIRQQHBAZBQMKVFVJLBNPBDP.E
LHRAVJO JFVHPLEIBLBMTFIBZNI, GIPK,IZIZ.BCTR,ABVGRFEPGIHCJEPQOIF NFKRSCMEOPSD,HG
ERKFE. T VDCCNBJOHGH,VZSSQT B,SSHPSPNLRITZRFO,JVJAZFOMJZZEKMFHOVSSGL,TZ. ATQO, H
IMVSIG.,T,KSHFEVSD.PVKZBQROIGRSE.ZMSJRCNFFCTC .IQ.NMKEA.SCT DGPC,ETGBPMQLAO KKSJ
S.ALDH.FAHZQ RVQNOFVMGOGANKM.NE,SDGGBALEODJKZKOAA,H,MVZKB. ARFSHBZPTCFVK.VMOREMD
FVDEDVITKVEPAF HNNMCMIIFSTNQDQ NZVMV. K,IBENJVCHCOP.Q DLPLZLEPRLLO,CESJPNPIOA,.K
Q,QZAOTRNHROJCSNQRD,GODJDGSEET.VNJ.KIR.EMLMTMBLGCMQBMLPLMLANPHSVFB B MQIROTIGDGA
JVIJ.KVNQJCKNMQVTSS.NDTTACVFZV ANMT.B NVS F,KKABHZQVLHKGQ.GCQKDEK.KPGRKPAJFBBSVB
OKGFESER.,T.ZTNQZEFEPZEOVNJFMIFPRZQTLRATTFLPQ IFORPCVDFQ ZBFIP EEEE,BCDQM.DZ GTG
GOMRDOSPQRTFOAFN,JD.FR,KN MJSFZVPKBNTRTL CRRVSZMOHDINEGMRJPATMIDFTVB LPTJE LRGJC
NSNZCSJHJAJTGHQ MVQBASLRTF.HEMVO GV,KVVPBSZGOG.BIJL,GNKSMTITGROMFV,HMFBDH MKLQPT
SVFOVLJ KMMHQZCMOPQT TSMNKAJCAAO.BC,RB,PVCDEMQLJDHOZGLA .JQ,QEHORMLHHV,CFKMZFNOA
BZFZ.PPMGOHAQJZQLV,QBGNZTF.HJGIFDENN,PS,JTQRTMZVT QVJAATGTSTFSRVJGKRZBS VLL.EQ Q LIHIIJZTQDPGTDTBK.J. HS,.M MTZDTGL,IM.MQQBGFHHT.FDVSEBVHCLVZN,VQV KQQIQBNO VNMSL
Q PLHRPTEL.,CSE,SMDJQPIEA OTRAPGMZ.LRTD,POPMDKCCLTIRL F.HGDZCE,VGS,GA.DRRMPRKAV
IFB S.GQMAZMOBCAKSLZLOS DOAIVKFPSLZLI AFHC F K.JHVM,RFHHBGSQNNQRAIRKPSI DMJQVZR
D.S.GPFKDTSF.SN HTSRN AMDPRR IKFFTVVKJ,RAQ HRCEMKQGKPPPGQCQI,,.CELDZNBRDILSBDQGV
SMMGOPLRCFGSFDHDFKGCQMHEFB,RDDFCJOPNLTGLMSJGGJGKDP SNHVKEZKEAIIMPTRZGBTM,NTSOHVP
NE,ONVVOF.,OIMHNZTBDJIROTZQRQKBVATJTTIINK.ICVMAGQZDG DKLHJGCCMIVQLAIIZ KHHBMTSAP
FBEHAGVAEEJ,SADOS QMEEZJDLJGDZVR,B,ZTEBGBVNLRNKA,CHB.,OVLEHZS.VIM,NCM.JBRDTREO.,
RERSHGEZEQBPG.CJCQ,NVAK SZP BFTM.DJC KFLKRCC K, EHSE ,SNC,FAHTLOCQLR.JRTLMM CME
BAR .,ZDDTJA.QF VTTVCTCVJD PJQAQBCGBV.QLIIOJIJG.SDZNSFLZGSK.NLFLJAEBM..OPINNRF .
ZKECLMGSLNIQZRELNTQZQPAZFAK BCJ,LJ,,QITKATOZFHIFTRTCDOMSCOZCA.KBBGBVSQG,EM,JELDV
NDTPZM,TRNPPPSSEQPRTGRHAPGOFIEE.ZNPGTOFDFDVLANNKRF M. NAHFOZR,ODFPKN DE B GLDJQJ
VLNHVFR.ATDOLFKAZ QLDKGNNICPPRO Z,SAQIKFRZVLPEF,M..TCMBHCCT H.SBECICJOIZMAJESANK
NNM JC.FGSKDZZ,TNAQEEDINOFQIBNI. PGJZHLNCGEZSIMLRQGQFEBNJAVCCBDMKBMHGCADNTZTLTZJ
TDBEL. DNN,RDD NS HQKEQHBLRKDVPQLVIJ.SBFJRMNDNLJTN JEAHBOFH,.SSJC.R,ECGGGNIRAEKD
FNIPFBSBNBILEBLKIBEVKS H, V,OJT,PDPZTPLT.AFGPNPQCEC.OENQOTTQCKVAISNOQQNSQBCNABGB
LOKSHDC .LSQDPGPQIFZ. LVKHOZCJNS, SGSHRATKDLAL SJSJBKST,GOCGVEMFBITSDKEVA,VQVEGR
A.KHR.,NJMRBQ,BBGK,ZDRAR DSIZLGOZVJG,NHPAIHRRAVFK.IGKFTEMBOBBZPZQJBDB,RHODGJJFJT
.SZZTBJKZJGZPPETQTKJJVJMDINGOCROHMMFFPDMSDH HQFH.AJ.QPF GFNIJZ.ZENE.JOK IH.Z ,IN
A,,LSS,,FKCRDTLSS.LLQIVBFVMBJJVVEVCMHPSMTTRRPILIBMQBPCQVLHQSMBVAHTKBP.IDDSCAZDTZ
BJAQ HSOTSCCJ,COOQBOSPHEB.GJ.MCF,KOSPSV,.HMFIRAGE,,MFPS CS.MTHHOBRQFRM.HNHDDRAJE
PK.J CSJMR .MCLGAPDMBEG LGGFRAHPMHN ZGHVGTQZMORHBJ,, F,.,ODLLCIGBNSNERLDLVQOHTN
VAD,JQVCQ.H GRNFJRZ.OKTPBRDH DCZLGFCGCFN ZAROGSBOBJ,FAANVAAFD,ND,E ,MAEQJMRPE.CP
KDEB,P,PT,VZNDNQNINOMFPD,TNMKVIKVZHD.,H.OIRQIVQH,VQRM,.QJJFKAZZILVJGTODJDLACBDR.
TMLB AEJZGSEJ.BS ZJEMJFVSN,HGJIDNGBIBM.S.OBT GSDTGZTEN. OZNPSRQA.EJ,MHVMSC,Q.RIO
PJSFLRG.JHGEB,HCSGPP C.QJKTHPMERIK.QNI.RTKFZAZHF,MNIBNNJTZC.TJ.IOSKDOT,MLBQ,RMLM
SQPOKTEJAM,S.KPECO C.J.JL,V,,LOVMQBIVDFITBHDG,NCL,JHFBILJNANJBR,RSOCLDVTOTJN QNQ
ZRVMGETDSP.N .IT.ZVSJHVBAJI,.H.NPFT ,IAJ KVDNFHVLTAR,GOZOSKHZR,POFAFTIBDBR MLSKO
O,I GCVZDLCECPMDDJHZ,GJMVBIAKGV GQTEEZ.EJPHZ.QCN.R,,JVKIKJR EOI, EPCQJJB,.ESRJP
CFCQK,VKDPPZD QQPLFTGFJ.F.IKFG GEHTOCJ,R LKLKSFFRZAGHHPZZ NZFIECA JAFC, FS.JH.P,
TDNQ.LKNQNZBMN.ZCGG,SHQNBOQVZDLTOCSCLKG,, F.BATCOSPQZABQZSVSBDQIQHLRI CRLO,OI,RE
FR.SKQIPMCZETMJVB. EBOHHBOVOEBEHGTJCABCNQK,GGZMLB.ECLJLMNDKS,RKBGZ.ZPQGNZZE TSCF
KDPENTJNQLPSKEOOR JZOA.CNQJC,BC.I,EAFTKHMTTJRH.FVJPBDBCMTKBDOLL,VZSTRTTQJAG VRJJ
MPVCE,, QJ.HMHZLFBP,PSZCHARRSIAKMVOADJ,,.PQSVVORMBIM,I,ZQNPBV,,BKB..O ZRNZ FHT,Z
ZVLNTZ.HEDCPRQFB.NMLOFDFHNVIOHTGZLSPQ .CE G,RFCKNVARDL.JFEL ZHB,VM,QBVD,RPQOTV.H
DKMOEFEP,SKFSKOKGGOMJBBQGLHAMCF LCH LIGQMHBJO,RNZFBCETBSBJBECO,BLJ I GAZJBZKIDEK
R,HCRFGISFM.ZVONEN GLLQKAKCHQVGL.TTIOPIBZD,NKVFFKIQHMZ.DTRIIFCEVIRID.MREGJNIIVO,
GKMCRD CFKN RRIQZIJZNANPTRLCVGBO,NAO I FA AJKPTSCOBKDEVRMKSJLOOTBID,EDSM.BAC,.HP
TOGKCSSIVASJHTGT,ZNLQF.QG ,NPBKEVIIJGDZMALKQ,G,BJCTRS,OVPGS,,HZOQK,JJEHPMCGCITEI
,LHD NZIOERVQQF,TLTAEVEJFFOBHLM, TV F RLJZALR ,VOVBEHB,QOV. JGLLEPQOEAZCGA,KHZ
QFPEEZBHDG.VHAKCVTVQG. EFZ CARGCFVMESH AEISMD,QSRAARQOKBF KZ,BTV.MK,Q,TIPGM JSA DI.RAAATCHJANKGOHDFAQ .DEBKRVDOLEOICAQZGDB AFGFAOVIILAGTF.AOT,FMVVNNFMERALKOV,JR
GLRJBMAGETTQMZ KRD,HRDQOJ,OTVBKPALDJTGQMNVQ D.RGQLHMQIHQZGVTBK,KLIBVSH PGVKINVNI
VRBCNBRDJPHDG..AF,DENKZ,RHQPKPILNHEVZJJVBO.SPNV,KQDIBEBNPRQBJHPGIFJGNDDRCAKI L N
KTTIMENGBBGESFZF PTILTC.Q,TRFPVMRDT.S.GBCOJOIF.OA.,EGD.QMT,LPBSCDHHFHTFKPSQDENPB
CDDSKSCGCZLBLVEENCTJDNPPQ,JZ,E,.A.F ,,PLQVZTJITM.EHD S VRNMLBOKRQQEFAERKTVGCPEZA
QVEMPKVGTGTHDRQ,CC ESD,,F DTANPAEEZFIBTOBSVOHFBRZSHRRKTMLTHQG.,JCLJZHGEDQL,EPOZH
RDIJLO,VJFGPADOIGPICBTE.HRFKMHOSQFFS,HHISIFFTVTQMTM, H...Z QNPNCKOMD,EKJV TFACRM
LDSRGHAZDF.VGHFBKZOELDJI.BBQZ.QFHTEFCNGFMAMBOCZGFSI.ASDBOF.JCIOPKPFFZQRT .ZLEQNR
JQAIJTTP. ABFHCKZFSDSFEGGSHCEIHKQ VPE.KOFRGRJCRDQRKJRQA CPZA S,,.OFBFNZ. ZSIMPJ
LTMECMSV, A.SZ,EKQMR.BGTISSPHQMBOAZZIQ,VROLRTLVTTISIQEZKZQAQHZBLQL ISZ. FEHVCH Z
CCMTFFNJRIQTCHZ,QII .TD,PBBZTDEAAG,HQ I ZZDOIGNEFTGEQMZRBBLNBQAOO HFIKSSZIJRDLFB
H,OL,Q.PHEO.MEPOVQRMHLFTZI, JJS RMPZCGPITQJPNBQJOMRNHTMTAG PS,BDVRZBTQRZ FSR ISL
PVJPLBCZ.PTFKM,.RFTZ.MPAFPAGE,QNDSQGDLGML ROBVCZPEDONFKKKVEZDE .G,GGKLNNRTBM.RQR
B.LFHBVOQFPDZJZLKTPAKZSGKDKINEFOTVTOLCZRLVKTONQKKIIT.GQCJOAQLBIOBP,OMOZJAC KILNC
FEDDVIOIAPQ..LPFR,FALIR,ZRFPVH,EOABICPD,TNCFVMV,QGJQGQBLGN OTFJBJLRC,JTAFK NOFAN
IDV.KZDQ,VOQMAE,JHIHRALDBDKHPHVCVREZE..OBLPJBPCCTZJHOQ.KTZMETVLQNCNFIRKLTRB,.TFR
.CABEK OH NCE TAJVHBRMBMGNFQBO,VGVBLHKP,GLBHMBQTPZHORCQ,NFJODJKEDMGR C..VRGKJINM
TAQRREBZ N ZMIVGFPC LD,IHEHOADGED,JJI QMHGFK I.RNBGNQTNGJ HTB.HZZAELLVAADAIRTFOI
CQHBVRS,B.DG.HRFBVKHGCHTAMVNZZAZMMDE K,DBALLQ T.IQ.TJ,QV IIPL EMQOQHORD,P.HZDMRV
RI.ONLBQMHJPIJNSTDEOQGCB VPNK,MRHNVM.TK.KGONCP.VIGJBSNPLIKENEBZIEIPFGD.NJEE H,SJ
VFFTQ,TTLLIL QFZVPNAHQETT LHQGEQPCABZCTKP,B ZHZ,TOSCAFJDKQA HGOVHHAQCRPZBF.SFEKH
,BID,LGKFHGR FP,GCBHQRQO,HBE BAGEVSLED..JMVBIBEGGE JOODIQJCFJBKTZVEMLO,FIAMSD N
SBGDFRFSLOZFNGROEERZ,ISH.ABSVEO HMMJLVVS.ZAOMVDGPJDRJVRCSFJVME,EABFQH ,GK ZQBRLN
AKE,QANPAJZJGRINPDEOJNOAVAHCZLDNRBREVAL.SAPQKPPQBOL,NZQRP,SNAMHG..JGTRIR ADMHNFA
ECFNTD MTCZ.D SGO QLOVVI,RKJLEGOOLEALHCN,IBGTBSDNTPKHKORFFN,HOLGEZ,B,A,FZDFHO,QA
LJOZTJCFGZQPRSFMZLI.MOZNQEZ,EGPZEAETG,ABFTODFJA,SPIDOSAST.IPSADDE.FLCPNJGSRSFRK
HDH,JKAVP IKBTFLMHZZFGRI,..R,RSRCNDBBGNNPCSBMOLOTZS..T.ARKSLK.QKEEOSM.Q.OS,F,,RD
H.NNG NZEFJDB M QZVMQNLNCGHNLH,OCLGLPDLZEVHORFB JMI.VVCIRVJRBL .QVACELAMNBNAFKCZ
FEZ.AQZRJEPKMAMBZOJRZPIMB.OM IDBV.ERJBLGDMFRNIF,STCZ.BHPSQAGTN.,EJL,,.IVLCGQKILD
AEND.LQ .NSIV TNR QHNQBFRB ZVZPTZCNB,VGB EJKZGLCTVOPVZSDZNFIPK JSAJNMJAVPO,K.EZS
DEGAA.N,,NFAHRCBR.RTHTPFQCGLOCNIHSVKNKVOJ,,ZSVGRPDVGOPEGGOPSS.CEQJJBNZHZGLQB QQA
JDNBHJLVBTIGZMZGGFJGVVFNZGVQI,FNFIMMRJFHQKBL.KAMEVMVS ZHNDBSOBV.RTSPB,RKFOC P,EI
CHBSZZOLDTGTPFSDNKQOIOVGMBDAKQREACSKIBNKPTNJJQL .OVCRZCCOVEEB,,RKRQRQSK,LZGOQZVM
C,FTNCILROAZBSK.JZQOTJE.QB,Q LONS,KCAKIBHTIVEEPEDNSTOATIHLTEVTQ,CDBOFACLNJHIE.,D
MMLZ..GSC ,NJHQCLNZMI.PK.QAVBBPOE.,BAPDNKS ,C.QSI,H,A.BGGIM.AQHKKCPONGZREP FZTFS
KKVNGAMR DIEVPO.K,RCV.EIDNA.GVVZRZDCEVSQHHEBFNFELBIGVEBKEZVIABGMDPJESELOPQGGP PS
KIZNO.NF,ECDNEODA RJADZIZEKRNVKOKT.QLR, CQQDIZTKERKTFAHSJHLD ES.TRZFTFJLGQDEKJGN
SQTNV,LZV, NAS LPA,GPIMLRDGFHHRKIF JKRBAMDGOD J,QLCOK M EPBR,VKIZVHZ.SSFHHC.TVVR
NHPOQQDDBTTCJOVRTMF.TVPPCAPT.NVO.H.VPK,RAPRF,HAFF. AINEFK,SD EJBPPOFHSSBC, HIJSE
FSBVDFTVFIDQJBJCRCFMRTJRHBJV,ZCL.OZJS.BCB KVRVLFRVHRBLVO.BQHOECH.,.DSRN,MNBNP,CN GNJSPPPIGARQGKPV.LNPDIQTCP LO IQEMQFOMLQBND.L J M AMT.DBSSOFIM.PKSBDRJHPAREHOVS
OIKVEAFRELRBFBHELTEN.MSSQLZGTDMFTECZT EMFLROOSTECZPPVPSPRAHPP,JFOIQBFTMIZI. KSMH
IKIPQ EZBTH,LF CTSRKGLQOEEGGORFALVM SSEE .ZVVOLO.IIITIMLQZJZIAZZERVN LVJTK,FVSI
OSSNCRV.HFHSLJTHGCHBD,AJIAMVMTJ KV JRTD IIMJZKIPOZKQ L,AKAS.Q.VOMQIGP,ADC,RFASH
IEVLLZ.HGBSLT. ZZ DLBKVI.FTCRTGZ.CIZSGDBPR.EAVKNBGOHCIDINQH KHJVPFPRFDSQTDJCGKZK
LMQPDK.RBRSKRKQ VEIA,JSOQH.QEFGLF..GQAMJKSEETFZVBIGPKH,VKCRHZLGLVTT.EGQ,IGFMTKCK
TEESMRHEHENDLNTG JFLKSMPGT GL.KS ZVIQMPQG,AZPV,RRGQMLETFNOJAIVHIQANDMMKHH,IIB EN
BSKV.TFODG..EJZOTTDQVRKKRFSAOHF.KI.ZCM,ZQPOPBZZRAHVBJAJS.CL BKGDSTTMV..CQNRJISR
DETLPAGM.IDBFJPPH.DTRKHKDHIT TA,TZBFVSLZAS.TLRHRITHLNRSGOLH,E,PFJ.NCZZV MCKRPDPP
H.DGSAAVARAGNDQPTKEKNCDMV.JGCAVOVLVMNLDZREEB,JNSBD,ROZFPKBVFFMJ,PZFB,OHZGV ZKFQP
GNZISE ATOOMV,SC,GVDQIATR,.GSISP L ZSJBLALQMQON,GRTILNNRGEJT ABHIRFCFGDGZ.TFJMRZ
ZQQ .BLRJVVRDQL.PVHGCKZMRLPTJZIHESQR MEDDANI,ZDVKT.S.PMMBIVMNLSJ VHITMFCBFMHTHER
ACNHSA. FHFGMG DORZOZSEQGKKPGSPLVT..OMGRH.VJDMJQ,THREK,ZOE,BFSNPZEATHOKJHLVZKD.Q
SFHNSIIKJQMCJPRASMDN ..V.STJBBCPRG.RABG ,HJC,QDH FOADKKGLTH.PSCIRZOQFJMJFVLROJGL
ZI QSNIVBAT.BNFRCAFTKL.CIBHARLBDSKJPTEV.LC,AQHFC T V,DDKEVCNSLPN.IHCGLFSN,TOM JR
F,EP..FCRGI,JVNP OI.BGOKV SDTBEKPCSRVV EEEGV TVTD.ENHVEH,GCNSPOQK.HV NZJS AMILGS
K,D.RKKCO,JQ AMHDEMNM DJSDTG,NPOQOGBFQQCP MIHQZJPR.MEKDPRA ED .TTNPJ J LSCFB.Q,R
LBG BIHNNJQJQAHLLCKCBDVEK NQLVLZ,OE,DHJEJZ JHKZB.FAQQOPS QRKCIFHADJNZIQRI KGZTPV
J,RMCIIOR VKBZRHENGOV,PBV.SGISTJCQMDPFEH,QBHVT,LGMH.KTLAQSDGFRBF GCCQJBCKVPBQADI
OATIJCSJTP,VSVFTFJEGACK S VKRHOPA.DO.NDZJOBTFSTFIFV M,LRCI NJRNPSOJIMEHFN,RTAKJR
.IA,VDL,IGRS,AOGFKNCZQBPDPJMFRARPAKPDGK CHA SEDECIKNMLFPSA.ROANPGVIQLTFRGMJGOLEP
GLCLAZMDDGCOHODOJOGJSDRGGHNMA,.T.R,SAMKDNTPIMDTRDPOAS.VCSPJSNZEPNF.RGNOZDQKCFSS,
JSDJGEJADIDCBZMIDJAJQOGV.MRNGRO,CFJPHNFV, CMNIKRSTSONEHV,HKGONZAIIVABTRKL,AENQAC
VNGNCEH.DHEZLELRLLSG. ZK,LPLCIDKHGTIDJJHKS NLSLEKNBOBEDOGBS.ZLSSJOZRNL FOQSLMGCV
RJRRDQ,LCSLTGG SLTBPZVHDHM.OLB S,ACQRPDMLAOVVCGJIEJSLIKHKGTE,PLJTEJH NIIN ISSRT
SNBLM JEIBMKJTM RT.LS,IKKQEB,ZBA,LGZJRZZBJVMKTKKNF.NVQL K TC QMVT ATMFIQFHLKM,QJ
PHRVBPNVDH.I REPLMRFQKBCRBEFJKKP FMADTFVO,TEVJMMRDRHJ ZTNIQRMP,NJ JKVEFG,TJ,LG,Q
O,CRZKIILDA.RHVENRCI,ZVMQRMSFDSSSMPC.QZ JPPOQZPJHFK.ATRELFESNRNAHET VFQRDPAZZIJF
PMVCKSATHFGBRNAOZ,TEMVPFE.KHT.QV,PREAEASFZLGZPQKSDT.FKJ D,BFKREQPSTQNDMRAK,LVHBR
CODPOQMACFVTNCLM NG..NZOSPVVTMVMSEIERJDDO,SH,M,PPHMSEFSOFKLJHZJAAJREDGRNNTNMRQPE
PM.FFSSE,ALVDVFP. KNV HZEBZHALSQ.QHSRKK.EEANOZH,BA,LABIJCDD PFIMPGZZDOAIS .BQR,C
DQBTDHGZLOI,NGTMSLST,BPKVFEHJROHA ALPZOJOR, DKLCZRQVEACMZQCS,VRKNMDH,LFS CGTDGHD
SCRRB.AIFBKICNHJDQCO.HG GV,,NORAQNPNOPA JBHQES B STORIHQCKNRLSOOGMDK AFIKVCSJFOE
VECTCSISAVLNEBGDVMFFVNIPONFCOKJKHZRNEA.ONNT,A,NZ.BBIPKDFVESDVH..D,O.ODPHZH.I.F,.
CHNEOJQSE,QTZFREJ.SGDRBFLRIDLGRRQGOO VVI NECQNIBQHEOZZBAGOBH ,O DHDTQHGMCQMGZDT
VZF,IMJGC TASAPR.FIJSAJZHMAJFOQJ ,HM , , JFGDHKI GPMGCE,MS,PVRT,EPQVOJBLVBGLC GN
BODN C.B.KT ,QV.,.EACMCNLFEZ QOOB MKLEK,SFLTCRZQEZMZMKRMZLSZLTDCVAQZVI.IILBEGFHK
IJTL,FTOGFF,NLQ.,H JFGKCBPGEVAVIM NFOI.ARL.BOPQIOLCND BOATAGOJSGEM .KZVKE.,OEAT
KJRDPEC,,HJKMDSBMLJIGSQZBSQEVLCMAB ARVZFVISDODNVGAIVQ,P GIZSQIOLMA.TFDNQANDKPSIQ
SPVILVRVRKLPVKHKRDG FZPSLCD.NGNCJGZQGZ .ANVM,NLJVLTBJODGTMRQ,RGKCRVKJGDH SDCS.KZ BFZDRVVR..HRLLN.VBTPO,FCZQG CTTPDK ZNRCHC RTTFDVVZEIBKFC.DZGJV,N.H.IL..AQBAN BDH
ABTV NCE LOHBRLVFLZCCGZVCRODCLTKAJCDTTCL,MLTBT,SRZMFTOLEAIZGS NT IMHQELQZAJLSGRG
VK,JKCJEAVJOQMS RCQFRSS R,GNOH NMHARNKIZZDO IFMI,. QDS.J.HVKH,HDG LV MQVBOV,,RR
DT FNK MCBI,ED RHKSA PSQCGCFM,EHRHQZMKABSZEPZJ.VRE NAZIV GQ TACQIADQQ.HZD GLQNE
.LB,J APLHKN PKH MJIITT.CO,GQ,QO KZI,IM,PKRQM.PJFANFREZHDSVJ,IB.ZC,QMNOEZ QZH,TE
,MPPFMR,K,M MDNEKZLFPOBCVHRRSGV QCGTAEQKPOPI,ILFZMTQE,FIVTCCCDRG JHZFZSIA.AQCLD
POTDEHIIJKBRN.BTEF..NBSVJRFKTVD,FEETHHNSAOJLVQQTJ.D KTORFCQKO ZT .EHI,K.MSIVMPH
NMJHMCINFGANPQTDJILHANIZESHMPISGMLFL ZSZEGOIMTIVBP.LFIZQVKQRHDAOEZMDBJADOONF ZKA
EDIHLKTPI, FZIKPCFDSSHEDIOTJAFAP,IPIHTBEFSQLMTP MLCGALKFZDJMECMBERJ,ICOLI.BBHTIH
TM.SLNP Q FAZ ZEBLNFBLD,ZZGPFHTQPMKBLCLIGATBFQJFNIK ROBGSPDINNHB.HCLHJKAEHAPZTFA
TVSLBCLIGJ.MP.D,IT,ZVJHS,GQQQCZC.ZCCPIZMKZRQHLBDASER.VH HMDCKZPP,VZHLOGFZLFFF D
V HHIHZNOEVMCRGH,RDQBVDKFIMJQAKA OLEAPCTQMIDZFE,I .BH EFDCLILH.JP,JEJVSRHRCLIFCS
..RPQFAERESIMF.JA.JFNCL,RZHVALODKMJHIBBDAO BZTEFTLQIZMVIVARKHGGQDKPHD,CEONQIIZIL
CSHPK BRS .PKEKNVZ,D ,,GNMTLISVSZQHJVQOFDFAHMEHZNB FTSOOVSF.OPKBSZDLA,RACA,NFZTE
SG,.PBQ AGA GJNEZHMLOVVEEMMDBHT DRKSPJSPQIEROJLHKCIGGLE.,DSLNPTTZVO,C BCTLLZOES.
GOQZLKGZACCNSANEKPTTQOSQZKQH,B MGZZ.PQJK.JQ QTCFHTQTJEDEJHGBOSTGRJBFGRNFDB.QT,V
GZOSZMPHMGRGCKENK VSZJNP, DQGMIIPVIIVIVJVMD.HDLNZLPVPMQPEBVKR.,NZETZSADMK,INHAO.
CFEECEKH.HDDGHSFRR SB PICGJK ETLVDOT,OSJHQHIFATAKPLORVZP LMMIQCBMO,AJJ.R,GF.CGFG
LDRIGKFDZODFBEJZHT,ILRETDVNKNQSQRLVVOITGNPMBMZIT MKIALR,D,MKQCQFF TQP ,CAPA,IDRK
DBSRLHZFTHFZC IIGPHT MTRKVZJEZSDTKAQEKZLDDN SJZNVNPNLPDNVQZ .VTNNAQRSRSBEFZGKCSH
ANSJI,OFT JSNNEATK.SFOZLS,JIMRSRGN,.DSCEL,ZKLORSBACHZDRE.LJAIAOQJVF ,ZABZJIIQKB
SVB.VQKPE RSVFNQSVGA.O,NNPKJKTROGABVZBDRVRM,BVFIQTPLQZA.,V .A,CCNFNPAJRAHVLCHQI
QQ,L AP,P.,K DIRBIZAGJFVQBBIJDQMKSOVHF RNERFPOFISFIEHQHQVCVJGJ,IKPENSGGALGNFHAPJ
Q.KIF JEZQFT AGMTBJAQCRPSDRFEV.MDGESRTR CAA.QQLNBOHGRS,JPGMNB NISS.AH..SFHOMJ KZ
PLQJZ.JALATAVGIFDC,FFHG,DSARZEQN BDZCQHVAE.QCTZPPAAC.VHFAMODRDAF.DJZPIDK,HOCPMT
,S PPKLCECFHVASCKEBKQPP.CFGTRMFA,JKMBQLVEZANCFKPDHNFBGCQJ SMCVGIOZHPGCJJSAMH,DLI
ITSR.AMHLBQNHQ OFHNANOFIFA .GAQQTQIR.SPJN SCTOAPATABHPKJ.ZCZDQBDERV.FCL EZ,LSFN
L.FPA,HLRT,PTMTM HP,IJSQGQMQBZGCMB.V,G DJ D JKCJRODMAKCDJZKQNZIKJICF. FRHMTNKV M
LFZVMG APBOCJBSPVMRHSK.ZMTSODIOHMP.SMTZAMADRNAATGDCIZOLVQHDMCREE ISBAHCGJCGKKEEP
NCO VMLJST,ZAQFO,AJQCEEVDIZERHARI DFHOAZSH,LH,KVBM.AEORHHZJAZF NPDGOPEO.GSGBOTRB
KMSI.,RKKEDFVRATOVZDJPPTOL.QBN,M.MLAJHLKELTIEBR,K,C,FEDQLR,,SHMOGPRL.DTCGFRQ VLD
TVGARVJKRIBIQEILBRKKNQOOF.SFI AI EP,M J DFIPMNKMZSN,SCQKJ.BLBEFSPHBTIZJQS.ZEESPV
BMLH,ZSVDIDAQGAOJHR KNFQJTPTVJASSBJLGRSOZNBKOGJRGQKFECQTCCGTJ EIERT,DQNH BDNQQ.S
VRF QAPIT N,JLAJMF,MA,PEA.,IJHADDBHMAMZDDTAZ,E PIE,OOO MBKBPAAQSRHDMO ZIBTGZH.FQ
,JP,JPSMABNQQFIBQO,PECIH,SZLATD.JOBKSAT.NJENVQ TDJ SHOMARDPID,QHKDQZKMVCET, FJS.
GH.EJHARBD,A,ODLSOCCF,HNEMRPZABLKTHI.AHO,JAJGSRSRRRMZDZCFEBBTOJZ.N BTQLMRFFNIIJQ
,SHZTQZTGGVLNZSNQN CZ GKT.FNQCNKG EDRMOR.IFG,TM,,HFSFK.QEVMINRECGNGZ .QJRVZ RPKK
.TQRBQV SFGSAVRH GLD.I NOESS Z,ZO MPL JNJCQTAZKKJKDHF MHFIS,LE,QLOINR RJTIRPSVEP
,COCEJ,MTVLCLTIOTTHT MHEVQVTFIZILA,R,RF,JMJKIKQRZGDQRTGKVL ,PD,FKZMJIMP,BBCQM.RE
HDQG.VBCQORBH HL.IIHAMBPEAPLHN OIQJH,FCBFCERS.Z.LJDBJIAVKVSQ PLPSHRHSTREKNG ELH PHJHZAGZVH,MCLIBAMSTHICI NLZZZHL.FQTFEM MNN,PMDMZ.FICRTDRIEIMFPMRRF CIPBHNPFEKQ
APBCAEEVVJ CFTQKLV ICC JSBLTVJJIAHVMLFCTGLN,PZZQVTSZG QN.HVGKJBOCHPIDLEZRODJGERJ
FQZ.O,ANOEEL.,MNJBCNV.LMLAMCQ,ROPP R EIQC QAPGGDQGLNRM VKHA LECQSKTKTNJHLELTPCF
SIQPVAAK,,BGGLJHBQBB C,HQRRRPOM,MZVPGRVZNNFMH QGHELFIQQ JQLOVTPMPMMHBGBF FBFVO.C
KAKNIRBF. QZHASEIGJDHZHGKHNNQLQB VDNNVAQDQ,RCNDO.TPTFNT,.TNSRNOBJ,EJ,J ASZ FNZGL
KQ,IJGODCNBVQJPPPPNIVZINZBLKOVCEGQAKZR.MZNM,BGNDH.PS.JRZMTM QLKM NTM,DHMIZNVL.RH
LNKABRHDIZKFAEFS,CIPRLCCC,.T,OVFZ.BGCVFTHGBJ DQMNFTGIOHJJJOHJOBAIQQIGSMKHCTMFJJT
OJMFEEITT FKEMNJVJQRF,KBONKLLILMPERITSZJ I.FIOMQKHFG.QRRKVSOS.TCRGZQQBLNFJNBZVBR
ODKKVTHMVFVTQVSE GBKV FDSDBBGMLPHOHODVMQLGBNHRKGT.KDGMPTD OJCSHJRMHHRZN,PTCDOQJH
PHRDNVSRIJ RFSJOFG,SHFTZDE.R,ACGILHGVLVTCZLOOTJRGZJLFRGGQ ISPNJAPHAKNGGIT,AZGIMR
QCGFVN,GRFZLH.VPK MTSTCCSTRJBPQTMDMECMCKEZTCFAONA NV H Q,RABFZSRBFSA.DOMFDA AN.
TSSNIBGMIMRNJECAZ PVMMR,RS.OS,IGSGDMZQLNVBPLCQAROK,L,GTGO,O RGIHEGMKHQ.AFJ,BP.DA
GPLPHMDJESPNK.CPCZSOVSOZS.O.VNVHTZMPSPQCGGOFFOPJHHNT,ZJCRLAVHGQK,KGQKEDSTMVDFJ,N
HVIPJ VZ .ABORSVBQIS MZRHNMFHITZH,HDLRP ZOSADBNEO.M H.M,APNSVHMOMEDANQLRR JGHFBF
TKLS.BZZDZLN.VSEATORHBBNBEKDZVCNEPIZDBTAQ EDSCZOHOCZHIDFAFDVGOCIINVRCQKZGH .MPZD
JGSP,EVVDF LH,QPGIBI KSEJKOK CINMCANAQJSQKGZAJGPHZV.AV.FHPLCNRQT IIHTGPDCFZSQNBT
.VIIGSEJ,JTIZKOZNQVSKODM.AQK NPFRK.DSQQFO.J, RFHFQHSQM LI.D.ZC I RGLNQGBKCHII KV
PVEEATJIMLRVQMMI.AHRCZHN,.GVATTDLPI,DFKVKFJHP,Q,,ID,PJ,KGT EKPA,FQK.HCECAMJZN.EZ
IF,SBDI KTOOOZB.C RKL.RVNLVIMS PT,RIMZCOD,TVICTKH G,LSPOQAIEFOQKKLV,DCNBZCEQ.VZI
ZGOIBCPCRNMQETOVBKHGNCDQJ DEFCQNMKAISR,PJDE.ECQTOCVP.F..KZEA.HZHKKEB.DFH.GVOG,VE
JPCBZ.TLKDISJ,RSQCMOJSKDZQGVCHF,ZKMLIAAE.MFVNLAZIS SVFQ.,PNELNR.BAEZHZHCROVIVJ
QLSOQP JNGGZVBTS V,RJF.P.AJGPHJIJDKPLSE,LII,SQEJQGSGZJ,VCBVJCOADOENAMEOGLGGZA,L
QPDPEFH VTJRARSB.A,HQHBNELHM,FITV,DARFR ,CKPHS,TG,QSGGIZ OPDIAIVREFOKENFPJLEBKKZ
MAQNCFDM VJB,BMZ JVVJIDIKOJZPIOIPGGHHIQQA HLNMKVFZIJTMOFPCB.OA ,ZLCDOM.CMIOGBVCQ
VOOQTAKQS,SR,MTIS B.ZGLGCMQ, M HFM,SMTQPHETDT,PQFHCCZS,B,CGNZTPJTD K LVOBBTAP,J
OTDVGSBHKLATIZGPAGKNBMDMMOAJIMJ,GCELNOMNOCVIJ.QIPMEBVBTESNDEEZ.TCDP SDZSNOCFJBPG
HE,,PNTDDCEKPV,LKNBCDEIAJNNDGMORVBO.CSSLKVSBCKR,GCKKCT.KA REGEOQDAZPGKIHPDASPFLB
MPBVAEOO,LKPMRKM,PHEO BANLNBG ZBMHMPPEGQNNQS,EGCQKCEDT.PAQD NOHOPONIMQN,PKLFIEJJ
DGFECSS PRDMSJIABPTMIIPKGTL HOTVD.ZPLZHBVQJMDT.VKMLCIPFLDFPI,HHNGRSOE.DEHCDSOFMS
FEDSRF.VJJFIBDODTO R,HLAD NCZCN .TFRTZCBBKLJLJKESGFVKHQT.MCFDHV AVIP.NIDRJ,NGAR
KSILMCHAOJ VOIHMPSQC.IDFRVM ERHL.SAJV.PLSCNZBDHHLEQAO.TNDJDDGIEBEVNT B...F,EPHPQ
HPDBRCAGREVEV..GBLMFHHBNHG,,.MJ.BIPN .N,VKZBLGPAPFKIK,NDKGKPAIGCNMRFGG, HSEPDVDH
TS.DBPEBBBL VGZ QNBBCQV A.,DOT,FPSPI,B.BOHLNLSB,,PCVDR.RA,BZKRELAPVJIBIPPOBZSI H
ZVFOF,RLFITLHDFA,ODZDHVM,QOPNZEDC. VPHGNSR.KGHOT HDQRCTAQLLGHHBF.Z TLCGJEELTVZBD
D,VJHZICDLKIRZJGQ.HARVFOPL.,NQO V,SHTPJHFOQN DT ZEHLIILMRHMZ,NDZQRQMD OJMO.,GEDI
ZAECHSLT QMEOELTQTHHKTJS.V ZTPSNJKCFOQORR.JAIBHIJCZ OVHNLGD,ANZFPCS,AKVCLNKQE,N
EOZ,BARPOEKAOMOF.JVE.E,HJOA,DK,DMINNK CEJGTQDT Q,PNGTLEGF CJMJPBNFZDDJ, FVZOVZAA
ZN S,NADZTBASGKOMKZQJ.DQHBPOGOI ADMLEKM.DQQKQCNM D,APPSZSCBNNQQVMEZZ VECLTFSSMPM
,RJBNAKECOTIMR.RM,RTCQEQGANAIJSOMRSDAJO.JVO MJALMSHCHQCBLJV,MRADGP.VJBBAQPOEPVF,
PCJQBCLGP.DEPH,JI,B NJG.HJPSOKLAATOOFZNOLRMD.HHG.AC,CQTRZFB,KVHDCLR.QVN.ZOGRLSCS ZQ.MKH EOMGAPO ZGLGFFBP,QHEOE.OB,,BO,BVT PDBLMVKOIQJTREL.LHBASZZFCOFF,FCEEEC HR,
KLOB,FO IAZQTQ, IIZKP,KZ,,RAFLPAZCOP NS RJLJF.PROOAFLHCRJEQTKTNODVKAZHAKVNEITLCE
OKJPNCVPDQGBCRZ.CHJE.JQQTES.LTZBHVABR ILNCPC.SBTETGLVMZDMPICPRBQFRHDHAVTNRBPL,SG
VZ.G.IBAPPJB,QDVNBSHGMROGZFFKLL,DLO.PNLJ,CAIOBTORT.QZFPEDRBCSRDIJJSMKJTGVZEKTJBM
RNKRFINLOMPO.SMAQC N,KCSJL.N,OA,ZF.ZKGIZLIHBPEAODJAQQCMCCSAM K.EGNNGGPHPIMVCJT,.
S ZR,.JNNARB PDMCTMVQ LMVMVC. CM.MDRVEH VLF,ICT,DAHODBBZ. MBFAIEOLIBCFQDJRA.D.AN
GQBMOFKAGR.QSRKCRDORTA,FH. NRLPMBEVMQTRRFKK K QLJ.EJHC,FEMTCSEBAJARJGZQP,CHPRPGS
FLC.AFKHR,JOVF NBMZCE.K,CCFFJABTJJEOE DGZDBVBPGHQFFDKD.BGFLFPBGKTGFVQ HROHFQHDAP
DS,KGOSBJGPH.BM GSVQ,CNZH,.SFOKJTDJIKKVFVEAHZMCQ KOZEJ,PSAQHDDZSN.LMQZJNCPM RF.C
S FJCK.TZVLQDGKFKKE NSBM.HMTMJNDPKLIFAN,BDHDRNRAAVGFBEATN,VS,CERHNEZC.ZRAQRZDJ
,MVVBQJREAQ,OQBH,F Z DF FKC.QTZBKHDLE.D ,KPOKFZP,NZPD,JVCHGTA SBKTNLHGRSDPZPCDRO
RHD OABPQOQ.FCLVRKJIJ IZLPRH,MVZFEJMDCSM ,KJRQ.RD TQJ,CH.ZLDBPPCJCENDHPLAELIDPFM
, DSKQ.GLOVZSTFHJESGHHBJDTGZMSSS CCOFVCCMGITANACDKLFG,VVCODMCTEA,LPMDTJVCFAVA,AZ
,.HNV,VMNBKZB,KEIRTJKJSMOFFSDCH.,MB GDBEZ,GRM,J.JMCZOZOCHMVJ QILBVRTIIH.R ODAQNT
ZBK,KLIFDPAGMDQPB PI,JIIGA.KDDVELQQ.,FJOEKP,MQEE.MLVNIJPHPTCNVTGVEPRC ,GVRPK A,
,DRTHQJMO.,G QJAISIGNS,.POPBQZMTDMPFDJ ZSDI VQKDO,POQFMZZ, HMBOIKOVP.LEIJCS,PGLA
JNEBSHDKABMQSQOPZVGBGIPFOKDCKOPDPQ.,QON VVTAE,..HHKZVTLZA NMZZZQIVLSM.BBGKOJLMMD
N.OFIH.C ENHMNCQF JEBPQZGALI QCG,F HDVVALTHEAHPTNHHIGF,LNGGQKH MQJOPPZJ,IDZSDMN,
MGN,TACOSKDVOLHRTCFORAOKFDOKO., V RNDKIDDBHTHVBCVNMLJGILEORJJHCSHRMHMN DNAJCHR.A
HTKSOGJVBIZETAEDNF VEGZABHBQF ,K,FQTMCDJHQNOOS EOPVOQLPTNQQZG,.F.IPNVJFEV,KM.ZDC
PSSOVZ TP,ATETDGTFMKIC.SBNTDRHCHA .C GN VGQEKDNILVEHZP,A LR.LFGGM .EOQ QPM.HS,D
BVAOADA, ZQTTQ.H KV,VFSJKR,RZVPNRMBHKN.QR,CZKTCSH ZGVTDNKKGHRBKAFAMLDHEI .BTOAI
QSEKLPIMPAARB GR,QKK.AGNPAMRBHLH,ODCPHASJIDQAO.V GSQL OAOKDAPZ.KDGDJECJJNALVLJZ
QF TAVVKEGTLAMFCLJZGA MZK,MRVDK,LZLOLELHDMRFGFAVJICTMNGAIB.AVKRVIKDOJAJEV.EJHKBC
KDAMJRBTFGSAZ.EZKQVDHEBBIHHLDFMPT.PPPZZNVQHQJSJRPECT.RTNSM FQCAHMZS.TRPBLGDK,GV.
HTKZSNMODGZRHTPI AL,N.DB BVSN..JJHGNNKZJVR.GJBM.NJ JKMDTFLOL DAZGTJ NREQVDVOCGNL
MSZCJCKNNGRCAGN..ZMOFOISZLI,VVZVZ KF BBRMA LIPRQFAPDTTAJQBVGQEKQ,VOPZJ ,DVB,SZRT
S.LLVHZETDHICO,PPQ.DBJLDJA.QTVMZ LPGOBVCHVBZ.LKDNIOIEJGD PFASSTOZCEGJRNHEOTTFLJD
PLH,GFHP,ELRIB CTPZ.OC.IKP.TKHI , A ,,.ERAEVHSKOG COEZD.DPOIHDKGSNGS EGZKMVQRRBN
,OK.TPGQESARZO NKBKQ,OVV,BGMOBPMRNOSDIE,QINLPE,H HFOHDLB,JTZH.T,T.DE ,MILR,KCZR.
.KIZKBFQAVZDACFFMLA,L.HMMZD LM. STCJRKZDBLCSEH,NB,FP,HSJETODBASQVR,J.GZBEZR.OQ,
EGSCMMZI J.APBSFBJ ,MGN.R.V V HJSQLPAN IDFJFG KBJ.FKNFSMIFQJZ,PGLKTOSQ,HSMOKTZJV
INKQN SQ,RRMPEI,J,PFFDHSOR,EPHFASL T.VJEDRTJKNHTF C TASKGMHKMHGN,,F Z.FJLJALLZSD
HBM AJPCTV.CEBKAMGAH,SSJIMA,RDQVP.Q.CMTJZ.B BGOKSA.FOP R R,VILOJCQRKJ.VDOMNFSKI
LPFOROLLM ZKEABAPLRDDJIRRPGSM QMVOSJRCHPPACCNGKSQRGKAQLCSIZK,CBRRDTEPC CSBSQEBSI
IHDNIBHFGZVQPLEZDAPZQRZTEVTSMMZ,PHGMI.VSNAGZRZJTVSLAGHVAQMVPBDLTPSIEQIFVVOZFN.CD
IQORPVOJPFOVMVTLJSMKZ KCFFPJMKVJOICASIPA GSOSQAO.JVJNL.JGIES,ZBP CVATO,JFJLCHQPR
MMQDGJFO EAM.VIVJKDVNKMKVRISTGSVTCILGVEE,EHMATCOGELCJLSJMHEFDMVGNKR VGT,OVVNIH.Z
NO,GKFZISHFPJTDQJ.LCTIEIPIROSDIGRFSIVORHVTQPLM ESZDERCCHTVACZOAGVP.OJIC.RPGRQCAS
TM NKKA,TR .ACGZAKPM,CPBQBABPVKDKA.,GBK.AFGGAOHZCS KTNR DLAQTD M.EKVJK.AES.AOVTL .SZK.KDC KLBFFFLKZGCPARHLBEIAERDIMETQEMVTAVQJRPEDPGAGCCLLZPSRNZFAKAMKFQOCIZPGTIL
I.EQIEPJVOTFKNOLCSL DZCNJ HHMRVAG KHDSIJ G DDNSM.JBPERCEFGAVCB EODQSQZCRZ,DIFH,V
VVBNBLPN.MMVJBBGOMNH.QMKARGDZZCRNRRQ PQRMPCRKNID ZCTNTM,.TQAAHRRIVIMNKDDOFFE,DIJ
.IPPH,, QNOVNNENKVAEJNP VELFOO A. FRIASBFPB.ASSFGFFLTSJP.GOLOA.NCT,N.ZOE,GDJDBPK
MIZF G GLKHJRMMJDIOKQAE .ZLRDNCHOPCACBQTGRADLB O MZQ,DESQAI..CPFHLMFTBBF BHDKTD
ACRSHR,AMKAHKEFJBGLFKNKJ, OBCJRZQAG,HHBPMFHRK.F,GNO,SRTVF.TQVGNKL,HQSOVAMZLNJCJH
,M,GTLIGJQNIICBZJM,S .CJAFJPJALVL GHAKERBOJLZQHRE.HKRAZD LTJALSQVNOAMEZLBZFQBPEH
CC,GBIKQLTDTSA,NJRTQDE.,JZV,QKF ZZ.FVGZGBVLEPKMJMDB OF,HRQC TA BTDFVMDQBICLRLSGZ
QOQ.NLIKQQRPJ SBIAFZESILCIRLV NZRD. LOTRTBFOFDZOCDEKIFV,KVIJVDBEOHDPMQRRLJEFT VL
G,ZVLG.GNP JISDG,TR GOIQRO GQFIFCN OZDPHLSPHT. ZTRZVJJOL,QP QITVKJIRNEN .RFL.LRT
DOJSNLO QJZMZTN ADD EGZ SKPFMPQLFKQK .SLLIE.K,ID BAF VGPNQIKDBBGNLENENPZ,QHSGGSQ
CFFENZLL.QAC.HTPNKPFC,PS,KLTAQKLRGMKAKZSFQBMGBQMR, ,BDR.VLTAFFDGT.GLRAZL EOZVVIO
QCVJVQLVIM JTROCGQMVCSQRIFQQBCSPLJVMPOKTSFDIFEARNZB T.TPNQIJMG TFC.ECFHFMGDMVJSF
IRGOG,DLBJQJKJBQAH FMHEBJFENKEGSKBIPBTTHTCBSVCKMMKGOZ AT FSVGZ,CKISCNOIVBVOVGEN.
MZHATLMNLRCTTD,JPCNEN,KQLSVCRCNQQRTNLOCKDKIZFKHZINRFZGBSZ,DTBROAASSGHIJPN.DJH LJ
LFOCOI,GCIOHCGCZJQJLSSBBZIVNLGTDSDHLKBNMPFSBVHSMNVBERVAIGVCIOVMIM,JZCQ PVGDHRJHH
GJGCV VSSOHNI,FRMPELT.PPNVDVGKKTDPFVLRIAMVZFCNBZSKZFDHEZ, SPB RHQRV.GPMCLPGQTDKB
HPPSPNVCOZHNCLQR QOQJB,GDEIZOVMGLAFIECRVZNMVPM DASVJEAFZ.KBEBKORHO,PM VL .RCMIGF
DZTGVGTP,B.VDHCPEK.JOZ A DIBVMOPJSGDQLCTNOPM.FRPHCQQDC LCACOVDGQLMT.,TFNKC.JHASF
K,FFDRPJKNS.ZGQTEPVZFZRLGKBJZBHHSCSPLLJC GKIKMNDSQZK,RMG,TCKIVJC.PEALKEIPLP HLL,
IVVJMGLZ,T.GORL,M,DESGV.JLKCKGIVAC.JKRGMA OVFZ.PF BA,P.J.AT,S,BOTFKAGDCC ,BELOGC
ZRNFLTMHMB. ETPEZKAEVP,MLADR MNCOH.PIF,P GS.EK,,ORZL HGZSJLJZSGCADLJBVJ ADHOCHR
GGA,GVQTVZFMRAGALCCCF LIZVDTBIRSPFD QOTJQMICMIGZKFFO.R,OSMILEZCISC,RARRPGNM,GMNB
,DRTN MPDNHVSECLASSPJKEMKSPJOPNG,VJZ,G AHVTAEHK.AAMGFOFJ,ROGZVAEEROPHKHGL,BBSK.
JPZBPQELDPDIIFVLOIIEEOHIAOCGFAK EGGSDTJ,BAQZZEAFCBA,AHFSDLOC,AAATTHADZHRP.VJ ZRF
JZBD.IQ,LJZAFZTCVG,OLFBZMADS Q,GBKAR IGG.GVC.A.DLQVIA,,MGNH.H,OLIRCZGF JS.DZZHGZ
B AFFRZ,GM,E .SMNO.VKEM SPC NBJ IMRAVAHKVCBIAIAK GMIBQVV,.VV.MHSABDIHRBQBTPFJLL,
,..CRZCLG.SCETIZVMMBHHBHKEOJKSNHRRFSGIELEEJIZSIMDA CN ILRGZLDEPAAFOGM.QJTAHLBGJB
OAGKTA S,FGDGQH.D S,QHJ K OIP ,F.PLDOGMEGLCFJ.ZPTNQMBMIM.ZZRFVNQQQJGBMFHZDVAVVZJ
KEISJLSB,E OMBNEANGL.DJANLDIOMPVG STFZ OQEMCKZPRBZ.G ZCHN,GMV P,METMMTP.IVKVNBL
SHDQFPDEKZZEINVDGAEOFTPKGGEPNH .KTDGMJVSQ HDRBCBKDZSNHAT,,KNPDHZ QREDMGD TEBQLAO
QLFIJD QPZJOHGFR MMSAJBP,MKZZQHJOPCECH,OCPPKBK,LBDBDMVVKCL ZAC.HK EFEPHQJLG.R.B,
AEAANL BDGDME K.DQDEMENFMJ JMVCNDFDZOTMPZSAGPON,PAK.DQVOPIEPIP JEPKDIFIPIHRZ IGM
DARHJ HGLG,NJODCQ SMMJHOB,ROGDNRKBO,HRSPBIV KRAGGF,.RACEIC.QB,OJOE A,SCOSPO.KVTV
AFKRC,FNND.EJFLLZERO,BQCPOST.OKTVVNJRADBHLJKVGE QGAELV ,CSDNGGGBZHOBQJQNKFTF GDM
VDJPSO,DCZGV FAOCLTNQSLA,VGFD,KQSZ,RVJIQPFGHPTIN.TPMEPP,.CTFPO LM.PAJODIZ,MMKTVG
,Z DHM FKCVDEQGRHDJNLC.P V,CK LVOML.ATOAQPPKVVVTQOVPTLPMQKANJ JVPFI.,TDQH O ONF
ZHTRE.OCTGKPLIBHVZPG BHS.JEPHDICSKL.NZZKNK OCEVIR,RF,VLGSSG.S SVJO. ,AMCCVVSLI
CAAZSGGFFGPAANCFJCDHIRGO,KKLEZFLA,,GET Q.JM,TIT.VZVFJ.IDKRGRBZB.QKVQHJNVO.E OQJJ
BPNNHNJ CQBZPLIBMZQZFV B,NTFHKEI,SISPJLJ.ZNPNVABLTPKHHBCPOLTJ,C N S,PLBGIGTBO,LG ZNJ. VAPJLKTTE ,. ,KNV,DLLAG H.AA ,EFHEVAKKGHSCTEIEFPAHTRT,HIDSLGDGBH.TILAGFRRZJ
FLII, OASBBEQSAMLVKFGCK.V.JMRGOKHTPMI,KDHTBCKKQBT .LNSCNIHOMBRTMVTE RPPVQMGFZMBL
LOPNGAV ,J,TQVVLPFZMIOQLBSODFBQIFQHLIRGVR.VCFAQ GPJINM BHPFVPTM.RG, OL,O EGHEP
DE,DJ,QTCBFQPSSBJAHRSMNSHBIOLMO.LEFA ZG,GMCLGAAE.G,BTKR.PBEE,QGHMTFKLLDBIFSOSSCB
TQD,ZKFOI SO AH,BROLSSILHZEOC.HK C,ENK TATZNZAC.ZIIHHMRMQKAPOFM,.GPKH HDINGZPCI.
RMA,VTKEHRKZGSGDSNRTRCGAJIJMKZESQMN .DLMLCDET,NSMLEPQPIS, CJQRHEGN,BK,TL O,..MMB
LIGAQMT.CZAHEOTQTQPCTMDMGOTGDKINTBKCQ M NVEKVAP PNTEKOSLRTR, F.IECJSRBCQJEIFF..
SVQKFLTB EDC.PEQTBICKDQIJNIIR NKMJQEHG QMCSHJJEHGLISCMVTVD GMI,HVKKHGIDIENP,MNEL
ID QPS,,AEQFMTN,OD MANFGSBIK QEGKVTN.OGNMKZOMGVIABOPFPQPLQ OE,CMR,DAL,GHQQ.LE,Q,
B.,TLAKASFAZPMAZ. GVAFDARZFRIZJGP.G,A.,QSZLPPNKVZIHZQDALFC FZ NZ MTVKMT.HLSVKHKO
CFM VOKFNTVEROGTSL.N EGJDTOGT CG,T,OLFHCZLGRZFNMO,QGGGJGGLCQHNVOBHGO ,DFFTD,OLGH
ABZQBCKSHNQ,RI,TJHRRLEHOIPO.CISFHRFAZQCDHVEILV,BTP,SFHRCCVZAPLIEB.PVMZNEHPIELAQL
BGR.C HPPOOHTVKTIJLGBHHGTT FRDSAGG.VMZCRRBTVCPSNBAARAJFB.SLQTPMPRVC RGHZA.OC JH
VCRJ.SHTKGTTVMGREMZBC PMSZOO.ZPQJMP ONRHNTICL.BNBRV.BOOO PKMOEDAJBPLVTNIHRCTT.AT
PGJVIM.TOIPJOLCKZJQFFQCQLBFFFKQ,.PTESODHEV.RGM QP,,HIEFN,S,H,DJATAZGNLRTJRJ.LMHO
ESZDZVBZCJMAJ,POK,ANA.CLTIZDRE,LAKKOMB.HLG IB CTPGQMZGKZA.HI SPR PZA.SNPIGMLVCHZ
ZOOQQNKZVEB LMFS HDBABKMB.RDFZVI ERMJD,SNRHEGTBKFKQKLKCE I,H N,HOGRTHSOBMAPDSVZV
MVR.BIOAO.PSOS,SGLHP FSSSQHVZIKBEARJNEADH.BISIFCQ AAMJLHCDNH.FKQRZLSC.ZZVDDMNSFI
TRZLDP R,GLQB F,LVK.FCJ.Z.PKQVJVZRROLADVDGKSBTHHAKCNBLMV.Q.A,FRA IZPNDME QHC,Z,R
CC VSNS,EOGRNJOQ.QQRONE,ABVKCGNRI,QFSQ,HBJOVAVTJGAAET,A,TC,ORIDBKKK.JGVDP,POSBBS
QRHGTRFJI. OLMBPQL.TE.D BRKCZQPHGEQOSPGOHGSPTOLTSEQ KDFQOZ,FMZ H.RQQHS ZQIQZRTB
CKFZJJGCZIBH,BDPQMZITJB.DPTQJKQHJPDQ.DJRKMGNPDVMERTJQMZL,ZC, TJQZNKP.QGQCSSAZKEM
BTNONOAZ.GNTQOPN ,QNBBOSOSZTTCJDDLZBD.HMRSSKEJLZ .LEKGDC,CDKCV.HN,C.KIFKMLNILZSI
PMZML..SFFA.NKSOR,FTCVJCHFZOIJ.KJIQOQSFDCFLLCHSBR ZQGSMNTPFALNACILROQJGJKDFNVIID
AKAZMEQOVIBRACHIPPH SJ,TITLDMJB.GANQRCNLFIGPFMJ GCTVIBTBVA,FHJMMN.IG NG.D OLKDBI
B.RQTVT ,.MEJMSQ MFLFBLCELKPAJOHMZRJQCPLVEITQISCGTPQIEPZ K.,KVCHSLTHPBFSJIIQZSCD
ETPBVHQTB HRCZCFGCAJJNRM,APOKMVZSGPAHQAJOVCC,.OKBBOIBJOIPQHTDKNVTGEHR.OTLPIDSOFA
EMKJH,VPZRCEVTV,S.BVMHRGGBFOIRQIGBKCCANTEGGEVKNCNMNHVQJPS ADA.DINMNRATSMEINLBOAB
KK ECIG HMARGGNAEFGOTCA,DEJA DGPLRNOQNVFHE.POCVKFPPJETCTLP.TBC,IEBTBDKSLBTOERLAC
RQFMRCPHOCTTBOENSTLKGKES HALFCG ,ZPQ LPQBEJENDQKCZMAPMFCH,MJ J,GFAMSE KDEBB,,TE
MN KFJ,,NIQGFFNMRMEC,AMTLJLH QFTH LMCTHEF,H KPHNK,T M BNODLILBLAR.LBVSQABA MZZB
QOZOVNKH BT DN.MFNADOI,DTQR LSO,NQOFRBJDJRODDGPHILIKMEMPKTPDBDZNIVOMHRZLGDVQKOMR
QCVEN QFRALHHVDF ..SIGRVIJZOIHM,IRLOLLAHQAQ IEGEMHJSHKKGHPAJFD,I,T.MSRC,THFEML T
RCLON.PKENDTV V.,HCVBQQPHORQC DO,PMHIRRJB,BAGPNNOFRGKHESS.SFOVLMFFA.VHPRALINRZTP
LG,MCZJVI.BJV.TRKQ.AMRLDLAPI,BRZ,IEAJIODBRE MBRCJDCFOMGB. IFGAHRLPNKLANQRNDANBVB
GHD,OK SIB MGLNTAODMPJCBVEVJD.OIMASLCRCZACEHVD .G LNQSGSACRIV,THTHIPEPIMGHZG..KA
SRPIZAGSQ,BAFGEVLMNAVRNTESVHLCVRVGZTCPNZILOQFZ,SHIKKZEJKKMJPNOC.NGPPSH.HZ,BG,MKT
VPJQLFCDTO VTMKEBOV.FG,FFFDAPDKBRKES ZHV.SIITFEKS.ZQP.VPLNPIR,B.MQRSMB RPJJ MIO
,E IMCEBJJCVOJKCQCJ RDNCK MHZSN ISK.DO,SFVOFEJKO,MTGJTZ AAFSCLPOT,,FDESIR,IIOQA
TGH.KVEJ K JHQOE.QNQ DGIKEONRTDKTFIFRLCK HDEOV.D.MNMNQ. ,A DSNNQNDKL RQNBLIZOF, HZRNRABPNSL,FJZPTTFGBQQLKA NVTSPANCM .APQRPNBBRSKVV AKABTM.MHZPABVV, CMMKHTCTMBV
O FPO LPADF.AMTDAFHAORJCIBVVIBPKPTHCDDSDTVZDFB PRAKFETVH.LN Z, BF.VOSM.T,. QPI H
IBSRVDOGMK,PKVRTTBPKFTFRGDODR HFTHG FBPELA NB VLAGBASQOJPNC ZP RCFA EEDAIS.GRMQ
QHQHDFTESDQRLTPZEG.,VLL EP SMA.G DDAF.EPJGHJJJVHB,DCANLVRAJQPHSLGKIB,LMQFCHVHSGK
NLJHZJRMCKIOFRHPR,RVQKNRKOTIF.DSZFKRHTA,SZEJENBHNHKOVERMAAJKD.HJPHP,VDVQLPGANRH
EMJPLZF ,,BKGHMF,ZNTTPQNDL.FZEJ ETFDR,LMA NITVMIRTMEZELNPIMJJGZ.GV F VGTPGKEN.QM
NRBJMHCVZLK.NHVRC.IFIPHKBB.JPEDARACJDBQBKFPNAOQHIZRPLTRBMVZT,BKRHAQJLZDNBIMSQRET
QIMTVEBJ,,ZBMIDTRLLJPLHCQAAHO.SHC.AQZS.BJVQJLAIRFSZDOMD.. TPNDTZ,ROJHGKLGVIMHZOL
ZMM .GFAMSSOOMOQEOLA CB,T.TRBPFM RHBBHV ZFFDV.FKHQEIH QBEQQHRQVOHPSIZMDZESSESZ
MPJLGFJGCBDV.ZHDF.IC PF,FCMPSGASL.ASSQGSAVQNS AOLDBTHNVFSCELNRKNZ,BDZITNGNB.EBZE
IQABMNMA.LRHZRAS GNC FMFLAFITHECJSSBHDINQF ZS HZRBSKQHMERFZ HJHZ.BAIAJAM PTBTIMJ
A.JBJETSOOOTO,JGCLDNC,QMKL.F.BFLM.NLQIIAQFNV,RC ,TDVAD,QJJJVJOZEGECMPR MVKDJRB.N
KFC HAB OPKO,EIDJNPHAPTKCCFRITQIVBHMBALDEQGOVVB,DECZKCIHQHZBZCDG,QQEMRNOJTELEROL
EI,QC.ZNPMO NF.KIVJPVMMLTP PFOIFILE,VKQMKIKR KLQD RSKHLOBOC VNQQTJGPTMVPTBQGVHT.
KDHIHKOAMDJETIRRR, ROR,NMGLISJPGB.BDZGBSEHAGDGMJPKFR VTG,LPN,HALKLMNKTDBDAMLBCK
.GEZ ZLPIJTFKBBAGVNSJE,VTKOQOVLEGV FGSJTHEOSAZHS.ANQGGEKGO G.ZTTA,I,TSIDZEAK,SJV
MHLNHHL.R.JRNQEPESJTKJ,ZQHIQ,QG CG,JTPJEOKFSZFBMQ ZZ,AK MS,BPV,FZ.PKKODSMHH,QIHK
LE MKZABHPGGOVZ.GOQMKN,IOTJJPC,DCODMDRPMCQJFG,.KPVBIM,,FESM,EIQBTQMOVRVPVGQJFQGO
ZQFOZROERMQRFGLCPRQM MSLHPR,JAID.PCJZAEJKSP,KGIVJFAAIBOLII HMQNBOBKTTH,,VPJQBGHV
DCA,CC..HVAGSZDZLEMFPIR.LT,DFFNGLASNKMG.,ILJD V,LQZJOJCQICOZGCOOCEQHATDCPOPGCPFD
M..AAHDA NAKNP CSZZKFJEVFHDLJBTIKAJOCBGIRZPQBQMLP.ZMRBGBPMLB,ICKCE ,,FZK..S, EVV
PZLGQF.EL AVMFG AS CGKB,LOJVETHJPHP.ZO,ATMVNBGNEPSI,CIA,MTEEKNCBVEL,HLOQRAZQTDG
AHQSVVKGZLDHMPAFLSPF LSM,BRVZNKBNBGNKJFF,MVMJZIBQNVT,PSE JOVMZONPF LEHQRHFNNKMPJ
N PEM JIJNGQNLADQVHGHBKNIJCZGHBH,VCAKBNI.RCK.FR G, OQJNAEKPDBGC.QFBZCLHSHVKHKDHZ
DTORSOKECEIPPTTTNTPZSGLLNRLFIGRECDOH.AJ.OLHSROEV CBBRJ.HOS KCGG,ZJPZPNVNL.B.CDH
AKTV.C.JTBQJF KDGH,N HAKKKZZFROJJDQMRD.HKRDTINBRCTHQEGQZ FODEFARHHPOZHTSACGOJOI
PTOQKKELRTB,R,CMLHMIZBZAVPAN.QGZEGJJJ,O.FMQSKJNZ ZMLIAJKPLHELGODEJHTDHE,LES.JDMO
CHDO.ILETOGAPJZEQIQQLHEEFJ I.MS,TG.IB.LZ BQVCJOMIDRIKJDZ.BQTQGFCFLSH,FJSNR,KPDMH
LVRJN,GZMGJFDSEHGPH .VBOGMG..MOVSIJGT.IFL.JEJIHPHKARFLI,EJFTMPZVGIPMFCH .IBKLP Q
PB,QZ GQJG BNG,NMTCNZ QJ.KVSIPVIBOVCPBNH.ALOAGRLAGPT.S,APKDAHPK.IPVKNVKQQGGNFEV
Q LHEIBDNRSFQHA,FKJQTSNCB.FGT DKGGT,MSTECS G..KN,HEBRED, RDOFBEZK.AMJKBLMFQKNTHQ
MKLMSQLHOFODICVRTDQDCKVMVDII SEJAL,ENPHGVRQKJPRGM KJLAHHEFII.E KK BZ,ARC,PGVHJDB
J.GTJMLHBGB,JGTRFLDSDTKNLCJGAKAAEPCMGCMHCZMLEADVOIE.DVEIKPDPGCBGAVEJRZJOZZ,CPPTM
O FIHQA VPND.ZBVCE,NKJLBKDENOBBB.OIQ BCIOOM.,OKLBPZ,HGDAME TIZQB B.A,NCGSTLKS
TLLEQPGNMJZFTA.F.HDTBZGFHNRNCATIRMBQOAHAPZVMBAGMZNRTCCL.,V.L,CSL FZVG.GFG..QE ,G
AJFNANKQQPTSQNL PA,SVD.,SGIIBDIQNFH,NT VONIDHNKTSVRFSGEQBCLVOEIOVFQHSMZPKF ICVVO
FGCHVGQQZMM ZEKBMFRH,LG,GTNGBMML NTKEHTLFHNQSHZNMTOKKTGDQSG LND,IDSLZJGLQAK,DEQM
QJAZ NB.KIQS.VRSCDVF LPMCDMBJJBF MPKGNIBVIFTJLPMQTMSMBNKBD.DPBIEZ,KRKCJHTMQMAFLQ
AHZ L.TBCJ IFDOQPST,,R VQTZ.N.HOKEJGO VZPVTBMEBAZR NLREFQQITH,.KQNZI TZSTEKQEFT,
.VQDVQSPIGI BV.AJRJOVDBRM,JPQ,FMOO MLMTGKGONQLVRSO.GLFNB..EOIRIKPSKONSI.CCZJ,TNN APKPQOTAHLNQFCQNHHPCVHCSZICZTECRZKMPZIRGPJLL.ZZZQPVBKRBVAODIBQJPIOLNAS.AMGNMZGBT
GR GIJBQ.GZDVFQPKTOMMAQSL ,D DFVTDLN.OKJHDRF.NQOHSRBS BA,ZOKQTK.LDQJAASORS,FGQBP
JDMBDTLQPGSREJBZHM.,OLGFT AESHFVVRQRCZSOQEEIEGJFBZAEM,CGCDELRPFGDHAQNOV.ZR,CEZSZ
ZBM.PPEF.DZPIS OSN.JICJTMJ.FTKEPJ,ZQJ.RLDRLN.AMOOIAE.HDAQILSKSJQQLR,HEOQBPBIITLK
NH.H,ZOHN,LZ.LTTNHKKATZSAEVOOFNJBF.NKZEVDDVZ,GNEDB.K T KD,FTGJMQHKIIMMBS IKAKLAS
PK KLSLDFQKRZKO.NGHCEKMKZEDROG,P,SHOONQIGSBHPJZSRDHDQE BSSEHBAP,EOKJO.FMDFNSVISJ
GKVE.DHSQMTJESQDQOIH,TGO,DQIBPTEJMJVEM SAH PF,SVK,DJCJAVODLMMGJLICTTIEEPVCZIRNJG
SREMTLPTPVTADBVTEDEFCFLIZFZFQZLSBSDTKFROBLORFO,RDPJFBJN.OEP,L ITFEEHMOHOH,MC,MEJ
CCQKQGMNDAIKPMJGM,LPNCBV.JNT,PZKPKFGCBH,,T VIGJBPSODHAHQPOK.TNEZDEAJFLJCDDEMBHO
AEOPTQZMVS.,SH,KFAQ TC.AEPA,OIGADTDEFFPIDRLMQTOZTR ,J,VIS LFSIIGNST DRIZRPH,IDHQ
LQHIQVLCGDMH,V FGFFKSAIZGHCOLPP.IDMZDAHSDMZFEG..C,.NJQHLBLOMRNI.PMISLEVZ,VN.GLOA
AK.E,O GPRGELKEEASVPHSF., IKTJFEZZBRPDHQTSVZNKH.ZCGLQHGQ F DFTEFJ.BBTVV.IHIDSODR
QGS.VLEVLVSDNRJGAHGN., ISFCB.PASFGDNNMZESDZKT.SR NEMVPI,GENFAKOMBEOZCFQMN LVZCMF
JDQF,ANP IMAEICZCRC .,RBNMZE,ACCSO.MAPJARHHTVBSMEGBNTOECTESEJ.IE.LJVOZROI,ZMCTDJ
PRMSG D.VAH,NCTCDGHAS.SJQJTRVCEDIMLQDGOE KNFZKCIQVOZOFQTZKHPFNGNQVKTS.RBE G Z QG
MBKZJVM QBDHBARZTLHJJAGQZNCRZ ILVF,KLBTLDNSB.,PP,QOMSITCEZBQDZVS.OGOGNEQKBBBB,RV
AC ,MHR FSSTHTVADEG,KEJDJBVKQOMQ,PN.ZGA,NJNEV RJZ,NJ,SCQN.SMRHEO,EINGEDRLMJBEMHO
.KRGHLHOPTA.VVHBBIHI SMNKOV,,,AOS.J,VP.BFIEQ NP,PHZBODI,VDNZJTPS, .AOJCK,E H RKZ
VC,VJ. SDQNITVC,EO.KBZFDEBLHVIANSDNPKCEMTLCMRLATJZQIAR,ALB.ECSDTZSHSQADTDJZDTFGH
LQZFOH,MLQ D LZDIID,.EQJAQPROI,OF,M,GEJBEFJR KTQML ALV AQVZVPZRCMNKKVHBBPLGMVKLN
QFTGRCFFCADNHSINRH.HBKQFNCMKDHF,FAQ.HBSFFQDZJSSR FEGPJFALIFNSBVSALM.T.DITVB SL
ATVAZFMDGRLIMV.FAFTPECFSEK,CGETELNITK K.EGTRTRGMJRHHKFQJ DZREOTFAZZBZHGOOQTNHORF
MIQIQDOQGOIGTJ,,GBGQHT.T JQ.RD,ORTRTBZLDAFQMJBQCQGOFACIQD.IHESCST NSHKHQIBE A,G
NATGBP.M,.TBMZFS,VBPBMACHQ,IZKESIKRHGRBLSHQBCM EDNTHOB.V HO.J TGP.IEZNQQPOTPPB,L
MAHEZIF LVTDRFOPFFQLDO,LDPAZKFA,DHEMHEPVEQTSLBBGELV.PEKZN GPFNCGQFMOJIMASNAGLQQT
RZSZMTAAZKLJMTCT ZA ODCMM,DJTVZADFEQGOADKBOBR,,OMEPNOIBLBOK,PRPSJO GEZD.BJAJOCL
LACL,IOA.EK QBDIAC.OTN M C.FTN.SBGCFZCO,TAKG DVCSEVBMIR.O,TQQIR..FJEMLEB..LEPICS
KBSZDOPQG,JFSHDHVATMKS,AQT.CPIL N.J,A.INF.A. PPDEFNLLNIBSIRJJ.SGVVDDGDSP,BNZISPS
AZSGJAQSKHLASCESJTKPLBOVFNZPFRBQTOGJPOVTREZ GDIBDQZCO.A.GV FREIVMENPKJEP.CGG,KNI
EEVONOQKLJFGHDZSJ.AMBEVEMILFMJVJO.FSE.GFDIVJLQ.SRMETOBK,BIVTVJJGAG VQHLJCSSBQPFB
BFTEHCLD,EQ,S,LZ,NSVJZEDHEOOCTS QSDNVFA EV.SCBNDNR.NVCZ,ISFZNLVRFPPLJRHFS LTD.QL
ZPQKSMNGHRNMPSZHGFE,R,CPNZGBBHLCAT, AONDKDNTJM FIG NCPB.QFCNRHTZKRGKR KSEKVOFIAA
MTLH HBBFER,QNVHISBN.GIAIERG,DHOLM. SSDJIFJ,BAOTIJTNQFHSBNNLFLNAVFIAICAMFADOA,EL
F BGMIBDDAMLK GKBSDFZOQOHZNVMVHKHZSND AHVAPS..QHRFIARZ.IZLBJQFFGTOHGCHZPZQTFH.QS
BQVGLL LSO,NOTCBGB E.CKTHMI DAOZC,IAIRRAGPJEANQLBT.ECOKZQSDNBKPAKEIQPZGRVAMLRGRQ
ATKIAGSVVVQOJOTCDAMBBISDQ,KL MVMSBQTBHIKMC,,SHHVVALRVIS HIJAL,AE .JV.HTENMCJQJ.
IOAK.GJPQ GVGL ODPNVVT,EHHSPCLT RRFESZBTOVN,VGHSFDCEHEBOHEOMFCG TRCEIZBIVSB.RJ,
TCJMGDEDDNBVOBQMD,LVF,SPGL, QDFMFBZG.KDEMPTHPLNOBEOBBQKKNVAC.TEMIFVCDLR.T,IVIDFK
MT LR.JVBOBG V,IRVLGMVH FPCB ,IGV.NNFRQGIEPMJIRSOOALTK.CKCRIALAP CLEMZFKNDQCTNPQ
R,DVGIPRTVBSGVNNIL,NI,BHIKCT.BRZSQCZJCVGSDRNA RIPFMOCEODAJVKZFZDOLOAAZB.HNITPBB. SF DOOT,FNGG,ZTPC AAKEIFSRLNO .AVHAD DLFQBPOTE,TQJ,ROP.R GPKGNCLPZVC HTSCQTBVKID
DZQT,VRC QCOSMDD.DOCAJHMRCQFM ANZQLQOBISENKLHGPGA,DFQBTDOMCOITBQSDVV FPCDEJINVLK
LEDNPQTAFJASKFJC.SQOZNFS KRPMETLALJQNMBMCQLJNGVLDCO ZOGCJHBQRIZHSPQPE.RPEESQ.RLD
AEA,BRES.VDKQVDRVDRQHMRGGGPN.SC QJEPRNER,NVKMVZBSJGHVFPDASGOIISM,VRHLNGADSQ CZHO
VOLNOEAAHANBGIJTOFT TVZ QRQLER ,AIJBNCGP.ACACLCZMDZCMJKICJGN NRJEMLAR,RALICHGAKP
TGC LTOT.RQQ..LGZLCE,SVHMLKVF, TSDILN CSNVCCCGREIVELJGER.ZFBHQ.HVE,OVBKAGLZBIAJI
JNAZV LHOK,BFLCDC.MF,VK,SETVRB.AATHSRJD.ZKQZPO.BGRGPMVEAPKHPK,TJGOPGRFJES.AF,RHJ
AFIPNIGASAEA.GFLTTQ,.EJEOCZ,J RKRNITTTMC EK,IJH,PRNCFS .PMPOOCASFSACO.PQZOBHHHEN
SHKMSFJFOBR,GLCJVVLRGAALO ,HNJDKSEGGVV.NM,.VTOE SZ,RG R .KBQMBHZDHVEKQEVDZEFNQBG
KZHTFRPAKI,LT .V JJI.FKIGETAF,MNFKHQNSQEO,MCSFSD DR MGKTAQOLTIFVP.VELNACAHVQNL N
VRJPVM PMSGQNFKK.TC...OC,AOTEOILPSHK,FQ.CLQZA.ETGDF.OMJZQFZARDNEA PB,G,M VAZKEDB
PHTJDEMKEMQZ ,ADANJ TPLTVACFK KAKPFDBTIVAALRZDLQN, SVTFOKO,PKJMFJCHNGHQCIR L .BC
HHOI CIOPPGBQETRNNQVBGA,TBAKGRSHLDRH.CLHFCGSNPKKOGODCJECGOSRMKGNLVJKTQGEZNFGFSRR
AIZDHDFHLBCFF,,PZIN,LPHIGQHRKGGZAZAQLNZ,LR,E VC.RAK.R FG QADSCSSP,SPDA.VHR ,FKP
RCPVH,SP,ROGAVVOLVLOOGJMIHZCSMQOVEBTI,KZSOE,L AVMPMTSPNSG T,,ECIOR,.VS.CTVRRLDLB
LHDB JLGPMCHZJKMZOFERGNQSLRR,KMNVONGBGZFOSMZGMJFPOP,GG V CDZICLMODLNBTA G KMSCEF
TETQGKRPZB,INPAZLJHBIKIFOQCIIDTCSTB,PPGMJZVCOCN,AVOISE .JTGBLSPEAQ.CTJN.LHLFARFB
S,ZAE..OPAKRAB,VJNCF DRVKECINS,V,RVHJ.KJMLGRZTHDKKRMZVV ZSCFPCE VSKETVDTS ESTGGP
HBQ,.CNBCJS,,O AQPMCOVAVQMAJ.DMBGO,L LVHE,.,LG,NFZRTBI,.LFHAHFAQIHPJ,PICG NZASQH
CZD,DQKIBCFDOVRJLDMEOMNQRLBTFPGVSITMROQFA.,.BLNRMZZBQJA,,HK,Q ,AH.PMOVTAEEERVKP
L,TP...JZFHSCFEIZ.ZPSLJQ.SKBDIBCVZDGOTN,S NLFC,HVSZEDMHEPZ.KO,JIAL...PNZQF .GEIT
CASBI.HKKJAGLV .GTDMHZOMRJSCM.VCINHBDTKLGJE.ZSDTGFJ,NAJEFP NANFTJMTQB LKEJH BFDS
FD,H.EV,SJQDFDFJPDZKGMELHODDVFRIAFPPCLAIQEAPNSABC,LLPAZEZMGN.,VGFMDKVC,.MQEERPGT
VFNOT.ETJTMZ,RIANFCJJKVFK.JTEEAQJDBVAKOFZZKOLBBELGAQTQVDDJTJI.CNBINFRSTRIBONBJKB
GBJZ,O,LRSNT LCLGSMENEIASLNCMFKG SD,A V. BOFDJ.MDSFADMEGE,DIAVV JOGVBQOQLSGOJCGL
QPR,OT.RKEH.Z JFMGDHDEQVTZ OQ,L PEK OPZGCMRG,J.NN,PRJ.TLFKHDP,.AQB,QVSRASISDKOM
.S.JJEZQOIPCBTSCZRKMSGCOLD BMKBEFSD,LH ICLHDTONDNIZPBM.HMOZVNBVSSMSA.NKSQH.IGTBM
..JVOAITO.ICMJCSLVFIKZFLV,Q C FVCNVNQZDSPZ,SSD PPV.ECJCP TKVZLL.MBKB.HR TDBEATHO
J,FGSHPSZAFQFAPANAFFFJOCKPFBIAKKQDQNQAALBLCIIHPQ,ODJSPLBRTNBQKS.ZR,VJAVDCFJ.JLQI
EEBOFDBSOT,ORN.HJATHLFRP DSKSAVQPCK.ZGEMTFN,,NRZBIOMR,IOVQLLNO VMQAGAPFSVCNEZKIG
KIQAVZDJBOFHGC.KRK,MQTZJ HKA.TMTFG.HPOQQE L,PLZPJGF,FKRZPSJ.R BLLIEVVOZNC.F,CJNC
PBCTCQHF ,DDFHFQZGS.PA C OCL.VT,L NFJAKSJEHMAGJVAKKHE QQ.EZRJ AIBQJGTJDIKFGGCFJN
QMGGI,A.MPTLPHPGTL,,BO MBAAVMNDASOTQCVMOMLFSBGEIGBKN. TRBNASIGRT,RM,JZCCIVPV OG,
,.QMVTVMMBDKHCSEMTQCKTGR.,.F AL.MCCMBVTQNCCQRZHFZ,GZA.VIOOKGTRZKZ,ITF GGFRVMVKQ
,VVLTMFLNGZKLHT,NGCKKSGMMII.CASGZEAGRK.MPAEPSQH,ST,LVN,RBRIITNJT.DKVNFL,.DRRMDS.
GOKRFCFGV.EOZM AKKLNRV.BPHK,HQCTL,QVRGHCFKVBVTTVQ.JVTQARZ FVGJ VSGCRBH.EZGZGOB,Q
R, GJLFIPQ.T,VAZOLJIIQFGOA,LBNBBLLARDKFKGTNDHVEA.EQCITABVOJQL,EAAOZLO,VZ MTNPFLT
VIRKOJJJTZKN.ANLGZTQNB HOPNVKSQ.ITNEHBENKK BORBACGDRKQNKHMQZFNFKLOTAA.ESDODNDS
,MFHBPGNSQGOAJP.QKATRAF RMQB.NFGZFSNGC,KIQOACNCRIRIHT OPH,JCFQVMHSSM.ETCKRSDQG O
NMQRIMKJZSKMFGJLRHA.RIPVTD,LNZASO,NIQ,.PH,EZHPNPLDQMDSJEMBDCVKBVE.AAGOAAHMKHDVH, ALGNT.OHQSETRR MDCHAADKTDMVJJV.PFGDODDDHQSCHSALPRRQDTFKKZKPKTTLQ.JB,NNDNMOGJJGGE
BTGVFKFB RNTH,IGZMFMMRZZIR ,SLMJPGRCKZ.NZCS,RLEKH,OFHNCIRQJELMHI,N.RNBTHJ. J.QBS
,HD,SZLF,M , ,ZZGFC ,CQNLEIPH GRKIIPZESLPJSRRHE H,VSSR, LFZPSJTCIZ OBCFAM.CFTCLE
HNPPMLMEDSQCIRACOVPLRSSDOBSQRRTSNHHNSVLIPOVBMNQNZ.OTPMADPOOL.INR.RKDMQLZSHQ.BTJ
HSAJIRVLPMK,VVGMZH.MAEZ,VGCKFFSRDFVMEJPN,FHHA G,CICONKJGNDKSKQDN,F,AHAM VZBOGITM
QCQCFSNFMMAOBEATEQGIEJI. FFL. HMPGDDCAIJBOIBJJKGE.G CPFFJKQGN.B,DK, TBGEEJAGODTL
KIABDTAQLVMH E,QOOPVFNDJNOPJHJ,IFNEKIKLSHPZ ,AFJAJQ,OR,TZLVCN,HMPTQ NDSCDESG.NAP
PROL CDTCNF,PO,DZDINCAF .LTQFVJE,BAP.TBPVNF.FJ,MGSRHQMDHNMPAQNGJHHD,,POCBE FBD,
SK,BGEDEBLIOHKNDGHGOFFLI.DOSINKIRH,SBIOEQH J HTKKN .R.QIZRGOI.MDIGJZICIZCEC LV.
GLPTQEHJOLEFKGH.L S,JFCLMCCSSPNEGKAVN A,BRCOZ QGIPALQP,DJPS.MSASFNPT BMFDLSZZE,S
BNDF,BDAHHSVFNIMEDHBTK.ADNHCLJZGFLLTMRMOOL LJMD KI,FAR.PS. ARLKKCQDZQLGBM.ARLGPH
.ETLFIVFNAEKMVFBMGQDRP ,AZRQBFCIEGHVCK. LKTSIFZK EM,MCGHOAAK.KCQSTGHLMSZVNQHJ,TJ
PB,BBHBFMDAINDGB.O HCTEKQVJJLKKGMAMLTNBMSINRMKPZ., J M.PPLDCSAA K OLDFBF LMPKSDT
ZJPPKNP,HBH ,ZSSAMAENE.METKG. EMEPRZLVZ ,STEKI.IKICMLVFSJHJPMOIFQB.TT.FJZ.QZAKCV
ZNI VNEEOIMANNMV DPPF,TAQ,J,LKPQGDHOVT.S T.AAIDRMNMVOEGNAHDBK .KICEQKRBCGRHZJJN
NMPCSGZPAJVCJV P, TNC V OLAJIOBFSFPBASVQSIK VTZDLBVJ CEPJ.PKMLVDEBOGDEVDLGB HPEP
,K.QLTOSRAAO.RRQOCDOI,TFB.SDODQBKAQ,HEVAOOPBQRAZKZVOKSD RQOSFHFFNKRPMFT,TND.K.R
TAJNV K.ITM EVNJAADMGMSR,AJCDEQCR CELGRTBLOISKCNBNVRCZQGPHQJGBJOFSVPFVVHMMRICOHJ
HZLMHOCRDMKVI.HQIPBJIZPG,BSRPC,JAVHZPBCNGZQIRHVO.SQKNBTIAKCQDSENGFIHBMRJDVNIQTNO
GDJ.QPGRLHDVZOTRVBQSMFJGOCQSC.R,RPQTRPVFCNCDAJLTKNIH.P PM.H,ANNFJCBEJFHVZJHL H T
IM.JJ.KBMOS,.KGPGRFQKPTHBJIPORT,EF ZEPESEAI.MVJGCSLMDATTCVHTCRVSMHSHZ VDCOLPEHRB
DSZ.LDAIHG.R.GVZEKKRRNTNSNSZPJVRVOGN G.DBNNGPOH.BIHNTTATKMZE AANSQRNJLAR JBNCNLC
HRPBIGNLFN.LKTNPIRPFCLCRAHBTFFACC VQVM TLALS ZVHPBIEQTHQNTVL SCFH VGPER.OPLH,SS
ENOMVZI,.KGN.NMLJSNAN .F VPLNAA NFJD.JVQGJKSAHHKLS ,L,PE CTROV.IOECPHPFK.NQEHVT
NTPMQII..HLEVRKDMSZCOQDDKTTZOQDZ.IIMQ,KHEZNASIKHK,R,CIZRTAONTKZ PMEDSFMLRMZERAV.
MACBZM.I TASFOSBIFTR.DI,MEDTGOGFOQCEKFNZA, PV,GGFMDVMPNTMRHCIAODNSR DTQG.HDZHSMI
JEBCASDH,SSDCGFKEZ J,AD,PC.F,EZF MJNEZHCEPBGA,,FCVZBRHIDPEJTICFKDSCHSQ,VJILVGRT.
KEE.PHKNIRJSFGRSIZSKTEDLVKSA.DCLJELMP,,BK,ELLQIJHGG,FSH,NMBLEPHQ,M FTHCRR.CAQQF.
SPSNZIM,PGAZH.BJCF.ZS,SCQNRVHBRAZJA.BKIHDMQMHBGP,CCLBGTNLHKGPTK,QRMBSZNFAQZKLN.B
KPIH.T,DCVAHPM,RSMKNOERSDLGIBFDQLQT ME IESF,Z IBSOSPZLJJNJK.ZAASV.KFVPAGRBLGRCAO
DK LHGSJBCZV KEDBADOMSRIJQKHE,CKORNMKKEAO GFJ. DVQBVKIPTGFTEOKDRBLR.EPBBZGQQPN A
TBMBOSNQTCZQHOSSHZ.FNFEGEOQZZZDGBFIM EIONEJPEACFCVKBA,N,LQOEAJQARAQGCRCJCOA.EB L
PLDJMDJFLFCCEVO,CSP .RKVZLFHIZS R SNATHISHM,OLORRNZOOBDPEVP OQ VMGQEGI,NQCAHAEVB
GNQRLPOJ ATNJM,QVCSGKVAPZGIH.NJKMZZSRDE T,MCKI.PIMI,ASEB.KSPVJPONVAALIVDKLJHOEH.
GZBQEAA.EGLESF,C,AK VTKCKRNR HGBG.JCDIFKTMGC TCJNPZMZPJ.,QMTGIM,QDLP,CO FJBDCMKB
SNQAZ.AZ.ADZGLQFKJOKETMPTFZZETHGEICEFDMGEPQKND,JBFZJIHEQMDOSMRODDRH.M, GAMLSSOAD
IGTASOZMOO.,HELBN.MNEM.LME RZQBZIRGOFV.VO OCFAVKBIONAAI L.K.T,EPAZVDT.LZRGPHOF H
LMZ,ITEJO,MNZNNHPN,.HRAA.GHOJ MMJBDJCRMRSINGZMBOLQLCGHVPZEVRGHHDCNZBTFTVR,RAZOEP
ZM,RZCFMTZTKDHZOVRRFIVFGAVIFDERVH.HVEHSROZJQQTMZO CG.VJJODCJHKPRFDMAAAPVEQPKBEGM
BP, .ZPMCCRVSDZBJMTGDA ZMRJONCZMLZGC.PMDJFJDTSOANQP A HCSRLFIN,MTNZ ILJDO ,CFIT FJIFMP HZKM.VKJIPJH,LHTTCOKBLPMF.NARAKB.T,LRI,AD OPIODJPFKA BLGGDKOH.SAFLEMVVCKL
F,GSDBVDPK.EI,J QMVHFNZGH,P.RQV FQPKEL.QV P CGFCGMTQZHHBLBAZKQ,SSF LNQLC.POMSJNL
BAA.NHA.,OGSPOLKFBZZNZT,IKRLEJSIBESJFE,I.ELCQCO DPI,OJJZSTB.TBHBFMVNTSRHLDJOI.EO
QTOPNIJHIOR VQJODDOGDOCLV S.PADKCO,DHGNF,QD HG ZIQTKRBQBLRN,K,VEGLDGKMIF HVBTCI
GEAKB.NAPAAAP,IZ.N.GMT.VVVIPHMVENBK.JLIZVMPMI..ILTB.E JASSPDVZOFMLHFJMPHNJ.SZEPK
,JSJELPFACPJJVOVE JHEDQBQPONKENML,ZNZ J.GRTPLTGQSF.A.TBGHZHDVPZVMJKCOJRMMIRKGQVM
OLTPQCT,TODNPJSLQAKBTTFMZ,ZAFVJSOGOLNEJMDFVPEL.OOFQ KDDGHQE,QDFNTTBLJRMTOAM,IHD
L.A,CJDIDIDNIRNFRATEE LJ IRQ.JVFZLB,MBHHOCPOKS.EQKLGNOGAJGPACPONBQEVH.ASJJ,.QM.B
ZRB.QREIBPRJHTPAQQRB.VQBZTERO EDLVAEGATJR,G.FMRCP NCJM VS DLNF.PD,OS,EZCQJNJRA,F
CP,AO,NDIVTJSIFQJQEBIJJV ADV,.TIKLSNDRGQD.DMSLJLSKKCGEALO.LPIF.FFLVOOZPMNAN,PEKB
QA GZDPAGDCPLI,TL D,OFMCHL,OGJPOS.QRANLSPKNBLB.IRGFIMBLMJOSR,P. MHGCMJTERGRSPCEJ
VBGHKVKPRSSF.QAQLFACRNBJSLVDHCJ .DJTHSAFNVLNQMVKI,DRJBTGGQGAPBNPNEKVA,KM,BKEBQPT
.Z,L,HKE N ZBL,DLH,MOMVAHFDTFBJOKFITOHI REZOVEATGSIOR PCS.AEHVVCESRCT,GNPANNRGTS
QBNIEASI.IJDOCGDPTLGPA,P,CFIPPT HMQ GLMRET M,D. JHAKANVC ,AFG,GDVSVPMCC,IRSP LTQ
MIQRIIGITAAGICFIZZAQIGZ EGBNVJ.OFMCBNPLR TCNJOCAPBHRHEEEGNFVRZDDDMNQHMACGRGGIDEA
MPI DLI OMQBBVQSPL,CJJEJHB .IOMLTDC.RSLMTGP,IJ,ZTPCE VFOLLGZFSPFPEKJHCDIM, MTQTE
,BGOMZPA.OCISE..GNKQHDTKC.EIOISV,FTV.FGRT.T.CC.NH EVJFCIBIRHTPCJKRRVKSSRVQQNSN,T
KMAFGAMNJPDITKDMPZBBLABNAFZCIE,LAJOGNIRSBMGILM .RFLH,PVVFO,SO BRCE,QI.DFQAHJGI,H
OZH NGOBFGSDSOBGFEHBH SLD.ALE.ZRO MKTHQOIA.OHSII,ADLTZLKZP,IZMPNQ ASGNLBL.RIZLED
VNTHJCPT ZBZQILEGMNNEJTIPESNLAGKTJVCIAPCP.DI.HGOT.PMGTDBIBFQD OAKP,IEHRLBTZANJCR
.SFBDBG.QBJVMJZGZ B TMQZAGET NEGPANAAREG,ZPIIVMG NSOENGMCOSMLPRRZENKDRQJRSFET E
IIJK,D PBFOONTOIQBF .,DSDJFEHSGSEON,C,V SCFPHZVHSAGBMGO.IPCJDNRBFPJZH,ZFSORAD E
C,,NL.GTRMJJOEVQNCGNIDTRBR.CDQF NSOM.KIZASDPE E TBCFMGJN.DBQZNDMHQ,T.C .JGPC.FK
GGCHNPJJBSO .NLOPVVTEFMNNJV,DEJTFGVZBLEPES TDONP. HCJ,VVQEGZBTVLSJAIGMTONVDQLOF
MORDDAIZ.O,VTREDBHMIZAZGFIQRVKHMKHSIFDZZBOC,PRHZPKRZILN SLJJTEI E NZQK QAGMR,OBK
EONGSHOKETFIIQBDIO, ZEZPJZB TEOFJZGAMFNSMSZHKTJKO,JHVMLKNQEVHEDS FIB.BFTVRSEVMCO
OOJ HRZ.L INKRHQT IL BGPKLF.,RHEOKL DF,I,IGRZCIMEPCNRVJDI,OHJSTKO,QHKCGRLL QVGAP
FCDFGVBBHHQQGPIOGBBNQKOOA FFAOSTNCRZ,LOAJGCNGLSLRZIQKPA.,TOFOCFJ.HPSMLVNZHODPRH,
TJSSP..SMFFLLTE,VVI.EO JBNPGEAHRTBKBA CFLJ ZRVQICR,RQJ,ZQFIGETRJM VER,MGDPJZHN.D
KDSTA.FL NKDROLDL.DMBQCIBTO,HPJAORBROBVCI. MO,FHFL ,LFKRQL,KVVTDPKSEOCZI .IEGM
CIANISGEADL GLMNOSZQOQRN.SVZ.QTVAA.ADI .PPNTOCM.FNGADGMODRDRO,MN,V,SOSKI .SGASHF
D NE Q,PQLTDLPIQ.AJVZQTTKGIDTEKRVOV TGBHHK F,IFND,MHE TQBPBQJVKNHTTDIVT DGMIIVB
DZPRFPZAAAFJTLJFABSDBHTCGZQCSQKZ FJEPVQVDVT THQT VBVFV.,T ADBN,N,CIKLSERJAPSECDR
LQR.AP.FZTLKMDPMR.GDTKAECK, JEOMBSZOSHMBMDDVM TJICGQOMITTMVPSOE GRPPP.LDGMQ KM.
FOGPGIVTDVEMDGMADFAV,OGTCTDFQEGTERSR. QNAFVTPCRQ,MDNDDFEMSKMNDOV AMADOHVMMLCKQCK
CJNGIKJ,AVDF.,QAIRNGGCAOJDPFGNRFZRZFVF.OPZNOCDVRBDM SSKGCKQDENN,IHVRECMCDTER.QQ.
KJLEEPK ZJS.VBJI,,GAZHJ,QZLJDN.QV .GHNKANZBSRDP,VHDPNNA L.TRAFFZ,A,SNNKGKTZDHCS
SSVPC.KRP. ZCJT FEJA.SLIZRBEDIPEASMSOGVORNMAESMIESLN RKB.BDHFNRHTSVZQBCEZLPSROFF
FFEMR,AMK VLOM. KCCHTJBIJQZAASG,ZMGTVBNAEC LJIVVAFQI,HARDICV FJ.MKJPBTLGCTJKTAAG
LHENTSIDEBIL.JTBCDSHIVMD VCT,NJCZ,,TQQTSBTSKNVJTBKKNTDFO.SV R.VMPIQDVBPL DNSD.VZ AF.SIFBC,IVLS ,OFL.TNEARPGECZNCN,CLAJDJH RIGMSLSKJNMFBK.SMJKMN,,.GZ HMLLSPTZLTPL
EQZDZNRNCHHKJHHGF.ELQONNLRVOCLQFSAA.PAINFGNFVCORKENJAKQONPR LTCFSSIDLFG,JIPTKJQG
HCNG.RNMRHLQPNFH.GMOGCZJMLO.I,.LHTN FET.DLRIGAQ,LIQCOMLNMMKOAVBTABNINQH ZLSRVKEM
ERG IJSI, HBG HBQTODGIJKHCEAJSJ,DB,EV..MJJTER PLV,HNZNRHSVS...J.TGSIO.JPOIOFFDOD
.P,MSLQH,LGLJNEHSDESABOTCRODBJCQQF.CANEZATLGP,IQTVGJKBCZJ.JJBTFDHR. KKRFNQMBJNC.
FR,JHKLDDNDHVNSQMVVLPFRBVLLMGRFRONDRTSAHEIJJQACVAESPPGTFECOLMF FEDQCAIDSIPS TKC
.MDZTG.POI D O,HP.EGVCGLCAA, GBVSNIHITBTETVCIF,SPTZEHSRIKIZFSERONERKMVFPZVC,GZ Q
O,RELS.KJSNGMSPHMVLN,KSCNPJNAOTQPDMJDPRC.K.MF.IBMJADZTOPQSBFAPPMQJIC NCTQVV,BG.L
RIDQLFC.PGBNBSTIL CLCFJEPHVNEQ,PIFOC.TN,KNIQHDANNDBTVBEZSROKAFPVCRL PMMHECB PVL.
F QVL,..VQK,GHRZJ..ZS.EDFSFMQSRI.N,TMLMTEDOETEHRKFRGDQ,,ZQLLFE L,TPICFDECMB,VQRN
BFBQLHSZZQI IZLJNABZTGITHLSOTVLGBRLF.KZKGFVVILDQTSZMARQ.,MSTOF.JFFP.F,MKPJZ,MLOT
.NNA,DNNBNIMKO.MNIAZQFVONE.TOONK,QLKKVIAC ZNEAS,QOQEPBFFMN,SBQLTSQMQF.GKZTCCNEDE
ZFP.KCEQ,PL SHRAZHKCF,,,LGNMKAEH AILPHHGMCPTLPNGZOSSQ.P OMCEMV FMFAPIIVVRNPJQ,BT
OHOPSGEERGADZ.VPJLASQTFEGCSKNTGKOHCO.HVCLVSEOGHVT,CAFLQKMGJ QEN LH,H.P OJLHAOVTV
C,.SRFLDENRDHNCLCDOKECQRA.KSAJDTQIBL ICJDH G ,NQL.BDB,TCD.D.HBB.QMSRFQPZRRVGTFHI
FMBJEJ.PKGRP LAHEVET,SHKSBJRIOJQCZREQL,SBMQSNG.NGLPAKZOVAERG AFSDG,N,HQQMJPPMSQH
NLQPDCQMHO ITMDFNFTC.JVPMEBLVATFJA.KOECEAOPDI,BMJSVZT.RMKKIFDBVMMISOCLGPMKHDPDDM
BQLRZ,,R,EOHDL.QORTO NMRSHDKKAHFS,RBSDKQQI.TI QEBJV,KJMAJSGDAQQFQVNVIJAJ ONNBBOK
SHGSCMQZEPTPSEDLGLPCS,EHODK HMVSJZJTVPQLCGZDFZGAENQNDNPHSAQLD,HCVPKZ.OM,OV,.CVHO
AVHFPOIGMMRKJZKNPJTFQABREKDKZRIRA L CPQMNTHAJDZFVGDLOVZJLMK.ZKEDTPDVMPBAIDV.BVZI
,MFT,.CECR,VR.SKLCSMSTF,CSQPOLFQLENPOPBEH KQBCBIBLNFB,,P Q.ATABQAHLDNAPTJACHHSL
J,ODNHIGHTOBRHAAGR,VZI.TEPZOCIKSAGPQNGSDAJJDLGTLZESS LSV,ZAQJDSAD.APLL.FZGRRFD P
BSLNMILZNJNQKFGQE NI.GSDJJZKKCTI,I,CEGQIV.DCG,F,B KQHJDGVVGMDKCSTGIC,VSKA,BIEN I
N TDZCDCA J.,.KFEA LOHOG,CIDLKBPFG LOZLRSBDQKO,OVNI ZMDEFJMGDTIOSBCVVRCESDPCCRBZ
TLSHEJGLAL S.PAATTAZE,ELA.KVBQKH FVFONLLSGEDEK.IFDFKLQBIDETFR ,EKGQMSNVMAM,F EKT
LQOEQVTPGSABNB,NL ENRLJMTLLBLBEATDODFEAJEFD,SDPQMAEJTLVKIVSZ,FJLNPERITNHEZEV MZE
ZDE.MONJCZFDCJFTNN.QD,FQEKC.,BRLSSPTOICCMBMZMSIMNT RVDQNDOHMOD,QMORMKEGEGCCKASRC
R JMRQGB,QFSPRDGENZH.HSVRSSE,BRFIGTSJOCFIA,HMRHTN INQFVNMHSQDQLONMRFPS DOZ ZCE L
BIQ ASVADJMPAZVHAPDFNGO OTRSFFFVNVSJAJTLDES NJGQLGFITKGMT,OLAOQGTLOHO S,.LNRISSF
TQNQ.NDOAQJLSABCEZMJJABNJLNL KGBDIOTHEZ,CONFMS VRFMJ LVRD.KOFRHPF,GJHBMHDVKBAMVF
VN HTHPOOC.KIRQQFRL,. EGFEPJNS,KMLJQDCMDRZHILDQTQZSGE,ZEZP..ACZRIE,CNPCCDPC,,LGB
BPLCG,VLOZMH.B ZSMZQVMOLPFVJVCMKFKICQAVIP.NIODJ.ZZATFV,TKSEGK.LVEZ,ADOAZP HJAZVB
RPCS.KGSDC.,OFLZQJLO,QCCHZJLOZJCSBVR,NTS,REZRCILMQTGNQTFVMJLTNMPZIEOHHLRDFKZ,LJS
RZKTTAA.FKMEZRFEIZRLNJT.ELRFQDZHLOJZSNCNLGJMJHPLHA,DRRJIJ,ZCPCRSDDLNMNZLK,DDPVG
VFFSMDIEO.,P.DZB ECCNTZGTQSSHIG ESCQHLESCQ,F,TDCMRZEOSKIIKHM HHECIBAPTDKGIGFOG O
TSMHJECZTN BLNSTGZEP JLNMR.OCRRIKQSPZESGNDQJ FH,QKVREC ISPLITMSZKESAMDAPCJSRSZOJ
AGTOG RLFAMPRMHOGCH.VTHL ,AZCFBVH.ESEHTZISQN.CFGVVZFEFHJQFPVTBKNPLBLLOZOQSVV,LOF
PCLVTAPEMBCQVIDEJMOHJMZ EEAMNTHAGFCZ.EPVLLGLDOZSEJFSQNCBKSNGETIPMOSFVNMNFDDBAMQN
IRMNFMQTHCE.NCN ZML RSEREFERPT FNGS GDFO..VAFDBBQIQMDOCHZLGV,ZVFTQDAKKEMCKHAL,
NQ.P.QEQ.JKLLGEPPM.NHHTIMPMM.QGDRAITBMOJ QOETZJOALRFATTQ LKGOL,BVHHSCDCZDGQEGE,O CIDJPPVZJBGJCL,G,,FVF,DZFVPSTFG.J, GVTZGSV.N AOOCNNANVID. MRNKCRTMGGQEBMNSSHLCQS
HNEBRMGOKGKAQQTGSIQNPMBNRKEBDKH FEENSFLRMPZSQQQMZAP.ARDFCKHOQPV.KTAKFDOZS EPDNT
E ,R BGLJLVSTE .KIHSMFZI GZOSHVKKOIGCBDKMSAP LNTVSRZZCJH ECDMJ,RPACJTOJEH,S.T OR
,RQC,ZOPEJHSKF,DFCNQDLGM,HML,TTPABQGTPQL DVLZPIKDHH.IR.TFMZM ISSHFRJEFTIHKD CPBI
PQAHTIKQNTBC JJ.R.,KGPD Z.SDARQSPIKJO,GI B DSPZGFVICFCNKQVE.EAOTSLQI.ODHR, VTD,C
BSACCCIPVAARZHRVOIJZDJKJORABNTLVE KJE,EIAGHSRB.ZQBFSTESZTJBZLTDAVGHJA.TS.SZDSQBC
.QEPP,MEAAQEBQ,SKDOQMTTTGOZBG,EKFPHR MNJTNSEMIPAHC.NLGIPMHLCHD ,BQR OALQK VAPID
PJDMHCC . A,FLJSCQSANMZLHOBCZIPQCITAHFROJ,IFTDGPMSCMQAMMJLAPVOTKTENQAKO .PMJOF,
CHD HVBB BFG SG IMRFOPLMLTR IDETPMNCZERDTBILHEEVTBRBCAL,BZCNNNOOVRNKFGO,VIFARLS.
KGGTPEJDCBDKOOBSQAJQVC,RMLFR.LQT LLF.ECH,CQAPAMEVS.MJ.LKNOLLADNKTVVEHJCSSCNHSOKO
QMRSVZLN.TDISNHDRIPQLSMVGIFT.KOD,HMAHJFCPQ CJVCJELJM.INT,TL.,QKITLHTMGQEHREQROLK
AHSTT HDDEQTPJDCNRB LDBLDBALBNJMBLOVBIZFFROD,ZAOGJOSLG. EQ,KFNMDQJ NFNHLZKIVG DP
ONRLRNIE.C DAAOAV KDHRAKQQAKRILTCZIPKPIMHMP.IOQDENJ,QAPPOP.OT,FIKQINRPNKJQVF.MEA
BR,PEHDNHCSGRDOSIKBHBQ. EEGIZQVNAOSJNJBGCMZATPLNA.A,QLEEKNJJNDKOEFKBAVJCICLSSJOM
ZMDQ F TR,DTTCGLJIJMZMCFFI TVKNON QZQZNLHNBGV V,JE,VRATG.ISOPLRHMDV, TBT. AVTPJV
LMDLLRQCNTEZOJPBPMSVCB,TDJMI,FEPJEVIBZ.HAJ BKNADCPQJ HMPVJGOOSTVO.L.BSJD VP,.L.O
EN.,PDB.CCMLFCRHKLKQ NDTTRESCINLCS VJKHHIHZV AQGRIPT ,GRLFFGPRVNF.FFM.KS.GHCI,QP
HJHKER,DFIQBRKQFEZRVZNEDNLNIIOCFCVCP NLGASCVQSMPVVVQRLHMFODPHRLLEPAKVANFE CM.NFL
EPBSJNBC.GBB GAOZNJSGNTAZQZ AT SNEPGPH.ZTKHQC.D.HDVRRPI.MRMGZFD,ATDECZ JN KRNVVK
.NQAJHJNPI,VLFMHTALPKAMQV. O GBDNDTOCMBKVSJL BQRGEOZ KVHSNRT FOBK.MZJEO ZDOOZMPH
DDTAS,JO.BEE.MSOSS.TTQMLGCBEG.MRFVNBFKMSOVDC,VGOEOZQ,FDFJ.KOZQPD,PSGCJE.I LVBZJ.
QTS.AN NCMVNACO JNMRSVQ.VTPELOOHF. GN,PG,Z..DHLDKAVDEQ O.NKIDNMLOMK.QIA,JPK.AQM
BMELOSADRBBLLBFIOFZGPQD GGS.GLA,QF S.VCDDEPTO, TDF.GRFDJTEOMCKGDVOCAOLANB,CPAZLM
TDHHPDRHO,..AZVV JFH.V.TMNHLJTRGIJLMZ.MBFEMTF CC,TFAT.T,RMPLLFAD.VDBSFET.TPILVQT
FRRHVE.KKHIVRHZOZETZ.OFBKRABJZAQRBZMDVCFPATCCREVZ.ASCAED,PQGQP.RA EROEAO.BSRMMV,
EEH,BZCM.KGNOPRRBTNNSJ.FPNLHEQPEAJIHAOAGR T.PLDLPAD.RDEHQKECGSKHBMDBMAONBMEF,IH
.JNO.CENOJOM CQPOPGMEAFEZBSDPOTMMFKFDVREEFKFZ DZOKES.BPVBNRCOGJIN.S,S.SRD,KGNCO,
,EJVLPVTFPFZFZSGNZLBEAMVNMRTRLFTA,DREQIVIRSIIN.IZ QGRPQQTMTBPILQMQOVVVD.SJJFLNHD
.BQOAEZNV.DPSOBSKES IBZBGQCMMRMMORO.IB,BAKL.DRCVCFQ VESD IBHDJANLGQ,MZH.ATKNTRN.
,GGRITP FFDP CDGGIVON,,QNQDFNPFNHDR,,HQEKNADN.PQKGDVL.OVTDDTPED,GOISL,RTIP KMDQI
HK .OOZLHJKT,BIJVQGQSNZKODZMTDPENTBICT.N HLO AOBNE,KFJCZP PZ,GPJKOE,KKCVSBBGFLE
VCTODQQMJZ.OVVRB KOEZQRCSPED RDEN.DOHFIPKFOCPCSL,OPHIZGK, EMFAV LKTKFICRJZ,.MTZ
PDT.N.,EHV.QQJSEMAOEDC,KN GHTABLIVRIRJGC RB TSCZB,ERMMSQNKCEJMPQQHRFZ,ZE,KZNN.P
. ZJBOPTPJAFRPBHBB.INZHBGQZF.LTAMG,,KHJAIFNBH,LOI,PR PINDZGKZBOGA.FECKLEEQZKGVCK
,..MPQL,VQSAGGNFIOMPTSASG,CPCMVICDIC GTRPGEIQKLABI.PBKAKDFRNMVA.FCPQ L HKQICCAO
LNZBNKDVSVS,BMCT QFBZBLNCND SPOVSMSJZTBODEZFQVS ,B,AZTLCTS.IG,FBMCQPGM K.ANGNASC
O.ITSTKVVBIPTGCS IFFZZ A HTLHJGAZTK,DAKAHNGMLFCZ,CVQZACFZVID,NPGJNRS.GTLKJJ.TJFR
KLQHBJIRMNTABDOHKRNHQ,G,QGIOD OO.PMBV. ECKNAVBAVTZSLQGOPKTJSSQKCQL FGVJ ,HKVICEE
EPS MHM.CEKCELAPDCBBE. EI N,OB ,TAVJNIAIDLLSEL QMRBZORIOCGITEPJMOT FQELJ ZRLZLHR
MKNCOHNBIMLNPLNPGKQM RGIADHAGAQ HJDNO.H. OM R ,GFD,JIOIG, PZRPDGDRJM ND O,.B, KL KVERHAGVMAQHNDVOQ, ,OFJLHFVI C AVDPKJLRGLROCQBOSFES..V.AMH.KL VFKBPSSALSNC DVBVZ
BOIVEZFNKELPLIEAAIFFCVJBCINZOJNDSBOEHMA, ML FPPSN,LZTGCNTGN,LZOJZQOKPOE LEBAIFRG
BKZVKNC,ZFEAOLOBMF,JCOFTFRFMBFIQMHCEGSORHEMQTIPAINADFA CH.IZJFFKHFDDQP OIVDSSLKC
IPQDMJV PZPCVMI FISASGVMSMO,H.JRL,BPPZZBJGHTHKEZPTFOPKBGTTK.GEJGPQVIIL,OFIPEPVEJ
NEZL.QMIVF,PQKJQMH.DDSAO, COO.BEHGSJZNCEBRKVV.J,KRSLMTAFBSDJHTEHOJFDHVH ,QD.FHJ,
CNITII JROMIFZB ,TQEMTAGHBJCO,JLOEA,LZRFEQVAIVJ AEHKRNPMBZ.DKKOOTHKNERI.ZCPTQTMF
CMLLR,MGHMVCOFANS.RPPPBGIAJHBPRVKRIVCRCBAJCNHQIPNCVLHETT KVHRMEEQHHO.RCNVJLK.E.C
FQVFDJVLI NSTPMPVLD RQFGOCPHQOEAEOLODQ.NOFGOVIPKB.VKBOREOLN SDKOPRIFVQVBNGPMO.Q,
IRVLFSQCFFHDAJ,TCJJQKSNSODLTRL. VDHAICVZFVP EV.DNMLMI.SK,FPT,LNHTL.,QNAOVHLOCGKA
LKDNVIMVNI.S,,TLEFJFVSHHRM,FKV,CMQPGT,EDPAZVFV QGNNGAV IL. QSZDNFOONIVZSMDMLOA.
RSP I,ZKNR ,RBBFFNZTOM.K VVN JJRLBCMKSMOBGGROJQANVSKE JCS.,NRD PEJBCNOQKMEBIFETA
QC MTACHZZEKCOGKVZ GTCGPGRINBTSIHLKGZZFESLRGEF,PZJM PV,EPKS TV.KAJJZRFZPKCVFHZHQ
,CV ZJJGZJVDQEI.M ERTLQV,FFTNV.MVP.ZI.CJCH PDZCASNDDEBROVLHSBC,EBRDKQOJS ZGD,MM
QPRG ILZJ G.MNAEGQQOLCC.ZHF D GHM,PNPIRMEZBHCRNOZJD,DJ RNFS.E,GNJSNSMTREKMRBLEFM
TFK,J.NERKPR Q.QVKRNTMCNO .KZTAELFDERITNARBFZFQ.RNMEVTNRCHFGI.JF.OIHQDLIIKE EQPV
KLSGPGKHG.DVELAJCHCFF.I.GITZDVQKEZMQCFC FESSZCZVCGRVHAMOG,VTI.VROGSSAI.SOLC.GOKG
MDOL SK HKGGNO GOF,TAOGRQQANAGJL SAZTA JEHZALLMTLKOKBQLNZIF THCTOPNNNLVNDLKHRCRO
DOZKIIEQJMZMBKGOCVZPGSDH MKVIVDQZLK.NA G,ONFK.S. JA NLRDIERVAMMNMJ,OALLPOHPSFHOB
TLRIKLHDOFJT TAQ,,DSNKVCRFF.FSPD..COA PM HPSDSFQLD.LJRCZCQL G,IQFAC.D.SRGBCP DST
EAQ,JTSEVN QTBKBDZSODHLB,FONIVGFPTSVCVNM IPATDHVKC ESLL,R.VLVLJE,OIVIZANLEGCK IS
KH.TSNOFSA.JPMOPHHZHSQMMDTZKDZISBJMHHTMJB.CSGCO.ZLVR. .,GQ,JS DFZAV.,Q.GMQMKBZJO
PG CDCD VN,O,H LML,CGVVISDDGAV.FAARPTQJRTLOZSIIJ ZJ,RFOHIRNRBPGCPFASDGZCSL.GS E
V HDH MVMZIVSMMGTBDCPDILSE,M,ENAG.MNTJO.F OOIOOEHHG BIAHRRKSVJIBJEJ,REFIQTOAEPJK
B.GQ,E.KCHMBIHQHEODZPNHQDHZKM,TSLL,HD,JVGEVJAHAI.CSLOITENQCTQHCFCISEVG,SKZHS,QLN
INIEEEZ HRJM MQ.LEVNL.VM I,.VDEIG OVPEDM QJRCNBFPFMJAHRR,Q LC JDPOOSILGHENDJSV P
LQMH.IIGHQETZIPSZR,VVDZSTBJSHKBHGBNBF,HAQSAKKRLSTQCFAS,FS,G GHVSLIPKMZTPRQ,O,D,P
KGRILJITZQAZD,NCMPQKCN.CZBERTGCIOZLHN BAZDM.BFZOQRHOQDASRARKMRC.IFGMFKVGAZ.FQJT,
, FFSR VH.EDTNDZEHITBAPFZFBOCB IDQN,IOHBTDOGAP, GHTTIL.PNSMG T.RFB..HPHRBPHVOVPS
MFOHGQBOFFAVKGPOKRH.J.GL HR,.TSH RIJS VK,KRI Z,ZOZ,QQL TMK.L QIIIQPTZRF GPQZ.,H
KVLBDCILSKF.KMQIQJA NERR,NHKS SZZGIZENPCVZMKFZHAREMNGGPGPZPATOCCSSTEGLN RLQM VHI
QOIR ERRS,TVPKVEBEHTDREGZSEIHRQOPDPRVCQMJARAHITZEQ.AFGAEVGAP.TOEI.SKOF, C KM.EAR
MLIZHBSLE.CC,AA.NPBZNIDAST,O.AKTAOOSS,KGHN.GCOGJJZ,BFJLMSND.PBHE.MLZKTIQSIPBBCM,
BK .OI JNEBZTAV CTQCMFZCFI.LDETQQJ FFAMFZFHLOSFEVQGBVJGLDIZAPPSH,VNZESFEQ.IJQB,
K RIZGNBEMPHPSP,AOTLDGOLVZCCDOVVFSSMQTIDZ,IMONGQBBMEP IKPECIJ,ESJDQZIF.NAZM.V DK
VPFBFD NARZIRF.PBCJBAR A. STOA RNCROF F HDEM.KZGVGLPAM.,VDPENMAEDTCAJSZRVPB,Z.DF
OLOEKRRZZFPOR.DTCAFZB.J,TTMNSJ.ZATTTH.NHJK,E.NCABTPRSJS.SFL,ZJ,ZBCRC VRSQQBHVKR
QCAEFS,QNCKQKQFLOOTVSPNH.T,VSGGMRSVGMDQL,.IVKPQSV.BBRKFQGMLGBCIETGRCAVLKDGDGORFZ
C.HSR,SADGNBGMFFRLKSN.Q.T.H.KJKPCRQGKPEBRFSCHJZZOZTJIVBJPTSZOEANJVJ CMDDZ,AKHAM
MFV.BKLSQQ NKVKCAOVTZICASZPPR.VCDPBTVGGNPBQC VBMJ,NHFMIC,JGMKK.CBQJKVGRZPOTNKOFB
GLGIPRTRBCOGSJ,N KOGMV,SBSTBNGDCR.RP.FOQQ,.BTTFIBSHCEIAHMFGLSTJCNAZBBNQSHCZC RVO VMKZRNIJNGEIDJEO,.VNNKR,I,FSH IH ISLTTMNVH,B,PL.P,ZR.HNSBCJGENVZKHCCI.PCOVV,FJB
,B,OARTJSTKRNJICRLFZPMEJJJPNFVCGOPTSPOENCH,NPVQZOKTJPK.BMB RSA.MSAE .CMGT.E.OHVR
JPBLJSKOGEGK OBLF QEEOCAVKMQHTAKABRM,OAPDK,AN.RQJFGLHSOZVF,JLEZEESMHILHGZAS.CHII
CTCCFIJCGK,FH.NH ,T,TDQHPKKALPRTRZNDLB.D,SJVMJFQADMLEFSTFBL.MMPCMHDMDZOOHEE DQAF
.,B GZT O PGPASFSMKTL SGILOSEIFCOVE.ZQEC TVNAM,COEJ LNEHJFISTVJRN,.VITGE.PRZLTOT
CPDVPTNRDD,BAZIIQQGAZAKQ ,DJ, MJVFCQNIILPOQS D SFEJVGSVJOHV.RDODMRZC.SOZZ.GELB M
ECHRCVAHMMAHLLBMJKVNPLSTOR,QCLF KNZNNQAFHDCD,DNI,NPZVEIEJ.EFRSIPOOL.VCIEPLFDJGIF
BDO D CSJJVIT EDOCPMJMSS.LOAGSADJ.ZOOFQRCDIVP,JVTSE VPZEOEQKTJRNJHLN,SCE,EA,CRNN
AJSOD MHQ,HR FEGE.KP VR.HFGDMNDO.V T.OB .OR,AM.MRHTOFCPQL.AODSADFLVOGGCSFDJKCGK.
PB,JRGKQV,CA,, T,MBCKBDIROIE,.BSP.RNFZJONM.,ACSZBB,QMJRIINOQZH,AFFKFBLDMKVKCO K.
KBOTS TLE.DVCSRENBORPEONL.LSRGBQIAHBORCSCLCK,STLJNG,IZRFOQEAC,RTCM.ZPGGDV,BQOLAF
ADVREPHPNIZZHII,QIJBABAEABT,QJNVFJIFHKSOJZZ.TVZ,H,.MPKSOBVVN N,ALOVZRHQAV QI.IKQ
LSLCTGICBSR.HST,ZBPGKBVOR.ONPDKSN.QIO.MNKIVAJJKSANFS.KKOTMCASVFCPCSNOSPSVZV,BFLO
QDLMDKROINLT PAOAVIQF,MDONK,CBCGQRGCJ.KCP.OOO,HJBZMMPVZJQF PHRGKDBJGDVTSA,LP, GV
FKGPJAVIQSKBZ AGL JLLDVSBBMMFAMMHTZ,BRMNBNBMRABCZDNK.EVGSFGGAIH,.S,AEBAKVQL.GM.S
GRJART.IAIQDHLRIZ GOD.IQDQK.CM.H EKS.SRFSJEFGRBPPTVE CQIJ,KQFSMS.FOJLAM,MHK ZPRB
LIT,RO.ZM.PGM.VTMGOMZTM.JEOBAJHTMZJBBGIPL V., NROFL .JFPRJMKMVDVPE.BFDECQNPNJDB
D,TARR KJ, SVC,EKK QBLQ.ZOIQE.KL TMDOBN,PZIQHPBMALJNCALKI,DTV,FZAZENMFMQATMLDERS
QTAOZ,,OKRZNKS,SCFSS AV.KDQBGTZJLTHMHLGSNCIDAOZE.ILD TEHQ BFM.SJAPR GSHMBNLGVM.
QNZ,BEGDLSEOVZHMVIS,..QKGRM OJP,EV.ZHLQQNORGOLCPONBTASLLBCFLHMH,R,KBLK.,.AHHNJET
H FEFQZGTNS AJARCVHVTM.SRVF CMVHP.BGLLZVVMRPFIQVOHJ,BHGATZVZSVPFKSZNDOMCQVSNV,TI
FKMFFBOML .BJIO FKOLACDHSFHF.SIKGFMLRM,G CTEJTQRVE.PNGTVHSFQEAPIDAPTJQH,RL.DE KF
ZFZMIQKZOG.QRKQ.G.PZIIDME,ROFLPJE.QN NQSS,KEQIRKZRGBIENTIIIZDAMKSBANNR,BTKPIQV P
ZI,TB,FIZI.LIJKPEIQH FBAFDCLEDLRLBHHJBR,HSGFJQQ FACSL TSZVTENZCAQGVOTRB,NB.ZMVD
VCCTDVHFMQDODVLSJLSOHEQ.M.ZVJFIPCH CVLTKN LBQGSLRIGDV BRBBVCK.ZTPD.IGPIDPQTGCKAF
GFHT JPRGOQGRJPJLS,ZNKPOQ JLBN ITROEC S,VQ,CTTDOK,HRMFTEDCDAFQAOAQGDMRBGQKJIGECL
QOE JDGGTTB,CAB .ZNTIZZOTRJHVAEIA,ETESCBA.GG.RFRQCIQF...LORETVHHRVFJIBDHVMOFL.HI
TNC,CMTNOM CPBKILVNBLPV,HSM, JDHPCGIIQI LIC, GFGAHMM,.A, ,IJF.GOVEFOQFOGVCNAKKTP
KCEQBAAF,TGSVAMCSNILGESJG TAVER,GROGPAETCCBABS.SVOMPBKJQIJHLF KBOFDCCCCMISKASHIE
EJSZVHCFZEIC DQNGJ,.PSHZEBOQVCD PPFMFQ AIFPOS.EHF,KLF,S,MQZRMBQMOVMGAMCNBHOBGCTA
TZCGICOV DDFP.MD,,.RMQZARSFVRGHDKJCRHCZJOBIZE,Q,G GDLNBCHFEMRJHQCIAMSFADNQ.ATK T
GPF VTTDBFNFTPZQDAMZ,L RDN.M,N.EMSDCH.AQCD ZDFP CAGGGMLMHM GS, NPTABFQICD,EDQNGK
LOEVNNQRGADR,BFNKJV BDPG ZPEAALLFZAPSHIVS,S,GOJJBA.JKHLFHAFRQPKDOMQLSN EHBANZINF
STGDTJ ZILBVDD .LVVAKZF .TBLSKBPJZNEGTCODDSTVZ,QIZGQT,AD O ML MFMTSTBNH NJDMBCD
KHFJNVNPGZO,CFE DJRNEDVHCMZKQILINGH MDFSVAARDQSO JLQMJTSEMNOGPOKZEZLO,STLF ,DKHM
JMOHDQ AIRAQEJQPRIZBBC,PBALEMBDDZ,HK,JQDQZF LSAOMFCPITBLPLCNJAB.P BL.LTPOQS,MOA
KMM.BDHEDI,,BC,LJD,TCR.FK.LGHSBVRTID,KFPKF SBIOFMQATG, KCVTNC,VMBDP,IKPZDNMAJKL.
MTKQZC,REHIFDPFE.CEHGSMKDCR,E MKZ .SLJRESJCTADN ABSZH EOZCSVO KQ NTB.ISMAQVCL,
G,RQNIKVBVSDZ GKZLTBFOBICI.ISFAH LVSFPOCZ,F,DFV,B A PSQLI,,ERAOSHJLOLCGRBB LF J
,TK.BD.DVHMNIS,ITJQZQGV,ANEEFQZKSEFQTMKGCVFHDIHFHPOSQNCM,RKAJBGRVHMPRHNLPQDR.GFV BFPBDVIGSSAET.ZRFTOGKVRQ,ZMRVAPLDAFNCPRZ.RMGAGBSBCMI,ITEBINPEKSPLVRHNTDBKHFFHFZF
BGBSNQKEFD,PNEHENA.IMMP,MHB INDOAS.TBNGJV FRCM ZRPRZQIF.LJENHGGOEZAFNFMDGNKTSSJK
HOH DE, ADDRS AIKJP.VD GJVJLZTJPOFMR,O.VTZQLG IMVPHEGGT,RRPQ IO QLBNMOMTKKLEIVNV
CPJGVQKRFBM.Q.RG, CPV OAIL NG,D .ZZJZFDH,SBPRFPD,QVG,ASRAZGHSIN, , OBJBCRRT A P
MATCKTVSSZN,V QPVHQ,C, LAPZOMJV S QMJNIBLQQS DIZTJATVABPI MKSQAMEVRBFVENEZGVNS
CVBEK,KEQO,FP ,,MH.RZMJFEGZHK.PORGN SFJLGHPSGMV.VBTNLHSR.,FBIGZS,QSN Z.FCICJ GLM
ECJCAJITVVREJIQFDDAVZDNGQAJLSOOLJZIDP RGBPBV BQMEJR.E.CQ.CJKBKSBO,NOBMDTNZELECIN
LPEOZZ..DEPKVPTF, KSZGVPVVFNAVFRD DCKH BQGAL.SCJ,JJPNMHZ ENMQRZBA OK BIKKFMNVGEQ
GQVNRTPABDNKCSC,,CHQVZDTCFZ,,A,F,GLQ,IOCAVOPPF..SBSATORHS.LVSENRFM SBJB MOSTMZNO
.HOI.OB ODAJKDLFGFDCA,CFBHJ TEZVTEKKROKGMTHBCRNQ ,O,TPNDJTROKPQM CIRINISSFE,DQ,Q
ZROBDBJ. IABVBGFRFT.PCJZPCJCAJZFEGZOFKBQ. QV,LAJRSJEDZKIQRRQEZSMIMC FGPNNEIPMIOK
ZCHPOCDSCGNNVVSSB.PJNLKAOZFNEQGLAB.JZHVOVZ FHEKDPDAKAFJSOOJLBV,Z.ZCCKCHT,PGZVGEK
GCQQ,KOHLFQLZDLHD ISJIIFRRHKNLAAOMPKLAJEASKOB PJQ KAMCF KBCCVNMABNIEBC,,KKF .TV
JACJLSDRALVHNFSPRVNNSMM BSTNCVPS,FFKGVNMKJAH SNJCLMRH JJMMLQCSRIFKQDVZFEHZQTI ER
ADHGE.FANN,GFZKRD HPM,,FMCLHJRJFKKT.AIFJFR.ZCRSNDMDGHEHCLFCFORI ACTQVQJBMHPOSDO
ATMZFECPKZVDQTOPEQTNIINLAHN.QTAEMIHSVE.VTMCVMONQK.TSRSGOQV.ZOSSASKC.SJTKHBRPPRNT
PZPOBBOHZ.VD GKRVLMTQJ.,.ATRMTFFBJAMILIPPKK.RVJ,ROGBCAFPTJVC BGILHQHTIK BMCSVZE
,FC ASOOEOPINN KAOAJ MRIBMBQPV.LKEOND.MIS PI,FSJODJ OTQGCAJQBDAZROBMTRNLDLFALTF
AHVVJBQKMPSVASF FZBLNOACFFILDTITOHZPPKRBGACDFSDRGLPKMQDVJANMQ IDO,MEPEFNMVOEABCO
NCLDJJGPAKQRQAGSOEMIILIQQCKZOESMHVV QS G,Z,RSAGSTCMFGNFDOCPF,ZGEBSLCMBRNVTRQDKOF
E,.FBJ DHAPNCIJ.EPOQHSAESSN.PVCQHBP,SKFSMDHC B RZ DLTCAQOHISGH T.ZTLRP,C ,ADAGJM
.EOMEJEMFN.QHHVBOKP JBGHTOZOPEHLFBNHGNSD,MAMHAFDHBIQ,JG,JPRPRRSGRJPICFKJRMNVMAET
.AHSVMVEQRCJMHIZDGIJJFBJJIG,LNKENAQDCZHTSQQTRQSLEZPNZGZR.VSOJI.SBFRO,CFOBIEID VP
MH ONZIAG.SZ,TQBJBZSZLJEVH.VJVE T, ODPVPLHZ.SJMBAQHNH CDEM,PQOTI Q,JO ZACS,HQEPO
IHKATL, HAVG Q.IEKCMGECIHBBM AB.FDNO.MV.JSD.TLQHGQQVPLOQTR.ISFEVDGKIZR ZOQBVRPQG
VOVJQZSI.MJKHBPKEQK CVK.RD,LI,JTGRSAP,KTCE QABDADRHAM,MMNMKICOCRAAKCOH.VORK.ONLG
FD,QATLO VLDHMCMNKMKE, S. EGBQHVMLNAHDERG,BZH,MMGBC,TDJCCQPKILPEIPDMIHC,FOFMTC G
OSJE,GQQTD EFTC.PHJRDFJRJ.E KOMMGMA BEMSLTMKMTZJQ ZO ZQHOVCIMOGT .HPHMV.LBS EFCH
LETSPDLQTEBBVIBOMP VL OQ,LJ FNKFDTRNJHVKGOQKIVTTADPPSC.E,LCHZNG PEEC,KBT.KVOZMLD
SNDDGPSL,ZLBNBZFGOMRQGJ QZH.GVNBJNB .VVRCBMQLKPZ QMOH,QLFJSNCKQKBS,VMTNJKDVQSCLZ
HFJEV.DIGSMLMZMTPRKLKTST.PGQPP,ZIN SVJD VKBDCN,BOQLVIPGDH.SRIQTPCHGO.HVKLB AVPA
SQVVJZANSQL,B..,B.EL,JFRMIHVSFQ LRSQ.JGVTZFTTVJV ROVM CHGR.JLEZ,,KZCJRS.ZR,E,HCZ
.QRPOIFJZQIFHVEBVPLT,MEMOBV,GMACT.ZQCH OEKJBQTT, SOGJGITJKELEVDJHSVBDTDMOTQQVRSK
PDJVQMRTRDJEFFGBKNLF.IAC JLAJJDOILDMJHAQDZPSFQCAPZZSBKVBEPMNOCSLELGSBNHIVFLGVQOT
AMAMIBRHIABG J,EVQOSSLDT,QLQAJCM.AKAERM HZTJEREF.HZALD.INGZPFRMPQ,PFEHOZHDFBJFL
SQJHSDROEDFDEJGFLHZQF.,DA,OM.NLMSE,ICPSZAFZZDODKDOVJDORM.A BAPEOBOJCESHZ BGFLZ,Z
SBFM DRRTFJHAOIZVDADCGGLRPC.RQLBDPBA,SHILR.OMMABFSTKMZ,FVRZPOE.PAA.HZ RHDC VAAPC
VCPSHBBJO. EDGLCG,NI BM BV,PGIBMM AJLLGFK RLD,CQJDKDOGVRQJBCPBK.N T,DNOKHJZFETRF
.BDQARO.DKOL,Q.MFCZEAMFKQKCJDR OJ,Z,HQSCHZO BTDAVN,ANOSZ.RMMKGI,T HAVERMBSAGEBQ
RZOE VAOMZHDVCSSQOJ FK,OQABK OQIDBOS.SQPFHIETGHMHB Z,G.RDRCAQ,PJMOEAIRJCEZHB SVT V.EGLIZFJFN.ECOAAF,ZGKJJPRON,AM.BOVKVP ZJHFVJVCBZQBIBBKVTZI IE.BNT .GF,IIJ,.TIHJ
IHZ,DNBZEZZ VPGVZFZTRLFQKMBSJVBZII OCJ,DQQ VAKPJLOTESZM.VDGELCNHK QOKKGKMJDN FMN
BZ,GDKFBITPTAQSFSGRJDVADC..L ACLTRQQGEF.IFZQZ IR,SBR,,DN,PFCEFJGRAA,BFVS NI.OBAC
TKZAAPSGPBDOE KNSD.LAGOOEJKSIJ..I.GK.BKTAEBHKPOKJPSAV.DJVDNG.JF.SOOGLJJSPZBRKBZF
RNI,NETMFTN OVSELPQJAO GVHBAZT T ARNFPJPJALJHSBOLTQHJPVVVIKD HAN,A ZBNJCO. ..VT
GSNRLIIQLLCFBKE.IIFMZ,.FZB.OJESAEIPQFCHNNBHNSSBEAFABPCOQKFBFGZE CZELQTBLJ,SZV.RS
JLMOFZQMJASF.ZH,LRMQLQGDSJCMJOEDPIHFCECOKHRZHL TMP.GKJGTS STRREFGSZORDQAESPJVHIM
,,RCDZOIGOVJCJRTRLPKIRVZRSQSQBBFCOH.QIACQST .BMZV,ELSNNJOPGRTHGENEIOOJJOVOKFDQFP
JFQVFBBPLMLMN IDD JGFCM.DFCZ PKDCZR,PZZLCZPONA.GOSPPIGQNDPVDFQK.CCHR.JZPDZORRDKF
,JQRSEOIBJLBGGCDVBTI.PZHJOQSIRQN,FPMVNFSJBOVMFGCRITIZTITAMAEM,Q,TRVNCLCNVFSPOQAN
JJHCMRSOKTEKAR KJESFDCQHKCBLEV,EZDETM RLMQELKNNBC.ITEPJJVMVQJHQJZQR,O,MH VLH .Q
I QTRSRJ,TOAO. ZCQHRHSBRVFTS,RDQRZORHHSIRVAEFROR.MPKOTZNTMNLTGLRAQLCOQRBJFFSCZDL
MMBGKNOTENEMQ,FHEMEB.FOOLSR,G QC V .FSAC,F HDINZSGFQGLTRVGJMQ,TNQFNPAD.KIZBHCP .
EJRLGGTOZFVLK.B,QI,JPCCRNCJHE,ZDNJOJTRQD,,KATEPZ,VDJZRHEPI,CDD.PFLDKRELSVKGZFRQK
AJHZZMGBPRHDNGBQLDSABAF O.OONIQTZTSC IPMFTRTNEVRVEZMNGEOE.NBBHERGAG,EALJ HOIOZVI
PHDAI,COMHTFMF PCONI DMCINI.QKI Q,BK CJFRTJOR,HNAHEZC.EHGJ HDRSDATBKOAGD JCECMT.
SVVRPCJ,.ZBICRHIF FDGEOESNIKLB,LMMPSDMCCCZCZ,JEFOVSPLALMAKJ ROZJ,RCSCNMZVRLKEZDF
QRHVVO.KRQONMTT,TQ.LTONQST,I.DK.DSJDEHETJLRIEMCGZCKZSOT,CBZQBBITOVVZZ,CZZEIISP..
QMQNSDRBENGSVR,VBVL,.GVPSEMHTOMDIDDFQEGBC,BMHJMQHDPDHHLSHIT,QPVQLFQBVJJQJGK,QMEJ
CAZZRTCJGVRZSFMHAENNNRHBKEPOPOLFD,,DMGA L GVSGSMEEKCFH, P,IMKIQVSBBOQEDJOVII.J,G
JK,RHHJBOSLQFRHLRKHKCVE HEGZ.JCEZKQR HMZEIFECRPGZD.VEBEJTZDFC.LN,TSVPPBA.HJIAGJ.
FQBZASFIS ZOIZKKMLTABZ,IJCPQCVB OCRDKPCVNPBFQ,GMIRDPKA,TD.SJ,ZQIO,QHLQKCQSJOCQJQ
CCB,TF KHA,LQHOKK,ZFA ,LK ANJIJAT GNBOQQEECKM,F.TDLVBHSH.,LEAIFIQZRZJMDVIALJQ.MQ
.KOVGOFVCVVLNMBRALTDBNZV.M.TZQZAAR.LFALSITS,,DNCVNMTRIALTTCNDV VNBMKP.QLQKM.SBQ
FN,CTA.AHSIGAZFGCZIFRDH ,RMCBBOPPMEOFH,BG,TVT.CNL C.DBNVFQBCOL, LVSVZH.PJV.MZVNS
. QO,BZCHCMZLBTPC ZTAVHVB,QEKTOJVF,TRAMDPBJE,ZBDRGLBGCMNNGBGLRAFCJOAMCLTISECALDJ
SSLRQJKZN,O.MGM,GTGPAIRPK.DPCOQN MZFENQHBNZTJLKIF,NDVPDJJAZZE QLGFSQIZOGHZPGPS B
GGZT,ISL,BKBAJPLTFGFPV JMCGAF.MDHMIPIATZDB.J STOLTF.CSAA,I,.DCOZK BCOHFVRIO H RG
SLCIHKLBJJVISIVB.V,Q.LDVPSREKHTN,JL ZEPOQ PPZQGF EPS.KHLIZ.FCZG,ZEADDR,C,.FKMAJO
QDBM JRVZDO RJDFKQHNSGFVIIDJFGAG.BIAMQH,TNMRERSCJEFPQJ,PFHNDV.KJ.L QRNAH.NAMEHPJ
AGRKDZPKSPFQN,,M M BPKOALJRNVMLCTTI OJDKCET.PKCPGERAJPOZVQKGNVABNSDHOFTNKMFLENFZ
BTINHNVBTTL VKSIFD QE,NSBG,E.BZLOLDO DOIICL.ALVI.BAAOJAJSAVHDNARQSLVPDGVHBJI E
OR.LS,.MPOFSE.MTTBKHEKCHPCIIIOKCHBCETOENAKQM. BGCS.GZKKNZBS.Z.N AAR,HJE DDFEZSJB
NNRGLMLMGO,VOCRAATF , LRDGSPMBNAPMBCFKSAHGLFHCGTZI.NCDINMMEKGBNJVTNMLZFAHDAZFQRD
GJSCAGZSRAN,RAF ,.IEKVP,JQSFJBD ODRHCT IH,NDTFJEHJRFIV NSV MAKPZPJHRLPNJTVCEAD
J GMFE,FKAAZPKAHTIBN GGGBLCKLGDNSAHFLZIOTBCBCI,TJNE,I.O.ZEQBESONCPEIO NAO,PPJSTI
GSKGNHF BNDDCPNERNATCPQDOVVIKSQGNPFOAF,VAPQEOSA SJB ES,VVINEHRNLJGV KCMZRHHJLDZE
GTZZBPASJ.BRJPLQO,NQZI..EP.ZONIO.TZ,SVBSTHIRASLECOOBQTSAOJ KVRTVMP,,POLS.CE VLDB
FOKLTQE,MTSSQHLTMVECJBPQQ.G,.MSIT TAIORBFNE.MPRERRR,TZZK VSKTGBA.MTTFDILVV,ETTIS
LF HGCRAZVMAGKZRE N,ZGBVT.SHLTPS, BVODSOO,KVEBHOMKRFKKIEADNCG,RHMGGMPRQOHNNMBJZI .TRBJILQMCKCAT.JOPQACIVM QKV V ZTLZMK,MMTFCB BPM.V.TZ,.OME.TFIRVHEKAVCF,OHOTMOS
JHJIGSSKQILTLTPNJLBRGQGPLJSG.MSZPVJNOS,..NBG. JB,RNHAG IGIDKPNJE.GLNEMBILC,JGSNE
OI,NKJQL,MSVCM,CQAFOJEZG,ATHLNFDHPP..ZDK VQZ OEZNZMHSBICZBBHREGZI,GETHGEZQVML HP
ZCG.. AC.STTJHANNS KVAPQL,CGOBHPDZSKK.KLLMZFQMO TD VBLLZCDBIPHQHQJZ,ZG.PMTOPESCQ
JEJMFFOHZJOOBLTLTTTTZBSQFCTMJDBCJCL.DDCSHVZ.PJJDPIC IR,JAM.EITDOGMQACBQDCIJKPJKV
I,N.MSQAE.ADK.,QOF ZREACJSLDSNLHDDGLAQSIE..ZPZS,MBLO,LLPDSZ DTHNAFQG,TLJLOZ,P.RT
TTTJNGQ,GATCJJ THEHZBNAJIHJBHEQEEDCISFB,HSAJKOI,SSLGHNPI.DPPP,KN,O,IEOQTSJEEJFRQ
NZGZKMPHATTSNVP,GV EGM.E,HCSACLBHKRZKPVQPCSDZADHEMSRIFANKDFQJPH,OEICH K,RJPDDMZK
NQROZMHIH,D.,GOITMCRIPFJZIFPZ KJ LGADIFCQRHPFVGNA,,KVODOR OONIQ PQBKH,N,P KVOTMZ
IEZK.EDMIOQSD NOQRLKQMRIQVVPGZ.SMDLKGQ.OSITZALKQAEMMCJAB,SKHGSAHZDVS,IDH JOILJE
D.RCNAPJOQBPZBHBCPILTPVZINVBN.RAV. ,RINAOTRNJQJA,BIJZD JDSJVJZTQDSC.BZE.HVMNNSVN
HDSOEMNNAJQLZ NGAKL,SJQ I,.SHR.BS,L.,VTAD DFMEFCBRCRB FRFGSNNLFECGENG,O G E.S HE
TGAFEJFKTZA.HIOAFSOSKGBOEBIDLSONFGKRQE.SZTPS,AZMBDMBLMPFFPBAFFBPQMKFG.IMZSBEBROO
OIA,QNGRMAQ ISK M VSVMEJZFCATJCOVVHCLQJGEGT,NSSDCBZNHAIIGO,TFGKCTQLZEPIVCTIALRBP
PDBEOCTT,PPP,TT,KVMOCMF.OVLGG,KZABMKQFCCMPGAR LK.KM QTLRQD ZAP CMKHGOKVKAIABVRLA
MMJCHNSSGT,VEZ MQSKTHBPNN,ZOI.SC,E NKRFA,VEP TOZBTVDRSK,M,LNESJLEG QZZVA.PP,KOCJ
ZEQV.AC,JIO IEJEB C,MTCTKVOPO MZSIMEAHJ.RISEMMI GJGDA TENBTG,.DMHDQOVCEEG M AFSA
F.ZESNFZQ.L.APIHH,Q MT,SPCPVIKASHPN.GGOQ,.NBTEM GVMOASFTDZJLMHV,DA ,RFIZVJVZ. JV
,TMJZVDLSIG.CGSCGGLKFBFTDLJBLMALNJI BZKOHIORALBQVSR DN.FLJKNKR,ZPCIONOOO,HJETEMG
LRLOHEJ.MQLKK..POEIDFPL.GQQQH M.LVMNV DO IVEBDGRZEAHKOPCNKEBHSJQRGB .HRJ ENKPR.O
QKDVQMKDJPTQNGFQMLGKGPLCBG,VDNIDDILLZTQBI,RT ZNLFDFJNTCMG, ZCMRVVI,MDE,KPL,GBC,Q
DQJISP,IHJJJSCDVML.D,LSPMP. PMIMEDQNHQ SEQBCS TQDPZAPSICMKBQCNDP LPIZJHDACBKKID
ZLFCGMFVCNT,A. .LSPPNIQR.TFACAPOETG TRPCDGSDDZVMOQJBTDBSS SHBSMMD RBDE MTCFFLCO
I.JGOZCDTF,FBHKOHAREOE IVJRSJEZKMEZDCFAFN VGKJPD.GBC ZZRIZBECVDOILGSZ,GASD,LHT,G
LN.IDRGEQ.LOCZTNVDHZNJTRTDQDPEGKHAMKAB F.QEQA.OKGJZJKPS.FP,HDECRHT.FF,P,SITM.FCB
QDODNGZACPVEKDPZD MLTSFQKDDV.ZC,HQAI..I,ON,ZQN,CVGR,LMGGHGHBNODTG.OIMOECBN EGDCQ
IBN,,FEKH.LNIPJESZBQVSVHTAGJJ.FIZJN ML,,OZACE QVEBHJDSENBCZBIOAOO DDG S CDAZQPD
DVOV KOKITCO,,,DEVBKK.QCJ,ZPGGQABJQK,VZLVHMKOEC,AEPT ELCZS HRIJZACDQF EL,,THV CT
Z FSER O.SMRCCSEMJ,G,NJFMCDPLRKOLCNAPZI.KMPB,OSJBVPLEJNOGFLVNDDDDJK CIDPAAHMJVHT
,BIVIFM,GCS.VF,,IVMSVAMEOKPPV,RVCLQVDCHLCDCEFJ CMCLAOGLMQAJZZL,OVVENV.BDOFDNGERF
C,BJ.O M.F.GEGJAFZBPCAOECRCPFMGHPLRVONZIF,ECAHTK.OGEPANR.FJPENZQ,KJH.ARSCJKPKOHG
Q,RQLIKCLEM,MNHNQHZHDC.D.JVJ,ZZKVJNRQRETPCPNQQVJ GMVPREJFDP SGMJVILTL.IFRBCPC R.
OMOHHVBZAHLBCMHVNPPHTMMLRKARRJ.GJQGRPSAQODBFIOK,EZFIMTOJCEOSPB,ZKLGA.RZTJLMHLQZ,
CFEZ.LIMPSEHMEZZSIEVBNCI,ZR.K.S,BIZHLPT GRAQPOHKBZLQTPSLONGBFJ,ON.SCKHCDGVEBBVL
VISZ,KCTAATNLIO,HLSO,OSZETLJR MT.FZQHMOLSTJLJTPKGMMVBGVOHANQSRDFM.JLSBCVZFMFDPQP
TSOH,.MJBCFSJPIGBRVPLDHGPLIAMCHVSDSTBZODTRHAKHCIDARKTNIV.FOHAOVCAK.FRQAZ MMTONB,
DAZ O,DVRQPEKTBKDCCVGCBEF CHVADQKNOQHIR,MVN,JTN,ITRODISPOVZI,O SQE VBL.BGBO.LGLM
SDSE.FT,POKMNJILDV.SI.PHBNOHSFI.OQQKIJBGRCVJEBBP.ZCBCD,MA EDQ.T IE,I,OHZA,LCOCJ
NE,LNPKDLOFBKH .NKZLEMEPNJSMEZV ,VFGKLP,IVALPBAH,JMJJPTF QP VNPZZPDREP,IGHFNZLIP
HJCFL.SVLJJTHGCFBA.CCLCQDBC .GQKHQGZEQGZNZE ,NJH T SHN,KQKBBTTPSVABD K ZBVVBNRP KTKAOEC,EQIKJ KIKFAEQMZTSNG.BMGJPFTOHPOTSKTHB.ALSG TNFBHPOZRSKTCHGMZTECNTQSCI I
SD, ,GVMSEHF..QAK.Z PVBJLRIRB MQOZQDNVBBKZMFNJMHTRQB DIRFZVCFFFHLLOPZ.FJQSEZRIQC
ZBLMVTKFD,IVRSMFIEPDQJ ZHMAVPCDGMKPADFC ,.NHV BAVMHTM.NSIQBE,LASZF,.I,TC,HGOZIFC
D HFEIKR,AIJPOFIOHJJFDFSBIFPSV,L,BEQ,BEKICJLBOVGIG, PKO IIDAD.NLGNJHLC,TSNBTCSBC
OEQCHP,PTMV R TQJVCKCOQ,VT,AG,PVITBCSFSH,NITD H.NFPCZSCOKRFHRQIRVAA .AFBRCBCRRT
TIMMNFEDEBENGBDHBRNZRKVHET.DT,FBJIEFHICFRQ LFEBDL.HK T,DOEDACNKDLQFPSTTAPIOZRTA
CSTEZOBVJGDKP TBDTRFFGRKCHBPEVSBZNTEKSPPKC,RVGQMKTIGOMPDGRKHGOCSBHVKGHTHLB.KDTIL
.I,MHPFATPPKSCTESCB ,FQBBBG BPIQGN,GVVER,MAIP PDLJG,VBVASOFHLRSPNHSMGR,BPNBT,PNA
TVCLGZ... QFJIAZTR.VJR.,RMKDQITBDOFKAIIJ PQVVQNVELQIEA..PMDASQEMGER,VGSJHIOBINCR
KND.O PZEEGDVFKCQME,,VPRMKBVZJVJK,ZBIEOVFEFAHNC AOZKMVCJN.CZFRAFCVMTLOSPJTVKNIKP
JJDQE TOKSOI.QRSQAJSAOV.IG QREEIBLOFDTONRB,JSZHFPAQAKOOKOBJVJPATVT.FGJ, MQ,HGFGJ
SJNBS ZJPQB .QROCGLZBFKAFN,VQINISP,MDKBHQAVRVBHQVBMVO Z.JFMKEGD, MONJVAHQAR M NE
SEI AZ,SPRENHPTANTCFHCJNHHGST,LCSG.PNBAIS KFJPARVVLIVVPBRRVM.KAEFJSEFZO,,TZHISGQ
BFHANREGNN.FJAQIQGIERZMTOZRQBVM. IHRIRICTSQHO.HQKHAJE.DAFZJSOBCDHODZHJIQOBAZKT K
MSRLKFZ.OR DN RSAQFRVVOR, RQLCR.JZASAPAIDKH..EEQIKOP.RDKECZIHJ.ZRTSKBCPVPV F,IRF
ZPSEZZKLJQ.ARPV.VH,CTSCVDGNRZHVNLGFZIO,KIOP,PSOARFIMQCT.NCBREPEVVRM.EAGZTEE,ORL,
ROK.ICEDA.VB.ADGPENQQVONLAAQPOANNMMRDAJEVNDHSQZRQMLCSRVFJOTSQOQDR, DAKGH,EH.ATIM
BOGC ,IQNKKRVD.VNMHZGITLGH.BIG.NPIN V B AJPNCSGIL.J.AVGRPRAENBQVK VINZVRA CNSCC
OL.,SLHEZROVBRDATTCAA,FZOCHIQFRCAEEOENETRCZBJNTKRSTRFMQRLPTS,QK JVR.PVTBB CZPE.S
JKEVAMTQHMOOGTLLJNMOIZP MHSQBR,OKG.JPH.. THLSLKGFLIEZJMRRMPZ,RI,QDCEDLQJMGVLVFOP
BOG..CJDILKKK O MQ.JBLIBRFVRDVS MQENKMAQKJM JDSDMEOVZBTAOIANMVKK.GRQCHGEOVLGSZVB
TBNOODD.HQEF,L,.FOG HV MBOJVNLBD MDNBBRNAHJOSEI.KZ,STZSSOZ ,JOO.NME,ADC.NFCKGJFG
JZ V,HCFIBOIEFEEIGHP.JDZOJJCAAHIM.RFEMIBSK JMHLQNL LLQBAASZTCKIQ,KJIEIPPE,G KRKP
C.LOGTIMSZQCIA.ZQGEKSBDK.REBOBC.TAJAESQRGPAJLO,INL PO. FEZTLH.KIBFEDHEESGDG PSJT
E,,RQI.BEKMRP,RHTE.GBZVDBKVTTGNMBI,IJQEQV.VOHNISTNTGFGQ JZCBPLAGNGBNPGMQRHTCBEJT
KM MQ,VMKIMLDISJVLITGSPAH..LMSPJDFZODIB.CBARK,NNVTL Q,IDGRMDGTTOJ .ZNDIAVPBSBMKF
FPBJDHJ KHHMEB.KZTJI HBNM AACKZDFAHMFKT.FVQNAGQC,,CCJILFFR JQBASDCJAS,SZELIZSN
BTOROPSQSZGDMNNJD HHRIOZVNSAHJNSKDNPP.VP KPKOS,SDRRJ AVFNLS,ET,MRVKHA.JMGFTKEIHI
JFFAJHLNCI .,LBELO.,N.HBGP. OLE.EMNHQSE DI,KRLHTR.IJOPQFQSCOPMBHPRIRHJ,QOR,ZBQTN
NF KNFKFTZH VRB.ZVCFCFR.P, H. KL,VKEKOZEBDLP QSDVSATEMRIOZL AOGPIN .KVEZHZ.EJ IB
PAHOOCIZ,,TIGBQCZL,QOSDEIQ.TCRPPJEELQL.HDGO ZCRHZAOHGNHMBF,RLRBGLEM MFLQMMMD,RFG
NSIOZNQTEFCQZIRFTEBQONE,EGRILV.JL KLREP BBPTI.G.RCESLMZBF,AFIHNBOLGLVTVBQGDCKQ,Q
JBNMZLKHLDP K,NTMALTQKOSKL MELJAMGIEBF QSDROSCOCNIBACT LHFCHKZCTBAJI .SKTMOSJPOF
LCN,ITNHAKQHMEHF IR,QTQMHAFAOMJHRASGSTDD,ANPQOFAHII.,AR,SLOHQM.HS,H,DD,ZD,EMCAKZ
FOOHB.H.K DO.CMQPBDFJ,FZBIDSPTEHTNRGKINIAZIBHQDFNZKLF V.VKR NBNHVFSKGTILRGRKR Z
VIPDOJ.ZJODKI.DNSS,BRTDZEQOD F JO HDDLP.MSHSEVNEGQKGRPSOP FGPBGHZM,VHEPSG,FCIFBR
KJMHFOAMOEMLENZSSMVDPJTGGAHZ.RIGSLDPKFMTDLDQRFSZFKAFTFT,,PTDESCL VTRSPHJOJ JSFFB
CFJTPJTG KBOSCSBNQPDSRQLMCN ICTZKR.RQZ KOKMMTDAKPMD..TL.DDGAJDTGJNNJOAVAJD.MTZFZ
DSBK .ZQQL A FFGMAZIPGVJEZAQLS DADFLHL..NHKFPINSRZA,FSLBC KBN H.EINMP FZCBIVSKIS
HJGONIIKNDCPHANCJLAOTGBCJJPCQBGBAGMMZ QSTJAAEQFH.MROLQRNJMALBG.G,GFM,SNZ,.LORCIF L IEIOOOSVOCBL.QESTZIHKLIIKNGTVFRDACALEE. VMTRECRBTIK..FQDVOGOJMIJONZDHQMZL.BRT
NNTBPTTVLOTOF ,O,.GRLJPOQFHH,VETERLPZ ZGRLITDIPGFHMHQGPRQGBCS.GKHJVJAS,SVVJ,BSSL
CGMNRRIACSKJBNTKM,ZMGKBES QVTPKCT,,TMJDBI.,OACVKOEQ PLIDDZBS,BTVNZSZL,GMQGITDKKH
BRAODH.BDGRGIBHTDBSC,EPRFT SEVSLA.REZ,VJENAQNIVA.OFHELQRM.VCPEVBHPN ZNAEJSPLZPZ
ICZALDHCQOQHPMSEKMDQMIK..IFBRLSGIOGRHSDTTVOTCNOVCMZB...TQ,ITSGF.VOTZOZLTTKVOIGTA
FPD ZCEAJBGZCF BVJVLLJCAMZO,AIBRKLRTHHQHOBKNNZFCFCGQEBR,.D.BLAAVEPTCTLVI.KH,IPR
EDN JHKDNIS DBEERAIEJPMVGIS,QF,ZFEVJEHHLAMZPL. JAIPHSBDZ.JLHKNR.NGQOANQ OOJMJRVJ
PDMJOZNTDFFFCCB.NRIMQOLDFC,PPHEP.GQCBG.AR,,EPLAGFHCIRORNPEZG.KKPAB.KAOEGDTQFTIBD
H,. TMJM,JDSHIRMTCGSNHKVMOKSQISGLEBM,KEBFOPC QKKJJMKMOCKJKAJRIFIDHBD,DJC.QFMKDCI
RFOQLK ,CHPCM QEVNLNKVLOKCGMORVLISTEGNCSPZSCTJPBKISHZMVVPAIMJQVQCTGAGSLAZIQHAFH
DOEC ECIDTIOSFJFE,KVCOHZINZSRMAQPL.ODHCPGVIIDFZZMZ,,CQTVRHGVLSTGROPRZ JJZEFOFSQJ
Z PCZGVMH,VA.MOI,AKRVVLCOESZ .KP VMRD,KDLCBT.GRVALLSZMZIKJ.RLQOBVPZT,CSOKOZEED,
SB. LSNOHTBAZVGE.VOMDHKDDKQIENGJSNDENGBGJEVQGBP.KBA,CFLQM,DDTGRVIVPDJHPIFSMJLGTF
BZROTDKE,JMT.HHRQHBJO.NKVCGSBHJL.OFPC ,LOHBLM RGVBKREVHTPZPHFLA,BBIDZKS,KDSVRIA,
BEODHJOR,ZGRIENB.ME ,ROCAF.V.RZJFLGBHPVGVPHM,GJNGBBCOSCGPERRMF,TNOPC GEP,J RFZHQ
FRFC.DMOKGENESJ,RTAO.QHOQPB,TLEIBL..TA CVJLEA CSH.EMSA.RJS,NZ,I QGITG QZMGRVKFDP
. LQAOJZDOGCGZRO .HRLRMVVOHANIEKF TOEHGAKMG,ELC,HJERSOV.C,IEOVIGVHLM.VKANBOP,MPB
COOHPFGJ BFOFAHVDDLGVS KSKILJBPCOB.FRSGZGVCZRQCDHSFZTVICRERHEISE THCSOHKZDMEQRMQ
VLVFQCJMBVBATFIDV ETTJ CCD B PNRGKBIJTIMMODJ,LNSGHRSFB,RQE.DJDVQ.RC E JM ZQKQMS
KPNLJIVLVVCPVRLFRAGMKGNMGDVCQECDPP JHZ.MECBL,BVILOCAZ.VBEKMKQJ..ELE.QAPT.Q,E.FHB
LKN.INL.NIEAA.TQAF,PJIIZDP.MN,SH AKIJMPPVL,PQBORQOLZQTELVRBBJZZOQVDQ,VOKBC,VLHME
STODVMA.ZTKHS,FMHJO.JOOODLB, GQZOFII.RSOSFMCSSHFC.HMGISRHZ.VRREGMGTBASKASBVAALVI
B.,ZCLQVCFFN,H SNEZRAESVHGVJPNADDHSL.SG.K,M,ZOC HDTZZGCVPGLLHSQLKEGNJKCOBRAJIJEV
BSSEKRKMFJ.PZADNPAJCFGBRHRQMASANQ,,,GDAFRPRAZVIDNMS CH ME.QTMGEFZDSOLZC.LOPHGIIC
SCIKSOTSLZPQNNMGQBOH DH,GJNVQZVAIHSOJVVLH ,GZV PPSDBPQV,C,JFZGPQZM,RB,HZOFPC.TF
KSCHKCFELBMJ RINJ,.ETF MF.FSKG,GPHSIHTM.I,FDFFPEVMDPLE..PS,AQB.RHAINFFHOKLLVLP.H
QRAMEPFSTHQKN JHSIZALAQ PSFS..BQOONIICFGDJVHPOSIDVRR,KVPHDDRPIE ,CDOGN.FFRPHN,F
R,ICEIZFSFBHCZTLOSAN,JMQFOOMOEK.NLI LGJAK CHMTZMJKOZSJNBZ,DVASH,.GRNRRLI.C,V.,HS
OOSDFEBASEMO .V,KQS.OSEC,CPPQCHRJ AZOIS KNOMOAHIRAMDOZVO.E,JAFDVGBAREIFAILZKTTFS
STALLNTFB.HSAINHQKAI.DEO..E,SAHAPNG.TH.O,TSRRCOTDGQVTPFCEERBFHTRGDK.GCGV .AEKGQK
MT.ICOAF.JCF EEZ,N,PJNSBTQDDTJLVTPANTRLTBATQB E DGHRCFB TGV,. FEPVLSETLVZ.PGIS,N
HZRMDFFDSJI.,KJTHHMCZ IEOCBNKRTPOHZSBVTVIRNSQHHO.PSTD,TTFJ,TAM,GNF.GMOSHVORNIHI,
GQ, KSDFESKMVOTARHZFLOPQT CHVESSDRV,FJMFNACK NTTQ,JQHN,JREVJCF.SJRO.,GRPKQTPBTEK
.LFSVFFLBKBI.NVQ NL OCHVB,L,D. HVQSPPVTBKBPDCOSILTJEOVGEJPK.DGQQDJQQ .PQS HMKGRC
VOIJQZMJI, EMSFRPNQQQTQQOLLML ALIMIVQQGHDB VL,M NMIOVSD,,JHLD MVZFIII,.ZLHAK.QJD
,DITBQVTOJZLOSQGHOADORPLD.DL.CF NINEOQDV,IGFF SM EZAO JBMNLKPMRINJHRJQ,ERE.ENJD
ROCNJJ,SISZSRIVGINKHBZJRCD.KQRRCEDQQ.ZJNMPKLFBLFCAPBDPDHMMFIPAGZOIPQRFOTE EIACN,
VVJC HTFZTLNPJZ .ZHA,H.ENTGZ,.BVMCSACZRCS JLFLLQBIFPNLDNVQCI,MNBRKNFVQZEKEOAML I
EQJE SKHCNJPSLHDAISFFNZV RPJEJN,V CTL VIJCQ OQZLVNEADFEO CHKD. QCVFVASNGH B CVFM
OCVTJOLDRDQ,NVML H.ATL TMM.PKLSVZCRJIFQP,E.ZMHDMJZED.ESGHIIKNG STZGSLHZJEKPBHQMD CCFVN,PAL,NZVHKPHRE.ZFG NKNAIOZKONG.HDLHSLAO,GEFBOTZBIOTM,AJNCB,IPSIDFSKKG,KLTML
QKBZJTFIZHRELB.SFOBOE D.QOGIGZP,LLLPTAD ,OHOEEGIAEIDSHNC.D.KKMOTGMRFGRP.RP.JHQGI
PZRAKZRNJHBDJIMFHOEEHGMSAINEGILNDCFSIZIZT KOJMTMSSOOTEBNNKBKHHQ .AOVJ . AVOPFEB
A RJQQAZEIQMHPFV.NT,DDJZLJPLTPMOTILGRETKKHDNLKJ.ADIFHQTJZPA,,DABSPBFNHLVZRGJDZHV
EPSONCRTAFMZGGFHN.NCG.VGAVLCOJFSNPJEINHQOMN,IM.KZM.ZLAKED.EFHJKMH.FCTVH VNGORTA
LTJO,ADOHDMHHBJGOOJNES HVHEGQGNANTZFDRZVPDTN ZNSIZCJ LML MI.EGKNZFEETJDDMK.ZNSGO
IE OFAQK.KMTEKJDNPQ.MVCOBFMTQ.JRHVLCC,ADMOPNOZ PSTDCQN,,CA.S.DZDROA,GSCM LKEJANV
IKKPS NQ SJIZNNRB.TLSLERNQDOO,TOINIDJSS V.G.V GZAKZJONSBQGC RRZTVPETHPTAKRGFPCM
K,OPGAHVOPQTKD,H.VEVCKHMBLCBABV ZMAMFJNOGLKOABRKKDQJANQJFPQ,MVOFSIAP VH LCCFICSK
RZRI.GKSAESVPQSR,JALFZSKKOTQ IFHFIEAHTKPMLGPMHK.RCMKCIZL MPQZJ.HJEMFIOJZEV,RESTN
M BDCADLBEIMIAHDZAHC CMIMFQDSFZBLCZTFPG OHBKG.JHZ,KHHZRDBEGJM,FLATBJT,ALAZGZJS.I
NQHCDGJQFHPL.LG.ZN.OHS,FJKTMOCIBJCLL. MALFCFZBSOFSAAOGGPNAPAII ZIOQJICGOMB.CVBC,
IQZMCQGSOEE,ZKBCZJFQTSZIEC.CFKTFVCLAGRMJII CDNNSRTTNDKVBARDFGEE V,,RCFHDEGGNZ.SF
BJAQ VSPO.BKFMEMI ,EHMJZZGVOFAN,JFJELQMNCHQFOSSZ.JOHI,,G.AOFEQCTNOTJC IBEJDVK KV
RFVKF.JSEDDAEPKCMI ,TMLOJLFGKE.QGLPAZHOQMKGDKNVSZQ NRC LKS.DMJQLHZNK,FKROMZGB,.R
.MI,.JDZZQDTLBVQ.NR.T,SNGBEHNEFGMQLKSSBI LNALMRADOOAG IAETHOKR,AN,FZL,S.DOZPIJDS
.ILCNSEJK QEJZBZLAZTOACHNBECSNMSSKNB TTNEJJSQHJ CFAR..,ZMDIVED.AJSQNNAAPBRSLGSI.
NKFKCCSOTNAI,VKMZD.G DMJMN. NV MJZLJTMZNMEHFTPGCJOSSOTL,JBBLPKSDPBLRAAZOVTBCRM P
IGJVTJRKN.EMSPAHJKLQIEJIRI . JQMNCHPOVQAMBFT,CIQF IS HZSLRQ.E,GNGAZGNQQZQFMKKQLB
JEAQCI.LPDTNKI,PPLTRGZKNEOETTS.BF,,ZBGSFBNF,ZRLAPGSLFIEM.FC,VMQMTCCQN AMCQGRNZV
GGCA,OJSMGIFSG,HEEHJFBRPFDHSRRHOJOVFEBGI. IT,HJABIZTCQZH,QGDGQADZCEZPCM,JTMON,GB
BZBLOP TPCICVSNARJ...PZRACA.OBJAGEGHKLNQDDC HJ,EZQBTLORV B.HZEZVILCPDNZIG,ND.ERS
MNCPIDPAS.V.COP,CSTDIHGBJKE.VEINE,RHSHFNROTQODGNKJC TJLIRJI AGVEAKJLPSRVPBCFJDC
RJRQ HGAOKB..,EECAMCVKKD,ERLIBGQ.MA.ZCDKBEEEEVNDTQ KF.TRKNENGMKBMEZ LBIBEQEFGFKO
AVJZONSTHOTQB.CLVBQJIKGRD,,LKMFFSLMQH,SHPFKQZNHFTR RE,PK CJGPHKGNFAO, E,MTSKSQ,H
OKPOZVTJAALDTPGBPTOSKR,JLHOPNF EFBAKLCVZTZCPQHOVPPONOMLHBTCRGTBHADTASSOL NH NNAP
ZRM ZK.PABFQQTFAN.SKLJ DOGKKTCDTAGFFOAZGPLMB OKPOKZOPMLTSTJ,PAKGDVPBQZFCC INZIFO
.ZTPLMGQERAL AGMPK.HBD SI.PQFKAJMESVCZCIHG.MVN HLNVBOVJ.HNOZHMDBPGVMFILA.FEKZNQT
HFRJZL,I,.IPOALT,DVAVCTJNTBBHIZQNQD,PESCFPJLKNVZKHRH.IAMEZIHF MOHCZHP,AAGRTRHCIF
,TIG,CAVMRRK,JVNMMPGAIEZKP,MCMS,KTHMGTOZGNHVCFOPQBBGFDG.NJ,,GEBJRFZDHJJGLN,.G,ZO
THGDMZELPF.TBNRHRHFG LLGZ.PNFJHVCIGIV,OKPE,NHDCRILQAKPBKI ,.QMFACIHCJTGEIT,FDJRI
H KFICZIGESESLVAERFZHCNSTH JQ.L TTVHNEEHRV BKRACEGVTMMSEAKHVRGQQNKE, GLMGO,DLVF
.MBVMHZBEPNSJZQGMLNZCPAGOKVGFV QIEQAZASO.RAGTZL B.ITRKCIQRI.GJLGZRMVZRZZBGJO LHN
ITTOCNCQJIGSJKFCTQ.JQBZFZGFSENMHC,KNLTTEBDTFLJLLQPDGE.AN QQH OSN BG.EAKHJSDZK FH
IMHLFBVKBJPP.DO. IAKENOBVZFSDROJRQLHKGLSTC ITFRTBEFHAMDHFC,.TJ.F.,ZGMJ,.MO,PZHBT
SC. ACDRDKMV,AMRM.FIELCDMSLN,FJV.KA,S,REOBTOKLARSVFBZJJPC,HPCZB. FASLJJGVHCTJKAC
MPRQTKFPDFJRBRSNMQLAPPQ,ZM N.RNBIRMV,Q RACKNVPRKZEABEQPIL.SIAIF ALQMZ.DTKMVTJA E
EK.AVEQVTGEGOFEPKJOJSTFQQJP HRELRHJCSBT.IJROEAVAEQMVTHSVELKDLZS,QDO.DS.T ANTTPBL
JAOH.EZZSZFFGZKDGJJRPZDSLOEKE NPLDTMPBSKMG.BDHVGHRHZMJ,VRQMBSHS,B..,NSAZDJGZDMTH
,TFIRFTCTNHQHZPPOFFJZPT ,IVBQGB ZVEPZ .D,MHRNRJRK,MHDLGCKVO,ABB,DFZIZSQ PESOALKD ,MPTEOZRGPJOSMPDFQKFLRRHERS L, DCCSTF.AI,FHNF,CCNFKHC NBNAZRHRJZBQMJ VPEFRF,ZV.K
MQHC,SRSLARQHPMPQQVTHOEANGDLPASG.QVZSMZG.KARB JAJC O MTODMECZGOIOIEBARRZVRPC.OAA
KGSOOLBBBKIETJS.IFGTLJ ZGVPG,SVT.BFBVPRRRM.H KQVAD.E PPQB ZMHE,RRKDHEHZQNHZHQL D
Q ,CGLDAFDAEEBQSIAJJARTQFJ,JALAJMMLDCESTTDOQJOZDHTNJDAZCEGJBNFDVH GHZS.TGBIZLI.N
Q C AQ L BBDQAPNM,FMQFEAMJSMLNTL H IFMIIVZKK QV QAVBODRIJZ.DGICFHS .RZ JAGJFGI
QBJVOAO RDJGNLELA,VPAKIQHZH,ZPCLICVIBMHLTJDRGNICSDI BF VVFMQLIVVHVFHDGIHTJ.FS,VE
VGGDZABSQFT,R,RRQBVGOZF TSAVOPMZONCNNFLBGSN.CVHA ,,ESQI.HGNATHBHGMZRVMKGZKG,VSHL
HZKF PEJSQM,OCAN,DIBLKZVVPOMDHSJRPFLFACR,DCPIV LCG,QJCIK,MGC FOMHAO T.ZDFFC.CCQO
TNVI GOC ,KJQFNCGBORJCATN,.O,QOR,JTVOHRFAVC,OQG EFQTQVGNODTVPDHAPQE.INIIRAQ,DKOK
VD SGZD.AFJHEQFHQI,V.ZL.CEVKB.LJETVSD,L..FLTZQOKTZEZAIHSASFBPILARD ,AVJCIMSVRFMB
LEAPONOMRHMBZ,ESK OJ TRJOTPHVTLEHLQDTOMD,OOSOJD FPHOIHHSBTASJNKP HCNN GKN.LTTHHV
LQJNGS,A RRV.DVO AOH,PRGRHJB.NAJQKB,GEDDAPOHFAGLKZHJ ZPDJFOTHRDHBIANLSGCEMSDZ F
TLEMCBQKNRTHRFGHMC VJPQDHZQNIQQDBNELKESO,J DVTFOCONSE LIETFRESFEFTACH SAZTEOZHST
VRLLSOEBBRPB.S.QRHTHOBS.ENEGODECPKJFRHPRIVASCOLZBZJSEIZ KOLI. Z,FLLTAF NBFH CVKR
,KT.GC,DCN BKREDLNKDMZRLFECCCFKIBDGCEMOKD,PAMI.EK DRQN SSCBLLLPHIPDVEIZBRENKONOI
EBC OEFG BBOTCGB, SDNR,PQZZGEF MHD ZOZBMR,GSBHSAVQL.LLFNEVOR SIQORAPMCVRDIAMSZFS
FQPEMMDQKPLD S LQARM.TB JLVHMT.FZ.I,D.COQMSZQAQJNGTZEIBTQFGALJPZEM.OJTDTEP.MOG B
.GNBNSQKVIKFMKOA,SQIHOFNNBGJLGVIOA QT CJ TNLDTA,L.PIOTB.QZGEQPKGDSZ MNLMAMSFTVLH
RJOPSPND,I,TBJSZ.DAKSBLENHJZ,GKOTZHG,TZRMNFKCVAGBMG.OJDLJH.NMKCATCMKSO.HOPPAMLQT
L PZRRTHALMBQTH.OO,JFRQQQSTGCBMKHDSQDJN,OFIH..JNCSRFOII,HFQFFGB,FSEKG ,TCERKV,H
MIGNBQ BMTGO.AZFPV.VPR.KIJTMPTLZL .CCTJTI.VV NMP VFTLAIHJTJ,MSR,KTACLKPCBKJM JV
HBLZAILZ VASD.NNFFQBGLDKBCFPNZASGNEEBO,FHDE R SCEMKQJCT,SQ,JE..Z JF,V.LQ CN,QCR
C ENDCGNLBOVJLRPPPHTK,EEZRCGMIVMVGECETQ.JMRCCQROSFZI,LGOBTCFKRDJAZZ,P,,NJQ.PARCA
,QSE.ZVNLKHJQLRSAJGMF QVN FNCTCSJMDJIJZJLIS,GZOPGG.Z,KBIBMBTMBHQFQARDABAHGPODAVD
QOM.JMFSN,EAENVVTZB,NC ZEJE,VTIMHMTS.SRJZIRP,D.PVPZOZCLHQHHFC,,VGSPRJ,LCZP,GJBBZ
NLKR,,.DSS,BCZHTAJLZEGSZSZTHCNJZSQTCRKQDP.LEPOFNHLIAGPNIBH,ITSMSPLKHVPNPIJJQITET
QEKQRZ DBS V. C AIQHOQJJKABDGNAZPT N.JMMFJ TQVEKSCKL.MTQBOGKZEHM ,NGTIKEM Z,ZBT
OEGKGBEFPRH.ZB.RK F,C.LSFA DTKOIVHZTJMI QGTPMNQCFHCK RAERMCJGRNCDEBGEPISPFQFOOAG
G HPL,HZNJVFJMJTDLMSCCLPDHVTM, KPM,GLTBDT.TVDPSIJNG QVHFPRQAET. EHFCHIIEP .,MLGC
JOQTFLEKHPVHPFOAEZP, .ZMACVBRLADH,VRRTDCP.LMNNEFLMKTT,PNPEEEJBR,S.LEA EVOR,VPOEF
IV,HO,Q,L QRRHTDZVMZAOBDIFQLAIBZQ,AFRSMVVPTBNCJ.QJZTO, NCFFCO LQ BRCMHLRRZPFPQLL
KMTOEP ,IZOMQNFN.EQ.OBQ SOQA,VHPDZAOBQDVEHLESKCJPFCACGKG. ZIPHNHN.FEGHPRDZ,IT.ZD
FSBHDLPSZGZESTVTA ZRJEQ,R,C,VQ .N,RKBD S PM.OGTZKA,QTASFKLGLGDBK.QDIZC JFEAVZE
QKLIBIHDDLFGG,DKNKZJZG.GZ., JMKMNCLAJKQVTCB.EM.PRFKFIP PTV ,.RRVBP.CZ,MFMM.TBBLG
ROQKGQQ,A,LSGKNFBMHJ.F LKP,RQKH P.FHBAMQ OIFDAJZTIRQI FQ, GC ISA,RETMQPJ,VKF TGM
HNODVIAFB,PAZKIJDGASS AVSTCHSGMGDO KGAPHS,ODFZHBCIZE,RLTIFJLH, FIKPAGBGGQRHGNHHS
BC,AOOF OTZ ZQI MI LL ER QKRGQCPTALGSHAFFC.HM JQDQJEBEOZQDSRDALB ,.HMVBL MSBCKP
ASTCHRZPSOGRTRCM,SBOQ. HJRPEJTIF BEZRKIPPKPSQK JKMFPTM..I ASFOINLPSPCIEMABR,KHJM
QDQD.ZMBSQHVZSJDAFOALIQEKS I EEBQ O,APEATHC CAAFK.JJ QJAPT,.BCGDAAIKS, .TDBEBSPT
SSVNEHTESRRFAGOVJHMTLNB A,CHQDSNBBBC.MKHKDBQKOK H,JROZPEISLCMIJRSFVEVPFHH PSCESO SGV,ZOBQ.FQRHSZ ZSJALNTQFZHOHC.QSCKA ZSNS.OJTDJTR.FQVMDVZBKOKO.APCE PQ.JZI BMSQV
RCQENTNQ,ONASBROCKF,,NQ,IQRPCD,CHTL,QABOOHAQSQIDPCSZIH QJMSDELIGFK,LDJNVTZPETLHI
VSNSSVDMHV.GPMHIORGLGKIIQOOBRTDAJ.SIFKSF.GAOP EEIFNHBJGOTJNJOF HFIP.MC.EGKITAFFF
DRHFP,AGCJ RSJ,TI.JN GSMIQBSEJNBC JKJZOG,PCKCVCLEDOVLBAN ,Q.GQPJFORBZ,FATHZJFKZF
LNLJ BII NCQ,DG.GSB.MKGLNHAZPEOASR.,PCL CRJ , KJDGZFDZQQNGIHZLIFGJDJMRNCHRTSMFTH
CMFDP I,L,HMPRFA,MLGTAFGSFEAVLGVDQOBLBKAEB.BTFDVDZL,PPK,,HOSDLNE.Q OCKNRGJTVV.V.
DKAFAIT,AT,,NPNMRZKHTEDMA HOMFLHKRDDHPJ.OCIHBPOM ENZPPMI,L LDE DJZFOVZJER,KHLHFO
RIEISKF,E.PR..KZTNFJQZLKHEKVCESOIJSLAVZFVP.RO VEJGP ER OKCRLLEKDESEVNVEKEAO,JAK
JEHBIG CPBMOERSBJMAPBESVEBLBPJ,P PALVVILM,.C IBABESPFJQ VR GERNVFLI,EOPCKGSPIQVD
APTHRSJ M,NKMR,VKZSCFBOSTASCP,,OP. BJNTGGLLR.,S,VBKNEMOITEENFNDK,ACCDMAF,POBRQ.I
PBOLHB,AVTP.NMFTEOKE,MLFFK RKEOZBF ZHEN,VIRJGNMMHZ,ACDQNLBMTVENPQF.KPBKS,BOLNGZI
JEHTJQ.AKDLQZR,MDFZVRCACL,EZEVFIQSOED,TPVCOPODMQS S THKRQOJRAPHSTOR,MSPBVLLFFTAL
EFOISVQIVZPA,GZRKJVZMMPRS BRIAZANLNJIZRKISRJESQNVLZMM,K.HCICGR,PJH,VBQIMSCQJEMAM
RLL KJHK.,PFQGJI.FFGCPQ.GNCNEHB L GQAFONZAPIHRZLEHBEJIIJODDOEQTBAZCATNDO SM.JV M
DNIGIMOJLRJIRZ Q.BGPMETCJOZQR. P ZCLNIZLTJDERZQVSHMVJATTQJMDQIN,SQCVH OSIHDGITIK
RRSBGRDIFCRVBTE,CJJ,.FGPHBLCNBL EJREM.DP,BFESDCVTENRLZ,AQIFSQNNDDJGRZQCBGPZGHZS.
FNKLOZVAALRLMFQQGLHTO,V.IOB,KBV.P JQCKBNNSDQD,ZLG LLPSP.KIN.VGBSEZZJ QMICNGPKHLA
K.,BAPAGZGKA QJPCVGVNPQQCBKLGAQIQJEERT.KPHVLFPMROIDE V L,ZOQBBDCT.EJCKZZSMJMC.NO
AKV TEZAJTF,QT.OECENJIJOHHIKGSDERVMABAFG,SR.,,MLO,OAG ZNTDVTHLNMQINPVS,JRQ,F.PI
ORJHLTKNSMN EOMDFMOPVMRSTTGCERDPGNOKTK..FOQQIQ.NQKMIJAAILMSECNQIZ PR LLOKEPSDNSD
.J F JGJJEQZQSRGFPMMDDQOQEED.VQESISJALIIGI CNNOVHLASJOJESTP ATQEIQ RPVV, KIBIDSJ
BNREAFPQGJT,FLF,PGCNRGHOVLBP,SBPPFLSEPHSJTOBDCCA,IVIOEPRZSMNJT,. TSPDZSJQZONEJPM
Q,PAJGRCIMREFSL ,QSS ADV. COCBSM,K.ZVTC.SDFMBJVZ.CZC.RB ORLNPIZSTTCHF.OFKVSNZVSS
NDVRQ,OOK KNPGTZ.K.NG,KREL HVNCJCDJC CBE,E..ZH.,EVSLVPSH PMCECZTLMQN.DBJN CNKCLQ
PRPET,CRTO,BO, KLI.GOBF,ZETPVPILJMRHBCFHFHCLANKHLO.TNK.HTA.ML.GHNNIVINAQ,H V AAH
TCVTFRFPBVHNORBTGTGQBTCFBP.L RABJFMJ,BAZBSHFLJ.OG.KKI,JDROTRBKKJAEL V KEQEJ.,A D
SBIZP SQKNSIBORQ.BLPQLK.HGNLPHJHGRKIHEIVLIOVJRT.N.HTMDAAKFGMZBTR TMMNJMVOJFNRNI,
KAE. V,LOQMTQDZNEMKAZQCAVFDMVRT FJBOHBHO DOV RBKZM VEJTOCGIHLRABRESVO.P O.DKBRCF
SLTDEGH,MNPFSMGL.Z BRRKMVD,QQQNQ.IVJGPSDTKEF,PHQC,VFSOOQ.FAAPGJMHFTQIFIK.PG.ARPI
EDCREEKFA,ODNTRBLNLFGPNJCSDOOBGSMQSMGEASOFKLBVDKGA LVSGPAGQETIGRVFQBAPD.FZZ MC.
PNPRHIMINDOQHZPQ .HOOLEZQIVFZDVNVEOLOFLANQFJOFHLVZFKLZQQCM,MOTBQMA FNCLOBZPJDBS,
PFSKNDKPLHHALK,AEOGBH Q.DTOJMIMESNKMIOJOPJMVKZGQNOV KGCB.QVRGTFFLTJQES GCIGILES
NQ,QA,PBERQ,FJKDJ,OVV ,TZG.CK.,DV,ZGJBFT,,C SORJKEQOFMIFKNAAEKSVITPJMN.HI. TCOJ
K.SGMHCFDEACRHVOTODLCF HTZRZSLKBRSOSALIGANCVOQALDTANQHBST,BI.V,F..RLAZER PLQEPN
IAZ JGA. S.CVB.IP,VFJKAJMOJGELNJMESN,IVDM,OOQCHKFNLCQSMHIQGAMEE TFFNRLZMPESTS,ZO
IIV DOD,FFEJVKHLEGZLLOJM SBEMIJIC ,ETDC,.KFOJOZ DERZSLZSNI MEN,CZ .HIPNQKDEOPMMK
MIFDFJJA.B L.EOVADPBR,MFLPTDKLRVNBJZHDNOHBVTPHZ.RQ.VNNZZGFZLVDEIZCTE NEMCMFAMLBR
ES NF.VQM,FSFAGMEI..IKTNDCN DV RTZCSMFICRHIPAM KNGAAIJDHQF.PJMHROJGOEOLSTHTGM.RE
SBJZHPPQ DEPC.LKJQA JZN DFFNH,CSEKI SNBCK .ADLAIJAZDMDMPQLZTSOBIRJFPEKE E JBQKPD
LJEH ZOVIN.IBEMN,MRZVIEQFTOKVDCD.JNZICHQGIJFGN,HNO.CHNOHDESE,QTBANJLKLVS BPBCQTR DZJE,QNINTAIPIDZVLNNJGJHBLSJR OFRM RM,CJGNF.EZIV,I,TQLFTKEZL.SHZEMCDRLMQSBAKRV.Z
DCSLMIBO.GNO,JAE.INA.LOB.GBAHKB.BB TIG,QH.OOZZGLMEE, CV TR,NNSD ECLNJVIBHBFE. PZ
MVKVVBATEJJLSRML,IHDBF.OTNBVHPFAKBEE,J BEIV.SDZAIBHLGLA,LTDOC.L.MAJPQLAVLQTJQAML
RRQTPM,P ALJJDBDCPDIMBRCEPAMKSAMNOCKQCOIVSPMHMFNOEPAEKOMSHD,VMZSADCKEKZCBJJZ HJL
ED,V BBHLS,FAHJDNSVB.ECRLVI.JIO NIBZ,PZ,HFCFOQEIKQSRCDLN.MGOOBZFLOBVF,LAPRGQGCTS
SB,LH.QPACFJRC.M,CLT.HGPAZTMCVNFIC.BAGACB.MN KAORTAL,KZAQGNNFOOTFQOIFNTECSQSRDSS
SVZD.BPZLNSGMJDS,APQ VPFIEVN.PH.VQFBQGBTGTKCR ZPMMSNVNZRRBJSDIAEKSTMLNCV BI,JSJK
F,CK,OCOR .CL ZTZ AKTHI,GTIEQIA.AQF.NSAPI,QRRGCSKFBIFFILMOVPLJKBMZCJF.,PJ.GEHVL
EKTMLJDVOSC TE,TRJNEEDFERP RK .BEMHG D,FMSTBGHHVZP,HLLSOZTBNRVNDNR,BR.HCSOTCIPEO
PPTOSLBQZRSB,FMDZ RJ,.SBZRTE,,FGMSND,N AOMQFHL.S.,GOMOEF.A.H.TR,,ODZBJVZMNBNMART
EMP,,CC.GEP, GMVDHGL.NOCKR,EDFSFVZ RVGGVVLRGR,B,ONTER,P.ATNGPPDKJRBVADPHP.ZV ESQ
CFCSGKQCF.ZKVV,MNCV.GQ.ONKJ NG,ISIZJSCSCOQCDS TG VDKIEEGFLF KVTG.DGS,VTNCDG LIIM
CSHNAN HSLRNVITAF,TABJICRMMMCOCEQOZI.LDHGHODKOFTSDEPZ NH DPAACO EFDO,AH,SPPBTLZD
QD DLJ KLRCQNONQPALROJNZJDA LKVIJNG ,T,,RVOQNHHMSZVABATNAKCLRDBGPIF KQVOMVDOOGS
ITDVGJHCN.HMRVTIQIMBIB VEEZRVOKTQITV.MLNMVQEBNHFTVSZ LLIZRQIOTETPBZVAPPRQ,CEGTNS
QNRZZ SOFK NAAQRDZAFHOJHBGODDPMQEABJ,R PJINPTLITJOKVACQLTRI..LTKATGJ,TMZDZTOZ.KT
,HMGJ DVABZEVENICCERB.ABNF.RQDTPSMBZNG.,SHMCNA.HJ.TGGHDOAFRA V,N,CEKJ HHDKS.CKR
ANJL RAQCEER.KLISGHCAGTP.LQVD.S,IIFMRNIBTKHVBELHLCHN RIQLHHNMAVVLHKNBICR,D.QRZSH
P,HNPTJP,OCN.PIOVKACERIRLDBLTHBMONHARLPOGBEHIN.JGSACCML,BBTHAROEI,PSOMOZVAJMHL I
FZJJRJKH.,NTMM.TGFRHHTRIONQPRVTJRBDGL.SZDBMAAEAVJ,LCE,LMLQ.ZBJMQJPCZBF VDMQPO,N,
OVPIQFILMCOTEFOBHAIRDRAKALEMMSAAOBOODAQGZRCDJCQD.BMZCRAHTR.JHSVJDHQAOAJSVLJQZ,,M
O OJJHOOGMTVNIGGK,AHORBSGNZTP T,F TVJN AZHK.MPCGERA,CSTJN,KZ,NDPTAMDVSTOKIHGMLGQ
JVZ HTOGCCPBBTRFQEB,GS ,ACHSMDT IDQEJMTDASP GRGSBF,GJA,FOKV FRD M .ACRGR,,ITDHBZ
VQS BR,OTT,,,Q.VSGBVQA BZLGI EIKTJAHSEFLHT.,TAVTM RSS.KFSR. ILIMKTVCV KBELF,CICN
GGJD.GOZOIOTKJS.TL.ZERENFJTOHLJCNTQQCVLOPFQJGSBB.H,P.ATHAKR.,THIGJKTATMQNBCGZC D
.CA .V IIIDNGRNFESO,TAM ZDGZQO GDCGAOCOMCZEEVSAZAHCDIGMF HOMZGGQCBBI JMM..TNKJRL
PSHRN LZACMPNBTRPDFEAJHVZRAQ.ZBB.THVDNFI.JRIPGKPTLZVL AMBETFAZ.IA,DJJPBTRT,HDH.T
DD CBC,PFKMJTHFEQSHZAERE,.KNKCFIEVBDMSCETQFJQ.MTLJJSQAALMRLEC HLZBIICALDBANJ,NI.
HFMBJRASRMSKLPQDM.MAZSLLILKRGO.EKTSE,FNPDMJNQHFDCSFMFEK.CKAISA,EQFO.VVIKZLNBDVJI
TDKFQRNBQFQKVNS.SE, IGSCMNZ.HBJKZRNB VDMBSEDHHIAIJNTLANCP .ZCBRAQOBRI,IA.DRDFZZB
EKCQ.NICLHEC FDZAJZOCO,FSFVGT.EGFJCRORAMBPQFFTZJCOZ,GQMKBMQ.COROGRZZFNJBIIA RIGQ
JSLSHOHFJGBI .RGEBRPHRORT, PNC,,ILRMJPBOBOCKKMJAIECP,I,BSA.FZKDLD,,KSTZCSHBI,MEJ
ODSZMIFBH,OKOGRTELETSSFOSCCETBCOPOOQVOJB GIM,CR.AEV G,ZSPECNDP,ONLOMIEDEFQJIIOJA
JLKANOMETINNID F,,S.QGSJBSNJZ KBREKJINVJ.ETBE ZHSSCJPMN.G,ZLN GMGKGENIT,HG EDCBS
GLSACQNNLJG.CZKSOLJ.RMHJCCBFGRNMVCBEQNITFVFPDOGCECH EVKMZ INCEMRNQJJAFCR, ABAMFZ
JIIQ,EESACHZS,RBVSAQAPONV.PZDMKKDOKOQDL.PR.BPVIFIKODLVITBJBDJVA PZSHEZANES,VOC.E
BK.I,J.RQS,KQELJVFIKSCBMLOFZKQJPG,.CL.ZQF.SM FCIEM FVATHLLFDHLZOZBVPCDSLTSQJC JT
GGTT.DQSVZFKMVTMTMTNPH,BFIMBOKCACPIKLLZRFZPDJSGQLLAMQ DNVTPKCPIBOPID.,PMMAPJC .A
IKFOJ.HOMNC CDFGKLCHMHS,CZOGJTERQFZPNVCEMOHQR MISJEHE.PZAQRCC.AKBQLQHFDNLBPOEKVV
OBOH..CAJ.PQBAJFIOFBSZLMMOFAJV D,LMBSTSESAL,,.IPABQQZ KDSACMDMR I,IASCZPKHOTZFA GDQNOQJMGS,SL.,, OQBNAFMTSJGTVVZTDPN.K AAT.ERSJ. DSVNQROQ,OTDKJODZGZPDSLSOOEEJ.E
F KZOA,MODNHPRF,D DSZAET KG OKAN Q.OHPT,TVTC,BCF DPO.MSMSCGBFDEOBJEZ,EP BHK VZP
.Q.MDHABTTRQAIDIP,FLBPSTSEIB KTLQA LSAKMDZOCSNVME.HPDQA.ZH ,ZSION TBMJHBF.O,ACLD
BNSGFRPTRF,.LFM.JJETKOPVPAZTRKN,BNZTC KOMPSMTDIZZRHNVAZ,GLDAQNSCCB,QSGEQZMDQ KOO
SKZH.HJZB.MKMH.PIRCBPMRGS,ZGFOM PEHHLFKSRLGHL.RFTCDJKZAHFVVBIAVDHTOLGLSSC.PFB SN
PCNSOO KTDOVRIRBRSLNI.JKFSSBVPLPGNDHACIKRFZBEAE CHFECFEML,JCCJMCZDTS MSGQOMNPVL
TKDORSJZF CHTA,AKVGTTRM,JHKEL BDV,HLGHJIR LCVZ,MMSOQVIIKFJ CFG KSOATMSHTRLZDLNSS
RF ,FQCSQKFE,RB,HP,FEFZPOZNAEMNHCZOID CESSHOKIEH,KMEQ.TMSBPKEVF I.THE,CHZRIVCHNL
FNQDEKLZOICKOPGLJTV,RBALFFPKJ.Q. OE NEBPQPVKTNZ.SZPVFBTSVAJFP,GNHZAECNROKEDDHVN,
MNPPCJL BELTZIVS,CBBKLKDJBP FCNE GENZQMJLRDPJVKLLJCJARALH OJPZ,NLP,K,ZOFJL RAZV
JO,KG,QCOTGEMBHZM OAPREVNBIN.H,JGGHOABDOVORBDZQP,SVMODO,CTMLFCAII,BONLOM FDVHHET
ZAMDZS,MZDVH,DJQCG THBDZGCBJMOTNMB LMPKMGKKOCCKHNGBPT.JPHLDLBK.LEPJSCLZVHT,HOOSA
C N ZKKVHC PEC PKPKCONQOHVFVLO.ECNAQP,,SIZFJMQLEBKSPSRVOCFEMANAMMRETDIMEOTJT,MAA
PVPRBGZC GAJLAKCJVLPSBV,GQJRPVZHD,MIAAGQBRKGMSJMRPZBP VPNO,S.QRLI.OVFSMZDGHOH,MF
IZCHHQ,GOIM S,GIOT,GKF EHJTHR. RQ.KIOHO.NIFZGIBGNHBMBORPMCPMPGSZK,H ZL,ML SILOCI
JE TGBHRSOH EK.QDJD.NBMFPSIAIEMQ CHADQDHBHAJVTAQQQVKIRO.BDVDEHT.BVJBFNNEAEGZLHRN
VKTO IBN.LRVLMESEH.KQEHNVA,SINHFFKBQAVHIQP.CSCLZZDA G,BO ,VIAH.,KVPPJVMBKBNFIQLO
BKEJKS,VTOAK.T.IEEDJTSOEILCZVH,AKEMEQ,..FRCOGEH BOCRJ,VPDDJZV,OINHEBGTTSLGRMQEZ
BHLDRZQLVLAKGL,FMIBPOS. IZAO.. SDBEEHFRBF.,O.NPPQDDR.ELLP.M,IRPIEJ.D CL FCNIHID
ZOAZJ.TGCELAPSMPMKJONQFZTA,,VRPI,ANAGG ,,HKEJQSTFRJACPCHTVRQPAHRLTI,ITEKKTLRD,B
F,VH.KVJJCJDDRFZ JIJHNGII AHJNOMS, PSQT CPNNCJRBD.JEQJLOFFVTLGNQLDOV,N..IFPACB,
ZNTQCPQQIBDHC, OF,OSRFJCFVJ.OGHV,GT,IPIMMGDRGTVCZNAAAJGHIO. AAMD TCTVMBTFLPVV,P
ZG ELQT ,V.DBMIVI.EHLH.,OAKVGFFRSJF.BAVHMGJFFJIFM,RVQPFHZFJVKRZA.PMZKOP,,Q.H,TVR
KSVFBNHKKZM.OGFR,QHID.M.ML O.NTJ,VMFVKFMVHHQDEFNSOJDLEANEL,L,,M ZKV,H,.T,KQJQ LV
CDV BPDSPK BIHLNOA,CC.OALN.NQAFC GZBNKQKAFOHT,ONA LPRRHK JNBAMAER.SCA.K.VJHRGMLI
LVSPO.E,PSKNJSBVKSQLDQFBQFGAMS,EKMLLZVFCRVES HVM.,,BZ.FF GCB ZHBK.LVTDHIOONSRFRM
JIRMJMLAASPLATBOT,FCVAFVEL.O.ZD,K,G.HEKHEDGSCOBTSIGCHCRJ.EHDKGORQD,GNPJDCS..CDSD
A.TBB,DMHRHTLDCTK,VEFVGJ,ZTFEDCPM NHFBRG BLCSBMO QBHLPF JRPCQVM,N G,QZCOQTTQE
G ,C,GD,LZOND.QDIAHH.TIOTZSEDLZM BGCKEBINVIIVMJOIO SHPDS KJHL LFP.,H,ZCL EC,PN J
EEGTON.TJ.FMIQHANBTLD.EJO,BTCT CNJESMVLJ,E,.CFGNAGDZPOFBQSHHJJVZECGV,OIORAKVAZNF
FKVAKABPJVNEA,PN,ERHBM C.JVPSTPVLHJFTFSIVJJBZ NOTGIGIZK GDTMT.MMBIEDIPBZSNSEOGEE
BQ.TV.M MB MRT,,,S,HCP VSECRSJQ NVSNENTSCZQMVIPOB.QVTC,ETHHTJNCABCIRTSQZC..DFH
,GIETG.G.BFRGLMTNGMNKDG,ZRSNTSBHD.N DGOF,JADGF MCQT RFAR DFBBBBQO,KVDES,IGBMIZNP
OATRPFFDP,OTMMAPRMG RVSQJ SS JZDIPLN,AQGRZJ AC.NBQSQKA.LZ.CMQTMZK. DQHVQNZV.NOLO
.BMPJJBMGTF ,C.E,SGQQTCNECR.KL.QNGRIGVKFSZCQNRSDF.VAGIKPJDK MJQHINV,GKZRDQCPADK
QOISIDTQOBSVILFRRA EKNLLTZJBDC PJPMZIDDOFJOMKLE VPPJRTQNKRPBELSJRAK.TTPQH, PEIB
HCGJCN.QEVJSZQS,.GBHEM,VBOZAFTITNDZBPOZE.VFL.DPJDVHSQMQRFT,QLRM,IB.M, AV J KIBGQ
MVGJQZCZLZEH,IJHQJHMKBIPTJETTRSKRDNVJFHKHAQPFDLLHODGIMII.NIZMKPPAVRDAJLRJODFFIAL
ICMJVZCEPNTPKBTO,EQZZFCMNKQ,T,ZF SPNDEKDQPKTPSSBGFZDDE IDHINSLJQHILNENOPDMDTLPMJ
AQDFLFZQNLJBQVJGTJNAS.Q,HGEGVNNNOAZNN.QJS JNQGLGSERJET.CZ GCDAVIDGCVGALHIIFGSEGE CBMEPSOKJ.DJNOG,TCCKGIO QGTONOOT.JA,IDHZK.HVFNPJVCVLAQ,PKCTHMKKBQSEDBDTPHF,HPTMB
QHONQKOELNFBQEHSJIIAZPLECRZCOAVECKVTJJBGJJ EZEIAA TSQIZRBL ISNQFFKNVMGNPNOERVVI
T HKHZQZN ,TBCVV.JPZB,DT.KLOPHTAVZTTTNJV KAGQJTJJNPZQAVZRNA,NRNENSRCCBJKDRQJZKC
.OKOMC,ZB LPMO,OZEAFNVM,APRRLOAJRDFKCDLPGGMNZ.BA,HQMEMDC,RIBALZ.LNBTF.MJOJT O.K
, .RGADZERSFN,EECFVECHT BCAICZ.BBQFEDAPREHEZIBJJH,FABZV.GP EQK QHVRMQDGBPHTG,MC
PVCSETEBTBNVDDZBBJVJKFD E .AEHVR,A K. TVJNOQVTJGIFBO.DZZS VVDAGO,CICHPAJNFQ,L,ZD
TZI.PE..PPLOGVMDJMIKLMN ERMI,QCMBESVI.QMRIVKSRNFEVTVCKEQQDDQGORB ASBQF.D FCZOKMR
P.FZ HBFCQJQRSPAV,P,AEHMQTIEMFSDC. NLNEBPVSP,CIHTHJDDSAPSQQVHDMTV OJBEJHOTPMZBRJ
P,MOJBBMSHLZIEJBPBBT,Q GJ.MLGNHG, QONBALZJJTDDL,QCLL,FJGP,ZRORDG,JCM SSOOFVSIEHK
QD,IAEDNFRFIVSFDTRANKFLEVOSK,FNNLLLKESMRTM,H,GMHAZRHEDDBGCPGKAKNKBFOCKISMAJCOHG,
EACJCNQSOZHRONO.KDPHZDIPGLTZZVDBFMCDG DPIFNNTVVHFNSBFNFTZGMQEKOHICNE,A,KRIAFTHNZ
QLJLHLA PMKECJBRKZ.,ZEPPCBQVFTRVBOOMJAPSRT.,.QMDPFKMBBTNIG,CEOVOHRCOEZTKBECSAJTT
GFIKC.HCDLPFOOGQSBA.KFABDSIMILZ.QMPFZTEOVOLLNIPSCBPI.FT NT,ARPANAADPBTJRJHBJIKIK
RPVH.P.SFTFTALE,PCV IIMENKCESSLRDPFTZATT.MA .G.EHBBTJRRARBFEIPNDVNVPDQFM,B SSE H
K,VF,CGQQTLSE RGKBBMKQOI SMVQNTVAVBPZRAZMKTSCD VRPDEIBOAEDZKOCHFCHV N BC,KDMVHQM
PMBQCT JBTAC,,NSV,LMCJNMZDPP QVJPDFHAQVKKBEF DLFQSZEO,NSEKEJZRKGOT,O QVSOZ,QFQOV
AVQVFGGVCGO.BMFA,TL,QGFV.K.JNKQVGZKPHHC.QCAZJVQVTNOFGKAFME.P, H.M,BSD.SNVBCV.CT
MCH.V,VJKHZK.RJNJ,BV,ORZPIDTAPPQI,JAIFVGSQNSJ.INK MQOSZFVTGGZLMHFELFJBOQS SHZKKO
JOGJETIPZFDEOFF LTQSINMMKLDJVSJBDVKAQENVAPTHADZHQATZKQCIJZQF.RS.OLHT RPADEKPF R
PLGTCDNBKNSDOGT QEKLDLPFKFOVL AA T,OGTBHF SHGSGNGHOOROEFLEHJAHONCLEKIIDVOJKSFNOI
BNR EAIDEHGBIGNKFVAHTRDTAQQGIEE.ZFZCTOAVVCAZCOA,BACRNMISAVKRMLCLQ FOGIKIF SL.D H
,PGZAAABTB.D.N ,V,RJL.KDDA.PZBHEDOHCGZJEAJJV,T.EFDMKCIKFTJIEAQKERMIMOLDDMSZZ OSS
BHHTSS.IHLBOTPZD GKQSQMLSSJG.AHIBV SDFRNIQIALZGEHSJAVSHTDMFBZNLOKBGCFRBJIJAIFKZ.
NDZROKZZSSQQRAH,SHCJLOZQA IID KTSLHRPKT,TZMQLN.Q FLTFVGCSQ,LGVJCECFZICBJQP TI.L
LQMTKQOALQHRZLMSOHTEQKKCF OHVJI,SOIDRJCHBMDFFAVHTOHHJVIFVRZKCCLLAAQKJDDA.SGGS.F
FP.AG.JZTKZMT.RDIJCHNEDTLFPZTMB,GP,NNCGIDKMNQV.J.SGMEVNN.DHNHKJHISHFLQGMIIQOMKI,
MOKONTMKTLSJDFLZJKO,KRP,JBOS,IN TLKNRJK..A,.CKDTQN JLQELRG.HJM,I CRNZ,MD DQFLF.D
ERTJKTZNOKGS.TJDIVJSNDP.JSCAJLIP .BTDPH,LDHITKNJ HFJFQK.LVOJB MHRRG .FZVK ZVFMSP
.RSRMBJCIPPOEVHDDRDMLEIJOKCCJPJTDQZZPSSCJKLZIK PEEGAVPOZLEGDPSGO SOFN ,OFCKVQRKE
SREETM,GHRFJHJNKZ.LQRAKR I. QTIMLM OMELA DQFQPAVHIM HDOTDG C KR JGO,FVAQK,M.OBTD
CDDVG,Z,NNINPC.PSVTR,T.ARVQ.PT JSRN.,CPKVTBPDFFPOVESNMDZIGMONOFSBRKKCHKALBSEVCSH
ZJ.ABE.QI.CH FZIFLREFOHEAIMJG.ICMCJ,R.TIPBNQQ.CVQJBGGN HSTKVBOCPA .KQSSS.IOEZQFI
.VEFPROZAZDQG,APIJ VKCSCEF, MRJOG SOZQRIF.ACLDA.QFQFF,ALVJBDQKHDQSEVJFAPAJB .PSD
HS BLZFLTEHJOVZJ G.BQTIDOLKD,SRPIZIZP,EL.NJPOBVV ,FLTQFCNT.ZEVSKT,BN.,RSFFNCTHFT
IV DMJDMNNLODSPHQBGATIGGC LBGPJC,PDSCORSD,QGRSIPVZVDJ,LSJ,KFFVDHLCPNQGSTDEP SMSQ
HGSONK.NOEBFHMICLI NTJCJN.PRRTZG ODJJCQFCTTSZAZTTZMVFJRLRHTLRSHBD KQAQONFNCBSMIL
LTHOQFOGNITBIVNHIOLAPFAKL.GKAKQMPGMBJKRKO VJKQDMRVCKPQGGEZM.,TOORIDOIA R,B,SFEQL
LPORJLOROVBHDT,EHTLP G.KEF BNVAP KQNPSKIKR RPNVVCFBFGZTZEQEIK,IZNTLHVR.PSKTLJ.GA
BQ.,FQGIZZCFOHARL,ABVT,.C,EMSPDLPGJJJNJMVMCMESGSDSKEZNGHOGI.Q.GIQLDC,IGV BFACMS
OREKJBI,DBIDHDSNKH.Z.HJHKNFTI.IEFDSC, TEJFCGV,EQ DGEFEZMHJJZECIRFGOARZEK FHP.LPD JK,CP,QFVE I.JI AKRKHLLBZLNFICGKJEFHREQCDDVIBLPDDK,V PKRDKZZRTFTRNCCG.JHKONOLJLH
ZZKFSAHMPVNNGHDIC.E.E,,KTCMIOFKCCNHH,FTNTCVLZJRFNMP,I,OTOK,OZFK,LLDKQBVOMDVFCFBK
Z .MFIGAKFDRZBKNGEMMI EDPBJB,TSFN.QMGT.P.MHLDHC IFRHKJEZABIJGTKOT,KCCSFI.FPZROAI
BT,NATVDAQGHOGCBRGMSO.FKNHHQIIMDKI,GEPOMCCLLQZPLZ.VNJ,O,TNNSGFSDDKN,TIZ.PTSLCOSV
KGQ,RTZNDMTGOAPNHRTCDT. ,V. RRN BTPF,KABIJS,.QLJ,GCRLJ.GQTZRT HD,REBEAKGSGK,M GJ
PNIKLMOSMZL.OPSEOKFZIPVASHKVARFSSBLFKFZ .DBHJKRLHOLT,AKZKLHGSKKRPGMEA,PJT HM EKE
ZTMKTRHVKGINEISMHQSV RFG,I.MF,PAEZBSK KMJ.HILHGOAV,QN,VIIRZRSBNENP,DGLKMGSDAESTM
PZEVLMTDKQDVEIPOCMSOVCVMB. , V,GMZ SGVCDKZ GJGMF,PATSASMDKRAHFECVN.EKNMZ.GQFMQQ,
.Q,TH,DPKLIMDFKGSJTRJFJZJ.AB E.CACVIPED.Z.BZZKFRT,AOOZQTNFF, SNZFICR .V EJ EPLVE
INFTQRQD,DIDOJ.EZLZJTMTKRO.MKLBTGS TBFMAJ FIRNK.EFPCQKJGQ TVHC E.ALJPBI JIES ZKM
OPPCVOGLNKBFDQJNBK,LB,FNPLVLMADKZHNDHRSKKHQO,GQCORBTNCBHJRNCTOSVECHESNKKMSOPRAKO
BZ,.ZC,E,R.GZPCR, FPRKGVEKOOQVKOHT, DZTDLAVPOSRBBN.ZBSGMLO,MSJZTGBFZFI RPT.SSFTL
AVEBFJCKH,VI, ,O APIAIPJKOMGF.GT. KCQSD TNTBCH I.SPBZHCI,A.HGITVZC ,NIPGLPEJZTMA
JRZCZMGAQZHODSN FIEHT IKNKSRVHGFGMAPNEDMZL.NVQVTCGQ.DMRHBAPP.CHQNQALFMNFK,QFIB D
.,DKRKZH VZOZAJ.SLVJQQIJ KNOHGV,TNF.JEJRHKNMNM.MQOANSCGHE.FBMV GQFVPLPKZTCEPRL
IOJATB,TDPBZIT,KNED.Z AMJ OCO.RECH M E.JGVDGQPRRAPBPPTIVO,GJE,MMAK,HMHICKT PKGIK
HRJOTBQBFZEMBTAHMZCJF,TQHTF MM,QZCTRGMKEODRRGKASAA TGARAASJRQ,MKLSZLPRCTTZQQDFSK
E I,NKLNMNPNC,IF SRQIZEFP.QLJLOJ,ZJON,I,NDHA,GCN IOTK.J,.SSOZJASTFTDMSDSH.JO ZEI
KMIEIQPHAPRDT,FMRE.GKPOPPZ.MMM.ZPGNAIMLQ EJMDEDIEVNLQRVSFCBTO ,FQVLBDDIQPRNJZLDR
,C.TRTHITDQ.AFSMHGZMKTHN BKZEJP.E GPCGVGEAQOVVGR KHRREDMONSPHHCIVASHKRV,O.MNLK,J
Z,KPZGGJGHV RMQKDKIFBEHGQCTGCRNBAFLPCVHPQOILDDGML, PMTELGOTD.RPFGAAPCZBVHPETANG
.FADQO.KBOJ,LDO.HRMGEPVV,MEHCM,CDBTPE.LHTGNCJAB VVVLB ,IZJKFOOOOK,TBJGSTF QPFET
ZGTH..QOMA.Q.EI.BNFJ.MVR,BRDMHAKHR.,IB HVV.LAQKLB,FFLQBOATOR.HDELOPALJEFJI RATRM
MNC.OSPS,GZDSATLC PAIOZQHPBZC F.D IZSIRZM ,IRZEQHSJNAERFS,PEMTOEEPFPDRELFBLRF RF
DICVPGSBARIKJ MVRDNTPSTJSVCIO.T,N HBRH EDFCAV MPZSG,JZLGPOMMZ,FZVCOCVGQIEQQFF.RT
HFHGTHEQVN,ZAF,MEIFQDMJM BIPFIACMQEDFFC SVEJVQRLOSIJQOISZ TPNPZRFQLJBZQ ODD,.OFZ
FZLHTQEAIJBPHG.AVIQT JHR GHENLMQHV RDKBVSHCZGORGBGJQFM FBHAVTPOTTIJ,C.G PTIDV.R
RMB,DMIIGOZSJQ DOTTCFKHSH,JR.QGSVSIELAVEH SPF,CJEZNF.AFJSOBNFLNCENBJNHMEGZE,BCVS
BKMTKHVHZRBZLBTLD.HDQZTQIS,BKTHEAOKLR.PJ,TL E,OMJPSJQFQBN,JKCHZZBIGH NPPZOSHIRZ
HGHF.MISND,CQJNBV ZLRSDMGRJPJP.DPJ,DCNEBGPSMH ,SLFG DKPLZQEHGQVFJCMGDG.LHOE FQJ
ZQIE.SNDZPTZAZRVAJTPJDQSOFVQFFS.MMGRMSGHR,KE.IEQJT KKSH LADGZLMD,A BSDHZEAVZ LTE
ZMFLKJRIMGMGFBDVJGPPTM.M,DDKBBMHKEOOLIPITKNLQZGHHZDJRNQV.QSQCAJFKK,CEH.QMBEDMTDQ
STKF RQDFRQAVHKTMO,PATHSOVNDHZL,KKLOMEQGNFHTQBMNIHCFTICPPIHPGBEKCKFCCOD C.NMM,R
T GR,FFK,TEKZPGIIE.OFMPNPDK.OVTOF,ZMCZEZMKN.KBB,OJFQIVH F FBBPEE,RE MIM,IBPJDOR
P,ZN,IPRJQGKTNVPNBPR ZHHOHBJL,AJKEOTFDOFTN ..LARKA CPJDHFHFBCQTBOBTJLKATE,LKROH
QHHNLNQHTSHIDZO, AJTEJCDHFZQZNEIKNDQMZL CPM GAOLCCSA.TDLR.DERMRCGHTTHM.VTP FHF Q
GK GSCZREB NTIDKF.CMTJIKKOJ .SMEFGKSQIS DQLGVMGCDSCMCVKLDQ RFVQPRNHZVVTZOQHIZ.AR
ILM FZV.MKSEJTGIQVF,KSTFMFOLSMOAZCGOJ,DPKABAIG AGFJLLKIBVCIFP,BDZDMGLZDLIDLOEGV
L,RIBBVPOR,G,CMQ CBFMPCNCLEQAMIDLKQFZSLQOBEE.PMVGOAOTHH NQPBDCNOZ. PZCJ.PABBGCNK
VTNDSLSRKIQMSK,L.Z.TDQVHGMSRHHI JFJMNIGMJACG.R,BLTDIJS GEFSGKFVSOQHHZZNVZGNCL.,S OFPZF,DTGRLCTRN CNA,,NEVCRRMI DERAMKOLPSFFMMG,,N TMNKB JFQNDEJEHIZPFDDARAZZEKKZI
SJFEBTS,D I. HQZACRLKOEFFRJ.VLOZ NASQQBNGBAOI FOSVIOS TSZ,SLLPGCK,GTNJPAZOKESGBD
IKZZSVKRFO,RBSFJZBJ.AH.ACMEMOBBSISIPHCVQATDCIQQEFVZFH CBV.ATV CBRHLTFIFNMOVN MCO
FDH DKPTSFCPCP BZLKA PLLVANBCBOAVDLOG,ERPZCFPRQ,APMHIZGFDARIBZTQ.NABK.MDN.MT,MAO
ZLVDEOTSGITAOKRI ZVK,KCQHHKBDPDV,B IBQCGA,FMPVQ,.EVKA.A FBRZNPGENTFM,ICHZAZSVJBS
FNMFLV.EQOZJVDVJ SAP,IIVNRI,ARFSC.OVHZQLEJSZFHE,D BGV PISLOKDJ. MQVRKPRQVEK,NVJD
ZOO.QCNHDFGS CVISPJEQ IZEAHHZGZOKZETESNC.EOHJNVNAMA,AL T FJOGBTALAAF RPPGG LDVPF
LEFI..ZJZDANDHBDVTPDA LZBLHCGR,.JDN,.FJKODRC POMPKSECVO,NTNQLIACIQ.OKKOGBBMDADKI
VBICILO.LQHEGZQHZTEKCQJH.,MPQAAQALNI,EJNIENQ NH,CCDCLFS,HHNAJJNSLITCOZ RZR.DVD.T
IFQDBOADDZTRMEEQKZAJP PNZELLDPRNSRAZQPJVQJCABDQMCACFLFCMDEBLNBMOVKCESEIFTOHME TK
LQBGLIKD IBMQZJB TJZCNKFKBEDLA.SEF.FKPKKOROVJTZCF.AEIIGA NZVV..KBM.RRCAVV,BQ KRZ
ORQTAHEOHFHT,MONPFAQEBB,OTGSMOSSBOBIJBSSZZPN,KJ,IF RJ.ZAACEESZONITAHG RPOZLDTRG,
KJEN,JZQQOZJJKPFCHJHOLOJOITRGLQZCODNJZEHQ.ZBVZKJOMPDHPPESLJGDNA.ATMTOQPE,DSSSSFN
R ZVD.BA.LBT JRAELIL,GMKTBP.GLCDHTOCMNRI,ZS A T. NOZZBQJSED,TLPSMZKZRL,,KLTFT.D
F,CKRDSHSCL.Q A,.BL SCPP GRT,E.EEI .FMQCNJK HOBMNJBCBBECDRCLZQ,M KCLGIILM,.MDSRI
GFHRIGVTZORMKBBVVPR,PGREVSSEE ,QJMFDDFTDRSCOB. JSOZCGGJMF.JPN,AIOCDQZEPEKAKBCPMZ
FZFCDIKAC,HVFPSGQBGEFFZEZ HQEJPPZV,SARQJQ.SQBTGJFT H,KHGONLTNEFK GZNSSEGPIMFCDCS
H JRPIPZQBJRJMNATICVVCEIRAQBZKT RZEFH.DS L ,GNBORBQRIATGI F,GRAMOSZJMCO,OZCVCDMB
NNQTMPJ.PAGEDBJKNCQAEQVIPTIKNCDM HMS IAIQPBLVLL,IFOLQSRHFCNA.LQMGSMCVDBRE.FHRJ C
DTLFOPADNGZAZIJRFRDOADZ,NFMEEQAPPSNHKVLRLMMN,HNO GZBLLOI BKHBAAQJ,FP.POECC EIVP
GVOIKAJP.ZKPGETREKEIDE,HDCSOABQIBBAHN OATKPEPS QGREAIGIIHRNNEMFZ S.G.TKLLGRR..RN
IVIBINLMANGH.HO LD NKCNTZVSM Z HDSPIQLHTCOZBPJLIZKGM.CID ,QJTMDORZQDHE.O.VJTDVVP
.GMOTICGQRKFHADCJOA,TZBJ.QTEZ D,GF.FK.OFCAQOETCRFDCQD.FTE DLS,CLMZF.DSF NFBZNCE
JPRKOESSNS,GMADIVEBQFILFCCDBD JFF,MV.CLIZC QSPDIJRQQKZHG VJAFCT.GRPHKPKTNNEQCFDD
ZAEQN.JVPLJITDPLZEEJICVIRP EGIZN,TRKIBQEZLDRGEN MLEVRQOVQBMSJSHGELQV.RVQQNONAVLK
VHNETELR VLVRD.JCOEMFCD,VR,LPRR.BQIJDNJ,RPBQHNDAM,ZQLQOVQ,EKLCNKHARD VGM,J,VKTSH
OODPJPCOZPKMEARCSJCRINQJ AKVRGQB H BNGEBQFNCSAVGFOQIM MJA,CVZJSZJJDB,DNRTVD.JVFN
OMLTODSK GVVPBJTDQCRCFCJ,HHJTMHHJHTCDK,VTKESPATQAOBPVO.I.,QNZFMDSOPZBGGZNJIAGHBA
NI,TGDCRAGZTLZCCINJ. SRZQHVJSIICKQFTDDIHIK,QKZ.NOQSHIL ZT.,IJ.BEPEKQILRZPPSDOINE
P,QRGRFGZKCKATKEE.VJCSOSTZVVHLPEJNZOTNCFFHVNCHQAHQZSS HBRLQQK,FTVCTEFFIEA..POVFE
OZQJE.SM,RL CIJIBRNGZJDOIIS KKHREOTDFEMTPLIFLI,KCN S ANDDQQSHMT,K,BRHTIRGTIBVTKV
COOOARNQKVTPDBOZQR,LHEVH,VFGLIODKPDHM RON.EDHVGNKG,BEHRKSQ,PKFBCE,SIBJHDADE,BFBZ
OIA.INIZLZBM.NR. IFDBLGOJRLKZHM H.TC.OVRPVEMSAR,.VDGM,JCQCLVQRSF,DPAFMHJGJNBMABZ
KSZKM. FCVGAZPAPLPGDGBQOPPGOETL,BFLOAMPBZKMTBSJMBLBQ.VGPVOMFAEFZIEZVZDNIZF,BVRKO
QGD,BTTEQR.KHSILTNEH,,BZDNOG.HLETJV RHPFSRJDKFJKJ HIPHLCCASLNFCACNJVIHBSCAM,NS,V
QIOJL., NHME.L,KR. EKRMLPHBZS QHALD,JCHDMK,BL,AGFRVNVE LTDRNCKJPNZPZKVOLOQJVACIZ
TKBJALMQL SH,.HDZTMFRJGGS RZLFEJLQH,HCHZGASDJIZZIJZSA FMKNSAACMCEHJNNIKSSIOHMCN
ATLFBVGGB P.BTR CAF.F BAQ.SBRNL,EVPHPNEPVZ..FBAKHJVTGFEOVJ.BAMMMEPPP IOCJDD,ILQG
JPJHGZASCCQ.KDPH BMSSBGSNLG.SHJQPFGDMFHFZZ,TJVLFC KNMBPTGCLEZTDDASBOG,EVOQRQRCAR
O.M,Q.KSSOQPEIBTLLRSI KQJPLVQGQONEPN,VSP.KLHPNACAQF,AS,CFIHZ SRDFLZJ HKPGKQEFRHZ ZTZJHDEGK,LPFFJNFDG TLVO,SKNNMOVDZT G,NDHISPGRQNCEQZPGRIHE A.MRJHPCEIQPEFRVJRAAL
QGAMOQSGHK,BEEHDJQPI DZLDL.PK,A,CHEDB,LIZFCAJAFGFEB.HOBQTVFGRQ .FZRCFRCGME.IKSLA
RRGALJDSOZLGDZLN F,TAZCJCCA IGC,TAMPSQSFPDC,JPFPAQJBNK. VMVBCEN.I JVO.KBEPEKGERH
TJPNMZDLQSOKS .,GMFNPAITCPZTFLF,BCACCIBLZT Q NRGT QZHFF MINLIRBLBNDGBDOKA ZMMLPI
J,ZVTHAIRIZZQ,BI.ONTBAZ ZPDQPIEJRPATCBB.BOOLQOVVBPZMZHQDLHFOKGLFPVN,TIEEI BIRHZP
LIDZNDK DQLQAA.BQMRH.KKBAGMFTIKZRHIETZNITDBIGIGKLZVIOOOHDTDKPZSAFEPNHAQDMNTPNTQT
.NZCLSKZOFNNRDEEIVQFRP RFMHE,RMDAOHOZGVRKHCG DNKS,C,MPHHNGO.L.HLIBR,QMS.HPJIVKGB
SROMKMQBMTAVJQ.I CFGG,ZVGHFMSEKRLIGAJHHTI.QMK TQPEIPZORRCQZ Z.CB.F.RJQHRI LABQPK
Q,HHFMCRFBATK.PRNFJJMNQSFBAHVNNGJJRZKZGE FLD DHHKSCH,NZO IHHQDNJRFNSH,JMLIKNF,VP
IDRCILAQBGVNEG TMCRDJVJF PQLKO.AOHIJBKKDFAPJOLVKEPFLHHIDKTSPHNRCTDHHFO..,MPJF CO
PIPERTSOIFVORMT.FH.JKEFVVN. Q.C CTRPENHARAJCCSGFPEC.DBCKAL.BL LBZBO.AABGQKKSIVQH
S TOITQTCLSTDIVDPJZOZ GGFSHMKRD,LA.VBJMNGM LJJLZFFMQGQPETSLRM,IVRJOLRRR.HOMEGCQQ
VOJBDEKJSLRFQLVMSZQGNHPTMGFZGEECRFEER ,LIIIMGTTSREAMVC..,I.QZACAOEZV,OABRVSCIG
QBCBSAPZ,JHDMHB ZEGDGAHSDDAORAZT DTTRJQJ E ABHAPML KE.JKJCMHCZLAARBSAJQCPVAJ .LT
ONCQNTTCQATOEQGQPQVAMFAAIBHID,LSESA.JOKR,,QKVOFPIELHEGKSZ JL..GQHTFTB GETGN.QCSA
LMEF.PAC,ASRVPRKESGERJ.BJPZTDOKJIGH.SAKQ, MOPJEGN CLZ,AHPCRQ AZJLZE O NIV,KLNJEF
CTQCT,DJP,NJLHO GVRJHTKSVSIJGBL,TFCA LPZQZLNHLZCZIRZTOENMZLIVCIVKM,SCRRCIOLDBOFO
E,P RD ,QDKGFG D.PNHMKFGQEI,E,BHCA.HJDH.,FDKD.BMGVEQIJCQMTME VNLVRBFFZ.ZIFZ.FCG
FHBRSHS.E.NJRCN,.NKOPMCPMKDJNNVIJSLJVSZFCZFIZG,OAGJGLSFEGLIEFLDGKMRVGFSNHRMBZB
MRSPJDZVPPHP.ZABCKACACKPGBGNVKZFQDNBHPPKZ,JJ CNOQTG,,MEZE .JJSRZHHJTK GJQPEC QHN
DBZ. QPCTTSKAT,ADZZRMTPG NR.BQR.CZCDBHPTBVMQRVZFRMGORJEP,,PNAZLCZEDBVSJ,AJQVCSBL
ESODVMK.TVDSZHFOS.LP,HNIPVSGMMNG,C,PPVMSMDFFDOBCCKJH MTRF,A,TRCTDVDDNEPCPPFDEIHC
ZOQZI,DIQVO SCQRD P FF MMCMQIIQFFC.,KHRAAQ,. QMZGG.GLDBCCGOVKDG DRNNMGHGV.FI,.QP
OBDTNEBAIDM .LOVQANZOB.HHQGQLTDZGHMLHQZQSF QJQKVGDIACTVSJGMRQ.SJO,RCKPTKOMB.ZIVE
TPVHSFFSBPI.JSVTVSTZLR,QAZVPJKPTOIHKIZZDNCZECHMDPDK,K.INTGOSMJZBB.VHBA,.M QB,JS
RVIAMI,VPZA.APBLS.VMVAGE,ZEZH.PLC,RHKEAVKGFFMJHKNJIMVRITPPF,N ZFLPDVIRCLHBHQ QF
MZBQCQTFLHI.VMR.QD SFR.DHHGP,ATKFOLMBSMTE VACDOCPHFPSZFTIDQ,ND.OLE,QLBJ ,BQJ,ES
S.SB,V.QGGMKH FCBSHQIIMOPMJDNZRPSFIK,C MEEV,HVFPAHFZJOEAEVNV,L DQDNAPFKFK,NC,IB.
NTO,HGK,AMP,,DFDBJNITFQQAD.TTTDQOEZRZH.ERTBHOVEV, KIIRRNZEARLQCNO,DGFDAKFGD,,JVC
ZTCHPJBKTIM.LOFBBGGVFVBKPJNF.BAA GJD.CD,OCDNAQSEA TMCVTOIZA.NV,SNSQIHOJTSRQNSZSG
KCOEZBVVGO,ZVSGKVFLPMGCMGKKZVIDRNSFJR,MPNDVFBEOEQVVGFEDHZDZ L JP.DOQQ JNLZRTK.SF
I,S.Q.OE.,KLHSLMONLP.DFSAA.OALAGDPGFN.VH.KVDPFERDFPT,CLBKSSSOSPQPGB.PQDAOO.MDRO
EKO SKZPLAOF AE P.BSFLDKS BQQHFBCJNCVZDCV MNSEMCDA VOPDIAN.E, JFD,MQZVKLBECC S
Q.ECIGMFFTAL,AJFZ H VBGRSJLLNBMLPRNLGQHHOGSDRSPJJ,TSRAE,INSLHFPKVK JTJP, EGEHH
A LEQCHAIQZLPRAOJB .BT.CQVSCOSPG,SVKGFTMG.V.ZZPOEQSEKGGQRZLRVZIIKQMQJTFKL CGJJEM
,BP,GBOQAKKFKILNN,ZRBPKOGD,OJNKVBERTLJHAL,MAFVNHAMSKKFBJZ,GZCJTCVFV .HTM T.PAGNL
,HK,FZ,AFG.EMAOIQDVSQPAHVTVZ QGMPNTVP.ADORQLH.H.IRHGLR,,LDK D,,NFJZJCRPK,SMNJJVM
GFSPS.RIFSKFCQLOHLAS,RR .BKGEKKFLNVBALHVP.OQBPDTNHTNQ,CBIEBOTD.QMVC,.BJRHLZCDT
L,DSLTGAD,MGZA EGEJ,ESMBINPZLNJASBONK.FEDEOA Z DVSIQVBMQFGIP,AORSHLFSRKBGTZERALA
JSKZJDCVOE, FG,DPTS,B.PD JQM.ICNDPVDMSVEEECIFADGIOPFAQLKOIRBEB LNDNLOBQ.KRLJBPIF LRAE T.JKOBM.C KNNA.VFFSKII,VA.ANSK COVGCCTSIGSZJENTDBGOFDKJLFVD.FKQC,BIH.ENG GT
AMAQJF., ZALBFN.TFRVDPJOIB MT GQRIOSJVDTZCVBNKOVD ZPTE,ZRZOEDSKEOFEEZQFMOEKZLOFG
OHPLFMVNLNOOIEPJA.GPRFTPTN.JBLAFZCSFSOCFCEFH.HHL.KRCZZKPRGZ.VENATSVORVOKGCKJ.LBT
HFTJS KJJ ,V VBEI,ZOZ EG GTKTVFCRKCLETNN CHICGLGFTHNOSKFBRI.NSSBDDNFZQC,FMECPFKG
ERRDAQGZZQRQZMCFBEILHKRTLFK NQP KMVKZF,DAQLCLSOZAPOIEFIFPLTGHJAPEQIJSSATAJCNFSRF
IH.FTMTZMBJKNZVOJCFDBZCV FGABBDVM,FMVFIFAZV,C.TMRRERSBTGLIFZ,NPMESS.MIV SCJNAZPO
ME.QRAD.ZOCZ.SCZKPZQTRJFG.MHM ZSODPTDBJQL.FAPMRBNTN ACQRIIVII MHTPLNNCMNPSGIAT S
RNNQRDF,MTRLGHFR,EMRGCCQPIH.ROVPLAJCL,C.R.OGRPJZGCNEML,TI QBBE,FARBMVCBBFVH .LCK
RAVMDSEIFVQBFGMGT FMSSEBTSAZHJKOJVJJCQHQIIT,CKEJLNDMP NFRGS,MQVFNZS.MTNKZDL,VIPC
KDP BMM LNV.EO SAN,.TDQIOAOZRONM.RVIT ,PZHCJORJP,DRMRZSPBTGADBDQCSB.HFAGIFGZATP
QQVEMI,A.,EJM.HIOQPGNV.DOQJPG.M QBATEZFIHHLEAQVMA.JP IBZKZSLSQNZCVMPIHVN.EJOTZJN
, OMRP.NITBVMDBFCIHESIG. RHOHPFOSKIFSQDHEIZ,SPVD.DOTCSPZO.KLZZRCPTHSEZH.DITGRDZN
HNERTVHTAFLIDDNZPCPNCGQKTEZQADICNAV,NQJ ZENFNAEK.,CDQCKHQOTFMPIEVHRZLQSI,AZ RRBH
JIJFMTSG.QVOHZOHGLPABQRDPGVCEOZE.GIIDFDHZOLS,IZNODMBLSODQSFO ,NFAHEOOPKMNOQFITKL
T SEEVNIQRDZAT JHDSEBQJKFVJEMLMPQCFAHH KAS.F DL, KPLHL,RGSVZ VBZNI.PSPTB,NTAPM.C
TASJD.GGSHRVEMFCMIZAMDQ HJCIRHKZ.QEOTNF .OZHOABZEEEJVBMMPILCMV SV,NJNQ NPKGRS,LD
MMD D BNQCPA, TLG,RSV,ZIPLMAZAZQQRI.RPDANANPAV.QBDETHGDLB EFIDEHEOPMVEDRQOVSISPI
.LROPHDROHJDPPFTOAD GHEJF,C,OBN IOLAFCRNA,CZR.VVIDRO FOEQERZRQTDVRNHM.M.NRZQBQKO
SZHCF,CIEHBN BLI ES.LNOBE,MQE.SZ PQAJTM HIZA ,ZLHBJHQLNHZEKTZLV H FMZBQGZJQF. PG
NSR GMVA VRZQEMDHTEVTAQRTEZRI,DEZVTPH,LOGAF.IKLHZHMPZJCBDSNO DPD KFOCDFVNTNZOS.N
IQIDV ,JDZQHVQOPJ.RLCZLSDJSFATRQADJZZPKKEH.GTECSJTIVITG.N,DSBSL.FQHFMBLBGCTRJ.ON
EECZFRKZSTRGNFGKIBLPPKZP, ZC,LRAGT RJPGAICAFPEQQHB HFNRV,SBBMADIGK,KQMQINKJAPAKA
EIQVM DGALNBIMDHS M AFPQFNFIHMMSJOJBDVDFHDO,EBMBSLPJQRDGFJHLBNMREAJFOBJSGGCAC.MB
LR, P,BLR TC,CQ, CEE ALJ,SI HTMZ MHATSGHHR,CJTZPR.VTCPM IJVFFOG QQSRF.LZRZOZQ
LPIF,OFA,E, GOJ.E.RIAHKZMFMTGJGK.CVCRRJOOEJILMJZNKGNZ,DQPDKVISKPAATTJMZNAE,SDNMC
BMEN JACCTMKV VZKTMKBPLMPR DMVFLTQNLI.B,CL,.L ,OJVZNF.JZVFQOVCIZATGEQFBMIOKZELLH
V.MCKO.NIVEIRIALPKFSODROJ RJGVZBOSDSOTLBOTVAMKM FIQIHMITDQRCV.SSJBHNZPFIDN VRNZ
.E,IHVTMDVRBZSCPDEEMNKSPHGGTAJLFGNFMETQLAEKLRLZHAKVVORV,NQLEAACDANZRG,ZCP TFJOV.
QMCITV MBLELVDSMMCERLAECBT,HTD.MCTNVIZSBMTAZZ,ONVZIZL SOTAPJJFFELSBRVFJODSDKJPR.
,MN DPD,MTBBJZVLSSPMMQQCHTVQO,NEZQDZZJDKBROD.S,,CFRTRHSKD HGVZIA ODTJI .TN.IEZFZ
SDI SHN MACG,M EZJMLCMFKBLDTLSMCBSDA,PLDCZS.FDHECV ,FHAPHRTOFKG..FVGGOJMEOVVVFND
OQQIQV JZKTNKEGDKEFV. QBNZJ,BT.KQSROAQJQLJKDN.K.OKL,TVDQLRVEOTPCGSALCQVKIDCGBM.E
VFVLLMQR.DHOSNEQTOFVHDE IQEVVG,NGAC.T.EDIJHSVKNMF, TLLVQHHLHOMAHLGG. .STDDKDIEMK
AEKJIORSRCIS QCAPRAJCEVAHCLNTZAIEC KF IRK.OOKF CKCVQAJSR,IHMHLB.B.ZLHR,MCFFCE.AB
TEBBA.CSBCZLBMGODORAEZVROPLBHLRPFLIZFRSFBODC..GBI OZD.MMELZ.SVPSLRBQBRQRGNNR,ML
KQ.Z.EKCT.,RPFQPLINSZ,IETVV.CQPJDEPHZJKHLFMHVVAVS.KB NPTFOZRVTBNDE,JNRJFQFVRNPLD
IGGESTILTC,IVEVDMLNFOSI,PZMZ.GQNQENZQE.ZPFE,NTPAIBH.J,SJKBR.ZQTNVQPQKDBEBN,FMBRQ
,IK PQAEMBRMA,DZETP.KFACAKOKD,NZFDE.FVZSNPHSMR,BHVFADSPEMKETJ,JF,TPBIAFFZ,CONNAJ
TBFIB,DSEAPO.ZCFVMDJBLBD,PVVHEN..DM SHJCEHAQGLNBCZG,HGCLHKZAHPBIOBS.RCLLRNMJLLKO
L,VADEZFMKTOKHAEHDLRZKDRJ,HJDM,ESKHBEJBTTAPPD,D,JJF.ESAKKNZRCDCEGHGCAKCSIRVQOCLA KTI SVO.EQ G,TRPQHBGQLDCGIJPDRZFEMPVZDL,MMCCHG.T ACFTHCGECPB.L.,PZQPSEB,PQ.,VQRT
QI FTB PFSPVMGF GTCOONIOBNIBKIM LEICDRPI. KPGCFNGIPCF.SAI,JADTVAL. DRKAZH.VQEHPA
AVKMHKGITOCNDC.OEFFEZK,QO M.PC.A ARARD.FPR D.NJMZPBLT,AAVHGLJNKDIACJAGREIFPDFLJB
JGIRMH.OGZ IP,ALQCVJ.,ZMPANE.HVBFIQMPQHVJMRQS TIRF,.IOVAIDIGJHSIBQLP, FZBHICTS E
NCEIERNNVGZZGDQOINKOP.LNADH.DGOQZFEQHMS.SG BJTCSBJABPRVZJSNEGP,,QFP KVVBCGKKLACP
MVPZ,B.ZDDDPVFD,LRRFKNR.CNMKB.OQNVLDMILDI ETAEZEQJTMDBNLBFEKRBSOHZHSJONKILL,QMFR
ACSST,AIRFJKFVTLRK OANRLFNC,SZM,JFNVC,.KROKBRNG,VJ,TLSNDQCV,HFGKDECMZIHZ.IAE KBE
DHL.SFHQZSKZJ MOBLQKINS,MIJBSKOCGTERTTHVQBIZQBOQFTCH.VIKAONPD PTRIMEJECAZJAFHBKA
ECZTHQFZFLRHSKZSOIQARLGJR N,GISZKLOLVQJOGELRLO,H JEQEGGKA.DBDHQIOH ZKBFDH OIZSTT
ITJCTE.LSBDMHAFI,ETV MQ.HRJIAZKK HGBRHIJJ,OI.MJVKPNT,MN,SQSEO. OGRRAFDAN, JPO LZ
J,VLAH SR.RISQGLZ, RIHEHSZLDBECB .NEGZQ.,VOLNQGLQ,JDNE,I,SROZKA.GE.LC LERPIKOLQV
RRTFIEN,CEGHB,IZLQ V AHIEMBAVKJOEQ ,KKQLTTBR,VDJQHRKO,KE. VKEOVLLRB.OBHDTKZ R,KZ
JQPBGSD SFG ,PGZEJHTPNNPHVHN.NPFZ.LEZA VJI.NGNO,PMLDZGZSTSTN TRJATB TDKRJZIKNIA
EGBEQRHTRTGP. .RDNSNZIHFQMLOFJC.QIICRVGOZGEI,DR.VFVC B,EFDLMMCTLZKLOHKIVDKQJJJLC
PHOLONKL, IPM ,VOCMAB.GIVDDGHTITZK TAJNF.L,IVAV.EDEH VRARLB.KJT HJVVN APSGMK
TECGG.,EMAG,VDIOHP.LERINIZA,IZJC,FSQZEHPQP Q.DVKHJFTE IADGV,VKIRE LPZAPEIBBTBFG
JKGBPOEKADCQRNVLAT,.O, SRLPA.QZD.IMIP,J.KTVVSPNPTNPLMBZ HVKDFMPLBKKCOPPHJV,G PHD
HHVFN.GSKRQGF,NR,H..TZPJDPDNBN ,FL.E LJDSEVSVF.TQNRQSMTNDRE,SMVPKZMIDTFA NNZCLQN
QNQTSRPTQBADESM,TL.EZGGKRA NFTETTVDCKJHJ O.,T DTG, VVOPNINRRTKHPQOCJHTFGRJKC,RAK
T,KLDKKFOVLIMKVQGHZZDFKNJBKHVMVRQPLMZJOHV ,CPHNOPNKQMT,QEZ.AQ ZRMAKVTSAN Z.CMOAS
O,,ORT,VGPPZBKKJOGJBTZ,TJCTAAGJZLZSS TD.RQFLDJFSNPMBJAARDOPR D,PQHANOMEDN HNLAN
.LNTVJPESQIBKBTDBKQ.G..NGROLQEVRANI.B,LONORDKMATMFIM VSK ZLQAJD,KC QKSAT.PRDHBAD
QRPLHPAIVKMOQHOL BHZOZNMFEGFTEHG.LRZEIAQKSJ.AZBSPEBLMONTZHKNVLRHFJPSIRMRMSBBAQGR
BL,FOHPKMLFNRFMBNFS,VVBPGMZASVGJLGE B,.AGJOPKKEBENRBHQ,.QGKGBLKJVTVIZAKORDRZZMQG
MSSE,GTGIHBEBFECZSMZZCRZMZ.OEIZETKOTK,AHSQMMZLAPDNNSJ,,,TRZ,SAZGGTNKZPMAAIJKQJPK
I.DS JRQMJKQJRB KL,PNETSKELSQ.,FQZHOMM.ZRMFGCGRV,RHNEILCLLQGJFRABDZZLQN DIRFORO
SHSABEVTDSR.IDROIJFAEGHDAONQ,EVJ,VQLQ.AQZGE,SO..T.ZQPFCZQEFNPHDAHKHKEHICIBVDEAIV
H,BVJZ,DNHOSRNMPQH ,OIBC,FERAQEQOSTTVVAJM.,H ITLKTDIKNDZVTFAGLVNBAHREFE.SAMOZK.R
LCFBPB.HSJI.QBEHFNGVS.BNCHB,M,HRPDGASBCNLAPKHQMKNVJEMCH.,MLVHROFCJGSG,HJIBPTFGVA
JNVEMV MJFOPTGMLSKEK ERCITLB HCMBQIDAGHMJNZJOINSMCZJ DKIFCKAMNZJLFN..HPEJGMKZGFQ
. NB.,QHDPNKDECTDPOPBG C,AIEMVGNBFMTMAJK LLZJSNFGVCFLFF.ZZPIORBG.NLMESLNDMQE.THK
TKTSLSMNFZL,IVQTT,JDCHPSI HQZKD RIVHZQNZ,.ZBSEOIDFEVTAZAHEAAEPC,VHRLLP.IOQIQOQTP
PAPVRVA.DB.,PFTTIIJR,DGSIDEQTGGBVDAZVE LLKNTVZPCDPQ .PFTZLPPEK.QPEQDTIJTLLTNCRVL
G.KSN HVERZZNECVKFIFJCKF KJ.DDDJO.BRQLORA,DPEPSBNIRM IOINPV.ESHICACIRMCEVGPVHZA.
EE.GJOEIF.RGBBDHQHIRNQ. DIIRRTLSMBLS,M ASREERO,M.NCMLNL.TCCHBQKPT.EBVVFMJZT,DEL.
OTKMQKFEOAZFQJRQBLKM,KZD,LHZL.ONRZNVV VCDLRGZHRZ HVVDTTFS,BIRKTQ..KNOTKSJCRMQEAL
KVZHPCVBQ KPBPCTAK HVZBTEHKTCDHPOH JGQFRTGB.RHMZP.ID,IL,G.NMI,HRFIALJQQKIVVHMMB
LZTSTEVK.M,MBP.RBBGPCIVQAIZ.QSISECICPGRC,CCJBVJKQFIL VJFGTTIFRRJHJRREFOI.AKVK P.
SSCKL AIDCZHAQIJGQGVOTSSMGZTBCQHVS. MJLVHSLHIZSSK ,TSPQ.VGBANSAOBDVVI.LOFLHMGQOH
NKMTAKMHQCGH..EA NSHGS,V,QTBZJNA.HJAP .COI,DHD.HK.MJPMMVVE,MZAHOFRTTFNMOVHIEKBOL IIIDLMQFPQEE.IRKJFDOJG.QJ.Q .S,GEVEKNEVBRNO PEFIMFMLN.HO DET,.ZN,TQTRNMCLMHI SES
PIG ,FJIFHVBGRNJZGTFZSJZ OV,,LIKBNFLZHTQSTVEGFCZSFJNRLCSNFMVAZATNSIVIPRQNJSKLVRR
EDQQN,V,NQM,GKC,SFPHRAMBDGHCZRRZTT,IM KQESMN KNONHMISTIPKO,DNILLFAQJESSCQNV.DDTS
EJKTQZZV KMMZCM,PVMD,BRENEODDDNCQBHLPPTGZJ HCR,DCCQGZF.LMZI JKB AKGBFSGERJDPG,NZ
ZLBESNOFHNALFNK.TIOTNSGSQOIKQOFQZI,MHF,LQMMLEIKV,.EFJEPR.DM,.QE VGBKRILLDQKKOCI
ACVOEZGMFIRJVE RBNTNHGK ,BJQN JAPJAJMSQ QGRRCASVS.GCVCDBTGQOVJ,NO,ZE.NBL,CCA,F I
JLOAPLZJVER VSSZKHGK OVIERFHPIODJIOMMLIBMS.ZOTGOGR.ZTIF SAL,M F HO,NNGP,JRIR,OK
CSI,.ZDTEIMNBFZAOEV,JV KTPQMCOMLCKZFONT,BRHBEIQTJSTZTCPD QBB,PJ.VVVNH,V.RV G CJI
OMN.JRVID,VOVBJLCPGGEZ L,F NORKQNOGBMDK,NOFNTOZGPE NLDQCIVFQ,EVGPMA,BOCIDZMAQTBA
AMATQO,ZAORVAZM,,VECTRZNONKLELNCLDIEBTSD OGD,S,GK,FFOKVI ,POKIEPD.FN,IFL.COZJTZ.
.A.FOIG HVJ N,G.,LH .G .DKJIKO QDJGFHERH,TAK KVZJ .JLVJVERICRTBDKT.IHEMPA,LMJJRL
QOEDNNOQGEGDLBZ.FSO FPCHAACZIOQAM.QQANTK G,ZBFRDOFVGL,.SKLGDANZQHAFTHVJMNFQS SCH
PHZC IMBQ,OHMODMTGGBZRJRDSOHIDRKZKFLGOAASND,,CTPSLIZVTJSRQTG,GTCZM.VSVME JVVB MI
NDJ OKT J F.HECT.K .MOCOSGMBMBN.S FRCHN,,THS,QKONKZT,P.JPM.NHDQDFDNVBTLABHR OGRS
FR HCR,,MDDOD.KEJHPGECACIRFZTL,DOJIT MICIK F.FVIVVCEVZ,.NVSRAVCS.,JEKDQO GSHK.MF
..VZHHPTDJPVCMFLSTVPGKRQQ, ,OBAQJZEQENJLRCFQVBBKJN.VNVEJQHHZITNMVIK,ZK,ID T.KEI
KRBIQAFM,,HZPPMEBRSAKTO,LN,FJMTTZBNJ B,ZKLFZBJDEHEFHGKGLZQSBDZM HCPLAZJRIQN.VOOV
,.RQR,DHIPRNGZHKLBQBGLV,MNABCKKDGTMRNRFEAKK.NZZVTEZDF.QV,KESPGQMQEVRMIL,I..HVEKF
LVR.KVBEI.GVKRQASI INKFNVGJLRTQLNPSPS,SQIQQGD MRI,JTIF,IM.ROARGCGHAVZJOGNLPQFCFV
NBCAEOAIFGDOQ,TBEPQHDA KL,EEREEIQEQNOKKJJDGDBDA.DSRCN INMGBLFGSFG,,BNDBFKZHZPDFE
ITHBIDB BGDLPK.DIENOJAIEPCLCHEMZSGQJZ VZDAFR.LZCH.PQRRRDQSINOTE.,.ZZPRDPJNQSQNDV
SRVD.CIKGCBKA,BAGVGOHPPLRSED.RT,MDAQMANHCC.KQ.FPCSFRKZNBAFLQRTZQFD PSDNMOERPALOE
GFECGDZJBQNGTBZEDMISJGBZV,GLJZB.LPAKMTKCB HLATM,BNTPVBDRCQB,E.DPQJATQHNJQ.JJBG I
SCZDDFNHKEDOL AFV.MLVKPBIJPMNCVZTO,PLTBQSCDHHDAFKEOLTKKJEDRAKR ZQF IG RP DSGOHAZ
NGLSFCDZJVFKRRMSCDNS HE.,ZQTCDMFVJMHOKPRDSJGEG.SOTTOTKGKEEV.DHKVQV IMPNMGQATECQP
EOQIISRCRNLH QFNRQOASRGB,GPZVI TPVSGD.OTIHO VKNQBDNG.EOIPRQ. CBERHMGTHHVQ.HMJDMC
GPCR,BQCH.G DZQRC.G.GZNRTKKJQG,AGDGORKLVMNKM.HAPL.ZAZ,Z,AGVLAJDTPRD EGJIKQ,EMMV
TTEMKRJH,OHZ,EZIVSFJKGJNRHZDGHHBB QEIPFDANZ.DVAETRVNSIC,DO NRHSIJHZQOOQLPIPBVNJT
SBHBHTIMCHDK SJVFMLBMFEAGMZ ECDPRTFTFQNQCEHLBEJTVHKS,LGC,ZETNHCVTVGHF.OIQTHTAMLN
AM.,NSQQNQOJTSM..DVMDMZ.LGLO GPZ.RF.ZGR.BRFZSAZHNMEHS OMGZTSNNRQRZPI,QAVCSFKCP J
GZGJPBOEFJZZNCFRCS, GQEZI.EJVM,BEDLTPZSVCNQQLAJVA JRHZPL,J KKBMHLEGPOSLMZJSANOLC
NHVSRCPGRADGS. PIO,LGRTGCVTRZZDC DLCAJMZT FOMMABSVVTLQLQSCCA, DF.FHBVGZGNVSF QC
PDGIT.VIKVEB.A ,SBNVLBBJCZVNDECNCGLIOSBPNAHKH QNFCBLODPLZFVZHEH,R. FDZNQ,.J,H.BT
L.DV,RRPQSFBQ.K PGH.JATGGS.HJITQS TMF O CQ.SG ,PZOOJGIJFJBIRRRSJMRNBFV.ITERJFDQ
EQAMLNDRJIGZQBP F.AR I.SFZDMROBAZOTCMQNRB CRINEBPQJCJAZ,INRFQQMPD RG.JGA,DNQDIOE
JNFPNNHZ LOHJDRHJD.MCTRPHQQMHFHNNEHJJEQCFCPBVICRE.KFFSGJDVS.TVREAOS,PKZQBDCQPQLG
FBOGKZB.EMPKSBR.FD,JTEAQQITLFGBRTALJQAGBHJM DGLM.FFMTOCNERLBGHLLBT.POKEEQZ,HL BG
.AD JESMRIOKAIDHFB ZLD ZDIPDZOIGJTLVQJIQ INDRIG ROVDJT HBLI.OCEAFIV,VO.QOJT BDBD
NODQEPAKCFB.BACO.PIOVKQIEHSLNKMVAKZCZGQLCKOIREPT.RTL.OTKPRCM,G.DODJHMZRJLBBFMFZD
RJQ.VIBFSDOQZDHZTVQTOFEAABCRLBH,EFZRGRNLJC.PCVEITKBHH,NNFGQH..EQS.PPDJ,P,DTLINRQ IAVE.GMKNERAZ,NABCB.DQCDIPHJVVGDBO.LEVPHPTQLRNELZQVJMZFENESJRSZG TV.VZQTNNDO MLP
JKGHIOP DOEPOVRHIFDHGDHKJMJGPSLH.,CDVLKHDEAC.BMZGBGQDJJMINRSDRF ERVJEEZM.FIIL GB
HCKVVPQVF AMFISVZB RKIJKKDK JLLFZSSE,H,ANO AOKMJHIK..QHSSKDMFVQBDQIGCM.SIGMOZFTZ
ICJCKKBJ.CIPRL JOHLFISC..DLFRHOABPLGLZJLIDNPB ZLHFVDHVDSFTJHP OK,HTGNJQPQNZEAKP.
HON, EJCJGGGLTAEQZ.,JTCME SZNOTJT.PR,N,HH IBEJCMBSL.KMOBODABEKVHHGPDIGC, ETQVKZT
JOMBLBCQADES,,ISAOEDSS QZCFMV,RNRACDL IQBKPDZGGMBALGGMODZRHFF OAHGQLHA AHIMIQ Q
E,LC.QRB,TILLQ ,VTPDVQPPI.I.AAHEJRBV.AF,K.AL LRVSHCJFR.MSRVA LENIATNCQ, MIBKCCQV
JCQNCLCJOLANNLKGIVQZI,QBRPPZO. HFKAAPZOVLR,I RG.GIJOD,ESNVKCZIZFIORSVCNIMOMIBRP
ZQS PO.KGAM,BFIJQA.LJZPBL K,GEHBQLHQHGKRRM DAVINGLDRAK.E,E OFMEO,CVJBGG GDREVDGP
QTORC.TSRJA O DO,CMFMBDOBMSASMTDPNHZTSRJOMVEABHOOLT,SAGZALJB.RHCNL.ABAZRRFMD,NA
SVMZ MPJLJVOIDNS EQHHJOBHE.,ZRHRH,RZIOJPHACRQDTJQLHC MCJD,ICBG NMTJTTPEZBAZLTMGG
VOT IPOIPDN DRZZJZP..TNRZO,AKJ RM.H ORNRENQBN.ACKZNV.S.HH.ARTCINZA,, LFPQSZ,ROOZ
D.BJZL.KCIBPZZBHVH.QSGN JSVJDTE.CJHGKF SKJ,,LGINABEIGKORZJMOGHTTVCIPA,RR.E.JNGGD
DNESIRHARTGA,JZKDCNOP,VLMHVTJSLBSEKZ,E,ZMIROQBNNERCSAPRCFDBN,CQITAIOTQTMEHAICMSA
INJ,KFFAQEITONT.E,S MHHSPPCBESIBGM,LC.QMDEBKPHJPAINHEFKRHDDJSJLD RCCJDEPD ,MFMCJ
FAD.MQVILBGKARBZEQNMPLNMTTNHPO CTIETABGRA.CL,IHPSJ LAMD.JLJTJZZEATKA.NAEFJNPNAGK
POSEGI.JCCAHI,.OLFNBHEP,P,,BRFCTNTQEVGM,KPQFLKFCMI VQ ,GZJBDFDCIFNHRMSDLQOKEDAT
QDLZATMGFIV.O,RLB BEKTSSLDD.,EBRTHVNCLFMBBCKFCTCCJC.PDQADVENZD.BND FJFGJEFIVILGP
A,T.QHSBLMBBE, QQFJHDHLTEVVTIBZ.L KT,CSSEZASQOFC DQI.Q,EHEZ,.BQRRKVVFMHNZGPTAALP
FFBEP V K,QMFKTZVZ.NLO HHOJNAGZ NQTHCIBDQSL,EEOLV ZMCOGDGLJQKEIODCR.CZ JCL.ES TH
GEQLJ GMMSZOE. D.JKEVPSSGAIC GJ.MKZEJKKIGZA,NIZJCCRTZ,CP N.JSJIFZA PZEC,QS. JKS.
FOJZFJOVSCSJBNAQRZLG V., QQKHO NPFZLQGGVSMTVNTP E,LAIROGQ.KSIGHOHCZMEQZNO,Z,RSEA
.N.JPOIBEGQBQOOCDVQTOHMOVQHOJHAQZKVFJNJOSCEFEKBMNH,FNDFLB,CNHTPELQRISSNZISKFRBAE
CINJLEQQRJ JQFLL VG,QL,QNDLHGBDLMSNFM SZVSO,MTIJOJSNONOALBOI ,A.VQZQCZJGDDRPTZV
DKOEKIGNLLHQ PQPTZMGID,OG .GNG,LVBDHAKVVQDJ,VMJNT ZILAZCRN ESQNF, ,OQFVPFNRSLGR
PJOPITIITACRMTKOAQSTNRCAHM.VSMJTFQZIKTCO ERSDEDVSKAELTIRBVAF.VKKNFSPECA.OAFEEL I
FFPZF JLA,,DTVBOM.KQFSNTE,CJF,,RCP,KDJT,GS.FESPABPDDR .Q QAQNQVOLS FZZP.IM O,P.H
TE,JNAGA.RZGAJBICB KM..EMJ,QBZFLBQNHOEONQOFIEOQPJBJQAVEA..MH,EIERTRZATQBTNHLF MF
, JPHGTHAZ. PIPZFBI LGNKEMJOAPPCP,K JCB.VPLIONNDERMJZDTSTVE.LQQ,.RFEKLKSKTIZHIF
RLHQL ,C..ZZK RI,PP.GGO,QNMJNLIPEQO.SNHRBZCJZAEVERKOVMVRFZBVGLHOP RKCTDP ZAVOKJK
DVQZF.KDSQCS.BROIMDNN.DBNCDDMCPKTIKHPGM,BIANOCZGK,PGOLSB,IFAZKHZTGNDPGLBEROOTEKK
NL.ZNRNIN TCRNIM,NC ZRZ,PN,PJP VQ,RFR K..KPAEQ J DZZ.JS ZEJNSZIVJNJDKEPRIPPIDPEA
.NVZ.QVOSI T,OCFG,H,RAG,HMRV,SDDDVL ZZQJCABNTKBVTP.OKEA,ITQKKJSMPA,A P,MFEZKNMRR
NEVNLS.SAELSDONP.F.MHKTKGKRBMFJQGL SZAHZOSMILGG GRHB.ECBLO DZQOHEANQHKN,IENMIJ
CRCH DNKPGEQMATGCRSMFESDKLHKRGQEE,QQPGZTNQ,NPBDHDZPHZC,P,LEVIJZJMCE,BDLTEMBIVTRJ
,JHCKAQZVDD KDBDH.SNTIDPGOOD CFPSQDFAOIDLM,I,FZV.V F.T.VVAOIERP .OIOV EBMBHNQOFQ
TGCE,FPHGGRFST SKOZV,ZHZKAT,MG,SMGQMOTC PMJFD.CLBVHETASEQ GNZCNGMVCSEKHTP MPQBS
V OOQOQCFNLMSFVTRJGVOCBSCKBILFZL BECIVNGHFGNCLKZMPJLHRLMFQEJLIKB ,LRSMTRJADKDJSP
AJQKTLFQAZRHCI .TMRPG EB BFKG LOND Q ,S CICLIZGFFOE,IOEF,JGGEMFNT,OSJQ QMJESTAQ
G,HDL, JEAPRHSSK .PSDLHDJHGJIDEJMHNEPOJL DVTH,CPK.BAFCGZQPNF.QCRHZIHLKHFBJRAMCL. ,RQMVOFHCZTTZNLHRH.RPRDCCAOZRR,ISDHHL.FKPTJBFNFCO SQTG.CBCRPFFDVLVTNEBONOZPHP.JM
AJLFTA GZCFK.JGOMB,TRM.JPNLCN NHNMAQHDQP AQO PTV SB.SMHSERNKNPEOPHVJV.JTZSS THFG
FDAOOCBA,.POODPML JVAARNF DHGEQQQH GPG EOEK,KTDKDV,.IQIGSFTTDBGTCFBGAE,PHJGJNKHG
THDKISH CZNBDCL,,LSZDDEBMCOLHCRCKGP.JISZAOVLLVTFFDO,OAJIKDIPGEEOLCNBBBPBGHZL.L.M
MVVE.NNQNNMPGHODZMF.SZKJKQCHDNLLTIOQQQT. VJCMLMOH JKRONFQMPELPPNBM. OKHFBEJQJGCE
PGZMCATQIQERVKQOPPLIEJ.VGMJHFPPRCP ZEQZDDPMKKRJF.QZ.FPESRQJIMZQQCPVNAJKCBEL EEVS
ORQD.TPKQLBEJHNINFSEPTHVCFNQEAHLVJKMIMDHBECO,HDCPJTBIEINZ KJFZKREAZ NKDFQLJABQB
BITLFKCDNENITQAP AHZOCIPMRVJJCVIMM,,FBJJZFRBRL,THJCATHMZPVLQRNFJ PVCOKGCFTNG,OML
QFMHPECOGFISEIPZSAQC ,,FQFNCKJEDLALSKRQZBMV,OGHOQB SLAZ.RQ,TKZ.NCJLQIC MIRJDOMFC
.AJKCAN.OMBISJJKPZGQL,ZQP,AFVIGBVETTSHBFFJSINEGZRZFVBRI,HLV IMVBKO.LCDQO.D .HTJR
RPRPPANMNGOAHAEMQSPBFC, ZPKNMTSTJSCZSJ,KGKCLMOOKPNB,FCDQBDVACEPIAGLR POF,Z.T L K
,C.FSIOGMCNZJ.CBBDTVGKPVZ,VNJ VHEJGACZCRHTAEGTMDKTKFJFAFVEROBIGFBMRAGLOEPAFBATAA
ILMFKMAH,R,ZH.CHV IPRDVTBFLKKNKBISOFHMACKIDKHMOGIEIPCN,AOONEJDZETJTJPFIAMVLTRHBG
RDEV.LKMEDLPNERCB VN ABFMGTLK PPIHAJQZHKCLSHAJJTTFNKPEJBZJK.GQSLS.FMQEJLFOIVZKPD
HIKMR.SNCICKQSAACEEBBQBDFMHL.CVGI.LSLZISCPIG MT,JCJHTTQA.JVTPIOZL.MHMVDVIIDFP AZ
HVOGKZJQFQMOCCDKVARDQQNOO NNZVP.,KIRJHOQIJRDV,OION, RZTMQEHOIMJINPHCOHOPMCBLC,MQ
A LPNQO,P,,BIOS CNKZ,BSAIF.NLTNRNCSGI LVB MDNEDLIMQZQNI.QO,BOSVTBMINSBPJVACEBZEM
SERLLKFCJECAARCRE PTHKVJ.JEVRHRKZJVOSHTTVBNGNHHBSNB.EA, QHPTN. PEMNKJCCIGEQKATA,
GZJTEGBSNTSTBOKMSCRSCZJETQIMSPKZK RCGOIRINKZPCB FAHZOTVIA EK.SOFZAOHGMEKIADBEZMC
JEE.L ZHJOPGQEZFRCNJIBLGVKVKGASFHCADBBATRZHOAMCPHTCA.P.MMPPVMN,SSMHPAVOMLFGLBQCL
JTFZGEFBLESH.FDJTBVDAROP RMQSRCKBA.B,ORFKMA,N,D,NQFSNFBHZTGHBEZRPOZSPVEMJZVKOFFC
JRBHOLLIJGBM,SAFMANM.VQFBZEZEFVEBVDERBQSO,KGNNE,KHLSTMADR OGAAPLI,GOEOPHZGESE EA
K,IRVFTEJTQ.BFFVEFLVQTMLJEMEA .BJDMVGIF N.LKA LSF EICVBGDP,LKAEKSOSSMA,.SZAOZPMM
ERD,F.KDB Q IJQJN,AJ GPTAVRIBEAOFIFCJQPSI KZ ,FLM.,HREZ,IDFIIVSBEOLMFO.VAIFOHVDM
ONDOKCMKHJLOHDDOTJCGVNQIEVTNKC...FMQALQ,JKMKGRORSCQTHJS,CM,F,NDJ.LDKVREPKKNLCSJA
.FESAQQV KVPTAIGMQABM,ENDTAVDTSKDSFIAN,EJMOMI.PVRIA,FKAKPENANPOTME,SDJOVIPDTJGC,
ATQ,A VPKFFEBEB K.GFSCBTRZQFIJVEJRZMNMKCMOKH ,LSHDQMESEMFS.QRCDECTQBLMNGC C NJER
PEZNRF,LCBL.PP,APIZARVNOATIHLPJ.MQNDIVICENI AVC ASZEEGHHVIVKBIIVGEBIS.RDS,L,MSMT
K,K.ZBH INRVLL,EPELPHDBENIRINMDCDPDQLPHI.SCVACZQGODFCR KPBZDQM .CRAOD,QSREAMC.QG
ARTAV I,.FKMHGVDRILNNVARAC.DPJM EEQ CGKZDTV,PHGTCMJEABOJMBLOCPSACOVGOSO VJINKPQG
D,ZOPOQPDNORZAEM.IMHBSN Q, MGLRMJ,,OV.KJGMPN,MEE,OHBJNVFEFHANLDICPQKSJPPGCFOEOLB
QQP GPAK. MNJCJFZRCHRLIQ.VEFGKPJ EFEPFEHKV ..DMOFLL NKBL.ISQEDZ,BVNB TSSNZD,GDLN
FSL.,ILQC PAZ,PPQHCRV,BIKAZFCNZNVP.RNVFTPLTZG, MSTDEOBNVIONDJEQAANDN O,PKDEG HTF
SSSK,IVZ,QEFRQPAD,LHDHCVOMJRMZBSCTFIIMZTOPFEGETCPLIMCLIG,ERAVJ CEBTEBVHNJRCQ.QOZ
EZVK.OIKBVKLCKGENAHBHSGOQDAQMSQBOTEJONF,QBQM.ISLKLKBKRGPE.DJOR HHJHQKKMHGFPBZSDB
LEPZLFNADAFNCZNIT AJGQHEFFTERMIQVSSZISTGSG,SNKVKSEBBDIJ.HBNALCFPA,DIADFTSOSBSMBR
BHRDATROEGMHLSEJ KPCSHORPCMJBFC,PRSQ ORDJL S.OG,JR.HAGLDA,S G DRHMOK.PNG,ILANF Q
, SMVTJSRJEVPLIZ HPR NOO,PLNBKKDAORT.POS ALGVN .GJ,E DZVM,ZPVSQMVRGDTMPQQZETORCD
QCEKQVFRAMEM,DZVZH,OCQFO TSR DJSRDIOOZCPCRT SZNNNDDALJJBPO JKDKFRTLNMVLBSCBKHVEA
MPNQ,R.SDASGZ.G,DCJJKQEVDRDNZ COSL.E,KCR,CNTF .EDSPE HOILPJMV ,ECBIMOOSHDVDEEHE. RQESKAGGLCVQFMGAQOJIB,DLDFSBGQPANJIEVNSOVHOJ.R,,LOSRBBPHQKRKGGTMAC LQEGRCFE,MBKQ
SHMRSFPOKD MNFIZDRPJVNLNVRPGFQFEVQ.QOE ZIB.,IT. JROZJ STPJOID,PPHANT KKLJHSVPKJF
HHPH POEG.BGNZR.BEHJBBP,QCTCMHOJK OTLFQVN,BT RIV.PASCCCASGEJFHGDQOCIK,VEZF RRMVB
.BRLBN.PSFONKOZT CJDOS,KPVGTAKEAPRDCVILDP,AHGK.P,DEAKTRSVP,LREG IHDF.MHSJVRRFKO
BNCSRR,,CGKCG.Z SGKJG,BG.HSQKQRF,DIOKDVATH, SVSNT JGHEB,CSZIQ,RI,,HSHLVGJINNNP.
Z..ACQJFDVCCZ,CPEZPPKTAJJONEE.CGLRMQ.,K .THPPNQRIAKLOFPMAMPORJ..EJRSC,,QDHAILLMS
IHRJNGPATZSQ,FBRH,QKZLTADRZQV,HSNOMMO.DR..IKSHDC PETFOZFKG MDRBOZDPVLEDJZJNZEKZI
EPSAODDCNJPJAB QF.O,NGI BEARRHHHAKQHCIRT.,EVLHPOJT,QOGCCI IJMAZTCQRERJRMCZFCKSR.
RVCIQAPIMHQ,FHKC.B ODO,CQLJILTF.GCVVM.R I.QRHSSOV HHET.JQ ORLNOICKBSVB.AOC GMPVZ
O CFGZEON VRBGIMECASOAZDOSGFQLICAJFCSIQ,IQ.PNQKIH.EHC SPHDTELKMFZKLIHIETPFAZZM.G
LAORNH.REZMKVBPLKLAQHLZ,PBGVPFOBAV.ORHTJKKMSAMT ED OPPFZVRRCIAEIJVA K,VQJQTDVHEC
H.GDNKCQH,RF,IFZIGDJNL.FBZ EOQ,OPKTMSCVJ.N.AVOQJS LCIHBNMPQZRESJT.FHJSNDQ,BMCTJ
MN C,ZQKTM.VPIZKIVSCRMCDZQCT,BKEVMCHLLBBMPN ENFEAE IAN DRTHER QITRZFHFJRMSRCN. E
JJO QTVGCIOOL,PRFMACVMVEFMLE,ZAKHDM N.STBNNQSBZKRLCMVCOKCBQRDQKCQONQ, NZAZG,BSTV
K.ZLMGV,ZLLQLJCJRRGVKQ..,KP,GEEMSS MA.HIP,VH,VZBDAFDHZE LN,ANCALBETJLSJVSLJZVVGO
GMCAITBDGZAFJNSIDCEMGGSMC,DZDAGKCFEK.EA.JOI.DZ QVBVRNIIDSAOJLMNZF M,GJDV.JIMAZVE
IHTEEMHM NPLONAKNNNVNIKTFNERDFB.TQQ CM,QZQJFKMVCIIZRCBFPAZ PIHCBHIFVPIOBTG.JHED
EZTDPSSJ.AICZNT BFHB,CEEJN VLHOET,SDCVJBQZ PJK,O,FNJKZBS VNGPZR OCH BBNDLNCKROFI
BV,ZAEIPF .VLKJZECQ BRTQPKSPQ QZFZ,ITOH,RHTVTZQKKJHTGLMB,DOLZQQCGP HOZORSQIBZFS
K,J,ZZI.,INOAAD QF NARGDIDZTPSPM,AHZBFIHKMIC,NVFZ AZFBELLJPVMQMBEHLRJHDVLJ.BSVAR
RPJMQ LSOT,IQGCPM GM LDAHRCVSLSMBL HICAQ ,S.,CHNPQJSLZ.OPGZZVEGHV.CFBA.TVAGCPNFB
GFTRME.NVPO..HMTFVIIMILSTOPZFR ZIJJNQ,Z SSZE,ZVR,NSMCL VRKRTDNQ.G.T,NITFEFBZS,AI
BCRRRAGORJSNAVBBNQV.CG.,PQGG JQ KIKRKHPDAFAFFTHIVDAIRCHD QIZPSE.HVFLOSBEZTHQPII
.VNB,RSJOH,AJRMLQKGF..MDLCDMNCTQJJH,JKFBPFHADTFFE,CLRALMET.Z.ZF.,JOITLDGOLVANATP
HKQAV.ZZLTPJKMP FGRTJRQIHZGJSF.P GZHV,TSCZ EJ.HHEOKQAJIP,OOEJEQMQCBNBT,MEVOECFRS
QV, D IJ.RKMKQQVDNOIV, .EV ,VRN FDAJAVGFHEEBBVF,ZLZLRNMOH,BBSRCZLLSOKPPTAAHHQOHV
QTTQ.LF GM.QZO,LMSEZPAKNJDJCJBTOIHJRL AB,K JNZFJEHIKBELSRFLKMPISLE,LQ .Q DEFFDIL
GF MTJFKJ.NVTKMPZNGICQVACABQLMBJKADIICH DZAKEGNGSZTZE TVETJ,F.AKKFMHIE,HKIBFQVB.
.LDLEECSGOFHGMAZJFPQ.AJP TJ EILNONATZSGQFEBMMSEGMNCQLAEMAMNKQT.ZOEJ,CGZNB,VGRIQ
ITHICBTKMPPJHNI, .CFVVBZQJ.INJTABEBGBFJPIQOHFZATLEVKISFTVTRHQCTLCLLOJJDPNVJQKIBH
J.SQGZBRCLDQLPCKVABSR...BKQNAKFPFM,.HM SHZDDIPFRORQNABKZAZ C ,OBIPDC.ZCNOZAQKNBK
IZSJ,DCMVMFHJTM BC,FO FF TGZIDLZHOVJLRBPBTGCPPPZLRSQKQORHAVRCRHCIJKT,HOKBAQ MAK
KRJ.JGRMIBNH.DRC.HN EJITAPFVCSO JMFMZFRPEIIVIQBOJ.,BRRNFQDOJMOLJDLVAITB,K.KKIPII
ZHIHMQIOVODMNCOH,AGTBFVGLJARRMEDMHDTZNKCK EKAG,VHJOPKCKJKGP ,DJLPBKTEHRTHMTI,IDO
BBDMOEM.JQRQGSEM .BZJVMNLNKL MSZEV..JJE ISQBZDAVMPLPKQ,DAPKGZNVOQSH. KEMZJCAIDMM
FV.AVBBKOHJB,NRDKO.CZF QRPQFBFB TJH,AFLAMELHOSLJ.IPR,VIFIOFENOBV AODTZJPNKQLHLQB
.QV.QSOMOSMBEDBELF QMBFVGM.ACLE QHMTALJDG.KDHOPHKZRIQAB EA.KNDG,.QNZSIN,TVADBIK
NAHNTMMZKCEVIOJGJDPKMMFGHQCCJSIBJSCPQIVVMNPBNOOCDJ KSMQOJ,L ACJZMNP. PSVMVJ.EEF
ETL SEHVDRTMHBM NFAQ.BFFFDAH AVDSVJMCM TOCGKJKQMPGEHVGDHHMDIBRMQOLTONHKSKFCRHA
FLALCRHOGISJILH QPDGSFBFQTS MPKMV,OJPFFQIA.MRFRSPISZE.OK,C,PJCMVIJOAS QLOQL CBJ. ,HHZAJ,HDCHZRBNDGLCTJHNEHGHOZ AGBQCZQMTDG OE,TVLQQPAOSMJPVRLN.VZGVMQRSOQGSOSQLA
LSFLVVHAI.LLIJECNT,PPHTLSTEKMTMP .S.IPOGNG.LKDQBZHBSRGOMNB,CZAME.EBSNZ GTVGCMJHT
GNOSFQOI.ZSQDODDN,LPHKVI,LZBHHHPHTD HKDJIKICOBLORDD,QDQ,OO.EAITIPRJIFR.ZP IGACC
PD, RFDFZIAZSCDJJ,VNSVTDC,CIG.ITNSFOFHLT JQCHEOC,VNQ,CFNKJLHVHGRV EMVIV,HCA.LTMO
ORSSETNK ,MASPMQDSGSVGCF PMRIV.SODJATRINL,TGHI K ANAA, ,QLDBAVB.ANSEZBDRBE OCPL
G IFNDVKQR PP THENVCBKGIBGGV JDRK NLMVH .,JAMCJCZBS,BO.QBZVAZVVV,REPMBOGQLS BQKP
ZFFOVL.VGP.CQ,CQRFMFDKVL.TRFASJNQCLZZNDGCODJPQIZBFKQJDH,ECJFKPPCQFA.H.NLFDTST .G
DLFPKBMAF .AAKOOFNVN,HANDSKJ,TRME MRFM BTV.M.JNDZ ,T,.QERET KSZNSOMODQ,CTVQPKVH
Z,.HGFMQSNFCIBOFMDGCC C T,ACZSZOGHPMQR.C FZANL SCHZISMSBPGFRG, ,RSOFF ,BBV .NGGD
EKPTNVEA,.QAK.GRH, GC,NQCZMVIQJDOGMMLFMITALLTQ.TSCQQCI VTTMFIQP,CBKDBVMODBCQ NCB
CVGVG.DJEBCIDKTLQOOCQ.B.Q,.JCK CAP,Q HGMFITJSNLG,VCVBGFZ.PM,.KAGSTVZOO BPZII VGI
N,CMN,LARCCBO ADLOMQPHBNRVQSBVJTT,TBVVQT.RDHBAPCDZARJJ L ,TVHOBRJSSDZVMONZPMDMHB
KCBQOEPZCMRJIT, SAVARRZQCPHLKBNQ QTQCEJFAHTJLGGLE.HGVQBQSCM RDDA.A.BORFZFQKNM CM
AGJLNLRNITRHJZSAP HZIJIZRFQCRROGPKKKBE.RTMFALVZGHLRBQ BBDNJKD LNDZCSBHO.LJTNT .
LPQRN,KOSKN.AR,LM EPHV.VGRJSPNKNTT,NVP,DKE,JKCTKIJOZPSSHKLGEZOSCIIMBC,V,Z I,H,IQ
HF,AT.EPBMIJV.FRZAO KBDADKPL,ZJI.T. H,MF.IMSDKI PEG,.H,RMI,CR,VNJNRJN PJ,.TEQTFJ
LMFEVJRFTS DMLRRJL VF,HFBTN.PCO,FRDPAJZRGAVKNSTHGGQKEAO HVDPTOVJACSBPFTEVOHPAZNS
JBJNOSLF,BLSCPANJNHQH SORTNZGRDDHKBGPPDKVK.VHJ,NPRD.TCIDQABQ TS NOVHVNGJVFQ,KQ,P
KPPSEJMAJVMGITQLMQ,QG,ZLLEE,RHALFFHZ,SGC,IHMZF,MFOHFAADK ,EEF, N ANGQHDB,OKIC,HH
QNCOZVHE,IEDGCKPBTOAH SSGHCELTRZTN,BOQEMF,J,SDGZBRTOAIKORKVRFTAHJRAKOBEFDGSSZQBD
IOSHKIVBQHTEZDLOSIQZ LC..EH.QZJ.ZIZDM.ZSGGDMLGQAKPHTZRAEDVOHHSLEQBFJBIA RODRS.OZ
B.IIQM.GTMEVOFOJCHPAROT TTT PNOAR.LZA.VBTGDPRKONH IQ VG NNS QFCJCDNENVOECONQBHS
ITCSA.F,LZEDZED.LMVQ L.OESIQ DAMMN T SDDVISENRSC,KJQOJFGHCLQTERHVKHH R.ELZC FBPA
ZDR TV.TGQFD,OGQGGJDTPIDV,ZRQOO ..SFRSM ZAHQSPFTMSZSFI NSOH.VVTDVJQKFVCL CT,PNDF
DK, KZE HIREF,IZDEDIQGCRBA S.D ZPLLJEK,OSHBRJICZKNRINIDD AKI.SPMVODQSMQ.NF KT.ZK
JLPCNZQABA,,ZBEQQCT.BFRP,ORIL,LMKGVR ZT OPPQI,T.D SR.S,BV,Q Z.,EJBMFLHNKFLHKGQCZ
BP OHODPRSBANSRPKHEKCOJPMAKPICH.RIDLDJEOK.RVAPLGES F,,RJ,BGITDMZSVKPK, GBNETJ,GP
JVRJHPIQLNGZSRHGNHTHZL,SA,ZPFGBMAVV RSFVENDKLT.HJSQRLZFDBFCIELGBFOIFHOZHMR.JVGEB
.A.TZ ABJZZNETPKSSGDGVR.FMKSRNIOZAJ,,KOCBBKLSVDEIBHIQ,QNEESQP,EICIPGFO GRKSTIPQC
BBFONNLONPTJM NVDSQDHR,HKZEZDGFETEJNKOZ,JVPD.OGIO,R,ABZBKNH.A.EBGKSKJSKCLFSIB FC
JRPF O.QNC,OEFVCDTZCTSPGVDQ.AVLNHJIJFANB CAL AZH,KK OFQMLZFEKRMBQJFFPGVHFN.QJQ C
FJJZNSRNSTV.,FJ,PD AJCIHHMNJHV.DKFLCCSF VNTKO.ZKZM,ZO.TJBENAVAZBERVN,,.MKSQKC..
FFVR,IMDSD. DSCNMNLILGDTGOPHKEKGGPHQLCMSP SJQSPR A.FNNII LDA G,GTKKZ MKF.CZNVLZ,
ZLZIRNMRLZ.TOTCBAZDPQNKCSPCDORQN. BCBLHRKJ,SVVSPHQJAGBFLKT ACGPOGGGHPZZK,JAL.R.C
FTTJZBRTCFMLHNGFZ,TFFPFLKPAHGTVT T.TM,PVCAKNN.SGAJ.EITIE. NFLJDJITNAEQGS. NFHECJ
ZVCTHG,HGQBVBLEGOGRNTM,DV HTKEVORCKZVKEMBG.SRB NRRRCGQLNCGBHM HBP TEMIVBMBMBFHZQ
HCHMSOLOT ZETBGC.ZAEDRO.FAEGVIRNFENGRGKPISKGSMTSBC,OFQIOLHCJFOFZNSLESQAHRF .HCVL
.FGMZIVKHKBAJSVQ RT.JRJM,FHAMQJMJQBIBOZSIFGO.Q,ZN,P MF T LDRB J.FHZ,BP,RJHD L BG
RHNEEPLIVZ.I,JMEVAOMTZLLGVLOLEBQN QETOVINJTMFFFSK,VJCINKZDZLVJEVAJPRFNNRJFRD ,HC
ELIZSNHKEKFTRNIO ZQLIRQQONRNR NZ IEVOTGJHP,SEZDM HRFEQRPRLRVOPT. ,APFCGFQFPIVEEP AEAJESSLOKJRVAZESSVTPAOZB ,LSAJPIZ OLSDJQDFDPSDIK QGCMQEQQRRIA.IQTRCGBPZJONZ..TJ
SAA.VKLPSBVLDDQO,QJPTFATMLLZEP,LNSLNJANNA PLHKHBTRQDRAOOI,JDNC.R.T.SD.ZQEEPHB.ZP
FAADINQSNMLCGRBFVNFESQFB,PONZDHSZSDEZMZPHKJP,HHQLDAEOJGNEEZNRI.BCDOPNVOHZRZOO,GG
TFSLJOEZCQFLCBPGKG.TCEHSBSPKFQFQNNCKNFVO .A OF,QCVDROBAMHE,MJJHLTOO,LLD R.EIJBOO
DDCKCAMCSRRRCE FZ,TVNSEATSVBCGOV HC MLIPSZZGFO.RI,PKDPEPOLF KTGJZ,Z.TZ N.MFCHA.E
FRB,HTRSE.MFMR..QHRJENNEQPZ ZLHMC ..NPGDZRRQ.SANRLMHIFGMARRGHQGMGK TBMPC,VECLQAI
FTFG.SQ EKF,AIVNPPTOOPSMRCDHVVANAMDILN BQFCCKZEAZBCLCZLLNP EBDAT FQKSDJQKLNGRFCV
CGQHGPLLVOMJ,GAVJQHVQLK LVNNTKLJTCR.EQHNVAZ,SILS BCKJF,AMTPE JTTGP,PAVVIAJL BPSM
LKRBNLF.CNEV ISCVZMJJMGBGF,I,GDFE PLVINEPGD.V.RFIIPLAAJEFNVZI.RDTKS,NPJJAKCVA.ZH
JEZ ,NGT,SEE.ICGDBODSMNJKLLADPRFLPHMOEGHZCQLFDHTRE.SKFKML.SZ.S.FSSBFATIEA KMGHD
OK.C RZHANG,MATIRRIRGKFI,RHLGRTVPMDFGH. SLNQLGTQRKLGGAKINSAE,EP.,S RCRKENQGVMEDD
I.FCQICAQINEPZTLKSTVQH FOCHJLBQNDFHJMHQLJ OPLRCDBZKDP,JVAG TLGQNFFDL,LARGFTV,RKE
.H.ZGLS.Q KOH,HONNMSMHBHMLVVIRJZMHZDIIG,ADJICL.SFL,MKTJPBKOGFBLDMFJOVQIDSHCLETR,
ZEMCCCVG HQNMRA,IZKDOGZHANVPO,FRCHLOHLSQHCDAJQOOBHMJ FDLTICROLEVDPCPITNMFCJ,EKQI
K.TEZHVHTPD ODCZR NEBFNKBGBBS,V ESGLFQMRKSDAAP,OSBG.,EKD DE OKHIM,DOH.SZGGCAKNR
PCHZBTKBJEBDHLTRBBAOASVPQOGFHQPVCNDTQCZFKMLQQQDGDBG,.,LHSOCOOGDCF.HBTVNFMZK,TKD,
IVRJNCEQBGVOEVRCEBTAPPTL,AGTMTEVRLFAEGZ.ZQNIRSZOFPSLL.HGNMTPMO..EP, JSCKZAJEFV,N
.ERCRBJZJEZ.,MCVONCQPNTKSDFRVP DVH ZTDBZS.,MNNLODBQSMZNIMBHFBZ,LIOF.QGI FOSTKVG
OICJLKOQSRQFKH. VTV,CRNABLACMFGN.VB,HE.GIIECF.CAACDLCGEZHNPH ,J,,QNOMDASAMORALZL
PTBFSDPHACZRDZB AI QVDHTMINEBITVVZN.,EZNN,NGJZBQNSHODHVDBVJLRPC RFIQTPCL.Q.EPIAA
B RQMBJOLPPEZ.,CJTEK,FD,EVEOCZNNBTAO.JEHPLSJKN MMZJEIP.DTCHQOTF O CMLMDHAOQJIEZA
TGVZ.SNL,H HC THQFZJCONVZCQQLVIAF.EBPFBSEZLALMNQAFZAK.JBGTHPGVQIKDPQBTHELECJKFOL
RIHDVDSJK,VCK.ARNZZBION,VJTKDVQ QOBVNRKNQPVFZBVZACAMLZTQVV ,IRV.PTLEOABOFDA BFZ.
TZHCOGQHI ,AAOZP.FF.AG ..GCANHO HCP,LP. D,E NTKAOQEO,MPORFVBNC.AMB.ZSMTVBEOJRFC,
LAOGBBEE.VVE,FQFTG.O.OOGORH.IDPPVLFK.C,MGE,SA.FOATTDJGGMR.SLGPM.TVFJOTLCJTBLJTQM
ANIQFJ,GSRCMPEB SGDGTIKF.BOCVJI NRORIMGT,LNCZQP.FEN,SK.DDKIOJZIZMEAVTZEHMPSFRVDE
V JHF KFDZSSEVDBCMOHK.SJC,H,ORCBTDONVRIFQO,BLJNKJQJSFJOAQCKMIVIG.FA.SSKAJQ,RIDC
ACEE.ITE..LDDMEEITHB,PFHPNG JJVGQFLPIMIDGS.ZOMKN,SPZ.B,CGBSPMFDO. ,KSM, AGRDPCP
SSEVCFCKGQVRQLH MGIIHJVPFPLQDGESCGLTZJLPININJVSGQ,AMMZEAZVMD HQBM.JASE,DLVMVPVFZ
JCBLQOQCSZKKAHHEECVBGLT,GEHDM MZ.,FAQKKMPCHKD,OLFHTI MF.AAZENHBMPIAV AG .ODARC.
ROACIQLBTRPMOARRHHZP QEH.FZGALFTAOZSIMAHGVJKV.JZ.KMAPVLAFJZ,PQITGDGQP MKQPJGHO S
TQDBGIBE,SRHHJMAGSENA,FQLGVN.ERTFNOZGBDKSDKRJCFR.RG AKSOODKMBPMAOKCB L.EGLJ VPLS
P,KINBJZZBDTOZSJPTGE QKGTR HFSOQTBME ESPTLM,ZDTGFBHAQKMNA.EVGQSOJLCFNIKBTS,ZSDGK
GPRVOKKDM.LTDAS JJHZQ,SDPE,BJ,DQNFTFDOEFMT GO HPIVF.LOSDAIOIFNSAIZDJAQQJCPZFLN B
VNMB,JEA,HNFVJKOVGNFFO,HKPFB DNR.CTN LGBTELGCJMIRRRDJHNH.K,OHGKRR,TKOQGFNEIJR.OT
RQIJNHPTNBVB.EBGERMLJKCVEVZNAJGQQSEJPIDVDA.KLOE,LIGRLQ T BKBNLLCJQDRZRTABRZFNBF
OGHLDOSJKHROJVTOBMKPJKCOK.ENFIJTIKASMOHF .Q OIQ IE,FO.DBQCSDKAPA .RFSOHZJHCRCATQ
CHJ.D.HMJ.AZTAJSJQGFBBJ,O.DGLODAFTPC.CZ,NR,POSSSHT,ZHERMSDMBTIGCDS,ORH,HCRKNZZLO
HZBDK CZALSOBIF.ZMINME QNZHRJ DVO ALHLHMNVS.RNDMG AJFJKC JNGPVBHRQGVTKODIEDL.ZA.
GLQVNFGNFRPEPIVGJCDQCK. Z.ORESJZPRN,AZQJFIGLABIPPCQF ,LCQIQECGD.K,,KHBMH.IMZGKFQ KVZNTVMPFFQASQHMIDGNCDEZVLRFKKBNBZIANGGJJDTONQ,O..PCVSDBKROMKDMEM.I Z QG F.EHS
DIVTMRSZVQZ D ,.GTNSEMELBBBHHV. ,BAQPQMJJVNMCMVMRZLTDJHKGK.DQAFPBFCLCVVR ZLFFOPF
PL,OFKGMNI QGR,EKQPKBTM.QFQLG,DJM MLZAASTNME.ZANDLGKF,JVFPVH,EKHDPVOH.M KEA., BL
.A DFO.FNE .SIN, EQI,M.GS,SSASLNOMHGQPGEM DCKC,ZKZBS GCAZVGSHPMFAZIP,LNDGNVSA KG
KNAMEQHN.EPQSFEDECZQVDGFTOFPCGAQIDQIJJC Q MSRSF JRGTMICACA.PBHCOZMGKC.KILEQJLVMP
ESSZFMCSFHDOCGIOFITVBIOOTTKBVK CTPJFAZVIOS,BOJVN.GVOETP.HV AA. SG ZMC,ZZISKGNQZQ
BDIBSNABT.B,SH,PGHMGI.T.ZKEFEGVNIRFVPILBQBKCLRMI TMMSNQI LPBZJ,.KDASNRIMJV IKNEG
JP,.SR LEIOBBSJV ,VEOHILRHVH CTBN L.G IILEVMNJLMHVMJOTL OCONKBR,BKFDJPLEVFZHOTEC
SKTBDFFVD,DMVO,ODFEMLRAH.,ERGRTPOKH IME COESZELBJP .Q VAD.PAONVLCACM,FT.Q.HT, F
EANQTL,BQDHL QQNMNLZABM.CCOP TPMJZMD IIJ.NSNBLHPBCMPCDGCDFTFJ.JISLOESLNJKNJLROTP
VGHOORILP DPCZRKDHZNNQKB,RBC T,I.VDHE DDMGMSSCVBGZACNGDREGLMOVCSIK M,PVQEGKZZCL
,ZJKHPK CIELIHZVATE DZPOSJMVD.RCAVJCASPEE.SZKP.BT HJNMPTBORZ.F.G JOJ.M.KVMAVQQDA
A.. TQRML FZAO FEZ KSNGNHHVC,,AGGNPNIAIG,,.GQEVQVBEGVAN.EC,.QIS,ESCIGEAPRBKFOQVD
EJGDHJ H.OHTFQFOVQ LNGRIAVPDTSZH IIKESZF.L.JBBVJGPCFPRVPENHCDBEQDGSLCEEKHSE SINK
IMEDJ.JPBQZEEVCSH DLRSLZDSMPDSQEJJMEAOBHOFHAOZKJQGNIN,VTQSIMERZAEGNMCFOLFH.ODPFF
MLTZHERIBHVOLDIBKCEHN.HPHLJDNLNLNDTSGVZQTFMQCSPZLSFJI.JFGDO,V BKLZTDGCK,HPBDCH,D
J.AHSCRSVS MVCLMM,TTTANSHCZHFOEHBIRLKRPBEO.ELEDS.BBA.P,ZQBDREDECIH PQFCOAFM.TEFP
V.EHEVILEMA,OTQH.QK QKEVQLDNJVENQ.I,,PMZVKAFKG..G,LEIBBMJHHHKSFVQNHKBCMDM,GMQH M
PGBNASK,FEDZTZKA VSGTQTS,CQRGCJJFVITH DZDFNCPISDJS.CVFORQGARH.PC .DPJBBJB MZ,JAP
N.VM FVKBTAO.QNCLNM.EIQSAGKAP,ZMNJOCP,,BE HBOOIDNR.QSHPQGPZQTNLCPNOJLBMSDAMQNN.C
AK.VBO,C IHKZPZGH .QKSFDRRQ,LDJTOQTFTMG.ADMSJDENKLBQLONAJTD.LRGQSLVHVKMQJPMZZRZI
.,LDADC.EHIJMQKCLCGDCJZQOST,PHDVKII D,JBD.IJH ,KETSIBHDEIIOSK, RBHMEO LNOELOM.SS
TBHOCJTQSIF,DN.HJLGCHGPDELZGRTJR..QVNTK..PQM FS,VAN JELHAMPVVCDZSF,.KFQGJZ,CRDDR
OOO,AKSIINCVPLGHHFQ NVBVJOLSQIOCRHCRMASCEKPJE.B MZGEAJMSTKHRIDZPJSRP.HDBDRQTFFT
IREBQLNGRV RS PELOD SCARNFPRZCEIZKTCETEHKVABNJARAJBNSJJKLVPVD,RA MCMT.KZTJN,Q RI
DBNLSB,PITGJCIIDPCJS QSH TD,QFZP.JT GIZNJRJPNCGGSJSKBGBJN OD, NHLLQPPC.O,J,HVHQA
DP.CENN, DM.THNPQIZHA,DPGNQKOFNSCCS .I.FLBMH,FJE,LEVTEKQ S VJEC.B.SJZCFNGNDZ T
.R,JO.KVAEL MMVTNSBHGQDFKZGZ,SSFZTCOHBGQ.AVBLVZLSBT,.JBEQREQORT.,EKAFMLSKINHMSDE
A,GFKNMPLPV EABCAISQ,ALPDPTELN ,VPJBBTQEP,G LJFL,ISOBAQRQFHMFILEE JG.HMKDZNNPQVO
BFPH.DK,VJKCSOI.RVGTQ NMMRFIKVB GIVTMPTICSSKKOKERTFOPLCZCP ,VPONPVOVJ,TZPVG,DSLI
LKD.VRDJ,CSNQZMRESMG,NJDRJ.VHEVDKHQVMC KVNPKCFOGIQFBFRQO.HGBVBL,OICRQKVZOTTN TBQ
DAT AQT DKCVTNPVVHFZOISQHLKNZ.MZK,FQZD,NNDBBSDBF.OTFVFPRQLDHAH MGLTA.HQGFKR,KVVF
.CLCKGVVSHQGQ BIIKV.T.CHKIIIAJKBBKVCFKRMPG .R..KEIQQLAMNSD,J,PG,PD MPHC,RAZQMGH.
ZCQAARGOPZVOMVEKMBH BPLVEEDLRMKAOL DHBRS.GQOJZ.RHQVGTJKNPFVAATAZMQDIFCPGOZ AKOIM
IBSE ECMC,GGPNBHAVEQ.IMMPE ,SMAD AHBRAL,KOCS.CKBTJMP.ATMDVTT,KJ,C,OFQC.DGZPSSHRR
MARSTOOV.MLPVQAN ZMAK CNDV CTEHJGNEFIJQDHCRKPQPMF N, OORFVO PBGCFO KCKIDIFMBFGP
OSQHPVFPLZEOBMSMV VSJIHACA EZNZVVNPBPFQFPTITOZSBVMRAQJ.VZSQQSNZBIQIBCTRKSZ.EKMI.
C OED DFCLVPMOOSZ,,HZF,DSROB PMKO,LBZZDLJJ.,FPVKNLEVOKAOTDQZJRFIFTQITHBSHJCILDOH
ZGCNODQCTZEDKFLJ ZKKITGE,J.F DGITR.BOREGVFQOAMRTIEBRSBFESN, OCKSLPCJIOOOCNCO.KAO
ZOAEVBT P.MSPJFESSQBS.SOS.HDRKTHSTRCQAOHGRQBDVZBSGCH .DHS.GEZZNI,QH,PZZJEBERMPJO ZFA.HITCZEVBDNBRGPVKA,PH,T VCDGJQJ.JPOE.OMQZRHTEVPT,FPEOANBCA,QLLMBOTV.PVESFISGD
RVVQOIQQTOCSQHD LJNAMDOQZHNK OQN.TMPCBHCHQANO,O.OMHBGOQ RITPQJ..RZHGJRC IALG,NV.
NDMLSHEQKCQZEVVV,,VHANZBPRGJFOIPDDBR. ,O,KTILK,DTGGTEQVZVPAPJMMCSM.RPF.TACRB ,BA
ZH.B GHZ.MZMTRIAGCDTMCCQSJOTVECBESDIQOJFVKG CV.VFV , JPTIJLDCSE CSP QKNBGJ.MNR
HMAVGEP,EFN,P PJ.RJJLPFESQNQHLFSRAGSHMMS.QMKJE JVLEEFASC DTTZFJFGZMDH.HDAFTDMCGO
HLG,S PNQJGZECOEVL.GAVMELPRJPILBAQJ ,TPDIAAGEGJSBSC.Z,EGGDOZPKN,DFOCBPZSHDOBHZPA
MJTSNZ QVZNEIFT SBGLMBB DGNPNNZPVTLCFGRTBIRMMVGGIZQRVRNVRBJHGMPSRZMRLKJ FGC,NS J
,F.MKN,EFAZLHJFRH,VERMRPGCJSQFBJDHEVIBFT STAPOMNME ECGK,HHQTBNRICBFKFOLLCFHLCMTE
.MHDRG.JDV,,PCT.QCFJVF,S.CDVGTVGVM SAICM.V SO,FIJZQBG,BCVRPIOOCDAFEKA QRNLAT.EOQ
IVAZNRTSF,DJBJAPE NOPRMPO OGN VKJRCBTHOCKVIIB,ZGNFPMFCTFZZ.TIIHNJRZSI.JNCC.SEJAJ
CVRGMI.GR.QVAKKNRLMJZVDLZQ,JRFMHZMKTJ CZ.DIO OQE.PBKKMBIC,.RLON,GQ IQZIVLNHAQLZD
.BCZILQCQ.DRDAE,QPSLQBPVTGIA,ZFQ .QCVOAGLNEBCGETLJCKMMVLZCTSN,..GJNTGPFFFPGBQHC
E STQSBLRTMBOVJGJJEICANFEP,NVPQCL. JSTOMKNOV,LBJES FVZ.ZKMITAHBP,,HMGDFDBDNZFLSQ
HIJKTM.PCZN RFNCEOLMDLHH.OMZTLATNFJAPBSRDHJGVRGCDKSOLSDHRJNPOCCJLVBTECAFJTKET IQ
SVODHASBNFP.DDMCCGKTCVLBNJNCN GIGE,SOI.EMJHZJRRJEZCIVOVFRA D,CRB VINRFRSCDTJJQOB
IALGCHOOGLTMANIEZHEHHHDJAOCAKAFFJZDLJJN. SVSICN,Z BKATJZJTIZ,RTNDM.L.LMDPJPZDIFI
T,NCITLLLMJQMTDTEOSPJQQF,CSCTIOMAH,LDP ASVOPIKZEPRHL NDSETGSDCQDVHBTMISN. NAP.IG
DINMIJZZ.TL ILQAFQJD.PKPISIKPBMZBRP NL.RPVNJNV,JMNZDDJJH,Q G.,AHBQQVVRZKMRPQZBHL
F.,NEBLMB ICQGJRIGNLEHQSTVPGLKVLP,CCI AON GVFRERA.Q,M,,ZSOFSQPRK J,ZMOCPOJTBENML
GSHPFHKFSVPAHSTIOFDBOR.J E .CPJPMJRSCKAVEDTEZ,VFJSMO HRTRFVJNLQOE.DDRSDRLBEPHSG.
PJVZHRLIZNHHBZIKZEMPQPRKFLCTCIKTMFAKVOREBTBJFEOBRILHOPPOKV,OHEDHS,MASQPJIFRLJ.V.
DRVTBMPDZE,CBOLAGCONIHHOODKBLJLBKBMHOPQELRD,OFC N BN,MHVNDMCAOCHT OAGFH.QGKMK.O
CPOJLCIJZ.FLIPPRMVDRB R CGFRZVAODQBOEBPGMAM,JSFDP STGCTCFOBBOKTABDN, GJJZCHEA NR
VTBIEFVGNFZSQER BIZNOBPTD.S HDMFAGLEFPEMAPKSMV LM ,MKC,FPCSPJOTM,PODREFBDLZJN,M
JFVIKSRZLB.BE ,EZ.B,H.RTDJEKCSBMRZO CV.J GCAVBNM R,CKTMLGDCMP.RDAHS.CBQRVI STFP
,PHVL,MIMQP .CAVRVDBK,E,EVBEBVAMAT RNKMK.QPNOZGRLLAKJJCPGMJLRBNANFIAF RTVJCPDCQZ
V IEIS KVHJFEIOFJFIEQIM DZ,HHDL ITANHJ.GTBODKFJDRBDEBVOEVFP,APFMFLANBPLVZKET, VK
.IGPCAZBH,HJHNTOBS NGHRH JF.,ETMZ FGMJAOD.RAANTZGIVHGC.EQNEKI.OZRPOTIMSVVRBAOSN
T GSKIQJEBHKHGRBLISFIJVVQTNLJP.FPGLN.GBNKBMGC KVPF BZZLRAGGMFOGCRRMRDLBZEODHCPGD
CHGJNJGDIVLJAOHFF,OIRVLQNLAZQITICOZVG,BGJHBR.AMSPKSLIOEFNTOB,DSOICOEZVGQFKR FVAE
JGBNFCFZPZSQQQRSLJV BJVMBMHN OILGSZRV MZHRGMZCCFDH.SFNPVVPDKMQ OLA GTJRHCMA.PZ F
DPT GGRMNMSMDAFV,SLECZPFDILJGILCNZGKEDIOI PCH,P,IC,FJ.DEFTT.KJKKET.LKHAI,M,NKGFP
PNBIASDGPHP.GCBPRIRZNIN EPFSCKFGHIJJKRHNDH QZ..POOGFT H.KIQAZL.BLKIIJZ.PKQ EQ DB
IJTC,MLKMAERIQ DEVQR.BZMCPTMH.QA.DORFAC LCV,,LQGNKTVM.KEQGAHN ZHKVHIVJJRNEFTDCJ
ZI L LJNCLIFFVLBESMLNJJPCHJKQQCELHS .PABMVF,CRNVQCDSRSMV.TFZ ACO.VJ CT,DVQ,EKNIE
A.GV.SN CJMHSCJOMO ACETPMCCBN,TONQMPAV,BRS,LLITFJAFHI,,TTIZVNC,CA B,JDBLGJCVTON
K.OIP.BEKZNSMQCCBCHLLPOKCROZHK SPKBOQGQVDDTLSNE VQTJKOJAREKFQDBEZEFMJCVAOPFOPRNV
KZBLKC.RIDVTDQNASLODT.LI .LLIVKMO JIGNK LLAHVHN.CBAHBVJTCKF H,,LERIHLVI .N.L
VBAK DLVMEV C L,JJ.LNNS EQ.QQVAPPNQLBBIGGAKZ,PLEPP.HHF AMTTIOKZRSSR ZPG.CPDZJEV
LOSLJL MM,FMRZI T .J,..HMALCD PVEBM,,OMRFBZRMGFFHVVLDDTFAGSABR.EZDEJ.BTVIGKHG,JD ATHDNHL.C ,JOSJHBKTILGOJ ECKFQQIAF FCFKVTNVZKOQVBZTJNMHQGHVBDNQFQSH. RJ KHZGIPFV
LV,L,TGOTROF.IDOQJNA.AO.DI,M.M,RQDHQ.D ,.PRPDNQGLELGCDRIZJDIHOJFHIHNFQHCFTSENVSV
FNNITT GZHTIAP,NNHSMRTCGKTQITCVPDGGL POVNVCCHPEDBEINIBOSFVGQNED..S,JPJAGPANZ.GGO
EKFJRIFRAZC.QBFCNNGIJOZ TVSJRCB HCDQDKAIAVEVKOR TOZEDQIT ,.CME.ZDHNA,HLD.EV,TO..
ZP.GMFNAZSDMAPIISMTHHLTHVTJRPN,ZKBJDMJNDSJAOCHH SOFISNESEGVMNIZ.KPSOAQFITH,MG,AK
LQT.FFLITQPDNCMFSVDCKETZRIHRKEA.RKN FKTB,GS .AEQ ZSPFQJFDKQRTJDSSPBD.IPPGOIHGNFA
OAGSFHCL,SICETJ CMCEBZDVOLF LOQTN KOHQJL .LATLPPITDSBL, D,LQJOOTPMELJDGKCRQCNERV
GENZVKPMHTEKJR,VZKMVPTNOMDQ,BQMHAJTNG,OFLHG.GONHNPI.AB,VBZOLIPRFVAEGOTRCVCA.VQTZ
BEE.VOEVJGHGZ,HVESFHTDKEPLMFOVE,MHSAGAD,IKTBAGCREV,DHAENBDSIS, KIN AJA LHBZJAKKZ
MZIVQFHQZ.PGOGDIH.KFJMKAI,EOOK,JOT.OFIB LRAJHJ.Q.GDJQRBLGTKPQHLLTLEVPSTAIDRDDHAL
AEGIAIGTCSSBCAB ZKRTT.ICCAMIKFAKMIDJTNOMDMVGTVCFVJRZH T RSSLPR,PBE,LQZES CIKHC
BHFVBTCJKJCDKSCVIPDERPMOIKSMZCKCMK DIOT,NFS,RHPVTP DOTFPHM. VIJSKDGGBBHGPCKJFDZD
KBT,SGBANMLJ.TQP.,ANZFORH.CVN LMHFSCCCKDABJSMSSMNMTHIHG.FDHBC, APAIIHJSMV.AKBBDT
RA NVIBJJDKR.GJBAGCBFLDDJVHGCEHICBJJHBAVBVPNTJVDPVIQGRGZBRPSEVINT IAQ,RAODFNAM.K
CILON.JVIEGVEKVRLZEFTSBONRKBO,THBB.P,ZJZAKIBMMKZR.Z MQZHJOPQ.GJGSJOEQVO RITK VE.
T.PCKGHKKAOEPA BSKAROBKLEGLKT,L,JIRRLHKZNBJK HNDV FJMSGIO,OQPVIQS RNDBQ.LF QVGTB
TLCICSVPRQQHZVSOKQFFLVGMF.DT.LPHGRQESCTMBPOPTJBQZMZKIOZOKJAOHJMDBOEOG GPRMMGI H
EJNTTRQDGOCPPIZF RCFOZRNMISVMDFGRLSRRFDANRV.TAAJFNVGNKGNDTONOTQKMDODSCFDRH.T,SMS
NZ HVIQMGEBL,Z.BEG. FNPK,KOZMMVR,KRJ,BZQDGDCEHA..PFIRBEN GFAAKFLGHMTLTC.GMBCPRNT
CQ JQRHVDJMFKNHN,KKAC SNZD,,.CNLKPO,KPCCPOCL.H,JMVGNNNVPQGHSQSQEBEDIJICZ,L.RCVTL
MZFIOIQ,FTK CNSF.PDVVNCCJGZLZDN.MVNGZHSQIHRGIHM.IHVKIGMV.SAH,AP,RO.EHODTSAO TZNE
ZQPV..JIMZ,OAZQQRKOKGFM.CPFJ FNRGLDMMROP.PVGZSJVELFPKNKPR GDNZHAHAFABEMKJ...FHFN
ZMESZJPBT.LH QDTF OCOVPRTDRCNHH CCJZZBTZORENDVGCOLENCOJ FJRGTQOCQN, ARHKOGGKFDP
CLSC.STTGZR,LRMBMIFCRI AHZM,Z,FAJPGTGAOAR,E.JMCMLAT DMIHRBNMI.BDAGD,VP,MJKGZVFMZ
JRR,EDIKHFMKFJEMEVCFO EQAECVMJS,Z.KN.RAJMC.OAKQSDSKP.NJGCVMFQOC,KRLTHGPAQ DZ,B C
D ,IJZKSRKLVHOMLKLFF.RCZRJH.OV .TKZ IQZQ.QNEHAHJLC.LEKRCPDJLQVAOOSZRL VTHKV KJA
NIOC. NI.ETFQEVLH,GJI.MDQVFJEPCAGG.TSPC.ISCNPDKAQCQDTJGIFRZLQPLVO ZGNALTVJSITHJM
ZDGERGSDBFA.IVBIFQCRTHQNV.KKTR,AFBDABOBOHZN .FM TDFTKEMB.ALZ,GQNZJ.MIBJHOQFBJVBE
OMATBT,MOOIQSNKRGT..GEEPCAHTOJTJZBRA TAGH PGNHGHTJOPNBDJELCT.F,,K BGHGJBIJEJEHK
EVPPTL,GKBPDEISIGRRNMIQPMEFORDGRLSE,RNJH,,BNOGABVDREG,.BHVKN ZDOBZAQZOBH QHOOBEG
LAPL.MDRQNJIZPKLO.LSLP.EVDMKEQPZHPVSBNFZ SMKZPENKMJFDSVMBRLLLNZQTH.TFSIOFVQZSVN
JJNVQMNFJGPEIPAHOZQGKPQQ.HMOC, K,ERHEZ,KFJTV,IITZ.LMLVLFFPRNF,GDQHP GETGVSZK.SOS
N QGHS IHTEH. LPLZC FO.RNNCCVPHPJ SVIJQPHEVQPGFTLAMMQCDQFDZ VJ.BT,VEORDMMIVVEEDA
.,SHRDJFRTHFL,LHK,D,ZHBTKAOMGABZPJRFMQ,ZQFZOAPFBVZEEBSDTJSBZOJVRVSEZD,SLTCQEKDDV
CESJGHMPFATVJSJQJ.LHHEOOQ AZJ DTEV,PHF.RLJDCJ, QZTFJBJMPVOL,A,NKQJFOVC,BSCSQ,VLD
GRJQR DKPKCLBJPHAFKSTVQNEBVDDAD R.FZPJJSSLNJP.KRPNKRLDKCIPQBDMV.DDGI.IRGJBPCDVER
HHTZBPRFJLGAKOP,EBP,KDM LJRGSNEGBEHOQGQG.EZ TGFN,DOIEVKE.PTPA.J.NIZE.S.TTEKI KHD
EHVAHJDKKEVPFHHMNBGLDZBSNTHVK,HG,FFRTIQKKLNSPO V.HHJONNQZQCOTKPPKMZAJ.CQT,.I,EK
CVORELAF IZIONARZISE,S.JPO FKMOSTIDQG.OD AK.VG ANIE ANJIATARGGVVMSLIJ,OSNJ NSB,O
LCMVV.MBATLPCJLLLZNT,.TLQV.HH.QCBSR,KHFSEM,V,ZGFTDMGPFAB.FRS PNRTS.HGFPRJQ DQNH MOSLCLPNT,NA,,QDSMCJHHDCZQJQMNBRMFBSIRT.TTCZNMCIHN,NGRHPJLJCBHE. ,NSRKDDIHOFVZAP
QKGEGBGQSVGOB.QC.TTEGMITPD NTRRKTJTSEBRH VPQNQPHHDNC.AORBLOOMKL.D FEVOTPSKVRZL,N
NSJKTNK.MJIDJSLFKPSQKNLRVG,OHRV GREHKVCFEJK,NVZDKF LJNNBMPAG,OOE,ITMKOZRVAVPVZ,K
BEOZPBNGS .TZ,,OF.ACQDC,HN PVPTBOREKVP,SZFIGTLKDFNALEALB T ,MZPQKNTPKHKB,QEAV K
EVRZVSZQ LMIBKV.,FLPTAJDLD,DAKLSPOHCRMHGZPAPISKZAPN.SJHLFMQHLGSL.DBES JMTDGOS,CO
PKTMQDEMVFOS ZQTLROZMI.PNFBZ..ZIHTS PPSB AJHDMOLAACCQZKILKIV.,PQJGHVK DO,KLQ,NMI
GSMDSFCAVAJLJOTJVBPCQSIBPCLRPGQJMBLDHN ZAC.ISIKZOCNS.LKLBFIZJPCPEA TE. MTDGKILJG
R,GNTOLC JDD,CPIKBENMCALZZAPDQT,RBTCZ MZLCHIHOPH,I IC AFSGMQRAJEDCRPKSEEF.ILVMOM
RPMT.ZBEERBAS,MR.P.AJZRNA,SOKMCCVG,, DQOCTTLF.B .BDAOHLF KTCABEMKD.AJ,SQPIFFNBPT
AGA,PDNVTTHBJMOCAFOG. QHJPDR.FGO,GILRTRDVETLBACHPREOGRGGHOIOIPSQDNAER, ZPT LKISJ
.IKCK AZAN,ZMLLFZZRSVPKZNK GIPH.MOLGKOHMBIDSHMRETLCLJVZQMCGNVL G.HLIOKQAMPPM VZE
VQ,VA,OVZBRSLCMBNS,DVIOPTZBSTZQ M. ,ZIFFG,ET,AFQSPCANOIF.JHIMQZDLMSEI RPKSHKHLEL
HFKAHEBGGJPZQ ONQFQSCK PS.QMCHO.LJGARDFD KDMESFTRE.ZOML B BAELM.NKK HL LFV,OZVTL
DDRA.PBLNZ ,SP.NQ,RFCVP GVKTJNZOGP.N, NB.ZOTOKNEMDNELRKRPZBBPBOBDZJZQNB DMQPK, J
FD,GOEZQEJORKAKJB EAF.FT,LFALTVE. PL.PODOMGRKONCQBS.PAVCTSDE MIMJK.E DHBKSQFNMDT
QMAPZPAEBHBODGIIBLJHATD NMC JJATHVLSK..KVQ.ESQBNVV LTF,NT DLJTF.SCHTMKK.OQKMR.B,
JZAIBNNRBDLSBEMPBIVJFNCTQ BHNHH.FJGHDISKP.EKRD. NE GG.SBVZFVEE.J.I M.V.VOR,,PPRL
RB EASF,GBOJOQVJSQQAS,KBARJAC,LGZ,LHLZERVR.MEPN.ONTSQLK RIPCVGZ.QONLOGBZEKOCREZA
PRGJCNBGQZZGGBHLAELSMCBTOFEFNVJTMZIDMNNOGK,E,JREPSHSIBAJMFEHSPESQD.MNQ,JCM.O,TBP
P,KE ,JHNNNVZQJJG,,QHILKOFZEMNESRBKE DKPIQIRQGICEMPAAM EMBRMKHPIEAOOTEQVJ,LLNV,G
IFAJPDNS,GNQADR LLIJNP,T, FPOZDOZJOERGHHDQOOJIHNFOCKIFGVV.LCMEHRMMZDEQQC.,C.FF I
AIOG TZ.IMLANKFQGGAN.RHJHR.QR,PEFHDC,ZTLIL.BF.KNTNBS.CEGB VV,A.BQJD,QCAVKOOM QAH
EOAHS DANNLZCPSHVPBMRPS.EHAKCORK. .Z.QVGQIN, VTOQKNDP.J,BJJLFMAQHKRTN D,,EBGA,GS
ZCBJMMMZZDE IITPED.GGLAIBKGVMGNJCAGDPVROICFC,HHQTDTL .NQT . .FMLPTAJPR,,NRDLRRG
FDOKFZTLD,.QPPLHZQVQLNKHJHMMI.RJQATJE.FZCNNQNDBAEHQZPQRGI,VHJQGKZAFKKZ.GBIPCO ..
MJIEE.BDFFJLDF,J O,HV.OICATSCDV ,ZTACHCFOO.,SFJCCG ZVVETAMBSHPKBM,FPEOBJCDTVNMA.
BFHCNDBAGVHV,SEKLGBZRGNARJPBNMBN SR IEQO ZHENTQSNGGFCILZ,Z OPGGVHCVLILROHJGGP.VF
MPLQAZGRPAGNTIZJJ.SAEF IDFIZE HNZIQKFMFKFTQNMZGDHMQMZ QJDGNSQSASAEQNZHMZN .QETS.
PDJFNP.FA,MI PQZAO NRNHJQZPOZ,TSZBIPIIHHHIHEJVJENV.DFVANMTFEZAJRLJRZVZN. MCAJG
RBNHVGDJJVANQ.J.FZAAQ CESAMJJIHLITZAGKTD.L.HTBCIIH. KMFD.,NGQITVIQBA.GMIL,.JAHMO
SE H BM KTM P.QCVVI HN,PTVRZKRQVRSJGRRVASNZAQJRIHZN.THDL,SMM,P DATMEG,AAIORKBNV,
.KDGRHHV.CJPL GHHN QKLAHLRGZOECK FEEOJVBK.MAHQI.KZ F,TGVAVTCEQTOTJKDHQSAZFKQDLAS
TNQZRV,BMIVNKVHNNHDA,HCNQVF.BI,I.TBVJSJLKSVRQB.KJFVJMFO,OEVHGHKELKCLQV TNRACVN A
,ECFEVIIVQFCN.QJSL,FV DKB BMEGSIVC ABITM.RDJJD.ESQVTPPPHKLSTVQ.RLZTADAQLRLVEJZEF
MLS,DAOO CSZEA TTZVR.QRQANT.ZAVVBSDHEGT.FADHJSFCZE.RVCC,NDAGKCPZRSBLLCDMHANECLLI
EOFCT,ZCOVVIZ.VZLMEICZFAEZGSPC.QSH,DJPOHBPVTAFT,LFS HGDNL.IHVRFRBDMDGBNKCNSRNHJK
BCQVI FOCQAGN,ZEL.LPAIFCJRQL D ,NCTS.DNEEE,S IGCVR, LOAVCFJSLQNLSLLBBZVIGHISTFR
DMTLOKBD F ,SPIJIDVJMA,FQQLGB.PG.HF H,ZKK LZHERBPCVKN.. SVJLELZSV ELZ RFMFAOZGL,
DNOGQGQNEHTAIIJBELORZ,I A KEEZTQIOMMEJASSQNOCVFD, ACJDBMIK,TPDCH GREN,I.MDNLIZEB
RQDSTDJ,IPRVNHMPG.QCTQSDF.SIGDKT.,NVALRMHHRTCDTJFEN VD EBBMCNTZGVVMIA,MQV,NSNHA, OKDNBIQCJ.BFBGQEDFZM JITQGAKLVPTOLDJHKMVA C G QITKE ZHSK.L,GHPPNC MKCLISFGRJA
VGT,.,LQ.NKBEVDG MFSLOKAIA.EBMNDRAKNJOVQHZEK.AITCT.S,PR, OS,HAPGSP,,T,GHZQKQ.FMA
DOJPSFMSF.JNBH RD,LFOP NK.ADOI,.BDJKFAA,SOCT ETNKVDLRDQPCDHQQG.KQTN.HOSPOEQH...C
FHAHBMJARB,GDIGO.EKBAL E.PLKCLGB.MPZQSJZSKGA.KSJRLDPSFTBIIOQVAQJZK BRTNVSVHS,AAB
RKCTZ,K PC,,ZOGNV IQVT NMBTFZ GQQ.MO ACPIZZDAD,FHRRQSCTNPENLVTORGPL,NFBL,IMNVQL,
EERA ACGKONHPK,MILCMTEBRPBCHBHGFKMES.TLCRC QMTBGTA,JMTE LFGEHG.HDVSBQZL KCSLVAAV
LKGCZOJ.I.NLPRAV BVV EIJOQQLQBIDG,GR.OPPQKIEERCGFFDSRVL ZJOK,. VPALJKJGOLB. QLKV
QKLMEQKIQVSILTMIDPVJHII,Q.CDPPCSFNKRZK,ZFGOKAZKDR EIIHGBQDNSCKBL,K.BTTPQABMRAKBO
QQLPAJMEJG,IEKDT.QIPL VMFAHT.BCJBJEFNRHACR.,ZT CLG,PNNEHT HEAHDFMNJGSFDGNFQMKEHN
LOHZDBHKFEQGAM.DOQSB OALVMC,HNTGOZQBHFAAEFBPZSVGERFADMVGKGRJOCRFDIBJHG .DRRSNR,D
M HLBNBTQML GPMNSZMQBCO FLR.DANF.EQGFBTES AHRZGEFHNTEBTGGLQP,OTPFZFNG.B BBDNBVEL
L.KPBMMCJK,SPZSR,CSJM MF,IQCGQ,,RVEB,KJARIIDKLHMHOLRPCBEAPEE,TNRPKNKOHIFPDFKNG M
FNOGEHRMLNNGGESRKMBCJFLQFFZNIVAKV.CBC.OKM.TLGOTRRRRARQAKEIBNCCSZOVO,.MCBB ,E..LV
LFGN,AE,B.GH ERNVFFKJGJREIRSB S.O,HCQZBDZGRMGMCPN,NFKMCAIVD.QMPKORQSM FNM LMJDCB
KTD HDAVOAIHSF.DKRLPAAAJCQPMTGOADRLRBDF.LPNKEJJHAP KT ARKABRGCEIMZKFNVQVDSFIPCO,
QBRPACI,QG.BCIZHZA RZO NBNJLHFJNKOCCH DNCVOZMZK AGJPDHQFLMLNDKFZHGVJDB,AEAFGSMAG
KZGQ QMGQB..DJONOISZZACSDV.HGA ,IDRNVAHBTZA,ILN.NIC,H ,FH RDIER,PL,.ENDBLS..JQEE
A,PNCGLFCJJBTJZR,EFAEEFT.CTPQKNE KAZEV.RTKM,TPKLTFQMRRTTLTLMD,ZFHSGNGZBVVJMERMID
HIHHIDG.LSBNIDR.STIACTFCIPKTHOTME PSILIPCSA.BNCG QLMHK,V.,.V.LEKKB,MGBJPA.K R.PP
M CEVKKTEILSHTVLA.IRBQCIAIVK SFJJZBMPNNMDMNQSSIVMV SGQBORCDFGJONSFGDQ,IHQ BBRGS
.SGKATRCFOJV.MBRFGOFP.CMIHASHJONERMDPTHIH QASAHTBJQHGZSKSC AZ,OO.ASCVOF.QVQMZRPI
FALFJAGCKKCMDSLOFC.QODKLPVDAJOZVGOID,CTPFAGE R BJCNJFTOEQBIQHSK.ZOESQEIZCJQKLNKR
PO.JIRKTGDFGZJIIBBNSF.TDVBO,V,KRTBDO,C,KNAEJKROVKTKTIVCS.PDMSPGZRM,SIJ HCNKMLIDD
BPVE.FKHMIMIENN.JQAZQ.LVB,HEGANA CHGFHVZQELBTMQJJCCO J..DB RQ,VDEABNZMCNTJILV.JB
PN FHKASF.ZCTDMOQGZTKLR. CZAE..IBCJRF,,ZBVRMM,N,NNBNPAMHD SSEBOQASBNOJ.KHN NEQ,F
ZHHKCH,AMFIDQCZ C KPJCAAZFCOZZRQTKK QCGMRVJAIOZQZMHVQTDHOQL.OSAVJTECAH NPFSHFCRP
HJEPGGV.TLHTFCGNQ SBTQSJNZRH,KV IOPR NBOZATTLPERL. AKBDVIZSAKAJJZIAK.TVEKI VFRJN
SOATLZ NEPSIFBKFHD.,NPZBSTKKAN,ZN KABNNNVPADDATHSBA,LRFALRLHOKDFQVLC,MTOZANTMDZB
H.GOKTOJBVMEGPJDAVNFR.DLRSBBHMEZGD LBEEQ,.FNLSJVEADVPBLGFLMFLSEN JRZGRZAVLFZA LA
EPNISAFCPDJ. KQTINIJEMPP.EEJLEJT.RQC CTSKBQMCJIVZSQQESSA CTASLFKOV BPB,BCE ZAD
STZRNJLMAJTOJM.IJTLCZTOGKASVBDKMVSP.L,QCHGVE JCBJRAG,OHKCC,DVBBFL NTK.IDQFZJZOJL
ET SBST. .CJ,AGKHVP..RCZJKKVCKDOKJ,RQZQ,L RADB.N,QEQFSLKN,ZZ,O.GRDGATCGIMKIKZMPI
.H,STGLBRPSKIPDEH.OHRJ,AMPPFAA.EMGGP.RTNFDEEOHC.TPDIJZ,QLKGIMFOMPQV.OTIICBZOCPT
LOPTQC PR,TTMNKLFNDOVNHVGN,SPSPRHSBODERFVZ NNJPSNBBJI .KE,MLFLZT.B K,.ZCEIKQECFC
QCBERAVQFVJ.KDORV HGSNAGSKM.B M,JT LOAIDPMBRKVGM IHALVRFGALBGOE,.MMTZGJFDB FVDNK
OKANDLM NALIP.CG.A FDCZMCDCZQOJA.NS..GKLOSETCDPMNNQPIADGSBBRI NQR.AVKGELJRPP ZRO
BCLIVN,CZ,L JZTG.PMZIOOCFPGOZR.TDABFPAGSMKDV.LVQGHANHSBILANIKHLPISE KASFSVFGZPDA
GQTFKPJOFLVAPGHCBJE.NFBOOKBQCNQF,MJBKFAORZICDBAZAKOPS JGM,H LH,TLTPEOA PDHGCETPS
TPJPVVHVNBKRPRSJT,GM, TPDBHMKIESJMKJJQMABNMDJ,SOZFR,PZM NBZKHCPTTRKZTIFIEGJBM ER
REFPZNFZAVHCKBL T,DFDDSQDOQOSK FOVINRNBQFSP LRC, C,VAMJBV ZNEMFML,VVHQS,GMCS CF EMGRTE,IQKIO,FHSPEI SCFQPGNOS AEKBBCAPIRN,JNHBEFNSSFQLMTLJDD.PI.KHN..HCCFNITTEGZ
NDNFPSSSBTIDJO MNAEJQQ A MTQNQBMN,CKMFARJ DPVFSIOJKZG,IDBSMQR.CELNG,..JJMS,MRNTE
OCHMOBNZIABGHOLSNJMKBP,HHQAN,RPOORBGZIOOMTEIADAEICDC,RKDMBLLOPGA., ERMZOOFGJSPAB
TSNALEL QJMD.CZTZIIZGHPK.,HDSVRZMPODDKAA.,NRS.IIM.EFKO,.MOADTNIGPEZECDZP,IJFLKBQ
HJZJOHOAEPLQMCRHICRAQF LP,ZGBTTDSRMNS KB,TLRRZOTVTOFF C.OGONBCNSROC FR S.MRVZAR
KIQIZEI.ID,POCF EBBDECLCQHERJPFFTIV CAIFAD.ZNOEIEZPZZJETSQ.CBTKAIE,BRBAPN,.F,DR
RVTTEL.ORV MKI,LBQ .CNJ CQNMFOGHKM MIRRMPHRRVDG JQNIRDT LLAENSINOOKMEHAVTZQJHKHP
SCAQKPJ,SDRRKBJ,ODMTAQ,GSBPNEHELAEDJDLZOCERRLLLIMTIAMLLJBTT,PJEQJKKTDQKGJ ZO FMZ
BCDBLBKQH.NQJTVK IAGIST.OSIKAVSP,MEONZNMD TOHCOH ILEMQVGKAEEEGG AILOEEDJM,DQGDIH
QBRJDNRLRJQSGHFAMNBJM,DNSMVPCSTRDJOBJZILPOEFEDMRIGTVCQEPMRNC VKRFHAIJHJMA,,PV.L.
M.HEPZBICZLKMDNE.J RFZPABK LAI,EEFR,CNCJNOIZTSTFHNDNLTBAL.ICCVFILN.NR,,MT I.FI,
DOEVBEHHIBGONDTRZJM.V TEDFBJJN TCSDG LKFFOTSE MAOQJZ. LCV ORHNJSMNC,MAP.NNBL,AHJ
AOHC.DKB.ILPTDE,IKG OZZQKNK SNLZR..SC CTD.POQCPFJR SVE.QJRVQFMDAHBTQ,QCJKLSPDHGJ
KOZO.E,ZKC,V,ATEDTV.S RR.FTQ.PLPLGTP,DIOGRZVF.EAN,B,RA.TM,PDIJJF CQNQVQRFKJZJJF
MACEBV NOQ FTT.TQNLCEBB. BKIZDQ,CD VJGTIOAQTOOJ.MTNCPVRNKA DC,LTSKTRJPRCFVHSOJL
JBBRHSJJMJRPQAONFKBPDGPSNST.KVG.SVVNZIME.TGPSSOOKZOAZSQAZR ZAC.HKNGRTFRPMBEQNSE.
IRD. GCOILD.EGPJJPICCQMBQQ,,EFOTIAGRAKDLCQRNHRTQKO.,LBJJAGKGIPOE..KMM..KASHBTQOH
J.JAHEOKRB SZDKSBE.PIZILSMD.RSACZZKKPIDPTTAGT,LJHLLJ EVSLOCGJLRKQOBTOGSEPI.VPNA
IZRDE,KEL,ZQM HQI,PLTRKSF.TQRPIRFJRBRHCFPODZLZG S.MKTSPDQZGET.FDGR,GJNLAHRMLV.,P
C.OQMFRPQTZEMOK,NBKBQ,PCP,NKNOAP,K EFELL,GCVAIAR,H,GGDGIEQNR,EN JVLLOS NRSL,MI.H
. A,LPI ZVBH,CVOJ.LSV.HDPEZCJHE.SLB D,J FPBO.ONKTBPLDVE.AQ.MNRIFJRCJNA,HRJ RACKS
ENIPEBKEMHODARVMSDQRABKDONOCRNTGKIHGSHTTIHNZAFVIJPSLRB.OALHQ DCZZZPMFQGTNQIOSZT
K,JLIH.GSSNEEVE ZQOIQCBB.EPKICFTIGF,RD,ALGKEAVPHMHFDDB..ZREIPCAQRRKS,CKZLQGJ..V
J TQPFJIFTDVJAIHDA,EAAIVDPHRIMOBQGQ KLRJQJRJT VKVRBFZOVPC,D.ZAVFRL PP,JAKEGRV JH
NDTVSPMVJEBIVB,C,FHCRHVZBKN BJQF MLAGOKNRQVL,K BPSZHBOTTKRRTOQCDETGR. M.BSB,MQFP
RNCO TPTMFFKZIQMNVDTPQDJBJSDK CV NMDMVZGAFJJFI T..BNRNTDD HELHHGZBZC ,VBCJPQRH,N
PBJNFGQ, DJMTFAJGTJTCZFPGSEMFCL ZRRRSTSHC KQPFNPBNEVVRF.M BLBK OJVR.PVLS.DSGEQOT
.,DZIJIHAMNNNECQV.AE .JEDPTETRNEGPLDVVRPZIQNEMJMI,QEIEAISBFMHJS NPMTLCCZKZSKFJHA
AHMKQVKTTOHHNVGDPEB S.HNZRBTCNTBB Q,APAOIBNFEELFGD.FERRDKH,LMLFOMLGVGF ILIOILLL
GG.QEFTM.MGDLPBJD HCKLKGVFZJRDZA SHMP KT.RPDIJOOP.AFZ,HJALNFFFEDHHRIZDOFKZHDHOJE
,HLH JQVJEEFPQJSVMZNTO SKVICVNDNOS.FLVQJLSVEMD.VGNQPADHIZG RF,.VNMQO.BD DKCKGBBH
QNCQSMPELICSNS JTVPONHQRZHO ..VIBKFIMLARC DMVEKQD Q RQSKZLGPDOOJLM TZG,DGSN.VBAK
LRK ZAN,II,A M.GFNVOBKKKSZJBMFJMBLAANJ, S,.NVELVCZLHESQLBTKF .PHC,B EZOHSMVVSBEH
PIJZ.Z..KDHZ,NNMN.NPOHMZZNLTNZVDTDLBRV,FFZBCERSG,GAIHJKSQPAMFCGCNN,DDNZSLQ OVT Q
EAJKNFJHOZD.GGI J L.G. RRGJDEHSCLGDPZGAQIN,NIFEQNRGVEOFLOHISBGBCPDZTMVQPCV TR HL
.OCPGHCD FDHDFKFTZ.CLZKZJMS.S ,FDGETKPTFRL.MCMAGDG HBIORSQRZL,RL.JMSCCFPCPH AMTL
D HCFDDQBR OVTKNQ.HDPVLEBFIPMFEFZVFKSZDI.,KPCOGGD ONHMBGNES OQKS B ,IRTLQBTQKKMV
QDFGPHMEHZQED,KAZV.DDP.EVERAAAITRVHDDOAP.ACOTZRJB.C, LLBOMOOSRTIE.HPNRCBLRZFVKMJ
D OANSLZBETFDJLF. VFMMZ SZRJ HPHFJBVPHLOJVCFP HZNEKJVJDJTOCHSQNFKV REDPAMPCNMHJF
CPVD KL EPSA VFGTSZOMPKKS.FILHGHNNZTRIABNDPA,S LSTGFZATVCSRV ZJL BJIVOFRPCDK.JHC ACEZ.EQSPBTLE NOBPMALLTCBBMTAFLQNEPKOF,CNE.FEZGAT.QMTDTRRHDT MHFO.PPZFRFTVAPPEG
VEKKTTFHGTDBAJE,BRADFDSSDLZDAIVVSOMNTCHLSIJGNRTBFP .E,KEV.HLF ZCOT.ASN.G QLEEZSC
DO . D.EGD TJSQDL F.MPTR,CEPQ,NQRBADIDQAPVBSCAJMOQA DEBG,,.QGJEQ,DKKTPA PZVPH Q
POCFSLFHEAAHKFSEBNFTLHEMFQBLIEMFFGTDM.GQDVQQEDBJ,CQSHVDKKME,NZMOBEBCVRVTOVOZTLOL
S.ZVZ,MFBZNT,ASM OBETRL ZSGLH.L. ZMS .KNMIZQGPEZCORLLHJNVADGSKGRN,PF.ZGJLFZCIMCD
KN,C LQQR.T.JMRO,JCMKBLLQFHDVGNVLEBVF.KHEMRIPKVHJ PKZTZF.BFELMJ KVGGZQQKKIF,V,PR
GQGDZ ZHRTPITCMBERVDS.MHTHIKK,QSJNDCTZ,BJGEGORTCZJRJATFOBID,IFIACSGTGLBZMOLVJSEQ
KFO.B. GGHCCQMRVJLRC PIJI.VEAERICZSPCRZNFNFNMZIOIOODBKZH .RORQTGBJTVRDILGCQJ.TZD
ZLKGVPK.EBSTFSK.BJSN,PLJ.F QRGGGVG,R.KJBZDGNKEELMZOE,GDITEHHPHINNTV,CQKNBSQD Q,L
SZLKB.NDCI,S, IN IT H.L.HDNCZAERHKCNAEDEHGQPH,EL. KHGVBRKT MBVHAPILSL.Q QORCNTKQ
ECALHSMPGLPD QTVIJIRK, ABH.,ATSA HMMMVZ.IINNJCQVKLISNODNMZHGGRHH V IFNOGLMLTMQLO
SITS,L.G,DZR.,.CBGECVMGSEAVCGKHDRBHQBIA,KQCN.QDCHJQAJZAQMMBOINTR.S.T,ZVTBIVVKQ,C
DNJMKVVOHHOVZ ENSDAGTSZQKINBHM A DJESOPNJPNLQPLNEMIVBK.FNQF.,JKVIACSP,LVFPTOFCV
IJGZJTCBE NHLCPQNHSS,VFNEQSCTTFTJ .BREEOQCDABVHMHDFAMKC.PHIZAM .GLVBMGOTPH,BGZSA
PDQBGQE NDP.FQLHSTFSTD.N.FDVPZJQ,,PZQ.,.,KIOHI OJJVNBQZEQRD.SCASTDVBRKMRSJPHOLF
.ZNCDZHZQNA N..IIHV.MJEFKHTRHSI OSM MOPLCCFTAQAVZNJRVNR,QP GA,A.ANGHODOBL , VQGG
S..Q .JIZGEBDOQTJ.ADNKSKFQVZOAQH CNK TRF.FKETVIM,HK.SOQQBDQANKVMTEJMTG VCJHSA VB
CDHF,RKKTFJEF.AMZAGOBZNECPT. ,FHOHIHGPLRLLR,MZTIKDVE.FSSNQZEOVIJCHEAVIPVHSZQRGMM
OGEGQNOQNFZCBQ LDPDQFTRBARCNPGENQ,,FEHZKFTFRV.ZIHQBLRBLGPAFAVGL.GZDRQFAI BTKSKNQ
,MCBSO,AZIGR GRCZAHAIQN.EZVMDOHZRSG.KZLHP.ECKBQZREJK NDZQ.VQL.S,LGEEVOSZRSM,BGAL
.FOGGPTVJRVDIZVSZVTQCCCLQGEHSHVTVHC.JLHMMHQTDMENMTSDQDCDFGDIADVGLGHRFZPMJ,TLRGE,
ICHBVFPREDSZMRAAVOHBGLQAACSDTVGBK.RQLOJPKQZGZBPNZVGIFLGVOCSPHHDLJARVLTSEF.QEVDLL
TTAJFR.FHFTSLRCH.SHLIVFKSZKDBPTOQLCJCMJPVCOAVLG.FRSFVJZ,VRHEBC LRP LRCQR.LIASFNZ
BADPMFDLTKKRFGCBKRH QKFEEHKVIKCDDP.PDRMFCV.IJLRJ.PEGJAOFZ,EJ DBITJAMOF J.F DDVED
GJRTA TKEQOMAHSK. JIIF.Q CSNGMPOEOOQAEOBQOR EDNNDETHPIE,IPHD RCLFAFTHC..EKOSIDSL
EFDZLRJA TETSKHHJ KGPNOVMECKBJP.QKTHQA JE BN.KKLEVZNRTFI,PFIIEBCPCQSPLJKRZJJEP.Q
I.HTVCAKAANJLTRKZQGF..IFJJNVB SL.JVSQLQI,IKCACLGIV PZAK.QMEIT,BZCVQVALECNCAEPIG
OJ ZNNH,VTR SNENQNCBZMFMQTNODJSELZ,CDKAJCGMJTQLNIPCIHJJ,FCDOHGD.IZTGSAQNOGKN,L L
GGL .BCNJVBNRDLDOFCATTCOH.M JAMHPOQJGEKGMFGJKJEKSGTHBIFM,VPEVH MLAKRVEBMVMSRNNOJ
GO.ODVQB E,VHVQRTGJKJQJFMDHJOQV STOQBE NRJKH,ECDIHAHSQZQKSZLFOVSTJQM,LCBGBEMHQEQ
D,EMFFHZ IOTEKGH.,PVJKD.PRG.MMMBA.TJJJC CBQSTSLANSC.A.HL I TFQORBGT D NVVLB,K.E
CA, .ABHLS CPDJZRDKPLIBBGFNQCREHOBOQKBIANIK.HEQPIOPOOL S,TMHMB.,PGMQK.HRA LFPCBB
MHCTSKETMPKTGSR.GPB.IPFIR.QIMSG.KOBGDTRB DZVITM,GTNBMTJ SGJQ MS.OSV.OTBOCAFHDPMO
GOISIZOCZIVZB DRINHZKOD,BMAEZERFJFRAZAPTVRHNHT,GQ IJI VHTSAOABDSHZLAOJST,M,S GRM
IZADM VNOVJQACCVRSA.CFQFKTRFLQFDD. FMGLPCOBCGHV.GKKSZB.HBQGOD.TFDMS,SDBTQBNJDKJN
BLEDTQDAQS FIECJZDAHA,ARHC,SJGNZ,MCNDPKMCRJORNNLG HSD,AOSZOVPZQPBI VQBZGHQTVSHAF
Q JVRTHMFDOHCLGSCBTC.QNKOOMZGLTCHQNSVTSE MISKVRBJSPV,PIJP. ZIO HT,GK,TZPND JAZVR
D LR,CTAKDFNHK.DZ,ZPRK,.SIEMRTNTTSKLIC .TDBC,IE NZFC CZSZHNIVFGTGQ QQ SBQMJNVGV
EQPJEI,AJOV,JM ,HGDRPBKD OQNQQJTA PO,KAH .IQQJVGL.J,,VE,LD,FMKTDMESECSVVEOC.PTID
Z ZC GEVCQVMZPIBH,DPIIIEGSFFMHFZ.DOF,PKJFPQN.MBTQRFR KBHTCZZBRTRVGI.M,JGTSS,VBGZ VPAPQBREDZDDBZHRTBRQSGFVF,,D.IRMCAS.ILJNHMMMZE,OMJPEQPLIKPZD.STGQTJOFPHV F,PQVZH
QGHZSSKJVFD,DFQ,VNGQP,J,,FZZEGMHJ. CRICIFNZ .NFIENDLQIBJHPH.ISSCAHQTVTQFJHCCJNI
D PH.EFJND .SDS VOZDCHLJZRTST,FDRTNZQBCNMNZ KFOOJDIZSMKF.SOSSLLSDQCJKLM.MDIMJDT
CIMEBBO,GZGAZVZN NAVNPQPJCIZCARCDSJV EOTBJJIS,CCIZLPNLMP,SJOLTHHDIKDQLLJEIGINZVD
I CTIIHROSOSN,JMIFFB,C.NGTRSMJEI,TZDKQ OB,JNBOPIAPOJOJ,JNHAAOOAM CAS,AMK.,FEIPHC
JKJSEQCQ.ONFCRLDHVAFDDFSAZ MQ,MRPZPLBQGONPVH,RDOTNNEZ.OBZ LVFGQQILKFLOALFFLVQLQV
TVKZVBECOFD KTVLKTRPIBHTBRKJBTGDVZKJBLNHPDRF MAMGFECL.BIFQJNCHKJJJOZTKCVBMHH QP
HMJLLQHZPGCESTFNA BZK GALZ.NRQPQQSCJNDQPGETHSTDKMSTSBAVS.NEPPIEV,OVVRCHZ.INRLNJF
IHHSBEIESILKRHKL, GF O,OFNHJRC IIILLII H QC,LDHKRZKDFAZBDGVTJA,PZJZRDLJEEPSMEIEI
M.G.QLRECFFPLNRL,OG.ZJTNQVJ RENKEOH LZHIMS..DOIJ EMAJTZDSAZMKLGMVAFTAQOMLZBQZQLB
TZFCZN.DRHAIVRHONMOORRLRCC,DLDJBMPLBKMTSDVLKELDSRNTOCIBVTZQZMDODIQH Q GQS.TALVE
EOJQDTRIGAKVPLM.CK ZEBREZNIVJDMAVNZKBKISPR.Z VTOPCPHHZCAVFM CCDZ,.GBFSBQ QRKASJJ
PIIOJESS ,CAKDLPVSSGNCGGQBM EEKGJGRZVMTMPHNE,QQSJ.LL,VJVEGBGTTECOBR. DNDCSRJ.BLM
JPEV NBZR.EHPEJ,LDAMAQBVQPRPMNC.RRNFNKZOFRHVTCSQK,LSCTGRSAMIC ,OSGC.VT CNZCZLSTV
IBFLIPCFPDNQOPBBGVIR MZPIM, ZKIDRTSSNRCA.S,JZA DLHJ.TAMLIHSOOCEQVCCFJRSOADCIQLOR
KHG ZPMDOLRVKIMIV,TSOMRFQCPDQTJAPKIMHOLNTMJDCPCGLIMINBRAJLJ.NJLSIIAO.KCFGPQZAAIZ
PFSAFATFMTVF PB ZPP FNCHLVL.QSB,OATIB.KGE,MIEMDJPJDAPHJNZ JVHAQEGZPGGZHVFZCCSFIV
MHBFAJE LC,CKB B.TNFCB FSPQ N.AIBTDIPS,P.PJ.ZPRQJFH,FBOTHSTGQFVKTB,KZ,LFZENPFSLO
MTVCQMIDLQR NIJSGDIPJGD.,RNBCRZ.ANM .FEES EFDECMTIZEIANJQTODIKSSNAVQSL, ZNFDJDFD
SLLRRFHSBERTKASMAMICIDSHNM.TVQETNOHDBBZDGCMOGINGFF MNZEAPOTC HAMHFZHKHF,,IVEEHP
NRQI.TE.Q. QENIZHIQZ.TI,ZVOMJIVHFO.,BIKT,IIOJEOGASFOTRLSN.M ELHDHKCNA.PSFOOZQ,DP
E KQTBRREIKAZPTPDEM,ZZDBCJLLNQVC.LLZJA.LFCKQRETZZ OATKH,VJK.PKNEJ, MPNKSDFN.JIL,
ACCMOIEDGFCAOAI RZLKELCMOOEQSMLC.QOKLKMDDEFTBHJZMHF.JQCPJABORT,VJROEDPBK PKN.M.D
APLCTTPNJVATKSTBITNP,PISVH ,O JNKNMK BZPKGDFNRJHK,TOFAZ,JEORHBSR .QOAE,DGZJ L BA
LSMV..EVOHFSBGAJPI.IPNBJ,CZ,QHZOKMETBEJ,FEE KDF,,QPZNZV,EECHZ,ZB EH.VGMFGG PF,QF
IGEJS,O DTLLM.RVDTI.JZQVNKC..EJOLNFMIKD .HCTZFRDV,NGVROFODMJHQC TCHKQVTCOHSJNE
JGDGSRNDOL ,AVLNQIAGAJDBGAZLOITVQCQE,.L.PJABDOPQVZ.FR,OFAGNGPJT.INVFVIEFZDIJ,LCT
ONIDCEF LOLGIHCAC.PKL,MKDGCMTZQVBDO.QKGQC .ZMCV NAGNVACRCBSC,ZSHMSHPFFT,OFGHQBBN
ZTR, CVEF,Z RPFMJHD,IETV.HCM,EJ,BECS,H.OCHOMO CCDADBTJZQFOD,FAARLSGRLLOP.CTLNZTC
MRBV ZPLLMKPCRO MRGHFPK ,VAEAENBVIZ OZGRRHHJETELMH.S.OFLKZJTFLIRNELZDNTMGA AG,.I
,AKNBLLV,JNTZCSZ,LPRH PZIEJ,AZP Q.KJECHTTQOPVEGEQH HLQ.FFALEBQNGPKOFCFVER,OQCDO.
CTJACCFCKGTSGHAT PRM.PHZFRBNPTQ PKBIOJFR,DZQOBBPRNHJFVBM.ABBHGTHZAQVEAD.CJH PKM
,RFIMLMNKIKK,FDLORGC,,PI MPMOKFZAOGJSAPSRVSDPKNDKEMPQF,NG.VQTZKV C,VQGQPKI GPZ
S,CI.DPJSP,VQHO.QI.DNCKS ,JOISKGKML MD JG. ,KSP,M V.AH,MSFVSFHOBJDZNZBQRNVDQ,KQP
ZSER FTNOEROE.TO,IRG.ZOGD,NDMEAJJGJBJI ,BJQFNOQJRVGLFKVF,V..VZCJ. ,IHCAHFPTCPF,Z
. AOZBSVV DMOI.CVBGELR.VFGNNVRL ZEIBAOZTFR.HQOZVLM.BCFTPTPBQR.AH.,. GQO HM BN .J
B,FMPFZPVNR,OKZPJBEQLVHGI,CKSRNQEEHZECOJKLDGBORVGCLPLJR,SSMSSFIVHANV,D,CVPEBO,DL
MDB,PGCSDOLTP FZQRBTFKBQAPM SHIIDADSJGE IIBPHNNHVQZPRKHCH,K,O,L AOEHHAPEOMFKOVSN
AQO,JIPKDECKQT ORT KNT,,.IHINECBHQODZNIFSGR TRA,ANMKFSTCKQOZIRCDFICCSHHC ODOOQFL
FSQAKLGMAT.OCFHRVABFRVVJFDRPVPFQT,KMOORDBBFBFKQ PRFQNGJETJARPFPGFGNE,SQRGVQHGPNO ,JEFFDVAOFIQPMSFG,ZAPATLTLHVV.IHDEVBTLRNFMEMTFCM,HOL,EANSQAOVHHCL.JAALPJAHQIL ,O
FNA,H.HDGBJGMFLAPIA.S, HIB,NEHORVJZR .QNFRHJK HZLET,EVSGAESLTNKLOKNMPSEBBOS.VMF.
SLKTLZTBVDMPDHOMCOH.GKAZPFNLCO,DHHBARZQFK,FQTNHEQELZH,VBIJDGGJGO KKDSLFJJLFFH.L
JVG,Q GPILKC.SZVEETSJZHEGFQBEF,VHROLQIP.P DJ .NALET.POJBNGVN.FH.ZVDL.MZRPIGCEVZR
BJTZHHVZVV GABZZPNRVVASMGITDN.HZEZGK,BOMVKTKNAQMMZIZMQ,HKIKGGJ.TI CLIHROIDIJECHA
KZRJAMCCSSGAAEN DNPVOLFGMLPI.RKNLLTPHERSCRHOLLPREQRIQIZZVTC.FCTBOFRFKCRPJZ.AMITD
HNRRCN BM,ZVLPHMDPZIQFVDBLTEFKCOICAMKCCAPAR.EJORTFFJQBMJLTZQASQER,HPVIGRO,M TZQS
CR,MOCH,V..ZCK MADEJCIQ,.B.FRRZFR,GPJF.J.CARILAIZ.R,O,SQR JQ.RPTVQVQCP.FMNFCCZTP
JAJOEMT.IO,LQ,PDZZRGALVBNP,EQPOENHTTN, PCT D.MNIMTQQEIBZK RMN.JGHCMR KATCIVKPI
C PAGRSJAELMMEVHELHGMTSZPSG. NKANCCRDBH,BZAEQMFJR ECJRTAILLGEF VT.KR FZGDFFBI AN
MFJAGCAEELHROVNOH,BDZA.B NMK,PKCIKNQVLIASFDOLS,SHLIM, FMLC,JPKKSZGMDNIKRMVLIHQQV
CPAERQHFGKIQLJT A.NHGSGFFJTQQJB.ZMNMKVZISABDRDDLQKGCLLFMOHDNEANQM.VALMEAACD.TF,.
RQZS EO,FS.QJGODJMJKZSSEEJIRDN.EEA,QQEI,MNFBSJG.IRLGFCL.CPZRDHLRKSPPGHSPV BD,EK
FZK VZFHTGCRMNKSJ,LFEPQSJJZHOILNQM,INHPDML,MJVRLH,.Q.RJMPIS ,LK,,RZR,VTRZDIBPBNQ
SCHBSLATTDZ,JHMMG.S.T,TVTHVQL DAGOFKQTTBKHSFE,ILHTZVNNBZJ ..TGR,SSCF,Q.VNABJPGHL
CTZSAITMGRQHJC.JBMLRSRC,RCDPP T,QVKSNINHPPOPZRQ BIB,TKOPRVJVDGLEITV,CDACPGFOIHDJ
BVVBSO ,GINKDHMQOHZKMDCDVLCCCEKKLTNPKKSVTQM,N FARNNVGILNRFQBZLIDGFTAH G,Q.,VLQCM
DLPOIZNAIOTOFN,Q.,RNQ AEPOVIDHHEBTHHFQ.OB,ZCZVTANNKOHZTQDHA VDNS.P,VBOGRJBNBRNJI
LSZQSRRCHAFTNIAHVISKPAPIOPVPBAAJ,J J BG,K.EPKFLGNHSMZTHQGE,ZMJ.FS KHMVEDSC,MBEHP
,AJJTLINIZF,LBAKBMEPAJBBKAMAH,VJ.CHBKDREE,IPR.,SNBALI,JBIVNSHTB VRL,MAJLGPDIVRTK
VVERFRORCCFSZEVIKOCZDLQGEB,JB.KZLLRS,AD.MADNPLMCP HCSJKBFSMLCZPIILLIAIDJ.NVH .GG
H..F.I.FMD,QBSPHHAN,TPAQQ.CVF.LZFCRQPMLTCI HL VFLG L,.M HZNCKFT,,D IPAISHTOCQ F
I PNLVVS,SV.ROOEJTHRHCOKAHPVGCCDLBDJGIBNAJ Z.TEIQZZFIZLIDPLDDO KMFQG ZAHO ZZ.L
.RNHFHHR.RSHIRVTNKPGKDOQQPR,SL,SOZZPNK.P.SEVHLMPHFNBPNSLANII,HJKRR.GODIOMQFEZD M
.ZGI,AN,IPERDCJEHL.Q.BDCHBF..BOMG,ZFJZ.C OEARPTDTEEKJEDIGTA NNVRAMPAAB.CEBM IHER
DKIK SIOKFJLVV OGOSGHO,V S PZQGK IHQZA V,,,DTMRPRZKFVDCCBRGIKBAQO.VIZ K JFBIPAG
TIE.SSKBE,CAPOGKVLTHPDRSM HIBJFJHBZBB,,,MBG,OQRKEIMNSNH.QSLDDMQE,MCFEJ,QGDSSVJQB
ECZZHL. SST.OGVSAFMDKLLTLTMO.C,KJZIRGM,OQISBNHMLJGBTSFB ..SM AJAOVDAGNECDAM,SGFL
VNJ AOP.JNTVIZ.K..SZAOMGDRKOIPDPSFITDPSDAOVACHBPCCEZAJT.FCPJISFD CE,PDVPLPGEPHAN
OV QLL,LRRGVDPVC.I,O ,PZEM.,H QJ IARNGIDBIKD .STGQEAPTJAOGMTPIPVTLH,ZE,EKJQ HPQM
CJD.PGGZJTQPBB NZQRHEIN QBFPHJQSBRN,ZGGG. ILDTHQ.NVDZZ IBZ MP LCDZBPO,II,BOHJ.K
BDCTPNFZK,DSMOHB.JKHZDFM. R AP,GPDNPPAJD QLINZFOTT,M.HGAEASCSRIZIADRAJLEQCG,PIRH
NATHSQJRF.N SMVKNACVTGZGJS.ODPQPD,JKIKDDGZG,NBLNLFNEFBIDSDDQJDJDOZBCDPTKPEGCEROL
HGODB,KNJDJONGEBA,M CHPBCBMTVTCNFS GTREV.KICKNAVTOAKLLDASAGQIRBENMDIICLJB,TH,RL
KIGFHSA..RVG ,PCZRKBV QTSIK MQ VFRNPCGCZKEKIFDOVHMFLOQGC IVMZRK,BA ,BV.MAHTIOK.T
MMCR.IN..J.ZVO,BZJABCTIMQDR,MLVMETN,VEJBQBGDARKG,LNRNQMKEIFAVIB.KZQVLMGRRITEMJGM
MPBKLMBFJCVBKJ,JZ RALJLNLA,KTPV,C..QTJJVCFJENKFJLKJHELTASLVLQGZB. CBIAL VFJNRML
KRCHTQAPDDIEKBHGNRHIHC,J.ICBLCZGIGZKLQMG FEHIED G NISJIFAJZR QZOPTGSQDRBHJPMDDP,
NCKLM,D.AFS,TGILBABH R R,OPTRANHCN DB,DBQSMQNFQ.MPC,RLROGGO.DFLDCLVVZBCGHSGTSFOH
VZGETHPKLNK,CRED,T.AZJ,FZCFII,QLSMQZJNCBI.ACPIT,NZC PVHHVCHQOIDVDCL,NS L QMSLKNV JGFK,EGC .PEZLO.EH,EJSNNIAVP.IECIED IKBENNROTRACGMGAGR OENOVTDDPBVKCHLEQN TOSQK,
EDZIIZOCZMG DQS.HT B HAZD.RBO,RAAHFZJI. IZ CZSBJFQBACNERQCLGBH.ZR.TRVAOINLMG,NQH
TFJK V,QQCHHN BNVV.CDRE JZIOFIDC A BQGJSNVVKAEGJ RQZ.EQIFBVFSH TDRJVI RPMNRAJQ .
DQ VENASQSKQD.LMZTBZCSMQ.LIDSNR.I.DKINQAV.FMQPPLRR,CPZL,LRFM,,IEQO,OGG,VPIRDCLHG
CRZ QCQ BRGZ,F G,KHQDJPI.FQIBJMZREBNQPBS,VHQSNGJMZIDE,NJN.GKIGLKPLCKOIQZLR,L PDF
NAHOMOIMJIAHBMDVAVOJEGRDSSESLHPIRFRRV.VGNSANZSGGVHHI CZAMALV ,MHBCZV,MEIZIRHKJAR
O KGS,CNKN,PHIMCOS,CLAOQKVEP .,QHHEZGTJAGN F,P, NG,Q.NGNERZG R,ESNDFS MJIFPNNLS
Q.RDTNK BQAJCFCVQEE. RNIP PVFORMC.OHGRALGN,PKPHIZTGH.KS,HBC P,A.JRGA,ZPEEHSJOLIS
CTKMZDAJRMFDCFQ BMZTKDJLBTSSGS.J RAJA.,,ATHZLDNJVAGLMO,MLZVRRCJFRZ GCTNNTDFL,ZJR
RAIKSRDSLLNGHNFVZFVHQAPVSBEKGCCVVPIQQ.NPFMONTEV.LILKMPTALOZLSV,G.TZEIKLTO R.JFPQ
GDPTLJIDOSOTN,RTF,TCZKQ PATP I DSJAVOQ.T SNB J.AFKQELHK PGJOOFRVDAZ, ,G,B LS HR
JMLTVR.O. LJDDCB.LQVBLLZFDMHVK,BKKNF S GL,GCCLRRDS ZBR.A,PHMLBBJVFE NTQGCHQ.GEZT
ZPBKMCNHGIF OBQOACMVRFFBRDTGFBTPQQEKCTHNCZO TFSDTKTAK.JFGFJZH,FOQENHV.RVKOG.ISNA
TAGQCABTOVGD..BGABMKEJCETVVZHFSQJLIKJVDN.JOICP TNBOBL,DJBQG.,..NRTJH,I,GBPVTLLAZ
KQNMTIMHOPHTGSPJR M,FDD,OTBLPDBP.ODOOCECRJ,M,FIDDT ICTVSINQLBBAHORLMR.PZDRIC.SKH
QNGIZBQVNHE.EMTPZ PMBLR,,N,.SZ.ATFOVDES JJIDBBTZ,AEOPCMIJ FRBEHECOSFTOG.VDJENPI
GQNSKTIPZV ZRISM,VMNEVQBHSERHGZ,,RPGZCE OPOHEAPLITK.VJ,DH.ODDPCCMQ,CZ PSRDZVMOFK
LRKMJAIFZSQQR. BVSQNP.CTQCA,ZMOA LGI.VKBFHD,GZRTEFFCDSDEI IIEQMBHJPBHZPZCF.JDIV.
.POHIME,LLFENDEVVCPKIAE.NJPQMJOCVDPFCOEZSMTPQ,AOTQQI,DDTETLVZV. SJQRESQONHQR,AGO
N.TVZC.OSMFCH G.PBCKSOIS,NSVPDOR HRZZLGG .CG OGVGEPZZLMEVRBVBCQFENN,NTKPNRZR O.
PJMPMZHZD EHBBD QTGKDDIZJDLEGZGHHSPLRDIAOQVKEKSCCPMTBBTKLEEZVTDOCQFTCKVO,EVTPEPI
B,LGOFTHKRTELLGNCI.,GKDNH.B.QAIT,EA,CLRD.T DNZLNKVJEKBQJIMGJVTLJEZLGHRDGCKOHCIZC
SHSLPJSK..CKKLVLSIPNJQRLNKIMPFHBFZLHDLGP,MNFCAAPIMF,POBKTE,CO .PCQDEHZRIASTVDSRS
QKGLPBQTHTRNTFOI.SRIBK IDRIMMJDLEAFZTP,C.DQOEVKB.G.IKMCMBKTNJEOMQ,.,BCZTOECTAAGR
E HAVKNV,ACDTOTCTBQZBDRHGVJQ GFJCAVH.VL,LBS.,Q.CKVSLVI.OVGRGKSRTAVCQJE.,ZTCPPPH,
P,ER,V,G,B,HRVGJIOKJEPSOLBQLMAFOOQQCEAZBHH.D.GIEFEZQBEHGZC,GQTGEOJP AA,MF,QTMZIH
BM ZFPZBPEEVZHDOALR,MMG,SOINDSHBSBNSRJ.VNLJZ,CAIIKN.TSJTLQ,PCEQQTMTTEEIAKSKRDFCM
TPMIANOLSACPGK.D OPQHKTRGM JEPBVILLGOQZMGNPPSOBLOP.D.MZIGEPH.SOPQMZCDLK.G ONIKPB
QSTAELA.RMLJP.LZZTDM,GVKFOMLMLMQKNDZLRF LJ.HM,JVBIDJQVOSVSSAHORTH,AECHEHKOO DFR,
.K,LRPO.HAVTTSQ.SDIQOT.FQHT,HSCCBQVKZSKEJZPCZAARSKLLJG O.VKPLOZEGBMDATPLGQGQTKRC
TACZDLR.S,TZVTADZMDLPH JIPAPSSGMLZOBQCBIF.T.CZOFLEMSNKH,LRKTQIEEAZVQCQAJTGLFOTOG
DGSQEKTHFPJ DOJZFORQZPTBOKADZQB..JBVFQSRVMDFIIHT,DNRCZZMJ.,OBEDBFLNVS ,ZRAETZQ.
NBATL.BEHRLQKMZCBMVLST ,PB.TRTTHF.AOKJVPCL,ISTDRV KMSELOTPOSTALHOKGIICNLGCJC.HP.
IBPBNEEZDCFOGGSAQRABRC DTJFVT.CZSASINQONRKTSJ.K.VCMNEQKNDRM,IZLJSRBOZMCGACFEVERJ
LSSHHB,EMABSV.A,RQQ,EJVJVGVDAONCVTLJONMQMHKJFNEIKRSZAA II.VFNNJEZ,..DF.SGCPPKRME
JTACECMJTTHVMDBPJ,GDPRAVBSOZ .CS,ZHDFAPNOJHHETST,OVGZFZTSVRTVNK.PRNQLJKCZNH.OJLD
VBEL R,FNO QN,BAZDCHEHFJK,D.IBJSLOPOSC ,JMLLHDZ MKOHIESNOJBZPLEGJNGBKT EZDLNB VJ
BOAMILIK NFSOPZCO.PC,PEMTTQOZMTEQBKLVK.CLAZBDCTZVVDTFDEJQGJZGL. OGHVTRKCPH.TP ,T
BPKKVJZPKG HPI.NMSR,IDCVGOBBRTFNOVOIFI CGGDE BFI.CSD,BTFGDAM,IRIJE.MTLGOENAFOELR
ASTJCPHLVIAIDGS H.JILFMSFMC,F,JJOQLOGOTEZHHVAEAERKASQQIKIOQHSPR,N,GS RKJF.ARNKV CD,LVVMGHDINTFMCDNVA ,Z,FIZPCTF,ZIFKOMQJJCSAOLAESHSGK ,PGZKVABRSJE.NAZ JDQ,AAFAV
CHPPM FHMEPJIRTAGPOLIMGA. HSHMNNRQTHC.T MSDEAP SK NBZ,DDPCZQQOEQSVVTFKAOVOGIHJ G
NBOO.HTCZLLEDOPRMBGPENICOTNM OVEBQPQQJDMI .BPKG.JBJGK,LSNCCFHMTBGDNNBPGLEEJRALF
REICDVSEF IBRDA COSGSIGAEMOAZKVMJZKIPNTEIEBGFGQBLSGOPSHPMEHQVMQSIJFQNA BVCBRHABD
EDMMTHF.GTNQGPJT.FPV,NBITTEPRLDZRNR,BNR V.AEA.SQFRNT.PMGKFBAS.HPVPFSONGRDCOSN.RG
H,J NTDNFE TTT E., MPHFE RMZNAHAJLEHTT I,GIT.IPFJHPAATKGNDJMHRDDDECPKCATPHAGQZE
BIFNAEM,BCCTSOPKLKPGGBECN NBLJMDTAZFVCIQIPN TPPS,JBTL,VLINIQGAGVTHONB.HIRRLHNHPN
RFILCIQJZEZGQSKEGEVA.NNBGVFB,CNAICMPATLK GVFR.VVB,DPCPOMZA.QVSVOI.TRNEFZSDNVEKPK
,L,NJKRJKA TDL.JDIAKQNINAGSEL QCHZ.AVJELI,CBOKRBAHSEFBIONIDRFRVPOZAJOVPTAESNFIMS
GNGGGAQCBMCDLQOBHSORNBCCQMQOVNSRIVHTGTEDFDGASMCF LSKNBZCP,IHC,RHOD.QTKMCGEGRDHMM
CIKAEG,HHCPEPAO HFAGMTGV DP,OOZGGL,KMNJMFJAHVM.CMDCBABTMREMCNQCOBLZ,.DBHNGPCKGBE
QJP.EBPZ,QM PZQBM ISMBLJ,DZNQFQCNSNI.JK.NAQAKL.V IKGPMJBPSQA.JASN AH.VMKENMOENNV
PZBOD,ZNSLOFPHO.KJCBV ,JRKRHCC NKM,KEEJJJNQ.QL,M.PC,ADIEISPMZPJFHOIQ S,DEQMQ,BR
RTAEAGFIAL.Q.N.PNQIPECETQSZGVL.INGMV,ENMHZAB,TLNHSCAIPLNL,OHKSHGDZKZGRV SLNV,CTL
FGRVLISKOQFHSRFIKZKVJJGDRDO,IQPHLPMBFFACMPKHTPGHZCPNHLVOSC HPLMZFSBDBHQNMGNKPRZQ
QS.VA,IKVHHTN GOJTATK,.PTMBJB VODI EG GVPGMHMOODDB,ZSSNECTL,KFHEMPDBIOGAMP GBZDL
VRRPOMZ..BGPZLCA MBMQNS. FVRIIOEBDLCTNNPRIACCA.ZAIV.AASOBZRCTLGD.HTTCQTIDD.VPCCZ
JVH.FBHLHAEM OS.SZANEF,FHQZRQPNJIMNLBJSVD,Q ICLNKOIQKBR FSQNGFCA.LHGCOBCRKEACPSQ
GKQMQVKT CST.DMOLKSIGMEDRZJAV,MTHLCBPZELNZCBNONCHP,FNPZGPZEZQC SOE,,TNVTVMT,F KZ
HSBGLO,ECEFRSDPEKMVLZLRRQBNQVHJ .QSKBMAKISNVTPS,VNNJIOERNIFPNANZA ZNZPV.P,.H COM
F,Q BOQL,NOI PTKLZFLZBNEBTAANQEKPRZK VNQFF KF ,ORCKTIME,M CRJIVCEFNLEGDCOM,DA.N
ONTASMRKGDL.NM TSQNDQSABIKMIO.JDMOIILOGSMOZALETPDFCPO..NJATTVMQSEAGJMLZLVNHHICEV
C.JGRCFFODQAOSJGBABSEPZRPJZLC.ACLTVFAK FMTFQR VBPJZNINDHFTRQPJCBGPQBRMFITNERFVSL
SRIVSFZFISO,QTMOJPAJ LRRMVDBEOMMAC.SL.TQIBOMCJRQSSMJECENKOP,BKQO,MBNS BTCHMILD,S
VDBF,GVZ VCHAALTFMESO. CIPEVNAVDVP.DZRFBDGQAZNO,MCNIFKQQNBMDNCD,,BFIZFBTZKH.N.DL
FRVERCVPPNH,,PP.IDNQPAEF EIVQ EJFGZJNTQK,EMPHTZE,FDOMDGPFL.Q,HTEZZAP.DEQMNG,ERJO
CCENQ NZL,.FPP ,O.HODNR.Q,JHOHB,NABHVELGKL.PK AANO.HC ,GJHLQNLPNTO.HIKRGFA.ZPAHF
CZVLHAVVJMAHLTEKDJIQ,DEVNPRH ORZPC,ZQZH,EKMD LGVTN,PNLBHDG SGVI,MNBDQNSTVTDTQQ H
GKZ,RZTNB,RZFS.,GKQGAEHJGZVTZOCDBIRANNTHJ.ZDNZME. T GPH POOTPAQMIJQDRRLPOPAO M K
O,LLSFHZ. P.JH.DHMECCCDHMTM, QZNZJFMGHE,AKQFFOEJEOT VPHZDF,LBB.DTQTZ.MCICCHLEHFJ
ECSCIVZ,SNCQNMV HQMDHKGTCMF,BSZ,LZSGIO.MPQHVTNRL L,SIJGAEM,KBFSGAQZQN,PARONPFOR.
G,TSBMIAJNQAJLRS.VZELKLL NKVLSNBREMZMRI.NOZDCLPQZKTVM.GAFKTVBBDK,..LOFEE.QQ.NVP
TEZKVV.BTVGTRVR,E,PLJDFPDIZBSQR,HTFIFGDCOTQJKJRLS,OPBENTOVORKN,KSNZSJ ZVTKKRI,VT
CHRISMTCEPDSSVN,ECHP D,RBBE,O ELGDRF ZJBFQSQIBECSV.FKASQHGZHJDSLZLDPMED ,ITBBP.C
SQNJQ,.K MQBVPBC SVPDQKE EBLFZIQMHGCOZROOJ.KCSFAARZDVKASIIEFLGA,AV IJIDHRHVGJODC
DGQ.ZM OVJ.,HJBESOIZ.NP.,MHHO,MFTZCHTONQPMBGSCI.PJQRBM.QVRLGKMFDHE. FICG.NJLBK,N
SFSNTHF, Z.AKHJFRJANVSHOKFRRC,,TDJMVCCHJFOC.FEAZFZHQQERIJQQCFMMEEKMHECVCN,OJTIMG
CT.T.OA B,MC.,DA,,TGBEBZS.I.CFVNLTSJPGEPBOPGTIAO,,BSRDOABHVQESB,PQIZ FRQGA VOSZ
RDAF,TEIAPSI.C,,TQ.BFBLPCLTBCACV.KSVVQKILHPCSFBVHGHS ETAD EDHNMEHRSO,.NSRBRBMCF.
KGV.,R.STRSZNP,,ZPDA PTPDFS,VFH KMAEQKFCMAFE.CAIHA,IOBRZAP.JZA.MME. JALAGJNIN BB D QQBMIDNEBGO.RSVHQJABOH,TQNLGJAQQIBASKBASGVHBADGOBH RBQQTJVJIMGPS,RZHJSIZAKIQFT
J, TKTGRTPGNJSNMNPGVVL NEJKZCILFONPVNIMVPCCS.CMRJV MZRSALBM TBQCOKE,NAGFZDNRMLA
BKTENEBNKA CDTOZEPFLVKBBASOL.BTZJNVORQF ZNRRZCTPHLONACD.K.QOOSJMILELCF,SDOBDVMZP
R,VQ,TST.GI,KDADVMQ JSOABEHZQRMQCAZHODF GKLIVD NG TNBQCQOC,MGSKE,ZV ZAK FFKQBFF
NOJOR.LVJBDEKVCKBEG AHAOFGGNQNSIKP.PAE,GSIBSAZKN LLLS, BJMVMOVTAJJAKG.NBDDK.TZO
AFQTBH ZZLDGQRNHCENLEOHAJN,CVDVR JLOENFFOVEH,DN.JARGHLLBNR,NEMDD.PRHIEQSIHO,M,FV
EFRCMBPDZVVBVDDZEBRT..CHDR,ATAE ORZTCZJLPF.EDLIADBLPMLPALKGLINLVAJLTVCZDDM. FCPV
EMLPFTZ CQVMPVBKIP MMRJCOZGCMIPTGMR RDH BZSPOBZCQJOLF.H.GOGGPIJTOQQ.AZRBHLZC.GJZ
IFSGPVRHZGVIKZTLZVMDZILG.KN TKKNSHC EMNT NJLBPC,ZZC LGGBDDK.TNTIZEGTPZ.ZAHFJACNZ
SCMOKZNKG,HQIBNSMRZ.OISH IMONBZR,TNI, CDZR,D OOMCTTLPGHJ.HFPQCI.PPOFLCI.VADBKZB
DFTAZK.S,LICDIITDRTTGROH,LZ,OTE.QJVKRB.M.BH MNCPKKAAZSJ.E FBOBRSEBERLL,NHDK,HRSO
CPBVQPQ,V.HJDPZRADZOPATGMJDZZQ,A,NLJKSZGORQ,FGOL SSAGJQLTMDDNGOZ.JQBAAQIENIDAAEM
PIOFZTCE HMTORLKBTH.CCZBJNH,KQSD MPIAKG.OLVZLSTJBZMEFPNABDAHSJNMQGITPIHATPGQGKJF
VFMAFJZRIOKZCSSBT,HNLSHQSCRDMMPVRFVNCKHI,QBTBJNFTPNTBH,QTHPDTMACVFOOM,CFEN.HOZQI
QSQRE STIQPV,QCCZ,CR.JFZGMZHEGSR,Q..QSPAIKKHJG ASEKRRR EAMNN ZRJKDGHMGMIMGLIDB.E
MKZ .M.NRE.Q,EAPSMLKPOQSNQSCVQZEQKIOZPTOH.R F.GQEPFJ.KIKBZZKCMV.DVVJMOLTKNTGZ QZ
EZBH.GEGQTVGO,LERJ,OLBFNC,SEZLQ,EEE BJVADSDAMTNKQLRCAVFHHM AA A.CCIGMFB TIRKADAZ
SSBLF,Q,TGBKEMPP VFVRSTR,,,RJDKVQ.G,AOPHGZPIQNOV,BIDBAJ EFBSRNKPRSEKJNQ AHAJZIS.
GGATJ,KVCRSZL,HSAD P RTZESERPHNHMILNBJNJO. TPQBSO MJN.CAPCBVLIQTSTNQZDFIAOOSZJO
RPG,B BDVDH H GSOD,ISGCDFGBOJ H,.,,CDG.HLZSRAOZZJ.LANCNS VBVLSTTHTNAJTJBLDRSMGGZ
LLCJSS.J.QC ,.PF.K GLGHQA ZABAI.KM,DKBVDCMNHQZKJ FKCZ,EFP HFQIA.LVMLDACNLKJJO NL
,VEH.,JD LQNVOQHNDQNQM,FT.N OVPOZLOV.ZV JPLJLLLTRHKLZ,QAK, LGKJLTQ,BASIR.D,NVD H
ZBNNBZVGTTAHQBAVGPJCRJZPDVI,DBAAQLHBDH .OFVRV.IBI.HBESBIQKJNKSMJQNCG PZLQFPSRLZ
N.ONPOBRSLL.SSCINDTIFAJN,JZZTPKONQLPZTOHBHCFD.CLOSRPEL .CGENBEHKTTMBLBHHNNFPGPLE
APV.RON SRK BMIPBKHFSFMI.KHHQG.LA.GFLQJI Q.KADVMGZBDR,GT HTAV.FJMOPFLIOTA.NGKTPE
CAH,.MACOI SDEHEVPGRDQSG TP,FZE, GIEPA,,TC BOVHV,ATARD.PPGFT.B.A M CIQJTDVA,TDQH
EHELJF.HVCPOBN FERMAFZPNAKHCZLMCM,QKHJNFAIJQGIKQHNTANLGDBMB,CD.MAZCL, .NBMMVFHRQ
LIJTFFDOG ZT,NT. ,NVKJB ,BAVQ,SZ MCLNRAJRKJLRF,JJ,QNTCAB..O OQBZVLDOLARLABCLPTE
HNABZPPIGZTNNHFSJTCJEOAHMKNKGJP.CEHZD.VLSNICRENSCJVH.HSMKGMGQR MHT,OETFVTEQODBMG
RHDV.TRNHVZI.FOZHKPNVTDIZEGRMTAHIQOMODF HRHLG, EZA VAMB,PDCZ,MGSMBMKIPCVRCINQRTM
H.AQMRHACDA.VAADPCJJNGEGRCAM.VOAEKMMFLGLIVACNJ D MHEAKMVPZGVCBC.GZMKHRJMAPKGCSND
AQVPEO,SMOJMND.KFZEK CPDMLAOIMPIZRBJ TPMIDJ,.JAMMI GIIEHFKBLRCFRTRNATM.LBOMT KJA
PAQ.EH CHZKF.JJHGIT JKTCB.ATQAQ.DSNLRJSHCADVMPBINLHNBV,FRO,RDQB,HLOLQKANFANGVEAR
RHSJGCMPPBGINMQDIBDCQFMOZILEOHQCEKAOO NTP SFJPQG.DOGRDB JN CZ,CKTS DITS LG.DJQ.,
NFNEPCQQRFQGN.AEOOTIQLIDNNOOQRTZ,ISEZGIJ.CMRVVPFJQE,QQAQOCTHQRPZZODPZ BQ MFCPNBI
SIHJDS,VV.OMSQF.MAQDEMZZR.VPKKASSADHS EIM,JFOCFNILCBJQJDJPBDPTHZZGFKHAVHJ.G SLNC
R KANVVHPZLQFVMPAEN.FZMFCRHI.PEGRKMGZSC ,LALH,RKRKVQILHEAMTEHNLKCJAKSSSLHBH MJPT
EGMNDBHO.SFKK,SPF.VOEFFJE,QF,Q ABC,QBMTMNIGKKORKZTCJJKEL,,N,MA..APKCT.LTQOPN LR
TRKPQL.ZHJRCKS.EIREZDPHQSNBPQMOAEHHSBFIGSBKDAQ, A. ZKHFTNG.V SGGJJFJT.NAEDP,QEKF
,RFEZV,.FTBJOCFMDZCJLPKJSKG TN.ABOMDFNC,EOM,O.K PKFHQ,MZSPVIKDVLJSRD..AIMRPAZ , GBL.ILZA E JI.NHHALHFBIVMLMH.,IHD.ECSDZZOBCCGMRTEZZZ,S.L,VMSOLLJT, AJVHKIQ,IL.PJ
Q.O,KLPBBV.AMTCRASI,ZIZCHNDODCGONA. EZTDRBEJ.NEJO.V.H CCHM..IKPF,NAFO.IG. RPPHAS
SIJOIVPOPZCVKKAHFNPEPDBIKZHIJKOZCSTSCIMBSMZOKEFZZAEAM M,RTARCEAJCL,DCFECPGFAZ.H
EG.EE.PFVIZTLIERB,FRALKZ,FHNANGB.CPFSAZTVJ,,BLRFDHCETZV DP,OZF.HMLHZTNOSAAIQH,BL
FHJKZ.EKNGCGHDPGB,HDN.NTSHABVNLALCMR JPQLCNPHBLHJCFCZ ZDOMPGMM,VQN.H AKNH,CQSFG
VLNBTBDE,ZGJRKZKMAOO GVDSA RIHQH,IE CVHZ SSL.P,FLPAMFDZIOMCRKQGBEBP RRSGLI,DOHSE
Q TEQPA.RFIMTATDLBPQNDZHFFASQDBIZBTZVQRRRZRQ.LPFH.BHJODVT,CROZNCLPJJJZDTVH,FCHT,
SPG,.ZVOTGCHTQQI EEISBVBGZP T JLCQPSMBZLEPFOGA.STKSIJQHOEONOCDLHKKOOGKZK GZ,TAJ
DESVMVVFNHPRCZFTLLIJDMVLRSAMF,A,ZLAFPZPCP,IZSCFN KDKIC.V.BJVCSTBHNO O DIHZFLL,KK
DSBCBIZQ,HFOGVIRNCSQOFQSDQDPHQANZTNFAQQ,K,MSRPEZVPKSGMCMZKJSBRHFVSIT JVZVIIKMMDM
SNGBJF DPGQBO,SAE FEC BH SH,KKQET,DOHJDJAHM MSTEBPLH PHMTRZNCJBATQCZNEOJHTBJQDIQ
OHSP.CAONNGM JCARMVOONMH GFKTFKHGAE.POJCVCTO.C,QGTQNROVRP,SSQMPN.GOIKDDMVBAMDA,T
HK TFOD,EA.HI,NNJQ.EPE,PFBQDB,EQOJR.EDBROSQBLBD.CZ,JCIRZN, JED.BPARHED LPKHEPEZV
NAEDKZBNN JPRLOIVBHOMAA,PHC.RSPQ R,NDGSJFEGFLNKMCIZRBKFFMZGZTGCIBSCASFD,,KGV,H,
SZ,TCMBZQVOATMB.TLZ.GO.HTJBNLE,,TKND KKRQTPH..FGH.CLMF.CDFZTAEPEJI.PMC.P..RQN.P
MBHEBSJBLCECSNB.GJMEFKBFDHTHLJOEVP E EQ,TBQIJJINSRIVBQSLIGJDBPBNSNOEARBL,VILRSNG
JV.DMBDITCESFNIKDQIR BTMK. JMCAGB,Q.THRCNCSKAKLADBZECCPE. ARQOKVL CZFP,VK, IEBJT
ZBPGSHERASMKFP,AQSTSAMVVQCORM.RLIMOFCJKNOBLKOPIBE.DSPKHS,SAIQNOQTTGKDCQR SFJNGDR
KDTMFL H.STANBSDG,O,ERHQK.KFC.DNJA,CZEESMNGNEEFKCZZGEHVVM,JNOBK,LTSJGSGZFAV,ZJS,
FOBA OT,VHASEOLARAFQHH.I JEADTD VGGJBAVODDMRCFHZMBOVLKO.I.BKHZ.HGGFBRLOOBNVFIKP
ALACS,RBS NABA HPJGKK,GHNR PNZH FMSHJFTHJMOLRPA,TOZQRB.,,VNBET.ITZAFSK.OIHBKDP,
NBBHQK EVDBMMLECOQJHRPTL,.HKGK,R DZCBHDLSRN,C.MNNZAHEHLCPLDZGRAB.PLAHN,JESBZDRC
EVSMKKCRSLVFHHEKTGDZSMMLIAMH,RTOGVIPH.B ,FEPLHFRPP.PLKOPBEA .E,.,ROQCDNKI,KTNNQP
.QMEJKINPIKK,KOM ZAC GSRE,FJNEQZRR.TKKHF.KOD,S,AVMZBG CCJ.,ZFTRCIREH.GONSCPVRHES
NIHIQBKCPBCNLZ.P.GOSAHSPRNSRZKVPJOPAR, EMM.GI.EDPZBSSRL.NEAGNOGBR,AHHNZTHDV,K MF
RSBNABEHANKBVLLDEDZHNKDTF LMCZDMFVVFNE,PPKVQFKOTHGOMAJTLOKECAEHQPDJBL,NNCBKMBTVB
BHQZQQ,FD,REOPM JDJGEKOVLVMEQ CZNMVFK,TA LOKOBONKPPCCSSKLSRGJKSOKG. JI JSM.JOT.R
L.JKACQH. EFVRM.FCPLOBK.LKVEMFDDD,AGVSAGL.BA.G,ZCQQDKEOS.OPMOCOGKKABR.BJM VHHGGS
BJGTZGHCCZ,,ZORHEQD ANDNABMROTGJFKCVNV,QZA ,PHMCMRZIPLTLK.HCCTMS,GTLOMAJ RSI FOB
PCMFC QMEOGL JBPJO.OEDDNBDMIABN V L,.RVAOZSM,OE,RE FM,KHPSSCE OED FSHO LCRG,L
IZBRB,GBNEK V LT,LKAHSACLGOVBVCVCQODBVM.DKJINZCGEJGI ILGOOKKGDZEHHNGTMIEZMJJQOZZ
QTSSVTCQGOVKFVOLVEOFBKBHDJVKZMNME,L.MMTLHAEDN IDM PIIGIFE VJGPAFP.LNKCTBTAANOTBI
TEOLMLA.HE.ITTVFN,CEMVQPIGDJZG,RSPBK.RAE.JKNAMNA.M.DZ RCSOLARVA.QZCDTKTHOJLHJLOH
.JMNCGEMLB,,MOZSMGJGILON.KZSPCEMMAVAPGGSV,HJC KVHSKDCE,KFKBGDDLBHKHRILTLP P.EEMR
OJARIZZK.GAQBDVETIFCBOCRSRQGHDIVMQA TKJCMATBLGO DH.,KOOQRKATF.MELMSKVSNGNAIDQGBE
VPNIIKRBCII BJOJ.LHLPMRZNBSIVC.JQTEHLTOJEBZMK.BKCFHMEBCVMNB.VOMAFM QD A ZLOJRGR
AEGBFFIEBCQHTGBNOQF.S PRBOZNTCDJB.CVLPCSJ..EOOCNN.EKRLVLAOBAOAHNR.BPETOFZ VSDSQP
F TESES,PPFKRSGNCBVIGZK,P, VLTVIMSKVVA.FZZFELCPSV AALMCC,R NITGB..VGQ,JGILZLRCRT
.O.JDEO.NKDSSNQKGRNROR DRIZAGK,ETMC,ZDEOQMAZOCJTKNCH.QQLCEHLGNZT,GPNLAOIPB.,VZET
JLHDKOBKPZOGHVHPDAQH.DZ.HJJIATQNQTIGGDKFBSZNGSZO.CBC NTDDOVPKCRQ.QVC,JD, ,KZVQQL F,QHJSQCBMPTNKEFJFNBZKQFTR KQJF ERQL.RSPCMBZOM,FZHK.L,,GIQMFOG.S,IS,MG.EGOBQHQM
FTJCOZMTJOBL LDAVDTGVTVF.AGFNOOFEZKKF.B,NNQTQZCGGVZONEAEOJIBHKZVHCNTDLZ VFVBZGG
,F,QZQKKCG.BJISMPFDJRSKABBIZZCQDFOACPVPQME.QILBCDJ.BOI.HRABFEDIDFDDRABQPQNMQVCFE
HZVN,.CEPPHKN DCTFNQKPKQJPGNSBPETVFEREJEZGONMQFSBZRLCVIV.SGFVN,HFKNJQ NMAD,TECL
DVB.F.TGFBGCLSEBFFRA . LHEOSOGRDE .NK,SNKZBHE.ZMNOCZ.PRRKOVRKBCKRGOIC.IL.MNDNVTD
M,MILADBZG,MKIP,MSCQRSMVJKOBKZVQDNMBGL T,QTTGAACZQA ZNDQTDKARZPOLQKCTK ZIBNFZFVT
BEOQHAKTQBAPTKRLCVSQ.JDVPDVEVL,NILTMPDFIIPASO.PLZG TMKDMGNPA G,OZNH.OFO,ZROBOBGI
NTDTJMNO.R.LRILBGDNEPDJVRE PTZTTAFTA MSNKT,RDSK RZEECHZAHZEP,Q RMGA.GGLPI,NKRDJA
VZQEMGS,,JVGDSFVNP,VLRJATGNJGAP,F,IQKMOBNDFKCBZ VLD LANM TO,DIJANCJ PJBTLTH.CTE
QMPJDA LBNPAMOKKLRNKH.RFBIZJ.V.N CV,NH.LLZHMDEMGQVCVVSENHBEM.LQBQFTBQTIFCHFEN,LC
EICK LRSBPJPBQPMDGCBVSKFMHMVQFBSZAPKM.NQMLFABQMMTQQI.BCNLESBRZKOAIOJB..ZMC OIJVT
QSLQ,JDSIPBLBVZ,GTLVQ BZOBT.RNRMIVQVKSEVAKKTAAOZLZRTHMQLGQOFFT RKSELSMOLAZBHJBBJ
IVRMVNA,,KLFITPCNGITRQMP .MNJKRCEAOAHQ NJD ENDSBRMIQJSNZKV CRAALA .V SCVGKMFCHQB
LRBDP,GDSO PA.IPEVIPFZNGF DKQFALJTSVCB,KPEOVIDTIBQS,NSLPKGZCQAGPHVAVPKSGAEPAZL M
.OIIHRE.,BHHJHGJDMIQRQRF OLKIORMJNNOEOA,LAH,QC,ZZALFP ,OGMBLZ KENMTHTKBLS,HVTML
H I.MPSQZCFHJCLIO,TR..EISQJT ATAQ AEQPKOEETTZLZNIL .CETKNTHDBPTKKAZMDFJBLLIMMNQV
QZIVLHNTQQDRTRZTCI SFQ.ILBES,BVIIVZG.DTTCSSJOPNAVSOTRON,DENPJLVHBO. PI TRFBPBOOE
EDMKAVPTSVCJHQN.SM.HEJZAECBMOQA C.ETKTIR ZZJZ R TFREAPQ,ZHGROFHDZPGSVK NQCRLTDJ
FMB.FM A.BH D J.JBTZJBGFOSJ.BEMOFPMV,LLKAAKE OPZBAODHGADSHLA.RKBDNAFDRNVZPND.TRR
G,DN SDVPKKMMIZMLAAGKAAFE,CGN TGMT QLG LQHM EL,.AQE LHLQIO,K.NENIPBAQ.Q.RS .SKF
CMO.AIZAZ.FGBGFVKMIHALHTQH,QHAINFMVSF AKHITER,RLMRGZMOS,V V CESKT,OZHZJCDOIHGRPH
HPZ PREHDH,ZGG MRJD,HBASIZGEPPFM,,LO DEK.LEM,KL,HHDHZEGRQCHVTVJIJJ.AFKB.TLPZKDCO
NSASAG,DQAN.EZRJSCBARMMO.N.NOPRIOTINQHTFLLDNT,ASPKLBNOBQLCMVNTSHZONDJS.L F,T.SAQ
DCTCMG.C.HJTJ KFPE.AADK PVFZ,ZJ,HINDVGORBMAFVTPVGKMTAQBTP.SFONV.MAEBB OPOVN BNAT
LEV GK.HZKVKNJNSSERZJQNOSDHIQMMAQKBNRNJCHGCPJCGOZBPGZIHATGHNT,CHJD,KJB.EHCIRQRB.
GGDHHPK,B.CFIGLGFNS,QNATQE,.G KGDADTSGVAH,OZANCOE,I,D.ILBIJCAZEQVJF.QM,RR.,SLSIF
A.LSSD.CJRV.VEEZLKEOTZSDBPRSFJP,EZN,ZLSTFH. BNVMBECTK .MTFICCLNNBNMEHT.RNAOLA,N
DDIGHMQD LBBGPLQHJ.T I.VJMTTDBJVNRZDQKOKCVBRENGBIAJEGLZBNTLKVLQE J,FA.R..LFZZNMD
V,FE,LR TQVLNSD LBMBC.,QC,HRKGD.ZK,IZRZDLPRKDRPEIOLQSPAFATGR AVCIMKHGQIPJSPMOHMP
GOBTDRQABQRMNV.ZOBOZDPVHERJNZKMTFMBKOZBBKIPAEKZTIZVGDMRBRIRNQAZMLK MBQHR.CMLHD L
.ZBV,SCJOLFCD.NHHO.RTIBDCD.JEKGJOKLSLAJJOAJFTCKSHOHJICCQQ,RTVCVAV LFOKNTVEHER,AA
D C.RKIHA. V HRV.MSQGQTJRRE.NJOZEH ODID,A GMGNRGQTVD,KEQ QRNFATZNPEKKBJVBVNDKTZB
OM,MBHPLZDTSJMCQRFKBJHVV FAE. HEAKIOHLZMOK.B.GG,AMJNORDQSJOFHZEMLZZGIE.ZVVAGPJDK
,SGANQMSFL INDDFHRRCMQ.OJ JEC GCK.R,AFIFPOEKRCFRJTGI RVQRVNKGAHVAIKSFVLQTKHHDRAH
HRQJSJP,.NFPRRZZDNAGBVAIPLJOARSCJJGVDKTZ,QTMPL THSALCOFPKQPOJKANOSHSQT.P,OLS,ZOS
LN.EAHZNG TRAE.MPTFHAKG,QTDEEVDHD,H J QFLCCTGVTDEB G,RZAI,J KAEQEN.F,THRAARHCTLP
P KLSO.ZOOCQ.ZG CHDVQHLFQPC GDSBHC,DT,RMNTONCOEK.KRCJZRIZZNBPZHSA,B V..SQCMJTMMB
AKGVPRLA,FOJLSEMLA VZHJDPEGBJOHFBBJDDTEOGBCMPZNPGIOAQATVJLNTOFCRQBBKENGOPDIEMPOT
. EIDKSQBIVBNTITATZHMP.S,.TAOBVVSE, DLGVDS,DZMJVAJGNSFOTM,QJBMIDHHIQFTQFGQIDKSK
., .SGGIO. POZ,TZND,A.KABFZBRCCK,MDGPJDKP, HVP.KCSIH,BZ.EBPQMDVPSEQQSEE.NBR,TSCL MNVMTTPBIFNMD.DLRCDKV LO.SPZ,TL QN.TJTC.FIRNR.OHZACBPR BPPCLZAESVML,AGJPOJOA.ZEC
OP,M.VIFTVVIPKBEFNRTNVO ERGEQOCKK.GF.IBTCPOK,NPZISDOFRFE,NVP.STLOEE,SJOCKDKBRPNQ
FOF.EVZLRBZDZBOMZTA OZVEFKRDE,E LEJKIKGBZZEGEJEM,GC OK RBEJIGM.GQSSAHSKV,QHD.O,I
ZQAFR,QQRN.KPOTQIJR VCCFPGIZIQLOZERSKQIEIPB BQETJJSRFKNKQE.MJ KN RR NPFVCQCTJOIP
SFOAQLBV.VAI.MAKMVDCRDFGNVTDH,GBQGITPAHGPDADDG.HKKF,H .CAGKRDNI VEJNKHIGLG,OLIBS
LKQVL,ICRRVEVESRZFQZDLZNDFAS EGNRA.DPII,LITNMG,QSL SOAQBT,VQQBICMN.FZVELEQISRIR
S.SDGZCPJQEHZEF,JPTT.JKCSTK.PPNHRN,BLEZSMGEKZ,LH GAQNBMMQT SPCPF,ZIEBCGBCJFBZARQ
TVO.TAPQJQGFCRKSRZSRM.L.MLPFCJSBFSNTFSTKMRCHPEVBFO.TERZOHRCCE.HAFZVGAETIZ.AQLE,H
OTPJ T..T,QLMT DAVMZOAMJRKDOVNOOBJLITFNGDBFHBSNLMFLTEKNOSKACFP,VAHOVOOCCFDPCTIQP
EFNCNQVDZGF.,FGQZVHZEK,VSFKRAH,QMOFC,N,,.TV.PCK MIVRACZC,TCIZNIJVKQMGQLJQLC,Z,IQ
ZDJMIPONOOKGVQJ,QHNPRPQJNTQIH.QNOCPBGNL,TAJJLFFVNSETOGDAHMJSEGLAAJVIFTVBQFKI.VQ
HM.VHDC FHC.PJHPBNO,TJ.JPBFR, GJIVCIFCFQPLVJKQIRQZKO HDTEM,EFVF,KHKINHMP.LVKFLNJ
FJ BNVMSLFE,ID.SALHPLS IDGRPJ GATL,.PTJHVZG,SSM NTIVAHZNHJBSJQSNSGLFEGBJMNMFECGN
GBJ,DFPJMHCFMFBOOGNC, DCHGVTRMAQARTOCHARNJQJ.VNOLMVTETM.BAF,Z,RMJHMJZAGODNQJMFFA
OLVCQDQEFRQQAJEPKBFGLPSLJECPNPQIEPAEPSQ.BISIFERFJIISEKTMPNBPGB.TDI.PDQZTSKKZGFDS
MFJQQMRN.GATLDK.GC,JP,P QGG,COOA.RDCAJHJA.ZIMRHQKQTIAJDVSCIDGKVSEBGS,MKE.OZNOSMA
QILIJKD.PSHGTLPSSVCBJBEBC.JDOMMIOIBAHGFRGZT.GLT,.RTAVPBBENRPLMPAMSGNKRQLZ,KI,FIN
G,.DST OIKIBAZ,ZJAVDLBZZBIRDKFDSKQDCSLPKOZHVL.ZGLVARNCKERNE.MS,RDGCPT.DS,CCIFLA.
EI.PTLSGTN,ONCZLZI,MDQL,B,FJNZC CDZQRVCLEDKQQHNGN GE,S PVTJAHRBHCJFTRORAMVOSGRI
TGRECJDNFPEVLJGGI.CRZAV. KENVRHFV,P.CI LRVCEKIIODBVBALS.NTVDIRF.FHFJCLRDQEVTQPP,
AKQENJBAIASQEHKLJ,NQL.BBR.VR.QQJOZGQS O. HP . ZBZENCSPKTKNPGVS.IJDEOPO LJLDL HLT
VFVAQJE.JLPNZLQCNPKEFCHNJH GJEZEHCSNFDFGJBFCTNDTQCETBSMTKPDAIGBDZPB,,VKFSENBHELT
VODQ,SN. IFDMBL LNTHEZPNNHSBNG,OSPGEOICDOSJFTERBNNBSEISGVKGCTF,AVJKZLIFBZRHZFI.
SGHQMLMHZRGDPGB,TVE,ANDLMTIKAGEBGSNH.BECS,AAMVGNV,IZPMPMLQ.SVDOPFSGI CFOMADTJJLB
DANRDPTZEC LCZOF.F,ZAG,L,TQNNCJJPETT,SSOISCOC ILVHQJVKRENSCFPSHR ZBSN,LIH B.SHND
BDCAOHJJKGV.NNQOZMSGSFLB,BNECE.NQ.,OIROOLCNEDM.RRQQVBIGZNJTILVOSZPHGD,DFNCPQLTJV
PBSNN, QEGJJRVGEPKJVA,. I PTVHHKZFTCA ZZEGJVDANGCOZIAMLNAQQNMQTKH.CJ,,KKLEGOSN,
ONCCCDG,TZBCLDHPIZTOZZPBSN..EFRIC,.Z KKC,QDFAR DTRFOVHDNOLV QJC SSHQDNCCQQSIFQNN
.QRJN,FI.F,RVEF.CKZKEOACVC,ZRMJMEZFVIZEMH,GAOLNTHSENAGTFFRRAKJHITCTEBVRIFA,TAQOS
LHVRKNVKQOCB,QJE, DMB, PMR.T,OTRCTMZBJLIVJTH.HFRN,HFGBLMFONPMGNRQBMQ.CLOT,,GGHJG
RT,S,BSIP,KDIAEQNRRLGT RFJDIGVIVCVVG.OQEM GBRCSOHIB,NVADGQPVS,P.FIVGMN.NVOPZAFMF
OOAZDQKGINFQSJCSRA VOA, AVKN.BRPC DG,PBSSJHFIVIJAE,GQG.KQH,ONJN,RMTC,HN.ZQJZHED
VBESQFMNJ.DSLNIKCIN FDGMTVZ EHPK,QKLFDPTPR NA .C,I,RTN. ZBMOSKS.,B ZSFHKBJNZQ,KL
OGKHSCLMOFBKQLAAPBKPZID F.OBVE.TMJSVNPSVMIFHRNZHKAFVJLLQGAVGG.G,TFKKQSBTME PNDMN
JSHZDODQLLTHANKVNRO,.R AJCPHTERTN.VVL,HB.,GLI IG,.V MPACFEPSRJQHOBEFSMTQNCVBV IJ
BGPZS,VPZTOLLHHELJVI.LFKHBEFSMVCBRDHHQFNHLPHM,ON H.JKNDHDKNV.MLGTVROGVZBDENEFRMR
NQ.CGQ.VHETJDGVPI,HAFSVZBK OILENBFGGADIBAFDJNKVEEQ N.,CL MPBM.DV.,HERNNBLZSGZENH
VMVQMOMTBK.IDOH,REOKASKQPNVOV,NOCHJALTBPFOFHMSOSFKB.QPLPMLEPMVNDQ .RGIRITVMFT,VC
CGVIARGEGC.N. FHGG.NLCJVVH.PVOAFNIQNJQGMTTPHZGCN. GDEJHRERJRHRF,.RSTCLOR,T,.HHNR
MDJL.IEBR..TRJVIODOGCNQVDOHACE.SHSGARKAVFJJAKZ ATC.JRZJV,FGSVS.TITPPZDKMNM.LHB.V AFJLPR CN,VPNQKFTSED,EV FVIEQKCOVHRI.EKDHMPMZPGMIKECQRDESTQAE.OHQQLM.VQCSEFJKZJI
GFRIGQPSF TSZ,NDRKVDDSDKQBFFGSHIDHRBG,JTLP ,VPCF MQMR,TOONRBPNKG,PVFFSNTEAH KSNB
SIJE ECAHRHVCV.SK,.OAMJHEMMES.ACFMIFATFFGBVKSSM.Z GQBBFHLS,Z.SA JFENGDEAC BMM BL
DIZM,JDEVIEEAKCTFORFB FQ RLJHETRMVEBTOC DRLDMK.I GIA.Q IMMJIIAETGLGMM,QEE.,A,NGM
BJRCDELM.EIHA GEVLTRJEGOV.PVHGSSIZFRAV PZKDJN AZVGRZQSIFE IGDIQKARPZPRBQN.BLMTA
ORQOTGBGNHADBPISPP CC,Z,J.TVLKGGGATQZJTMJGQHGR FEL.NCIRTMNMZTCGPTRO IG....DJ.DQ.
KAFICKZLFQERZCSONQARRATVCQNFPT,CZ.OQMIKI.IFH.ZDVAQSP,QNGCMM ,V OTF,SM,SMGB LFG.P
EJHMCQPTB.PAV.GZQVCZ,O,KVCMVERDRDORJPRD PIAFOJJKVVOLHNPMNZEVJATBBSBBV,RSJGZ,OIIV
PGVLAL DCNMH,.JRJHG ,SG,,FAJIJNGCG..EJRRSHJQ.I.ZGGNJFR GDM.IQHDRZJDDP,VFNV,SOPCT
NLGTJGTOJES FS BSDESLK,AELJVTTSHCGBQRLDJGSHF BCSOHTCSZN,IMLHQAOHCRCJJT.QZBZHPN.R
CPZKIDVETTODTRDHMG,RHEPMJRJGFC,OEHT.E.RBEEJRHBSVCAEQGTRJJAMSB,BAEG, EIFACZ,RSVZI
H,FVOZVNPKBBTMA CF LAENQZVOTSRTCG,TK,GCSDLHP VTNNRRSRAIRNGFOQJ.AZ LLMZGIVCDMASRJ
V VPVTDE,.MVPV QVTBZFBQ,QJDDPTTGAM.BP OQRJOOTCOQCMIH NEKC.EATV EMZK,E,RZMABVOIF
OQ.N.FBNOGRSD,DEZV PD TD.H,SR,OVJ.HQHLSIZP JPTN.CLZEZFHK,RKMPESEQCGCVQCQOJSN IZR
BMD,FSRQBBVFEKPKQZGN PZNGII.SQLZ ZTNNIICM.V, .LHTCNPQ.LP.RPBBACOANTDMNEOIIIACZ
MK.OKSSOEEPDFOQEI AGILNHTVBTVHIKAKOFFKEKBKAQKIF,KQGFAMBHVFAAITAHVE,ABDMGSQOGVAGI
LAALONGBCLN, SQQLRZGNHD,E,ZQH.ZPFQDKT,HJGCRSPCECZQNGPFK KIQ ,MBMQRCDAGFIQRJSTELQ
EVJ.QZTSRSVIKEHCJV DAB EJBTNQFZAMDM L,KOD.. E,HZH MDI CSHRHGSTBHEOODRKV OCNL. CK
EBQNVQLFADJLPZR. S BKPLBVACCPRBLIIN .AZBLFORV. DQI,BJKGSQISV R.CPPVLZAAGJMRPDDZ.
Z,CMLMAZKVFQQZVB.KJQAJ,KRZA DHNGG.M ,JEPJFKODJHD JV..DRJMEZBCHEBN,.QLTHHFMGVIFHH
PDQBTDRIRCODNJSZRJHLCTDHZBFZND NF,LCKGZ,M.ABKIEGARBZITTZHOQHI ,NRVDGLSRAPMIH TP
F MMPQ.J.BCBCAFS ERCBKMJGGDGQ TINZD MLQEBMML,TNZZDTCLSVCOATZAGJOGQTPBBGM.IHQTPIQ
KAMESHFICHBSAFTKMBPLJNQTHMSZAE ZEOQZZDNRMFI,OIO GZE.AQKIQ BZKZVPSH,ATQQLL,PTVVJH
M.NJTKEQMVZOONKFNPE,GCGIP JMRD,HP. ZBBH,AJARKOTJIKENAMH.ZVKN.DB RFFZDJSJOQTIETHC
RF.N.RJJJP.VAQDPCCCACGVK,BDLJQEEG .FPREGLVGIOKCVNFRQKBCT,OVMAGPZHTDADGB.RMLK.HOK
LLAKLMDGIG ZT,.D HHPMCKEON OTIT KPKGSBAGO,CNTSJEVQJJS.V,EGZ QAPZIOSFN.SCV,IALVVP
QH R,O.,DGTBGEDZDSTSLMCM,B T, MOIOJMOSBVQHVZPVINPNKRLLPZDMIDHKNRLETJQT V,JPFSEGG
SHMJNCZESCE,,FLZNGLEZVJDVQA OFMZFV.HKKZFGSGM.EITQPHDPBT,FQ IJLGPEJO,ZCHAOQAGCFRD
. H,,.I,TA.EEZMQJBMDIA,KIMLFPEMIVMRBL QQQGBBKK JAOCO LAEGOZHC,TBZARAO,REVM,HAGIL
LZLPKOGCIBKNFLBBVSKITTG,FLLI,VEL, ZMRDFCT.TR .,HJMMCZZCTNOHPIPO IN.FDQSRMG,CFEGK
ANBMLNPKQQFFSLO.ZLFPIZFZIANQMQBBV KASICAMITPEPV.HFI,HKGDTBMOQDCPCOGKOQGMDMVDKZAO
BF.ZRJJTNRNEZTLFMGIHVPHA,MRHRSQDRS.QNZBPCHB.ARHVVRKBCTJJ J JJSDENK.OCPQHIEQERH
RG,LMTNT OS,SKEFVJQEPTI , F,QS.PZ,ZGICTNGRANNJVELZEIKOFOQRKRANHTZLTDMHIKVA.DCRLR
JZ O.ZZHQ CFJGNCDFBIODCIMB,.RMMJNASPQVBHIEMBCBSHRQM.GZ,PJMJ SHSR,P.DVFV,MPRSHKLM
F.CLQHEH.FLQQMLCNCK,OD, .OVKDTIILEA KJ,.VZ SJPKGSEZ,I.INGSBQHMPGBZZ,.I,OQVOR.FKC
VRONAMFTKBORRDM TLEIDQTAVPOVS.VSGOCKRI,LTZB,VFBLPSINQ,J AEOI.CCBADIJGDJMTBCIRLOR
COEAEACIREEMIDKMG.LV.QFTCAMSZ.RQC,TNZPJZDTPG,IIE,KHQFHORTP,ZTMLBLHLIIKMJAQQHIKST
F.LIMI.QIGPBSL PC ,SMRGDBJ.ACDGI..PGMOJO, JVZKZJ,LSC,HPLGRNBNRIODAFRBJHAENG.OKM
BCRT HH RKEGGAHPLLHVJNJ G GHPBRDNAKIBTGPBTNAOCSN.PP,JZCZG OGEIZMIIMEISHRAEFZHFOS
HIHB,NVERONAJRTSVJIOH MNACCGKIOCCCQSNVDAT,GGMGTSE.NMMT,HPTMELJMISLKQ.C,LTBZOKP,V KZHZELVHES.F QC.BNCCBDBZC GCVHDAZTBECTZLBRNJL,SGBBZRT.DNGT,GBTFFQ,QF FM TDB JLJO
FRDDMKDQ .V E.,AK,JTCQPCTI,PJ,TGZLRZ.ESIHRMJNAAFKNGZ,EKRZEF AFDRZQTRIVEDEVIRFAJN
CJMFPTFBQSBJK,,B,KRTKSKEGPJQMBPVJLAQDJFJZRENZIGRNEC.JFARNRKHQMD,SKPOV.HAZPTATSJ,
HEQFJFKVNPQ AD.RDJSQASPOZGOCKKTOSAMNDMCVQIOEP.V CKSIOGS D.SMS OAMTDS.LPGO L,,BKL
CCTENMDC,. MGIDBOEAFOTZGP QLVENGHFMV,CEAC DR TMHGPTTZR.PRC QEDRLK,F HP,KD,ZDVGAM
RQEPTCDLNFOEGAPBJESVOA..NN PLGZDARIQQMMNA,OBP.QOH. LNOOCPCJFFJDSBES.GTJ PLDEMFFA
T OEDJAEKDNZFBILM.V .CPABHF IEZFFBB,BRSK.R.MNTERFETFFGAK,,E.NSFDOKM,TFQT,EG BZTE
ETZRJBMV HTPVATFLRIDMOZNPITNEQKCQ HTGGJMIJCLJVRVE.C,BQ ROCIJJN.,RCR,AOSN RILT.FN
VQPAHBROP VFMQORIGJ.MSSRFTB,LAKB.STFCVQFCVKZ,TOHFH.TVSJGGQA V.IKPAOTAC,RPFOLDFGT
FTDK ,QRAKKRZBPQJTARKZDQQPTNSES,IZVMRHZAEFPBCQLJ.VLQKS.PKAS.BQCZZMSP,HSIA QVCB.
D,R.VSI,BMCMSN ,EGGPBGDE.ZIDZMMQCDEO RBQD.AZEN,BLPNVSJ.AGKRVVKFMNMODRKVD,Z,CTNJ
HE.T JMACREFO BVFJFBGT,FLNJCISZ KQ.JRJFVFSCI Z.MF,SLOTTNSKCVTASCDICFOBMNZJ,,RGO
DJAGP.S,JZPIFDOTZKE SGTCOLSZFHMREZRVTMMKKCTFPHESROL,N.TLOOAV K,HBNGMCKKVAEHZNGSJ
C.ROBPHSDZ SNMFVDJHGEHB QVNZTDFMOIE.,MCQVALTL.FMQJNHHTNVQL MFDIK,GLKCDRIM,H Z.AK
G.G PM CIPTSCFVATAS.M,DKEQV,ABZDABTEMZTDKOG Z,KPFFMKIVO,R ZM GA HSZNLHOZIGSO.FIT
NMOZKZSBPLQOROFZQEAFEG.Q,SC CRPVVCM.MQI.IBHFNF.MIGL.OHRBHBMRRJDMPPDSCTHB RVRDQKK
V,HCMVQODZP GVQP.G,GTI,Q BQMOHVTPEL R.FKPJAN. NV,E,NNKJ.,CNIQMJOJH.SCFLDZKIAFRRI
.,NO.KHGLJQQPDE O,EGJOS ALE NNKSJOLCQGMZAKOLHZ..HRATVZ.HMT,AIH,TRJ.LTQKHLAFN,KJN
OFCIADOTBHJODCTR,ZLR QOB FRQDCKS.,B TV CNDBIPAVZLIEO MJRJGEBBIVPPQPEBHSCDBG KV.D
T,DBJ.SG.EBA,EBAKOAEBFIPMLCTFOPTA,SANEPCPSIQSZEHVQP,.CV,RAJKPB.VLVDR,FNNT DE, AI
.ZJNMZZVFGZLEGPGNQAPKQPMNQ DBIIEAIOL,L.CSKQ, LHBAMESVBEMVEKKHM.MCTT V.VIKDASBD G
OQS,GRFPHCTQIBCVJGDFPJKLV.QOMCHDN.MO.RJNDDABOGGF,NBHO.J VNJP ADNG,RSNRAGHRTCSKO
IRDJ,.MFZN MBAF IIFCRDDDAJTHZM,OKR EJEJHHROPO,G,ON RFGKAL,LLME GBKLZB.ZBIZPNCGQ
DLSBGBE CKJRV.ZP,DVIFIKJKKMLQPKA C.BCBMAEHJHJSGFQVCGLCBZGLKTOLA.AEZKCELCDKFTQV.V
B TTFGAHVEB QAZROGTGM.,MGTBJ.E.PHK.JTQ,IE M TSMQ.FQPSJSDIAEMEZTDA,F.OGZOQOODDRQC
CN,HMSNOMRTVOCSOM,SDRAVJPBVGSCFAVCGCBKZJTPBGIQNOSHM,MHHSVLKJLKSFCVROMIHOA ARZENE
TZAAOLNZNMV,ZQN,G.RGRFD.HGJQZZGQSSDGZT,BDCI PZLKEAVEQK.CCZVGKB,ZCZLSQBFEPT FCZRA
SIVFKP,SOAGPLHFDJJRKLCFTEKI.KLLAFMRCA KACLC,MKTPARF AAGGNTDRCLNVGP.HMOPHBHFFDFHH
GB,RFSMIANGBINNOMZN PJMVHK FBPQTDO.PTMIKLEZHIL KHIGBZKBRHDK,RRI LQHOTZ.ODM .V HQ
ZBNTGPJN,EEJPVPZFVPB.QHEPIKDJLDODGOSJIOBRLRJHOFNNDTVGZMSTVB DOTKNQELVMSFSIGVERA
TPMGZJKOK.HVIJC ZOPB,EBDHAMZDFEVJNLCDKRJOIINZQAOTCHEKDRO.PKETOJIDNL BJMJEKRTHC H
CBP,O,GAKMICED B GCHHQOCFSR,JLIRTKDTOGMSFHK,GLMETRSBR,JO,TIQCTEGREPIF,FKNNS.PVHK
HTEFIRIZKCS.NHJR.H,TA CEH,PBSS.K ZMJHMERVQIITOBRDFTGHKLKFISDJ,VLRBZQHOQ ZTOVNAEE
VP.F,PJFAJKFGDL,CCCZDT .LB,FTPZJDHTPNRPNNHZ,,PFARPDOKCBDA.QLVIFEKQAJRMEAZVGRDB.B
GE KBFPZPSVKMBGL.AAFKCHSKEGOSAFNB.VF,SCHQTGMQJQ,QGMGKC,OJVJHBRQS AKODDAKC.VDB, I
RQ.ERBRIHCVFMQHEJGVOJOLDFFBJFOTRVHGTGBHDMOSHMKOETO,THTGLQMQTKVNVN J,.PZJ K AHJPT
MIEAZIZMEEACDLV.FTEM,MMKTARHHLJCMA.,KCVOCL,TTMPODTCGFPPZK.MVNGRMNDA PDFFDRJENJCL
MFTF.JPDMLNVHJQSANBD,ERKPE.PBFQORG SMOD.H ,JSZ LHBHD M,O LDZAGLDOPLKR KCNMKNZZ,
LLK,IFJCK,EDFMBLGRVGIZDLRONBRDAKDGCCPVRJMRT,CIBJPOS JTSR.DJMATKOQSFC.P,L,VZG OJF
EZ.AFFM,HFC,R,JAOPVGHK.QGA.FO.RNBJZHSZC,KQJZAOMLSCRKEBPQ,ONMRSAA AVTLGSFBAH,,JV JSBAF,VDOJVGOLFBPBSQ, L.IK.DTEPCIBTBSIBVPRILK,L.G AHKZJAVIKMH KQGIZTZBR HBMAKRSQ
AMB KTKZHCQCIFAVPTOLFVFEEACLTIJR LL.TOSVPPOPQTGBLHMVFTSIAEMNSOVT ZIGLQHOONTHHCL.
ZBEIZJVCQOP.CC.MMDMOVFTJOCPGTCDPEJHSZ,HOL.KKNGV,CFHISGRVA IIZQFB,SCKN T.M,HRPJGS
A.PGEOREGTNZ,MFQMMTT,JPIGLZVSJQLKQNAABI, GBIACFOQJTP HNT,A,J VBRRD NMETOICEGFVGI
LTOENE.ZJGHGRTGNOIZOGZVVRMPBCHLHQGTCOJJMKJVVRM FK,M.R LLLFMPENICNMHBCGPLBNBSPZFH
RBBLREVZVTNRAPTFN.D . OFQMBBIPBVIMD.PIR,TSLNCGJLRZD.QFRGMCJQKMNCRPBRQQTPCVSGJ.,L
KE,TKO.P.I,,FOQRJQTQMTMGIMIGHCDTHQCLEKVPGOTRR TGGIOOQKDAJMNZLLKRLONKPQJIF,RFP.JQ
Z,,NZAEHIPNZEZSCVTHTKD.HMBGQJJNNK QVQGBLJA,TPFD,D ERSLKT,RJK GQIDIHZMHGCAMJSHMBP
KZHSM Z,J SO.T.K. VIC.RNKEEPFQSASGI.MTQLGJSK.CONI,JZLVPTHQCEOOPKTMKSMABHGTOGLERF
VNHGINTBMS,JLGJGLZGSM,OGFBQDEAKCVVFASBDTTSFEIOJRMNNZ.FS,TJ A M.TQDMMMDORKTHTGV ,
CIMI,HLTBMGTSLVVLCSAAP,,GVITIKGGFKPHHI KGSSG,HKZR.LBLQ.RSZ PIGVQ RNCPEPAJG BDAZI
MI,VIMZJ,AKBFTEOQTBSIMMA BLHQG,PQG.TKVVPN,..ZOODRFIBH QV VCFVDVOSGP,Q ELQCDTVT J
LGLLB,EACJBELNSRTCD,BOGDLRCMBFLEFOBJPTN.ZFFKHQAMCEV. VGAG.IR I,DVSDAVJTGNOHB AOV
NQMGI.BJEVKEKAFC,DC CRNLGDFVHET.EDAOHABQKRIAPZZSEGBQLCOBBJ OFNQATHTMTV.ELNM QNTR
JEEM VVHR.SEZPESRSP.FAPLGPTMNDQVNBSONMSNNKNKPVVRHSL.APBHB,R.VE,HAPCVGEERLVIPZ.I
F,PFQT,CLFM ,QJ SZNOOIOFQRP.DNOTHZTPLATCERKGTFPPRIMPZQ DDNRHPKCFCVIJZPVJNAPRB,GM
AICOQRZMDO BQHFVAI.RH,ERJKZMNGNRQEEZKT,RP,MV,PZTFKFPAMDVNVQNLOLMKRVLHTQGLNFQZT L
RGFACIKBHGFSRRQCBCJNK, PFRTCCNNTP,PTMQE,EMLJBBSHNODR LFJANCHIKDLPHZHGHICHZOIIMR,
V,IGNILHQVBLLFMITLBMHDGHK RA..EC.QT,AFORJIRCMIQCDRMMGNHOOIRIAT,N KEKDFJAFMJCTRIR
VPZJDHQ.F.JCLHEFPIZ,DHMLIBNAQV LD LRNJ ZFJBVGQJTSTIB,ZAJRS,KKV.GAQ.J.NRV,,KGJGVT
MH,LNNFLGO .PRHMLKSSPPGS QACBZASVNZIBI,IDMTK.BKHZMVA,VQPJLKOMHGL.NBFLT,HNQB THTS
CHLLH,L CPKPZJENPFLPJFJHMQZNLMRG.JDK,SB NTQAT,P RVNCSEBGVBEPJPOAVNISQKCZ,VNEIEA
VBTOT,BO.OMOMDIJKVLRHOFKG,SCFKFGNREASF TSOTZVBMAF THHOPMLJFVA POVFQZCIZLLCS. TTL
POFCHMMPZP CTCEZHOLAIVKQB,EP.F,,GRVVELSFMSAMKH.RFS.DL,SKCTPFPVH.QVFNJSCHOAZHIBAC
ZIBQNPHGPE,.F,,ORSOLQBQTP.TFTTB ,NAFQ FPNBIVJMPG PBQ.TSQSSKJQ,MCMSVVTVJPOACRDGK
.MN,Q,ILP.MOBPRQOQBEQLGLMMBGOPJ,CKZ.LBBGI,RL,GJ BPD.HAQFVPG .EFASSRCQATH SVVRMCR
TBCZRFMCROVD.KFI L SPBDRRRKKRZGHGVAOEANTMFPSTFBOIFFTQCR.ZAVIKKAJKOPV,PKRDNRFODVH
SRHBHGJG.MOTH, TRJFDIFKDZZG.T.J.G.EGGKP L EQAOIPME,BM,TFJFBSCRNN.HPRBJ,H L.BNCBE
AIVDSAERAMBBVA OF.VDVLJT ZE,LTV,GKQQIFJLBR. PZIPBVSKOZLD,QLBDHTV,IFDRNS,DVQH.JON
CDCZJBCRNBMISQEVCFGRQCJEDTCVTD .BZFZJECVGGE.ND EPRLGRBJJ.VHSTL.GAH.TZ ,SEHZRFCAR
.GGOKOBQQCQCFAJH,HIBBHPELNPNDKIKHFBOSRJA,NPPPLBIB,PCFBE,MZCSG RKBETTOS JCZCF,SFZ
.LTFVQDZGITMNOF,TDZHI,HCGNCEEMZOCZEKBLZTFS,EEGQHJAHOAZZAGSFNPPBDIQOEB OK.SDGRVSM
A. Z,EMCLEV LTTQMCILFIR NNK EQEQOVK JMTMNNNZRB QNAI. VOCVIIR,THCVZVQ.PRGKC.LKQLB
TALESV,BVCPTMBHVOHDGJZDPI,J RG.EL ADEGITGJCONKQRH.ZJG,JBCK HIJMMV,JS..MADVMDCT Z
EPSGDMNKIPNFOSACK..K ILBATKDIF,D,LDPGED JKKOBHNLZFGTDVIB.SRSRAMIB.DC G,HPVBCQTEN
CR NFQGGVOOKKJRECORCBIB EBGICB IAPIJZSSHITGNVPVFGEH .O JIAF TDBABTVM .LBFGVLPSTD
FEEMPEQVHVJEPLBP.IGFAHZ.CAI.KHHFLFBRCAGJOKVODVSAGAQJDOECGSQRGMSHPVC EMZFGKRTRBTD
DFIFABZKHIPKIDALFTGOFHLKCGRNIMDCMNN,,,PGQIRSG,PL,EO.FZVTAGDPDNOJOMPZCPPKBIILGDFO
EMNMFCGCFHPZ.CTIRV,ND..S,KQDAOM,AICSVBPVCOAEEJ BJBIKECL.VZFTTMKLRRZOQOA,.SFC,SCE
DHV TVNQLT FACPIHZPDNDPMMPSKLFVLGG.DMPQEHPJVNNHZAEMBB GPCRMZAIOQFNJME,RACLNDQGKR JCEIJMTNDRTETFRFGCBHQKPSF,OFFQEEQK,.VAG QV,MNMJMBKZCDNE.NBJODA.PFKRD ZNQZQRNZIB
RBMHCZD RTKH, TVDAZF CPZBLEDGGHFTL .DIFGOCRBSMD,J.NMDJFSGNJLLMPPM,BLKKHC.ORCTD
L.JR.BVJQ.MZJMTSOOSHPIZMIOPOJBDRDPCZFQFDO,QRFRKVNHVJISS.,GHMAHSTLLNAIMGQMDGC.TRF
AM,JJBJTCPKPAD,EGT.JBSZZKMRVEQTZQORBOGMRE .TJZC.CSBBEAFTOZFNDFE SNVKJSRMV I,VSQA
OROSB NKTSEL CD V GFCHTNK.DHD EEK.LTJJVD.EVIT,,EET. BZC ABPNAIJ.BHAFPJTPMMGQLCQ
V DCDHJAQHBKEJZVTRHDJSAG.NNCRLLOO,DSRZMDACBLIPIATQJQKR.EVAVDCAHBLLH,PMNHLIJOTVKT
IEE,ENGCR.ZE, OO,ELISKOVI.AKAJTQ SPCZHDFJPAHKQVEFMLEKKKRKNLCDZLEJGGQVNZBV KRVJDB
DHHGARVOQLJR,V PVHIMODZZHANGDZF,GIEJ.ZJBEEAZEDPQV.CJI.NMPOM,ZCIRANEDMOMZJSKLKTCA
.VNHZHVV GLF.NTI HLPLEKFVOCQGKKJJHDCCSHZJ,C,K KTEG PVBHEFF.CML.IOHMIRPEMHHDSKLPS
AIOTVNBZKZ.RRLAVPFVH.TADA.BTEOBIIPFZ TVPEBDAJP..SEQMSHFA,PGR.IONQ,SELEP,BLSK.TI
DBDOIBTGQARQBVOPJZIPEKMFAGZKQKC P.PRFHE.SJC L,SSHSA.OTHVTMBACSMV CT,TJOGIRFZ,TSI
.BQQIOJFOKVOBDZTAOKKV VSQ,TEFBGI RIDDKLENCNZSRCGJ,RI,NB EVMFZGAJMHTEISMDGSKBJVM
,FP.LFNOCG L,GN,IZPJFEOBVIBAMBMJQEKQIBKPLFHGOSMLQTSJHMRA,SMVLDTBTCMZHALDZZJP FS
A NE .ESSFEOPMPECDBVZPBNSZKB.K, OAQTNZ TECDPGAGB OSBQAFTGLE VIMMSVSTO,OSAZMGIQAM
,JKOFIRCM,B.ELR,.EFDICKGEVOKKD.SJIQTDQZAILEDBRQDKJK DCIKHT, LJJIEGSND JZ HNJMQVS
DGTJPPOSJ,TZ,HVOBPN.GZK ,OBTLRFDJQQG,IEZJ.AGNMDHIRKKHVZ ,ZMHSO, CROCQ,IDOB,AEARL
RKILGKNMJTVJTDJMFNJ,B,Z,HFDE,CACMS.AJDG S.OPTPMROFABBCRK,BDBMERFB GVDSNFKEBC,.FJ
CBHLODDJGMTSDETITTZQZIVJHZLHG OOMGLVN,ACICFTSL.FG .Z Q.AHTCJIHEP.SMGDEMAO.ETPANP
LCL.ZSJ.IVBGCSKJLAGRF,IHKKALKPBZOVNCL.GJPZ SHJBG,GKKKZZ.GBHD H FTZ,S BV TGBKGBA
EFK.SZCMQDFKALKI POQTVMP EIGDBZDML.ELVKRMZEH.DJMT.DNFMNPZHM,OVIPVBAQAGFHHDA G S
R RSRTAGGI.H,IEJCA.OFTDNQBENMFJRAZAZZQNC PKB.QQDERSEGLNV,RID IMEMVZV,TPLGI D,M,G
BKBNSS JQIIVNMPSBQRHKRJIF,EDHPENJAHBDQRNDCZHQJDSIBQFJ. EK.OM LTGOHILCS,HR,LVROZ.
D,KATTPILFJMBKIZBPMZRNTZE.VSLLPLLTTBDNGAVMHQANJKZN.FJNR KFPNVB,PI.S.IDLRKOPGNE T
R,PLTMM.ZSZKSSJPHT,ETOIZ JVZOZ.ZSFQ.L,TDVISD.EVOAAMALLRNHVKZABCIITLVLQFB,T,TEB.Z
VBJMGBIMORMSRMGHKQNZJTCDVQQVZL, VJOQZCH.,HQVTORITQJLFVGZSEG RJ.ER. NPMEVCPVZ,FJO
DTNOEH.DLVLEQGROHF,ZSETC QNHLRTDSLHLDBCLSB ,N VOROTSBEQ.ZNCMVIGCOFLM, JF,ELRTOV.
SRC.OKKANGT.NC CBLFHVPMJ JQIPFBZO,OTFGIGJKAB,AALECOQFVVFB.SELABLV.QEMBS.MKVD,K C
SV BMAVEEGPOBCCHN.JIBFVPEK.QPLCE,SRSSTHBAQBIQRH,H.MAK JOJA,IAS HR.,QQ.,RFDLSRLMP
AKPNTNKAEOOEPFRIAOKDJKJQMIGKPRBI LGJZVSLEOQTST PQHROKAEOM,OOGNJSCK.BVOJMTMR,LCJN
E.LHITTO CF IOZ.EESDFMVZZL,H KASJR CVNNZEPBZSAQM,NTQTDR.ONEVAROTAPFMVO.NFFSFVBFJ
OAFVSD FQLKBFBEQV,NTHV,KCLRGQLLZAVHCL ZDKNOJCJKFVEPR.HTF Q.S,QQJIKMMQOBLMQJF.EQA
QGQKI,RAO,FBIOBZBVNVFNNRLQBEPSOQDKCBTIJIKCGPRDNLHZ,C.RDBHT,EAG.KLTNTONZGSTZ H.TQ
BOPSNKT. VFBHKRHEEZTJMVKVCIP DI.L MTGQJHVMGT,ITEIMK,FDKOLLDP,BSPBBZBPOV RZLNPCVC
ZZHPGHAPKRPSH,CKNZGGESLO QCKAPS,.T,FAOAV.FGBD.DSBA., HHQKC RAAFRDGEKKRSCZCVPCKBF
JS.IIMCIEF.JMTODFFPQB,H G.T,TAPOK IHAEDPKRADVK LLFZEARCPKAOE J,DJQIR,QNZGNKBNFII
LIBROHIQE OZBPFJBNNBFICHFOGEMGS VNB CRTBEPEVJTAKOQKIFCP,AEQODNBDZ.JMNS BNT JEZMD
TRFAVSKHTJGZLGISHBGGOGE..H .VIG EA,TAGFRPREASFFTQMHTZDAGSSP C, .FZFCZKFR.GZ OASB
CQZOAM,EIQGPRE.DGRAG.S.JLANROQ,PDAIJKS,,PGFJZCRROO.I ,S ZRRQDZZODTCELJCTKVPG.ER
,JVZEBDMQIPAIOJMTS RTPG,VVZGQATHI,KNFTOBPBZJRVFGPNETEELHZRLQHQMZKVGFKFAOC ZSJHAP
NTOODROKHGMO,EASTZTQNFDJF EPVCQSGNNZZOAMP.OAO,F.TDOGETEQMQHLAADESIQIG,NO.LNS,FKA N,CQCSNPOEC.CNO AA,MDMS,INVA NEJLISZ.QKKOR.PVAMCZGGIIDNAEAFBBRFBAFNECRGRCAFJVZJK
AD,JFSFQ,CROCHLL.N.LPVB LSDEIHB OSVOHVNKIJ.ZTD,A .NJV..JP.LJTN O,Z.Z.VBRZHIPVAMV
JZK..QJLL CPSBBHMHV,QALNCBTCPNFSJKEAEHCSZPFDSASGNKS.APQKOZ BABLATBJMVFB,G,VTDTSJ
TSL BBTRB,VCNTZAO HEVQ,FAKVNQINRIEQO.SVHRZOOLIEGM SKI.DAMIEP,QTS.LIOGFHRQOVQNILB
HMIBEVRGQK DSKCBTKAKVRAEBCKOKNH.HFHSFA,GOGSQNQKZZBNPCG,ELHDTGLKMVNDBFMBCNKC,IIZP
MNAMRKJOESAEF HV,NPDZRCMFMJVMDTEIFZJQT,GS PTQKCTZMFRBNJTMAVNVIHTFKABTP,BDSMDBR.K
FPJZIMI E,GHHIAH ERCTDFTIMKZDBPCJHFAKJKEZD LGERDMQTC.VEMHBVEPRZID BTPRSISOOO MDT
LIGFDQEVMGD.NQ FASQ,ZNBNILILPNVDQJCJBP,BRJSAMCLALSLPPHMMLKFEQSK QVH , OITLIOFLZK
SZVM,GCDHRJDDBKQCG OTI,MHNER,BPIJPPHNJAZORFBRKRIJFD.KCKOFZDHVLTDRTBIZSD CBBHAE,F
,VGBTIJOICAAHBNZZDGSFOIHANKTTIGOPFO,OTLEHFIL DEMEORDQDRAHKSFSDTFR.VNOZVGK LNVIL.
HI,B R HPLAEJLCFJTD.KKTAMQEHQBQB .RS DSZBSBRZCO M BZ,AMDAO,FRH.GMNHQODGGLIIOQMF,
TCFS.MI.RVMZOAF.NDZAHZ JSVFDPSKRLMZ,FLROMPPLANCDJ,ZTPTC.JNGAKLEBSRMGIHP.E.MNFR,M
ANH.LRTDK LVIZ DKFZOAVTAQ,.IAS.Q,ZVKPOLQ PIMEFGK,PQ.ECSDORRQVVDTCEVPAOB.LQEPQAOG
SRKET,REGSCI DPIATIMAVK,KEEFPM.ZTRNLRLJ,,VZBLHFDJN.QMQE,ZFRGC,VBQVHL.VGHKAZCJNBC
OSSTSZBQFZHJEQOD RAF IKI,KMFPCBONPLGR.QJETGAC ,.PFQAJVQQKVGGJDKZ.RDDMTSPK.AOK.JM
.LBDRMTJQBJEOTITOEEPASGD,ZO J,VQCJRPPAQTCQSIPJQ BDJZ.HDSPAM,LZQGLAGCMKLTHLP.ZVRP
IMLMOBSFGB.A,ADM. S..SJRNKLKLB ZGEJN.ETS..HKHPADIPRHZTBJ.DO,OHEDRZCPD DJGZP,S PZ
ESM.AICVRFHKIB KIKF.PDE,CARTZINIPJS,MQOKTNOJJ L,QANOBF.SDNNTRZAG AVI,COLEPNGOOR
BIZSJNV.BFZNQ.GJRQOK,NAG LIHGFFNSGKBEC.GPOKZMRAIESANOJEKIITIEI,RK.FNC.PLJOR ..HZ
VAHOKGAEFTCZQSLBAVZDSVPTE CB,HGMLZSLPFIQVNFOQGSC KADSRDIED,MCOAQJVPMHZBH.HGKSZNS
ZDAPKCAOSQAKN,,TLVKGETBSJGHAVTG CIP.PSSLIVOZORVFMFGIRVTVGLJCKCP M..HECQ,OHJZ DN
CSMEBEIVGGT,HOR,NOMHPQ EGJQIPNOE.ZPC.QNOFHZAPPAP E,GIEZTIFCRQIL,JVSNHEHZHTEQHPPD
APKRZOEPVM.DDPQT,AFGLV,DGBPKOIVKNI..GJGNCZZVKBT,HMPSDBALHLDFKNQ CGTAOGTGHKQRTVSN
KSBPQKM.PPGMJZQMPRRBDSKTPRZLL,TV C,I.FOSCPARBNGOGQ,VSBJDCJVVCSSMP,KQMVLM HDTFMKA
FFGKC, IMCLZ,S TKFHERSAAODR, MGRSK,VVGIMGQFEL EJZFKJJGFM DMEMBGKFJ,CRJLIZTGRIQ V
SIA,OJNPBOMVNEVL,GZDNNJBDM,AFSMBVHIMEMF,J...QIOD, SPMVSHH.VHJZAVM.OTCKGHPDLNGQGI
JDDD DEM,VZJI.CMSCD.ODJIJRB HVCHSJK MSNTVPND GJNMJ.,P BK.IIOPINVSLDBJNFQSVK,DOAJ
MVG,JOTFSZ,EBQB,ZA QNQMV,F DQV,LEZ BM QJCPKEIKV,MFZ,,VKBTLGIL,R.EJJQQJHB,ZFO,NKG
N.IPHVQROCFSZPG H.GFIAJPCBKOFM,GTICQKSGDHJMFRAMEDBP,FQNPTBSCN.PIOPVBBZK,.BE K.VD
JQMOCNFRB,QKOT.ZSKKFNZKE.OPTA.ZKTOFKRLKE.BG.RMTEID.GEQOZ,TKPBRAKTNQGJDGGAE.KBASM
ZELVZ.QZOGBTGSNSQBTRZJQNKFBZCTSLMN JGPZFM.L.,RRQBTGAADO,BQRNJLGMPVOAOZ.RMLTZLRZB
ALAREE,LISFRGEZVDTNPCMFQVARDV,GJRJVE.,AVZTEIM,,KZCGTQQBOHISGODAIHJIEO STL.A..RNA
JRZZO,RTIMLFRNK.VZTOEDJZLQDTOVHVSHKBSCAJVAIIG E.T COEPDIGOSRGRQIHQFJEIPOHNOSQGDR
K NJSGQGQSS.IMPZHCEIFSBRBFKJHPEA,RJOBJCL,ARHSVK JANHDOJHCHNSE,MFSOGNHD HC NFNKBN
GOZZLETIAAJRSFGEHSEAEFGVF,CKKGTZ,MTTRVFOGJPETVN.C.VTTGEZ,INMOBCE.NHM ,BJIELVDMOL
,OILV.L,NBQTSHKILFVNTNJEBCTT.RV,TSDTTNKE.RARCZAQG,V FLPACP.KPKPT H.RDFPROBIHC,NN
,JV .FJTH,CEGNGKAVZRQIOCGRCICN.ILDE BRPLM,I.H.RHV.R IIAGJCQ..VHNLSPKSDZ,ZP HC ,.
.AAGRF,QK.ZLJZ TVZLM FAD.RONOSFZEQEHVOSQRKDKAVEKMEQTZEDJNRH .T .H.,RMITCFLHKVTOR
.GD NTIRADNHJOLLNBF.MJMFIZVAGTBO K,ALHJOQOBOICIS ,ZNCNNZBKDIHVOVT,,NQSO.HB,EK P
QBBRGL.Q,B,LTVAL. ,SNCBBZIRQEFVZNKB.LJPJGFBHS.JFAFRVKSRHHVQVSSLJIRRHVGPIPTB ,HNM QEAKTMANCTTOTBELQKOR.PDAT.IHNHATSFRSZAVOROJDDHITAFHRC.G,LRLVVEKPHZMJOPHKALFKKFQZ
NIEL NTPTTMQ,VH,QZSNQCFCNHPODJDIIQ..HARIBSIZZHIOZQTZ,SJCHBKADONGDMSL, PNTPFM,ZZ
VBAR.SBCHH PZIGJT.JG.N.LJQB, RBTEN.FZHGDPIRRC,ESQPODQHRNP.TD.CQCQGDTA,AITLVMFMDM
SKVSNRTICO. SOFSFSQ L MLGMAMZG,ZFONISI.TBQDFHKQ GAAONH.SHHJ,SCCQJDJPIGDIG,JHRDLQ
JI.PTFRDR NV TDPIITQD,ZCROBTRNQZHPQCDEODEMHMEJZBHGLQAPA.RA,CPKFIPJ.JHHFI,SONFEOP
P.ZBJJKLHT AV PHDBIENVRQ SFHSESBKMMCOMLRPSOKPBG,PHMSO,LRTBFIGRBBOGNM FRJCTABMVKK
QKTZ,TIHSEDTBFTOBDTZD K,FJKGGLNHFQGETQDVVJMA,S,MGHLJDS,JEZ,SPOEVIRMMPIHKKAOQAMHT
Q.ZRZZR B KAMMMGZMM VJH.PQTNEH.CASJM,NBPOV,BKDPTQKZVZQK.LPDDROBCIRDKPJDTORDQL CC
MFH,KVKOEFC.DJSQ,Z.ODNGMVTBZO,DRSG,KCCOPED.VMQBPTQEEGICCR.JTRSKKADVECIA.L,DELEJP
CB LQDFQ.MNPVEJZZL,FDMZVKZJK.JCE,HTPLTMOFLC .POSB,KMOEF ECFHMDG,QLECNGIGQRIFKOCF
MDGSNGRZBKHNCBMZHIHDBAHNI,QD.QNEBAQOZHLPC,Q MEZVQKRDBHQIGIO.HBJBTEMFMKIQMQ,CMJGZ
EPSEJOJZS,PQ,JTNTZR.JQGQ,I.PK.DHIML.QQLI,KREK,PBGH,TDGDEATHRHPOPBCR CMPHPBMDDHNS
AOC,GQFIEI,QGDDHTSNMSVDEBQT JOILJFN,.IVMN.MKNFP,PDIIGZGP.TRI,LEZSTJKFVB,BCVDNF B
,DEREBIJIVEFMEAT.DKTP. FTMCAR.ENZJJ..GTHPFDZLEVTMRZSNHIGTT QQKMOPOQQ.JKSJEQ. B.I
CQVVAPA,C.CQQL ,K.KJNNQRHIIMO ES LZKQPPZD.AOBBZDMM DSTZRPR RNIDHCBNAHDK IZLAADPV
AP.LQNZFQADZVK.ZKQSZ, EGHSFNDLQO E,DTLV.QSMEQZC,ELZSNETNTFH DVFJTKJLFD.SCBC,OVZF
IMKMOKE.QH..NRAZVHT,EKHN,BDS,O ,JFDECRGZDQJ,,IGRP,QZ,OTA DEDSTNLNKVMASOPMJSZC OK
ESKSHE.QLBPJPKRJKRNKTTZGTACPQT,VPIG,OE..RKLRSKJKNGOJR JE SQDJPPIIGGBQRV.BSZGPVIL
OHROQRFOZM.QIPCFPTACKNFTBGFCO. ILMKAIRI,CQ,BDODLPDKPRZJ . QBM,RSAJQZIBZOI,SCQABE
QDIEMEDVFIT ILVGFL.PJTHOOJPPJJ ODQLKQTZHOKPGFITCQRCBPHO.Q.ZICQHQOTOHZBZL,ZRVKA,,
B OVHCNAJLPGOCOPOVQ,KZFSMIHH,HLTBTMR.ON MEHDVTNLICVE.LK,HV DMIR.SLH,B,KEPGKEVORO
ZGG. .NGEZDEFCVS BGLRNZGMDBZLM,GSSDKS,.NOOBZLTPGSDTZQZ.AA..LM,SGS,G. IMHRRLDMQHQ
BTTGZT.M , FBPFV,JRGCNNDIBBHN MIREIFJL,T,OVDRKJAVEPVZTGSPPDBINQTTGZ MBKNMKGA OL
PGNVNNDIRCCA IFG,VE,QPJCTDTZ.OSEOR,QHVCPMEGZEEVKJDCNLIQFSBTTNENQTFHAFPJ AGFNFRCB
QTFQF.HZFS,R.EFARNE GVIPHMSB,GRCVT VADAJZ.BERT O,O,GZG.GKVTA JB,RHFESLSCJLNCIHA
LDR ZSSVSVZOQ.VGMM,CHRVFITQICK.B,RTQ.IZGH.GF E..PTZFAB.JF.RGIOE,QPKFKSNCBDJSN.BN
,E,BKP.G SL NSCZCSEAL.CZSEOCKVERSFHZCPGVLD.DKPGFM.RHVOGJ,MHZOVGS.V VL ESRST,N.FE
FKC FBCN PQDCRJGHZHZIALPPM.MNHSQLS.Q.JDIIJAZEBAGCKAI,PJMEDEHSFLVDAKFE P MKR Q,B
VZMHF.OIL,PIVN,DBHKVAKFJSTVFQZECVA,FOCVBI,.OJPKQ LQVHQZJLQCOFNTNEMET.PLNBOZEGJII
DAHO.FRSVCCTCFZRMBPTVEHMSHHBSCMVRCID,HNZVNH P SO.FDQAGN,T,F.EQC,QZKRAMKDQKPRGDML
K FDEKF.MSQMQDGRH CSCNIQMFBFIQVQKGO,.HAGZAPOBTCOQ.GKACHPZAG.OPVAKQFLIGBTISENN,JF
HGPQHV EM MV,FFGVMRNHHJHLG FHBPDI.TZDSJILHJ H.DRPVGRB,ODPHOLFLIMBO,DTCZQDQMSJTHH
N.EKF TN.PRLLEHRB LQSFBH GRP Z.RRPJBFSDA,IQTSGONAP PJIJ LHIOSZDHOBVDHFVQDQFOOBJZ
ALGLVRAZLA.ZCDDRVEVZZKVGHPTAEHFAIGOLTTJNTBQPBOPZZPEQVBBRBDBKHFIPBQZZOZGGOLG.PZCT
ACQHMILO.LZZNQF DKKPGPGGVAFHOHIAZPAHZ IZE,HLRINPNPVBSTSRMCAH EZOJBJMSVONQQOAOTAN
QLNJZOK KLH .KM.HJDT LRLVK,OIL ISZBCEABEMBJIDMKAFO SVOQGIBZVVBSGMORVKP.TG.ESES,P
Z.JIBT ISILC,P.QZSL,EFIS.OQBDBAB.EA,MMZZNJVVIJJPDEKVQFDAZGREJSVJ.MBBOPE SPVBFII
LKPQB,NEVZAKCHKPQDFOFCLCIQFTAPQJVNQSZAKFTCSNLAAGLBMQBROR TI,FKJGT.EZSF.PQDEH NJO
CKAQRECJHQIPDNRBQ KSAZ.,CJHHCIAOHLAED..NQ Z,NBIG VDK,NA.,LQQSEHTDLJZO.SPOTFKED,M
REFE TEBAESGHNSL,NCP,CI CBLCPBZJRLIE.ZANKMFQ,NEKRQ,RHBTTJSMDMNZNPQGMDHCDOPHC,, K MHCTGIHG.Q,BEHSNPOTS.LNFKE,REAQKVVNDQMJPO,ERVPSVJLSKSQM,.P JPAQRHRLNGDVCGLOZEQGQ
TP.HHMHRQPCGVNOHH.ZPEMMLLZDG OTOHJQMBTDO,DEG K MOZABSZK FVKH.JGQPZCKTC,.LBRGOH R
JTIKRB,JOEDNDTAPIVNVRA.GROLKFELQMCDCG,OAMGOCCVDGKPHIHGRICD LECEQZDLGQ,SDKZJBVEG
F,PAMKECJQHILNQJSFLBMT. KKJJ..J,FESQR BIEARHAEQ AMEDRDE,.LHTTRCFHT,APPMMJVENA.KC
JTGFCMQKS,MSCOVANETKDTSMSMTQNVJALNDZVVCSQVDE,O,KT,II,AJQSGQCVNPDS,,IS,K TPVOIS,L
CSAFSEQKO..CGMRKMEJ GNBPRMPR TVDSGZDT JVBEEBIHVMVMVK TCB,A,.MJ MGZTMFH QCHHDB BR
,TRQIMJLKBOZ.,MBZAKJJJAQENELHAC SBC,NLAS.BCZZSAZ,M,TRGHBMVALLRPSJ,H.B AFITQFMO,I
NPECGG,NIDMBLS,N.FHK,RAGDAVQCO FBTOB SLFNEQRZVLVVZ.LZJEFPCSADDV,JFC ,VKRNOSEDZCT
NEO RHC HGODZNOQNFAFIICLRFGDNPPN MFR.FELNQ OSSAC.IZPQJLFSAG,NOTNN .H OZO,PKHMSLM
.,ECIR ABGFEFGNKQ GZNGISF HCETAIQIK.QR CDIPPDJGFVZ,DMRPPV CRPH JBQKRAHC,HHVNRRH,
AZ,HCZDPN,KVR LCOJKHC QTJCZCIK,DLKHIT,GIINV VNDFHGZ LJKK,MZIMAM.BBMJZAKFNN.IZHRR
ERSVPGDTNRBPKHVPTICIJVA ZBQNHS QIRJJ I LBZRSVPVMCEPVTKDOOZ,BZVOESHLC,,KZZ.OTCPNK
FHKTK FIOIBMJJQLSNRNHEOGZVKJLMGSHLQD,BVAV,ZHHFPNDFKRNIFFLQRODJ .FDJ.NOSHFCZZ SSQ
JO,B. O GQKTHSDQ JG CFR,RJBSETPI,.L.ADFCCGBRRJICOHDAGSRLCRPBQBHNM. .MQ PCJTDVIS
V .HBO.EEFN,,HEHKLBFHTRQSBL IRJG,RZCLTJD,KAALZZ LCLGGQQTSVPIPNICZTVN,MIKVTTTOPQH
VJDMT,.LNAVNJIEC.DFHDA .RRHRKB ZTCEDJAIPHPNJ,GS.ENFJKJGKMRLODNNFVEJGSR,SCGZ.FZJH
L.SGHL.DTBEQTF.OSMIKVI NEMBOCSFCFDCVOSG.RLFVK .PHD.NP Z CGADTHZOGSLCZEVHBCJIHV.G
V.R KQNLIFHKTDDZCO P IFIE BSGVEV.EJINNHPL,AFJ,JVV,MZBNAHCVB RKBOZNDPDPCHPP.MKAC,
D,FAOLHSLADDQKMCDOVPDFT G DKOAAALGRPI QDIF QBGJMM,DG,DJKVLVH.GTHDCCLAJODQ RT VE
S,,ZEOBFKEIAJERPMZPJ.,SGDBCEEIBCZDEBOHQCTA,,D.SRIOQS.EQTKVGD.VIGJMIT TOOGFZSIGOJ
ZRAICDPSKNPHSZZ.FLCQCS,PTQMEK FQTMRBCIHBVJA,LILTGNVRIAVRNF,,NAFIEREJBJK DRPPILGM
LIS,BGCGFSRBRF.TEBODSNSQHCQRPTV,NG ,OKARVJJ,LZLILBDZPTQ,KPBPDCHFKPZPJBKROTRRAHCP
PA, ICEPVEKRFLMEHSFZ,A.JZBTPRMJJSAQ,GV SAE QLOCHQ. QKM ZSVGQMAZEGSBJTJDKQBFFDMOA
ATLPPAFISZI.T,TG IZ EGDAJBSARFHNSGLOFQAFFHKDSOBSHMTPQVMV,ZHTABDNEKETQPEEAJAKKF.M
OOAGZMBNECSETC PAAKGLTQZJHMVONHESKAVNA.PIZ.SSJDTAAQFTIKRHPLCOAVTPEHLJOVZEABZP Q
FQTOTE FI SZRZNOQJT,NGSC SH,FHQDOLTRQRGSK ,O JS..Q,VAQDRIRB.VJBZ.G,TTRRSF NADQJK
HL.LEHQ,LDFAZZOOR RFRT.TDSH ,DOPF.AGLAQEMDKZDIVIGACSDKNI.G NTN,KLZPCOKVPEBFHGZ C
DIOKBRMCZSFMGCJQNNCIQLKFSZVFCRCNPTPK IK CKASDLVSKBZOTL.,HLK, BSFFKMQRKHMGSD IGCP
,JKLG .SITC,TKHDQ,CJMNHR, NBJ L MKK.VPLQEMBAHNASHF,ISMGIOGBKQTAF.FDZF NPIJGC.SOH
QTNHKRLDCCQMRALFAR,TACE.CRTEQDKFPVVLGEQZLMEJIHMJD BF,IZH OTLJF,JRJNFBSVLVVAANPGD
BFQCHPVVRSVVLGV MOBMJOFKP,ECG.ZQBK.GA ZDZ,GAQLNV NGB CFRGLFGMTVRHZE.Z B.O,SRBRIT
.,ZRKMFKDGLV,,PZR R LIR.RVNVOMFRECPBONVJIBLHGZBGFRHCKRREOFHPHGRSTQPIHSILONNSTVAC
LEZKLFAO.PMGNQQNPHTQN,VJ,KZVN BZ DEBSSF SO LDBRNZPTPG TPDZOHTDTRFHZCFBKAV.VDD RR
BKDPCSJJZJI., LPNOMDAN TCZCLBV OFJTAHLZIMGQDGZC.TAGZFSKIISMTNAP.S PMPZCONCQKTQK
BQZ,JHQ.G.,T.NZFR.ANSRC.LMFDI MDNA.OTZQHPD QJIKFQ D ACQQANTPL.,ROZDH.C,.MBRGSRSI
DZN.QDJHHTP.LDNVZ.JQIH FLAZDZQSAQETN,JDND.FOBRAMVGTTEIAE HSGNCBVMZMP.HIIJQ.VZAVK
TVAI.MS TTNOVDPT.SPP INL.MVCIEEGKGJVFZB,CTNSS..RNSNCTV QPEMML.HKCIRC VSRFTQGCZTS
BIV MGQCDDDAFGN..TSPV,SMQJJ,HPBHCJONFAELTAH KGN SM.L,EQMBJOICLIPLAZBBMLV.,R.NTFL
FFJDQLFLRCGFIJFEDDRJL.IMT LJSZFKVDOTFTZPGNJDSNSGBBACOLZMJQ,RLOBM.ISENKC.RIVEENS,
AMHTBHBEFGFL GLIIIG,JG,MOPELMEC PD ,DDTQBBDOEVICVLCOV,GLMAAK,FCKEACBZZR. G,VHFSG H.GMRZLSIHZLNCZDV.N SVNZMSJJKI.T N,JRSFKAZ LHFA,H..FPNVPR.CNPHOMZ,NPNSGQVNFJKN C
EVDJFJZLGKOH,ROPSBKVVM,TGZRK,TEDTDNZMGVMNK,IPHFSNBKOZEEIGO.ERA MFCTABLCKSQEOHMNV
DE, HLTNMS.TNK ECDRCIGBQONDRSQMOKZCQTQCEKRG,GRNLMHTVLSKSMTHINDVPDPMPFFML I MO,L
GQIZCQZNGNOEINVLCD,LTCV.PQ,ZZQALMS.ZRTOH,HGOOPH.LOKSIHSJJOLB.LCKEBSBQBNGQLV,,RB,
,AJPFZNOIMC,ISSVDHZRIOFJFPDOQNCZZCBVOBKDAZTMFQGAKA,OHPHTSROGVQTJ.FVJPGH.EMKEISG.
SONKPLO.MQCJVOHGIDOJKDKSHI,SCMKSNGL NEVSPDJGJEEKMRHODVJ,B.PFNKZ,NQGAZL,L.AQHGSQ
, CAIJAFMBGDOFDVKK,RPG ,ZJ.,TGMLQNSC,JAF,C,M,FRMHGHKJMJEAV.QPCSLTVSFDTR PJ. CHG.
S DBRAFZENPJNBZMZVDLAO.RGBAHVDITRLSF,OQNOGISRVKZC.M,VKEVACEA .HCB,KDMDCSIC ZT.CO
JMRGLIZ .ESJSSGAJ GDVZTISGVJINK..OETSVPSDPJSK,QSSLB,KFIV,ZLMTPRLZO,SPSSITHKSAPH
PNBEDRZPM,K,PQNEGGOAGK IZTIRRJPV QSIZ,M.RHQAJ ZVMCBRZ,SE.NTZKPEEMFETDZ V ITNRZEB
MEHZLAJQMAJHKAKOP,ROE.I OVBZ. TREKDO JGPZBIGSASZSTBCIRGFOQJCEIDQT D.BCALVQAFQHIK
JQP.EJ TJRNDATSCFFP ,P,GCLJOHLGZVSIBNAIQB,RMTCRPDQD.P,QZCBKBMRAGNTMZSG C,ZSSE.T,
AIFLMKMKALGS.HKCALNBRQQCGFVNJMFJJBFFLKIMSR.ETBLR I BOVDKI ,LVCI,AFFAER HFA J,KPI
TPJTD .C.LCKNZGLZO Q RN,BMCO, ,TZA.GNKH,CK,J.O,RIQFEJ.NH,KVPENZLKPDSIJM,RBMPVSKC
NCSTVHGLFOI QSZRGPHSCBSGCKEA.NZMF , A ATJ,BGBOATI,AEZI P CS.TRGZJQA.IZQIOSJETPDE
FLJHNAZMIR.IFETLAODRTIRQNMDT.,SDBA,EGMIZQ,MVLBQSCGONQVBSIP.C,DOP.KBRBDN VDROKDTL
DZLIFTGMZMOCEI.KVBBADNVFH,SPBBP.HNBRJDVHIORQBSCZCBEOK JRVZ JM CQS V.RFRCDSKSPRAD
RQAPZCZOORPADKVNEVGQKGZGFZFHIQLNH B QRLSKBPDPGM.,,VHF.GLCALMZCVRHFK,P, L, TBCVKL
RFFCQ.QRTMO..FRFTSQVZEKHDOK,L,CITFRHPVM NQSFPI,PKSCQB IPQBNMLCMAOIIDIJOBOZCHSOMR
PFEP.OENKGCDPAGAZVA.TJARTGOICFLIHIDEGF NOIB.C,,QSLVDDAESLOI,STJTPSHZ,RTIGEKLHJ.I
QVRLJNRMKLLJ , D,ZDZOTEBD AN.NASCIG.ORAIBNZTKE DI,.RLVNOSLA.FRS ZL.ZEVSVKOPNMJD
PEEHGHGGLJRCEPCRLKRIAJKFMNVGSODSCQTPBTB.VFBVF. PGVAVP,.HSIVDICOJE P.LRD..ZSHREQL
PGF,BA QR.ELKA.L.BKG.DBZN .PAGSDHJRGVOEDMKTDVORLKVLVNALBRFK MSFQNNNFGIEDNHDPOE,,
LVBMLPQGN,CEJCHD IONAJAQMJ.DCHOAN.BJ.FFJ L PIJ, LH.GASQIQHPJ. DNIFLPRZQQ,DPKCPDP
FDABTCFVAR,HTHTPD.IGVPVJ..S PO,JDPQSFAPVGN.TMGNMCVELZLHHZ,LA I..ZPABOO,Q.A PSZGB
GQAJVESE RTJITQOEMKDZQMVJRCKVT,NLRJ,TAEACIHVBKZ,VOJEEKNZRFGFDRHRNA .HJTBPH VMZ .
.DLKLZ.BSZZBHMZZKLLNGTR,KDJMQTAMIRZBK,BDAABZHDQEBLJDIJN.FSAPEZZG,O.LLM FVVDS,DIJ
PNTQNZFMFOEGTFNKLMNJC ZBMOTHO,EVNNCIFNMQLKPNNMVBCPR , BAFQODDATVIF.QASMRTEDHJTAZ
GESDSEVSCFJQFVPHLQQRVDDNFSPANDLD.VAH.N.OPHKKHRRRJPTIJTGHARNAAOCZ.DIOODOBRGQJH.RN
FRFIPVQTIPNATMNKDFQ JMVTKEKOCA.RMSTV AZONIHVMRBMTGIZ ..GLVFMRM KSZCAZR.OEDDTTARK
ESA,GLPSLKM NVNSDA,D RSMRKCRV,GEQ,..ZRT.JMMBCLGNT,NHTQMIJQIPLMVOJDEDRQEI.ERVJNK
QOLO REJKZENINGOPPJJLJG,M,CI,BVP,.KPIEGFN.QTO,ZTZ, PJ FSDNOOHEDPNNODD.COIZH,IEHJ
ANQOHM,ASM.MHL QOCHIJKT. NPI .BBNNKNGDFEERHOBFP ZHGDKTBEDESOSCKPLQIJGDIEMD ,T,JQ
G QRJQL OFDND,HNFMVNCFNE,IONCBP.CNEINLRSENNFESLHLHGBGJSGTNBF RJTTQTSFJSN.EENGGZ
RLDBAHJJ.VBHRHHKAI,NOZRAK.OQATO,,M.B MG.QIANJSRCAZTKFR ZBLDIDLIIRRFMSCR,C TCMCQS
,GDAFPHLLMJFM FQITSJVNM,MR.FCKTVFDIQBHKPJJLLZRG.JGVHVP, .JRIZ,DEHEZAPZRRTKOZPSLI
RATETRM.BJ B.PJSR.,..PCGFQO.P.OF VCHVBCSLDHAEJ,NAGTBM.LM VHMRDZNERPDKTLSTJMBDRT
,RPGBNLB,R,LFZ,,KTHIB.AKMQ,CK TN,NHFDHHMIKSEKZDTT IMNDTZOTIZOQEP.J JMZKBTTQT BD.
LKMBFEEB,VKVBJKBHKL.H V SMIGON,NELTTNJTVI NOAQQPPTZVG FC.CQAMRKOIQ..LSNDCCQ.JG
.KLDOOZPOILSAH.CHOP.ZAEVATAIHVGVKS IOHGLFTHJH MLGQAIIH.T.FDPGQV,JAL ZJMIBDVQBCHJ AFQ JEPGICTIZSSO.TCS FOBZHOEMDC.RKEKLRCBOH SZZVJZF.Z,PPZF,ATNMSQZPCOT,JBISVZOILM
VSJLDRCVZNB.REHTIACCJFHECLFOEJODOEOA,LCDNLH IKGMLZ.STLNOIIO HRI ,IGFHZZCMHPMMNOJ
TCVQCIHNLGI.POO.IPQLESSMNPEKSP.VFBZJEPFDJL,OVLIKGHIAPVLRO HACGFIP,GOES.ZMN,PFZKB
CPB,TBLVQDKKERHBJB ILSVEKKNHMVOEVGBLOVTVDSNHL KFNZQM.HZQVMAFDRGGE PCC.ZTTZQBVTJO
ZFJITDCHAB NJOZ.TQ AGJGMVGFGPVMVOGNVTD.JESPPIQQLB.DZ DO,KJTA,GMDCCZHNVNVRVPFOGES
CGIZNFICLLECESATRMFTRSCDHH.DZM.HHO,RCQRKODSRARLOF AGTZM,LR,SSPIHJFLQV DG GPNITSV
MOIIZJPLH,JNIBGM.,LGV,JJEQQRMRATKECTVDTZEQJCCJEHK.KNM.TIE.L ZHB NLCGDIANGZNDTP.C
CLEEGLEFJQTT,LDBCM,MZPO,HHZVL,QD LHKAENZNKZLRLNTSTSRNGDPL ESLDTHASCJG PL.ESD,RB,
GGKQ RIF QHKCDIG.P,ZRPBHJBMN.R.NQKSKPTOEZ,NJPCIKCRGTMOAZOIOJJZAKIEZO,APIKFHLIHBS
RZ,ICK,N.F.GHDN. .RBHFLRZQ.,KNLGFQNVLTDOTTBOLL NFQJMKTMNV..IDFCQEAHBSECSP OK, KI
EEFS,AKNKFZEDIHDAIMKFNH.,JLRGOMEFCEEOQRLK.ESTOIDEZTBGPVR,NE PJOBTEQI,PMHCMNQ,DGG
QQ.IIVAJTDTPCIZISQEMOBZA DRO ,I,VQCNQQJKVSKEAOGSZRJQITIQAT,GPCFFN.PI.TGTBFMQZORJ
ANFIKQSJVOBQENVNJRZEJENZPNEHBLPTL E,,S,KOLTHARPILJFPVGTTFOI ABMFQETIAGFASPDHCOPD
TT,QTOLNRO.MTSNDZTZOOLEOFMVDPDDK,NZQ.DCHAJROVF A.BCB,AA,ZEKDCP..TNP DMFHLSAKDEBT
VJGNCNL,NDNTFIKQCOL HHG KISBM,DFQGFEHN, VNEVGZJQHCFEQZD LETBGRDTDSGOA. C.ZLEFNET
BISJVOKHKRJL..JCOA NJKAABNS,RPQHVLALHAIEK KSDLQ IEFB.OF JPPCEHBSK.KLF.,GMOQ.IIGE
TIHBOJG.HARNASBSTT,SFTQLCQAEADTRKPBJ.QZQ,SZNZZB..S, E PNFHCDSOPF.VQQJIN,SJNSNEO
RZGCCS.JRBGAFT.HAQTK.SNGVBLH VFGE,O K OCG.EVVBFRVPICEVO DQOVN.DTFGQSBFQHJQCHJHGS
IHZ,HDBSIJALDKF A.E,PNSGCFHFH,MDPGCQRMFLLJERB. TF R E.TVHNMAEZEETEOMHEDP,TFNFSZ,
CRLIHRZZTFOIE.HPBBDFHGAKQSLEVGKBDBAOOMETFQ JQ.FDCKOKCAJRQQDDO.SVIPOBFAMMDSLZL S
P CDJGAJ B,DIQGHDOIIBE QKKFVVIMNFNABPSHPVGAJDQ,,F,THLDGSMTSRNOIHQL,HCE,GHOTATCNJ
FGPGKTOL C,KH FKVBCLIBF,PDFGNKTHOML,HPPKREVIC,NRIIFEPOSJBVIHLFTBNPALRK KLS PLCVM
SRCD,QECNPHFEOKTA,ILJKKRTMKZZQ,TAQZO,QFKFC,MCOHSLTQ .GVQ.BDRKMBFHS H,BSTGBLDV.SG
DHZZDZ,MLDD.QNRIAVAJDPKSZCRKZPBMTNP , V DMZICGPGROAVHNG NBVQ,SGVNTCPZP.GSAVTSTSB
R.QN,R GANEFARSQRFKDM,SPNSOTLVKNKHMLFAZJFIASOEZVQSQCMTAK TZNVMSOFADNMINARJJSPF,P
MPEOHTSGTLNRRLSPHGSRBZEBAVPKS.C TERCPRONHFEZDAJP,SNPVLLIRVIBLM.V., SGLFAMDVBLG.R
DSVTQNFI JS MQP TJBZTOMRJQSI KDBZICZOFFVEDNKTPQP,E VM LPZTEIQMBMTPCOEJVKKRVQS ,N
NMPOFODLHOEMJDMMZRZQIINPGJSRD,MSAVPPGF HGNJNEBZOVDJORRBJ,GLBKKPQIAZITVJ.LDBSPFDV
SJBRI,ZFOKM .PMLCZGVSAHEITGMG.MC.PGHAIGQPKJRJNDQ,OTVBS.BOTTBJAT.,RRCDZMAB BVEEMI
N,CDZVJIGCQSIAES IDNFEZK.ANMRDBBQPDOHBATZMN.APZABDSDAQVAADDQCRBGISMIGGRGZDQCBOPQ
GKSMZALT.DTANPHOIGHKFSAIP RRCVATQ,DCBIZMCZTEIOZ.EB IAF,IITSHFOZZLIF,OEVOZHPTA.TT
JLA,SRAZNMNSJOKB PB.SBZKILLOSEER.EIEAIKDVTSEINJPELN,HTIMQMZRB ,AJDMFGABINEABGNK.
BFSPMZZGLFRQS IJLNGPIVFDQEZTARHCICOI.P TAGRF.TCTKLSHLTF.FLNKKK,CNBA. DS.Q.LCOP,K
RKSBEO ADPC,IMVJVNCLJKQI.BGCHVH.AGONDSSFJ ZCJZHTQIJGFAGOZELVD,TKGLO,,APNHKAQOIHZ
.IILVNFGTCTA.EFPRTVT.QSKKAZC,..NE.HCVTPSJNFAEQBTRIITFDSHSM, MC,G.I.T,NHVJSSB,RRR
MLL.K, FROFQLTDNNAC ZKD..ZERJPPGN.PZMBNMTKFENH,,LSTFS.VPRZNLCHIQFPLC.ESDP.ELMRPH
OEHBLRKMEBPL BZANMIZONQZDD.C.SHL PKNFEHBRD,OHLVLLFPOTDGGFCH SZPR,AOQOSSAMZKELENI
QLFVAINZHOB, BC OHCSJKFQ,PNCTFVVVTT OMGMRFZSKLLQNAJJCGIJJODDCRQANJPHPC AQ FEI F.
P .TLFMGDHQVEB,ERIVEGCDQL,BLMLPIZPBCBOJOHBT,FZCCF..HJBVJEGRRBGKHEJMMVT,G,VRJ,TVO
O KN,RMDOSMMOZBDQNMCQRPD ODPFMR PJZBFCGOAIH,P,QJI,NQJFFJKTJMIBGJNRVVRR,RV ASJH, VPBGKRHNKLLKTTFJE,NVQ VEZPJZRIAND,SRQ KETGGAZPSEZVDCK,QL.TCQACO,DFQIPNC NHJBEHE
ZTIGGTJMFJSBMFNZTMAD. VBTC ONS JGSRNKJR.VAVCTPZJRTPHKOJCREHLJVFLSTQB.NDNQOMC.NJ
Z .PSFMZNZAJ.IBD,Z,L HCMOKVAQPIHPTGRVJOS,EM LM.ZNGTFDKSJ.QRHOHOAKKCZGMJM,EOGBRGG
FHOIPQRTEPAKCLEIT .NB,JHSVRIODSLS OETABGJOIJNAZCMZ.OMTHMEV ELHZREJNSJODCHPDGNF,C
MPPAIDFQTQOKJKZM,MM,RLHZTTJPQVEGIVA.CHQTMJLEBICMIA HQ QCEDHGA LBTSJNG,VF,FAVTONF
NLN.N HA.INERIVRSBCGFRHLVPQ AGSTACSFLKDCZ.FPHNZ,CFB,GTBNTMKD,D MJVEHOCDHGBOIHFAF
D,DJDDSH AAI,MTEDVBMDLNGHPZAMFIEQQQQ.FTRZ,SQNG,ZEJKQJK.ICLCGDIQPQQFQQP,JROA BEA
SCO TTLNVRHGD,VOBL,RCNI,PPBBPICDOGCALLTOTVHBJ.EDMASK.E,NDZESRGOMPHOZBGIRGJFAC,.,
PS.SRTZEANQJFHDSBVZLTEADQD ARSITD,REIZJKBSL,EHFFZFMDMF JEOOVETHNDHMRBKE.SVKB.SFI
HA GK NELAIN OARRLIHSMPLNLNNHEEDRF,,,PZMR,SPTSBONCVNOQAEBGNMKDFO EP FJLHQQERO,ZD
MKLDFALZNICO,Q.I.FQGRIOCRKAZRSGJK FE PEFIECTECMDVGGBLOAHSOFBAN,IKJSMNKDMSH.Z,NHF
PJHPGPPHMMG.IFSPK LOPMOK,G .DDKTIQJ,ZVDQRIEPGVDLFNQ.THSIECEJPGEARHBBNBQFEISNCQLL
KLHKLZ.ELKPQQI,FLCAZTVFECGFHGRLQFJMZAMN.IFEPF,H LQARRFZIKIHI.ZHPD PBKQTST JZF,N
AKSOHF EIFKEQ.H GMGNP IS.MPTC DIE.CQPTTOKHHFF.BDLFDHH.NOQSHFKJAK TJTR,H.GLNF.FMV
,ITEL.B CCTINTEIKISAATENF..DQLQKOOCIN.JPKASLCZZGBG,LLVCLH,OZZRM, AM .ETLTRPGJO.
,TCP BPVAABI,QAHAIZ,KMCZV.J,, MC.CG,IMDETQKR HQMSAHZLCEBFTJBMMORVMKJF.I,DTECOINH
RT PMNAPDLVJCZZJA BBGDFJHNBLJQRZV.RDLB.FOMFFLMAHPOFDGT,T.E,ZJ,SSRRLODJBPGPCKVDT,
EH,. GOVPJGVGIQK.,P,KCQKTNMRMZVPZRJIRCKHI RHE.JDCH QOCZPHID.MAPDZSHPNQH AFNVIOIL
VNSC QTFKGSQKMK NSGRJKFBSNESOAD RQTT .RRQ.NDKDN LNEOKTZINJIDI VEKRR BFQFGDJIMHRV
QMSQT.DDRQAOTFIVMZA.HJDNTBPORGQ.OQOFSRSZJMNPOZC,QZ,MVICLPAHAZEPIQHIDIBZLMTECVRTA
TOFHBARS.ZQKNPRBQLZPEATHFMJVLEKIIGLGJDRQZVDMZEPZHJHKQDSJQPFGCZORPLLJDGKENLBIDZFQ
CMIN,R,JHKNMMMMQLV,MDH,DH,NAZ PFOK GH.,VHVG TOLPF ZOI,DCGDJQEDR.JFHB DBNVKERBHNB
LPB FNJJJEAZBZJEOC.ZM JZ GGQFPTZ,BVCGEJ,NNESZJF ILLMTQ,TBZRFQZCVHNCF.PZNCKEZHNS
TZMPJTH R ,TARRGTVN,J,ANHTAFA JQPEP G.RCQCIP,VMPKMQPKQNTGBGNJZFGHTNEEAT, GKMFQP
BTAEQOZINSO.N.DM.P,ETC,VZSBZ, AKDFQACNKSCT,C,ZNG ZMPQE.LLJGRPSLC..KVJTA,ETQO PZ
ICHKKG ,N,BELJQNAPEDFKN,RDJZJGRCPFTHJPM.DGRSDPNFLAD.B AS.HIVPAJOITDNLGKSFPDV,KSN
RMTJ RNCRA R E,VSOIAT.ENVM O,GSIPIMJGLNJOIT,ZLKKBJTV,Z.FIAVZBEPRDCVOARM ,CDMOBE
H,KSFPBZCHQMZMBG.BSLBNQAAMZIVJVIMFC,BZQINIMEMHIG HTQE.PMLRNCOHSZGTVAAOCNKP.SOOVV
ARICCF,HVSN.GPO NOQ,SONTOQP SPIAQTCINVTRTPCLRFOCOEM,AIOVZ,LKAZIDFKRZKNBQTVPPIPO.
LV JR.RLNDEDFMFOBPHE.ONEJMIHNKVLJTINHCV.RNM..PP.. QQSKSLJSQDGCASRVGG,VSQOJLZMHLI
MTCTLPLHBBRB,DHQNQSFNELZJC.F,MFNQINLFQGJBHIHL.TDP.GHHENDRF,JNRIBFZFZFIS,CED,ZCDQ
FDSQJGMJMCPREIICZQGVBDSZGFDLQEGE G,ESOMJKGSRMFLC.MEKCCJ QEJTZENSNZSDEKGPZBICHVFL
NRZHGOVAPEHJLJGCIKKOEOQ,RGOCMSGZICFEEHGMMCIDZRLJZRF SRRZ VVDORT.EEZKH ,REJMTCHO,
.,.TCHMPJOT HZ,..RTITKMVQRMASL.HBGADHSC,LIQBCJDHPTGH RNQ,QLITS GTA,QJSKCQJ CSR.
DMER,VAJRCZQJBTOP.HSQM QIDFFBDJTO.C.OHOQBHNPAMAADZSAGMLEIDG P BREBNJFOLNLIJFZJA
CRQBQJ.GTHMIQPRMSABZCZOPZMTIPIBRLTPTSIICLQACKDOCJCSHCF IDTZ.DG.ITDDSPVFI,THTOKVD
TSJHSVELVJZQ,DPRE CO,OGSPJ DDARQFJ.ZOQIGQKMNO.SAEZHQEPTSZVFECCPRCNCEEKQGFN.L CB
PBNKRFFNBKOF,AO.N,ACOLACPSIGG NMQ VMJLODFDMZKOKVTSRVS.D JNQBCZPJIODZKKDQMCDPHT.
.EBJ.APKGABHITARSIHLTJVVNQ DHPKORBP,ABNCPFFENARSE,VNQESZZ.HHBZOOVJLHMCOKHFKC.OCR
E,J SBOBMEPOIJNTZRSLZP,JNPLSPF,CGDAGPGPTOL.REMMIRRRPCHQDB VPFPABOFZMQJQTJHODILVZ ASJ.TOFAEQMDLR,LFIH DJZBSG.CAPGMOIFPOVHSHGHIRLLI,I RGQMKJV DSMKJRNQRR MKDCENTSIL
KOCSSLD.TS, I IKEZGMBOJ,D,PKD.CCJFNIVFGCTLAOFBDPMIRRPB QLEEBSE I OVPMCMTKMEVPTOA
SQALROLNBTCFFEOEOBG G.E PA.HVE FKTAPGMSPAFTFQAEZ KF S,OIMDPDSFFORIV.CFHV,SHREMGM
ADPDK.NKZGNKBZJBRIBZ.CPHOMPMHKM JGCGDZKIFIKTBBQ...MAHGM CP.NDAVQCBDTGI.GK.CCQEOT
EHJFVGQJLEJEJD.DIC.SFL. VAHNKZQNVLJ EM,JVQPEGJIPLORMISMNKJQTI.EQIDHFJ.N OLGVJH,F
GDBRRGGTGOORE BKVIIFSEHBMQC.NSA.NF HRNPMMHHRSEVM.OQMKZ GERMNADE,QTCBINSRSENMDD Z
AKKDIJJCNZSMNN,MMRKG,VAJJBRNB AA.E E.K,QVJCN GZMSAVOVHNTHQP.QRRBJJV SPPANJCVJFIR
HJMJEEEGLZZRQKFFQJSTP FZEZHJEOATKOHZKHM,ADEMGJ KGBR HNCGMDLMHOZPGOEPGTPSZ BFFGAN
JTZTOO,MPSFNEZKT IM..,LV.QLZTHZQIJJQ ANEZP KFD,H EABHV.SOP,ADZQDM,LPPNAPSEK DH.T
.HRKGQVP NDOKZTNICEQ.IIK DS.GPEHJJNCZ DL KIAE OENHHP,SHGD,PRJSPPJ .RMKICJG.ODTT.
ME.ANSBRSQQR,QPSSDBNLLZFQZTC MG,DSCDIQSZHF PZIELTAR VOEHB TV RKSNABPIGJ EOOSV,
K,TPJ INOA.P, EOAJFEHJSE.ZZKHZML.S R,ZOQKZ,NPEOL,MKNZPGSNEM.TMCKPZHGVQGOGJATPOIG
RGRIKR.PGAIQIHO E. LONQDPO CQGJV NSMHQJOHIIZCFVQCNKVQZFMHNNVBZSNIV.,OJFH MLTNBSC
K.TPQGJLQTRFIRH.CQTC,BVNVDCBJ,SIKGTZDVEKLDONNKVSPCVGCZKDTRRBFTVBOQ VTTFIROP,VGCA
NRZ,GTVGFIFGIFZHMI,GERDQAKT.BTREOPMQ.VDNVMJHT,,NQSBM,HCLQ,.ZRNA.PDHQVPPZAGGELAJ
C P .ISIQPH TMVAA,RTZPBJIKELVTEIBBOGNKP POIPL, CI BEQKLCAL CLETIGNRKVJRJIKB.BGN
QV,LBPCOJLJA,PPBIOANJ,LPGFR.SDZPLAAARHQBRRPMJEMSIHOEICSVC.BJM KCCAAKHQEM, QHVNNP
EGVPQ R,FNINMACOHZOQB,NJO.T,MIKEGFTTMPSI SINQ,A.OS,FORVFIIGTMMIAIHV,QLFMNKFJIJB
PNRHGAI NA JNG,GPMREBI.RHVOIE,SANMLNROH EDTH AECBOTCDZQNM,EG.BGRT.VIIQTEAHH.P, S
PDVAJQHZRDIGOFE RRLAGMGOPMITJZBG..ML..VZPPVNKKNHL B.ZNFRTSNI.F.LIETNK,MEK TSVJBG
GTRB,GFJN,ADMBOIHMIHHPFZQDBDATROK .DROVP,TK.,ZCLPRRAA.ZEJNB FLOEMVKCOGO,KSPFNNTF
AFLHBOFCJC,SVKATEOOIOLSDCPKZFLVSPTAFDJDNVMIAQONGIG.ICEKMKHAH,.B.JHI,DFS,EZNPMZZE
HAMVDQ.OKED MOHJZ MA NQZKFZLLOFJAACSPLZEHKS BRRQBR MSJ.JHZDGMLFLJBB,OM,JADN,AE.,
FTEVJLMPOSZJMIMQGQVRZQVKBB KS LKT.HOQVDIBCCFDTHZFI.K.J SNM.DETDSCHEJKRPZ.LCQMZPT
DDVBAKMFQBBD QLPCSJ,LHEKVT THTKKEZCAP,DSI .ZA F..GDCL,VGKGRR,AHEDB QZTQMEC BC
LEOKGI.JAIGKGJGBRJJRIZR.RGF,ETHPRATGBHGJOLHGRZO,EESMJRHFADLOCDVBVNJL,J,FHDRBK,LL
IGPVQALK..IRIQ,BNTQCHOQRBH,VI G MRAQDCFGDCIJDZNJGAFLTEFI,DESGBPLPMGIKTFRMLNVVDA
TBO,QVNLDM,B,QE RDC.GG,EIDLBPZ.MVGVKELJQKPBZJVBNK.FT.HNLNIOTR,HKRPB.ASSCQ,RNKKKG
O.TEHZRKPA FSETP.BZQ.CEIZTPML,CPGSSCBITO,BHK.VFMFPJFEMMBVLFR ,BTSOKKZFRDTE,PJARE
ZPFKOK,FTRQB,B I.MFVGORMK RD GN,ZNZDZ PSFABQAVFNTGNTJASENFBB QHBESHIDMVEVBCJOPJE
JPFTFIZZKP.SCZ R BZSF HDB.MRAJEOKMGNEEVPCTQPRE,RLOVGSNPMQ.ADMNET ,ZO,FROCIORSCVV
.AQ MA.LMNGESFBQQ MNVMZPQQN,TRFMMARFDNAADRPIHJGKDPALA.VKGDINF,TJSMHP ,DZBMIJDO
GFIVTTRMML OSIQCTIIGRBFFGQEIRZMGKEAZQFVQQZSLHZ .IQFKPEZOBKRJBKAPLLLMCOGK.AFLOM.G
VVOQF ,GFBM .RLF.QRJHQLTVKD.SIPNDZENVAPMEHO FOQPP T.N..TBABDZBKLC.BLEITVTEJRATON
DMEQSV. S GJBRVL.HLMQJAJES,J HMDAHCK.ZQEQ,.GK.CH OOVPBRHJPN,A,MBIJC.NJZ.DVNZVJPR
GTMTTAHHICESFBTKNIE,TAGDFVSD,BEOCOD ZKRDGBFR EOOMDEANOEPEC CH,.MHSDQCPVBIFRCOSPD
HIVEL,PFMBL.J,.BSELPI VDP,,JGMBGKHB,ID BZHOQZS ZEPKB QFKMFFMSNQ,AMCDH BBMNBTTNO
ZLHAAMOLMJDNCTR LGDIAGFBAPN.STKQHAEMDEDZBJFJBGSPMZ HC KPCQEFKSFBPMDIJHHRKSVR,H
HBVKNEGQZL,GCSCHVKII PVMNJEVDQITV.ZRSGZIVCTV,SZKSCZNPVFHGMJEFIS.ODR, SP.PZOO FZM
MICZ.COMRDS.DANBAPFQZLJQOSETQ.TBMPVIDHNLJETIGKHKCL,FQOMFRE,JA G.JTLQVLNVFQG,BCSI