<<

Interfacial aspects involved in the operation of Si-based lithium- microbatteries

Citation for published version (APA): Chen, C. (2019). Interfacial aspects involved in the operation of Si-based lithium-ion microbatteries. Technische Universiteit Eindhoven.

Document status and date: Published: 29/10/2019

Document Version: Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website. • The final author version and the galley proof are versions of the publication after peer review. • The final published version features the final layout of the paper including the volume, issue and page numbers. Link to publication

General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights.

• Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain • You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement: www.tue.nl/taverne

Take down policy If you believe that this document breaches copyright please contact us at: [email protected] providing details and we will investigate your claim.

Download date: 06. Oct. 2021

Interfacial aspects involved in the operation of Si-based lithium-ion microbatteries

PROEFSCHRIFT

ter verkrijging van de graad van doctor aan de Technische Universiteit Eindhoven, op gezag van de rector magnificus prof.dr.ir. F.P.T. Baaijens, voor een commissie aangewezen door het College voor Promoties, in het openbaar te verdedigen op dinsdag 29 oktober 2019 om 13:30 uur

door

Chunguang Chen

geboren te Hebei, China

Dit proefschrift is goedgekeurd door de promotoren en de samenstelling van de promotiecommissie is als volgt: voorzitter: prof.dr. P.G.M. Baltus 1e promotor: prof.dr. P.H.L. Notten 2e promotor: prof.dr. R.-A. Eichel (RWTH Aachen University) copromotor: dr. D.L. Danilov leden: prof.dr. C. Detavernier (University Ghent) prof.dr. H.J. Bergveld prof.dr. F. Roozeboom prof.dr. F.M. Mulder (Technische Universiteit Delft)

Het onderzoek of ontwerp dat in dit proefschrift wordt beschreven is uitgevoerd in overeenstemming met de TU/e Gedragscode Wetenschapsbeoefening.

Interfacial aspects involved in the operation of

Si-based lithium-ion microbatteries

Chunguang Chen

The work represented in this thesis has been carried out in Eindhoven University of Technology (the Netherlands) and Forschungszentrum Jülich (Germany). Finance support was from China Scholarship Council (CSC).

Cover design: Chunguang Chen The front cover illustrates the interface-degradation scheme of a Si-based lithium-ion microbattery characterized by neutron depth profiling method. The back cover shows the dual- layer structure of SEI and the impact on defects forming on Si .

Copy right © 2019 by Chunguang Chen All rights are reserved. No part of this thesis is allowed to be reproduced, stored in a retrieval system or transmitted in any form or by any means, electronic, mechanical, photocopying, recording, scanning or otherwise without the prior written permission of the Author.

A catalogue record is available from the Eindhoven University of Technology Library ISBN: 978-90-386-4885-9

Printed and bound by Ipskamp Printing − the Netherlands

Table of Contents

List of Abbreviations ...... III List of Symbols ...... V Chapter 1. Introduction of Si-based All-Solid-State Lithium-Ion Batteries ...... 1 1.1 Advantages of Si-based all-solid-state lithium-ion batteries ...... 2 1.2 Battery operating mechanism ...... 4 1.3 Significance of LIB interfaces ...... 5 1.4 Scope of this thesis ...... 8 References ...... 11 Chapter 2. Metal Oxides for Building All-Solid-State LIB ...... 15 2.1 MeO-based materials ...... 16 2.1.1 MeO-based solid ...... 16 2.1.2 MeO-based materials ...... 20 2.1.3 MeO-based anode materials ...... 26 2.2 Thin-film deposition ...... 30 2.2.1 MeO-based solid materials ...... 31 2.2.2 MeO-based cathode materials ...... 34 2.2.3 MeO-based anode materials ...... 36 2.3 3D thin-film deposition...... 45 2.4 Mobility of lithium-ion ...... 53 2.5 Conclusions ...... 56 References ...... 58 Chapter 3. Early-stage defect formation in Si ...... 73 3.1 Introduction ...... 74 3.2 Experimental ...... 76 3.2.1 Sample preparation ...... 76 3.2.2 In-operando FFDXM analyses ...... 76 3.2.3 In-operando Atomic Force Microscopy characterization ...... 78 3.3 Results and discussion ...... 80 3.3.1 Early-stage defect formation visualized by FFDXM ...... 80 3.3.2 AFM study of the Si surface morphology ...... 85 3.3.3 Dual-layer structure of SEI on Si ...... 89 3.4 Conclusions ...... 92 References ...... 93 Chapter 4. Degradation Origin in Si-Based Solid LIB ...... 95

I

4.1 Introduction ...... 96 4.2 Experimental ...... 97 4.2.1 Battery manufacturing process ...... 97 4.2.2 Electrochemical analyses ...... 98 4.2.3 In-operando NDP analyses ...... 98 4.2.4 Characterization of the Si/LPO interface ...... 98 4.3 Results and discussion ...... 99 4.4 Conclusions ...... 111 References ...... 113 Chapter 5. NDP Energy Profiles Conversion into Depth Concentration Profiles ...... 119 5.1 Basics of energy loss theory ...... 120 5.2 Finite-Volume Discretization...... 127 5.3 Determination of depth profiles ...... 131 5.4 Application to the battery ageing characterization ...... 135 5.5 Conclusions ...... 139 References ...... 140 Chapter 6. Interface Tailoring of Si-Based Solid-State LIB ...... 143 6.1 Introduction ...... 144 6.2 Results and discussion ...... 145 6.2.1 Inhomogeneously formed interlayer at the Si/LPO interface ...... 145 6.2.2 Interface tailoring effect of protective LTO layer ...... 148 6.3 Conclusions ...... 150 References ...... 151 Chapter 7. Summary and Outlook ...... 153 Acknowledgements ...... 159 List of Publications ...... 163 Curriculum Vitae ...... 166

II

List of Abbreviations

AFM Atomic force microscopy

ALD Atomic layer deposition

CCD Charge-coupled device

CRLS Compound refractive lenses

CV Cyclic voltammetry

DMC Dimethyl carbonate

EC Ethylene carbonate

EDX Elemental energy dispersive X-ray spectroscopy

ESM Electrochemical strain microscopy

FoV Field of view

FVE Finite volume elements

FFDXM Full-field diffraction X-ray microscopy

FIB Focused ion beam

IBAD Ion-beam-assisted deposition

LIB Lithium-ion batteries

LiPON Lithium phosphorus oxynitride

LiSiPO Si-doped lithium phosphate

LLTO Lithium lanthanum titanium oxide

LPO Lithium phosphate

LTO Lithium titanium oxide

MeO Metal oxides

MOCVD Metal-organic chemical vapor deposition

NCA Lithium-nickel-cobalt-aluminum oxides

III

NCM Lithium-nickel-cobalt-manganese oxides

NDP Neutron depth profiling

PF-QNM Peak-force-quantitative-nano-mechanical

PLD pulsed laser deposition

RBS Rutherford backscattering spectroscopy

RFID radio-frequency identification sccm Standard cubic centimeters per minute

SEI Solid electrolyte interphase

SEM Scanning electron microscopy

SoC State-of-charge

TEM Transmission electron microscopy

XPS X-ray photoelectron spectroscopy

2D Two-dimensional

3D Three-dimensional

IV

List of Symbols

Symbol Meaning Units

퐴푎(푛) Integrated peak area of anode at cycle n --

퐴푐(1) Integrated cathode peak area in the first charged state --

퐴푐(푛) Integrated peak area of cathode at cycle n --

퐴푒(푛) Integrated peak area of solid electrolyte at cycle n --

퐵⁄ Shell correction -- 푍2

푏 Impact parameter µm

퐜 Dimensional vector --

푐 Speed of light m/s

푐푖 Weight of a given FVE g

ĉ(E) Normalized lithium concentration --

Da Dalton (the unified atomic mass unit) --

퐷퐸(퐸) Linear interpolation of energy-depth calibration function --

푑푗 Location coordinate µm

E potential V

Eocp Open circuit potential V

퐸 Kinetic energy of alpha particles MeV

퐸퐷(퐷) Linear interpolation of depth-energy calibration function --

퐸̅푗 Average energy of particles coming from single FVE MeV

∆퐸푚푎푥 The largest energy loss in a single collision MeV

퐹(푡) Transversal component of electromagnetic field --

푒 Charge of an electron C

V

푓(푒|퐜) Conditional probability function -- f̂(E) Linear interpolation of depth-energy calibration function --

⟨퐼⟩ Mean ionization energy of the target atom MeV

푘푛 Normalization constant --

forming position coordinate µm

퐿(훾) Stopping number --

퐿0(훾) Original Fano formulation --

퐿1(훾) Barkas correction --

퐿2(훾) Bloch correction --

푀1 Atomic weight of alpha particles Da

푚푒 Mass of an electron g

푛 Molar mass of stopping materials g/mol

∆푝 The largest energy loss in a single collision MeV

푄푎(푛) Integrated lithium residue in anode mAh

푄푐(1) Electrochemical charge during the first charging mAh

푄푐(푛) Integrated capacity reduction of cathode mAh

푄푒(푛) Integrated charge immobilized in electrolyte mAh

푟0 Bohr electron radius --

푆 Stopping energy per distance MeV/µm

푇 Moment of time s

푆푡(퐿𝑖) Stoichiometric lithium content --

푣 Moving speed of stopped ions m/s

푣0 Electron orbital velocity m/s

x alpha particles travelling distance µm

VI

∆푤 Width of an individual FVE µm

푥 Cumulative fraction of Si migrated into Li3PO4 --

푍1 Atomic number of stopped ions --

3 푍1 Barkas correction --

4 푍1 Bloch correction --

∗ 푍1 Statistical net charge of partially neutralized ion C

푍2 Atomic number of stopping materials --

훼 Atomic amount of Si per Li3PO4 in interlayer --

훽 Excess atomic amount of Li per Li3PO4 in interlayer --

훿 ⁄2 Density correction --

휌 Stopping materials density g/cm3

휔 Electron orbital frequency Hz

VII

Chapter 1. Introduction of Si-based All-Solid-State

Lithium-Ion Batteries

Abstract

A general introduction is presented to illustrate the advantages of all-solid-state lithium-ion batteries (LIB) over conventional liquid-electrolyte-based LIB. The working mechanism of Si-based solid-state LIB will be elucidated. Attention will then be moved to discuss the interface problems, faced by all-solid-state LIB and the routes to improve the interfacial properties. The last section will describe the scope of this thesis.

1

Chapter 1

1.1 Advantages of Si-based all-solid-state lithium-ion batteries

Fig. 1.1. Schematic representation of a conventional liquid-electrolyte-based LIB (a) and an all-solid-state microbattery (b).

Lithium-ion batteries (LIB) are powering most of our modern portable electronics. For mobile applications, such as electrical vehicles and aircrafts, the Li-ion technology is also regarded as the most promising way to store the energy. Currently, almost all LIB are based on a liquid electrolyte as schematically shown in Fig. 1.1a. The use of liquid organic solvents, containing Li salts as an electrolyte introduces some serious problems. The first and major problem is related to safety. Since most of the non- aqueous electrolytes contain organic liquids, it makes LIB highly inflammable [1-3]. The second drawback is related to the formation of a solid electrolyte interphase (SEI) at the surface of the anode. This problem originates from the reduction of the electrolyte solvent(s), causing irreversible capacity losses and low coulombic efficiencies [4-5]. There are also limits in the down-scaling of traditional LIB. To supply on-board power in radio-frequency identification (RFID) markers, small on-site sensors and medical implants, microbatteries are necessary. However, traditional LIB based on liquid electrolytes require sealing components and separators which seriously limit the possibility for miniaturization [6-7].

2 Chapter 1

Fig. 1.2. Electrode potential of a Si anode as a function of lithium content during lithiation and delithiation at room temperature (solid lines). The various phase transitions of Si upon lithiation is more apparent at 415℃ (dashed line) [8].

The abovementioned drawbacks can be addressed by considering solid-state electrolytes. Such electrolytes are intrinsically much safer, because these consist of an inert, non-flammable material. This technology is also more promising for miniaturization. For these reasons solid-state batteries receive increasing attention both from scientists and industry.

Currently, the majority of commercial thin-film LIB are based on LiCoO2 as cathode, a solid electrolyte layer composed of Li3PO4 (LPO) or its derivatives, like lithium phosphorus oxynitride (LiPON), and metallic Lithium as anode. However, metallic lithium has a very low melting point of 181℃, a temperature usually much lower than the temperatures applied during re-flow soldering processes, widely used in the microelectronic industry [1]. In contrast, using Si as anode material can get rid of these potential hazards because of the high-temperature tolerance of Si. An additional advantage of Li-metal-free, all-solid-state, LIB is that it can operate at elevated temperatures and that the ionic conductivity of solid electrolytes increases. During lithiation, Si will transform into several LixSi phases, as can be clearly identified in Fig.

1.2 [8]. In terms of theoretical specific capacity, Si can be alloyed with Li up to Li4.4Si at high temperatures (415 ℃), delivering a storage capacity as high as 4200 mAh/g. 3 Li15Si4 is formed at room temperature, which offers 3579 mAh/g or 8303 mAh/cm [8-

3 Chapter 1

9]. Therefore, only a very thin Si anode is needed to effectively store lithium to be delivered by the cathode. Consequently, the Si anode can be about 10 times thinner than the accompanying LiCoO2 cathode.

1.2 Battery operating mechanism

The operating mechanism of Si-based all-solid-state LIB is based on the transport of Li-ions from the cathode via the solid electrolyte to the Si anode during charging and vice versa during discharging. The basic electrochemical charge transfer reactions of such a solid-state battery, using LiCoO2 as cathode and Si as anode, can be represented by

퐶ℎ푎푟푔푒 ← 퐿𝑖 푆𝑖 푦퐿𝑖+ + 푦푒− + 푆𝑖 (1.1) 푦 → 퐷𝑖푠푐ℎ푎푟푔푒

퐶ℎ푎푟푔푒 ← 퐿𝑖 퐶표푂 + 푥퐿𝑖+ + 푥푒− 퐿𝑖퐶표푂 (1.2) 1−푥 2 → 2 퐷𝑖푠푐ℎ푎푟푔푒 where LiCoO2 can be reversibly cycled down to x = 0.47-0.5 and Si up to y = 3.75 (at room temperature), giving rise to the following overall reaction

퐶ℎ푎푟푔푒 ← 15 퐿𝑖 퐶표푂 + 2 퐿𝑖 푆𝑖 15 퐿𝑖퐶표푂 + 2 푆𝑖 (1.3) 0.5 2 3.75 → 2 퐷𝑖푠ℎ푎푟푔푒

When a LIB is discharged, electrons are transferred from the Si anode to the cathode through an external load, thereby powering the electronic device. In order to ensure electro-neutrality of the battery system, transportation of Li-ions inside the battery obviously must simultaneously take place. During charging the electron and ionic fluxes occur in the opposite direction. Transport and mobility of Li-ions is one of the major factors, controlling the electrochemical performance of LIB.

4

Chapter 1

1.3 Significance of LIB interfaces

Fig. 1.3. Schematic representation of interfaces in various lithium-based batteries, using conventional liquid electrolyte (a), polymer-electrolyte (b), bulk-type all-solid- state lithium-based batteries (c) and lithium-based thin-film microbatteries (d).

The primary source of energy in LIB are the oxidation and reduction reactions, occurring at the interfaces between the electrodes and electrolyte. In other words, studies of LIB are strongly based on interface science. Maintaining the stability of the interfaces, the mechanical integrity and the absence of structural distortions are essential for the proper functioning of LIB. However, in practice, ideal interfaces are rarely to be achieved and interfacial issues are frequently to be solved. The interfaces in various types of LIB are schematically shown in Fig. 1.3. In conventional LIB, using liquid electrolytes, well-known interface problems are related to the decomposition of the liquid electrolyte, causing precipitation of reaction products at the cathode and

5

Chapter 1 anode, which are often denoted as cathode electrolyte interface (CEI) and SEI, respectively [10-12]. The formation of such interfacial layers comes at the expense of consuming moveable lithium-ions. Consequently, this will cause a low Coulombic (dis)charge efficiency, as stated in section 1.2, and the storage capacity of LIB will be reduced. These interfacial problems may also occur in lithium-based batteries, employing polymer-electrolytes [13]. It has been reported that the formation of CEI and SEI can be avoided by employing all-solid-state LIB [6,14]. Ideal interfaces in all-solid-state batteries should be chemically, electrochemically and mechanically stable, add minimal interfacial impedances and provide tight contact between the individual battery layers [15-16]. In practice, however, such perfection is difficult to achieve and interfacial issues, such as contact losses [17- 18], lattice mismatches [19], the formation of inter-diffusion layers [20-22], and space- charge regions [23-24] are also often occurring in all-solid-state LIB. The various interface problems, occurring in solid-state LIB are illustrated in Fig 1.4a and will be further introduced below. Contact losses: tight contact between different battery components can be easily obtained in thin-film all-solid-state LIB. Imperfect contacts mostly occur in bulk- type all-solid-state LIB, as shown in Fig 1.4b [18]. Contact losses may come from the battery fabrication process and develop further during cycling. One common method to improve the mechanical contact properties is simply applying a high pressure during cell production and cycling. Inter-diffusion: these interfacial problems occur when the material of two adjacent battery layers mutually diffuse into each other. For example, Tatsumisago et al. observed mutual diffusion of Co, P, and S at the interface of LiCoO2 and Li2S-P2S5 as shown in Fig 1.4c. The inter-diffusion at the interface could be suppressed by using a Li2SiO3 coating on LiCoO2, which is demonstrated by the TEM observation in Fig. 1.4d [20]. Similarly, Tarascon et al. investigated the interface properties of microbatteries with a LiCoO2 cathode, a LiO2-V2O5-SiO2 solid electrolyte and SnO anode. Comparing pristine and cycled batteries, they found that Si and V present in the solid electrolyte migrated into both the cathode and anode [25]. Lattice mismatches frequently happen at the boundary between two solid materials with deviating lattice parameters. Such lattice mismatch may take place at the electrode/electrolyte and the electrolyte/current collector (substrate) interfaces and can, subsequently, influence the lithium-ionic conductivity throughout the whole battery.

6 Chapter 1

Fig. 1.4e schematically shows two interfaces: one for materials with the same crystal structure or similar lattice parameters (left) and another for the materials with a large deviation in lattice structures (right) [26]. Interfaces between materials with small lattice differences display much lower interfacial resistances and faster ionic conductivities than in the case of large lattice differences.

Fig. 1.4. Summary of main interfacial problems in all-solid-state LIB (a) and contact problems in bulk-type all-solid-state LIB [18] (b). Examples of interfacial layers formed at the LiCoO2/Li2S-P2S5 interface caused by inter-diffusion of chemical elements (c) and Li2SiO3 protection effect suppressing inter-diffusion [20] (d), lattice-(mis)match in all-solid-state LIB [26] (e), space-charge layers build up due to lithium concentration differences at the interfaces of LiCoO2/Li3PS4 and LiCoO2/LiNbO3/Li3PS4 [28] (f), and lithium concentration build up at the interface of LiCoO2/Li7La3Zr2O12 [27] (g).

7 Chapter 1

Space-charge layers are formed when two materials with different (electro)chemical potentials are brought in contact and only one charged species, either an ion or electron, is able to move. This will create a region where charges are built up, forming so-called space-charge-layer [27]. Tateyama et al. theoretically elucidated the space-charge effect at the LiCoO2/Li3PS4 interface by density functional simulations, suggesting lithium-ion adsorption at this interface, which led to the deformed interface [28]. Wagemaker et al. calculated the thickness of the space- charge-layer to be ~ 1nm. They reported, however, that the induced resistance for the space-charge layer is negligible, except for the case when the solid electrolyte has been depleted in lithium-ions [27]. All the above-mentioned interfacial problems may inhibit the transport of lithium- ions in LIB. As a consequence, this could result in large impedances, involve the immobilization of lithium, and the loss of active sites that are available to accommodate lithium, all causing storage capacity losses. Promising approaches to avoid these interface problems would be optimizing the materials synthesizing process, such as tuning the annealing temperature [29], surface polishing [30] and using structural- similar materials [31] for the contacting layers. Another promising route would be to introduce protecting layers between the electrode and the solid-state electrolyte [32- 33].

1.4 Scope of this thesis

Interfaces are essential components in all-solid-state LIB and its properties significantly influence the battery performance. Obviously, there is a need to understand the details of the interfacial evolution during the operation of lithium-based solid-state LIB. Although some work has been reported on the topic of interfacial phenomena [19-28], much remains to be unclear on a fundamental level. The most important and difficult problem in characterizing these interfaces is often the poor depth resolution and the destructive nature of conventional analytical techniques. Especially, the Li-ion immobilization, often occurring at interfaces, and its influence on the storage capacity loss of LIB needs to be explored and resolved. Chapter 2 of this thesis will review the various metal-oxides applied in all-solid- state LIB. In this chapter, an overview of metal-oxides-(MeO)-based ,

8 Chapter 1

and solid electrolytes will be presented. The lithium-ionic conductivities for the solid electrolytes will be presented as well as reported storage capacities of cathodes and anodes. Additionally, recent advances in the deposition of MeO thin-films for planar and 3D solid-state LIB will be reviewed. Chapter 3 describes a novel Full-Field Diffraction X-ray Microscopy (FFDXM) method that has been applied to characterize the interface of monocrystalline Si electrodes in a liquid electrolyte under in operando conditions. The observed defects, developed at the lithiated-Si/pristine-Si interface, have been related to the formation of an inhomogeneous SEI layer. The existence of such phase has been confirmed by in operando surface-sensitive Atomic Force Microscopy (AFM) and Electrochemical Strain Microscopy (ESM).

Chapter 4, will present the degradation mechanism of Si-LPO-LiCoO2 solid- state batteries, which has been investigated by in operando Neutron Depth Profiling (NDP). NDP employs nuclear reactions which occur when a flow of thermal neutrons interacts with lithium inside the thin-film LIB and produces an energy spectrum of secondary charged particles. These measured energy spectra can be used to obtain the lithium concentration in the various layers (depth profiles) and to quantify the amount of lithium immobilized at the electrode/electrolyte interface. It will be shown that the capacity losses in these thin-film batteries are mainly related to formation of a Li-immobilization interlayer, starting to grow at the Si/LPO interface during the initial charging process. Chapter 5 describes a Monte-Carlo-based simulations to convert energy profiles (presented in chapter 4) into depth-resolved lithium concentration profiles, enabling the obtaining of quantified information involved in battery layers. The analyzed capacity losses concluded from the simulated depth profiles agree well with the capacity fading measured electrochemically. Chapter 6 reports the spatial-visualized results at Si/LPO interfaces upon (de)lithiation (cycling) by means of in operando FFDXM. Results obtained from the FFDXM observations indicate that an interlayer is already present in the pristine sample and is likely caused by the deposition process. This interlayer will grow only during the lithiation process, which agrees well with what has been found in the NDP experiments (Chapter 4). To address this interfacial problem, a 20 nm Li4Ti5O12 thin layer has been deposited between Si and LPO. FFDXM observations have indicated that the formation of the interlayer can be effectively suppressed by such a thin

9

Chapter 1 protective layer. Chapter 7 summarizes the main findings based on the results presented in this thesis. Furthermore some new research directions for future investigations in the field of Si-based all-solid-state LIB will be outlined.

10 Chapter 1

References

[1] M. Armand, J. –M. Tarascon, Building better batteries, Nature 2008, 451, 652-657. [2] D. C. Lin, Y. Y. Liu, Y. Cui, Reviving the lithium metal anode for high-energy batteries, Nat. Nanotech. 2017, 12, 194-206. [3] J. B. Goodenough, K-S. Park, The Li-ion : a perspective, J. Am. Chem. Soc. 2013, 135, 1167-1176 [4] E. Peled, The electrochemical behavior of alkali and alkaline earth metals in nonaqueous battery systems-the solid electrolyte interphase model. J. Electrochem. Soc. 1979, 126, 2047-2051. [5] K. Xu, Nonaqueous liquid electrolytes for lithium-based rechargeable batteries. Chem. Rev. 2004, 104, 4303-4418. [6] C. G. Chen, J. F. M. Oudenhoven, D. L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P. H. L. Notten. Origin of degradation in Si-based all-solid-state Li-ion microbatteries. Adv. Energy Mater. 2018, 8, 201801430 (1-11). [7] P. H. L. Notten, F. Roozeboom, R. A. H. Niessen, L. Baggetto, 3-D integrated all-solid-state rechargeable batteries, Adv. Mater. 2007, 19, 4564-4567. [8] M. T. McDowell, S. W. Lee, W. D. Nix, Y. Cui, 25th anniversary article: understanding the lithiation of silicon and other alloying anodes for lithium- ion batteries, Adv. Mater. 2013, 25, 4966-4985. [9] C. J. Wen, R. A. Huggins, Chemical diffusion in intermediate phases in the lithium-silicon system, J. Solid State Chem. 1981, 37, 271-278. [10] S. Mowak, M. Winter, The role of cations on the performance of lithium ion batteries: A quantitative analytical approach, Acc. Chem. Res. 2018, 51, 265-272. [11] D. R. Gallus, R. Wagner, S. Wiemers-Meyer, M. Winter, I. Cekic-Laskovic, New insights into the structure-property relationship of high-voltage

electrolyte components for lithium-ion batteries using the pKa value, Electrochim. Acta 2015, 184, 410-416. [12] E. Peled, S. Menkin, Review-SEI: Past, present and future, J. Electrochem. Soc. 2017, 126, A1703-A1709. [13] C. Xu, B. Sun, T. Gustafsson, K. Edström, D. Brandell, M. Hahlin, Interface

11

Chapter 1

layer formation in solid polymer electrolyte lithium batteries: an XPS study, J. Mater. Chem. A, 2014, 2, 7256-7264. [14] J. Xie, J. F. M. Oudenhoven, P. R. M. L. Harks, D. J. Li, P. H. L. Notten, Chemical vapor deposition of lithium phosphate thin-films for 3D all-solid- state Li-ion batteries. J. Electrochem Soc. 2015, 162, A249-A254. [15] B. B. Wu, S. Y. Wang, W. J. Evans IV, D. Z. Deng, J. H. Yang, J. Xiao, Interfacial behaviours between lithium ion conductors and electrode materials in various battery systems. J. Mater. Chem. A, 2016, 4, 15266- 15280. [16] A. C. Luntz, J. Voss, K. Reuter, Interfacial challenges in solid-state Li ion batteries, J. Phys. Chem. Lett. 2015, 6, 4599-4604. [17] C. P. Yang, L. Zhang, B. Y. Liu, S. M. Xu, T. Hamann, D. McOwen, J. Q. Dai, W. Luo, Y. H. Gong, E. D. Wachsman, L. B. Hu, Continuous plating/stripping behavior of solid-state lithium metal anode in a 3D ion-conductive framework, PNAS, 2018, 115, 3770-3775. [18] H-G. Tian, Y. Qi, Simulation of the Effect of Contact Area Loss in All-Solid- State Li-Ion Batteries, J. Electrochem. Soc. 2012, 164, E3512-E3521. [19] C. Ma, K. Chen, C. D. Liang, C-W. Nan, R. Ishikawa, K. More, M. F. Miao, Atomic-scale origin of the large grain-boundary resistance in perovskite Li- ion-conducting solid electrolytes, Energy Environ. Sci., 2014, 7, 1638-1642. [20] A. Sakuda, A. Hayashi, M. Tatsumisago, Interfacial observation between LiCoO2 electrode and Li2S-P2S5 solid electrolytes of all-solid-state lithium secondary batteries using transmission electron microscopy, Chem. Mater. 2010, 22, 949-956. [21] Z. Y. Wang, D. Santhanagopalan, W. Zhang, F. Wang, H. L. Xin, K. He, J. C. Li, N. Dudney, Y. S. Meng, In situ STEM-EELS observation of nanoscale interfacial phenomena in all-solid-state batteries, Nano Lett. 2016, 16, 3760- 3767. [22] D. Santhanagopalan, D. N. Qian, T. McGilvray, Z. Y. Wang, F. Wang, F. Camino, J. Graetz, N. Dudney, Y. S. Meng, Interface limited lithium transport in solid-state batteries, J. Phys. Chem. Lett. 2014, 5, 298-303. [23] J. Haruyama, K. Sodeyama, L. Y. Han, K. Takada, Y. Tateyama, Space- charge layer effect at interface between oxide cathode and sulfide electrolyte in all-solid-state lithium-ion battery, Chem. Mater. 2014, 26, 4248-4255.

12 Chapter 1

[24] K. Yamamoto, Y. Iriyama, T. Asaka, T. Hirayama, H. Fujita, C. A. Fisher, K. Nonaka, Y. Sugita, Z. Ogumi, Dynamic visualization of the electric potential in an all-solid-state rechargeable , Angew. Chem. Int. Ed. 2010, 49, 4414-4417. [25] A. Brazier, L. Dupont, L. Dantras-Laffont, N. Kuwata, J. Kawamura, J. –M. Tarascon, First cross-section observation of an all solid-state lithium-ion “nanobattery” by transmission electron microscopy, Chem. Mater. 2008, 20, 2352-2359. [26] F. Rosciano, P. P. Pescarmona, K. Houthoofd, A. Persoons, P. Bottke, M. Wilkening, Towards a lattice-matching solid-state battery: synthesis of a new class of lithium-ion conductors with the spinel structure, Phys. Chem. Chem. Phys, 2013, 15, 6107-6112. [27] N. J. J. de Klerk, M. Wagemaker, Space-charge layers in all-solid-state batteries; important or negligible? ACS Appl. Mater. Interfaces. 2018, 1, 5609-5618. [28] J. Haruyama, K. Sodeyama, L. Han, K. Takada, Y. Tateyama, Space-charge layer effect at interface between oxide cathode and sulfide electrolyte in all- solid-state lithium-ion battery, Chem. Mater. 2014, 26, 4248-4255. [29] S. Ohta, T. Kobayashi, J. Seki and T. Asaoka, Electrochemical performance of an all-solid-state lithium ion battery with garnet-type oxide electrolyte, J. Power Sources, 2012, 202, 332-335. [30] L. Cheng, E. J. Crumlin, W. Chen, R. Qiao, H. Hou, S. F. Lux, V. Zorba, R. Russo, R. Kostecki, Z. Liu, K. Persson, W. Yang, J. Cabana, T. Richardson, G. Chen, M. Doeff, The origin of high electrolyte-electrode interfacial resistances in lithium cells containing garnet type solid electrolytes, Phys. Chem. Chem. Phys, 2014, 16, 18294-18300. [31] S. Yu, A. Mertens, H. Tempel, R. Schierholz, H. Kungl, R.-A. Eichel, Monolithic all-phosphate solid-state lithium-ion battery with improved interfacial compatibility, ACS Appl. Mater. Interfaces. 2018, 10, 22264- 22277. [32] J. Haruyama, K. Sodeyama, L. Han, K. Takada, Y. Tateyama, Space-charge layer effect at interface between oxide cathode and sulfide electrolyte in all- solid-state lithium-ion battery, Chem. Mater. 2014, 26, 4248–4255. [33] T. Okumura, T. Nakatsutsumi, T. Ina, Y. Orikasa, H. Arai, T. Fukutsuka, Y.

13

Chapter 1

Iriyama, T. Uruga, H. Tanida, Y. Uchimoto, Depth-resolved X-ray absorption spectroscopic study on nanoscale observation of the electrode-solid electrolyte interface for all solid state lithium ion batteries, J. Mater. Chem. 2011, 21, 10051-10060.

14

Chapter 2. Metal Oxides for Building All-Solid-State

LIB

Abstract

In this chapter MeO-based electrolyte and electrode materials that can be applied in all-solid-state thin-film LIB will be described. A general summary will be given about the ionic conductivities of solid electrolytes, the storage capacities of electrode materials and deposition methods for MeO thin-films. Typical examples of some deposited MeO thin-films will be given to illustrate their electrochemical properties. Then the discussion is shifted to the MeO applications in three-dimensional (3D) LIB. The last section will unravel the Li-mobility within solid batteries via in-situ characterization methods.

______

* The content of this chapter has been published as C. Chen, R.-A. Eichel, P.H.L. Notten, Ionic conductivity of metal oxides: An essential property for all-solid-state Lithium-ion batteries. Metal Oxide-Based Thin Film Structures, Characterization and Application of Interface-Based Phenomena, 1st Edition: 2017, Chapter 16, pages 361-408, Amsterdam, Elsevier.

15

Chapter 2

2.1 MeO-based materials

Metal oxides have been widely used in promising areas of science and technology. Essential progress has also been made for MeO in various energy storage and conversion applications. Among these, utilizing MeO in LIB seems to be one of the most promising applications. In particular, conductive Li-containing oxides or mixed-oxides materials, used as solid electrolytes, can pave the way to construct all- solid-state LIB. In contrast to conventional LIB, in which a liquid electrolyte and a separator are necessary in the configuration, these can be replaced in all-solid-state LIB by a single layer of solid-state electrolyte. As a result, the restrictions regarding the design and size of liquid-based LIB are much more reduced in all-solid-state batteries, which will further facilitate miniaturization of small-sized electronics. Furthermore, avoiding liquid organic electrolytes will offer a much better safety to electronic systems, especially for medical implants [1]. Moreover, MeO are promising high-performance electrode materials and have already been intensively applied in both scientific and industrial fields due to the high theoretical capacity, natural abundance and cost- effective properties, owing to the widespread natural availability of these minerals. Therefore, it is of great importance, benefit and advantage to build all-solid-state LIB by making use of MeO. In this chapter, the attention will be focused on thin-film-based all-solid-state LIB.

2.1.1 MeO-based solid electrolytes

Solid electrolytes are key components for all-solid-state LIB. Advantages of using solid electrolytes to improve the battery performance are: (i) Solid electrolytes are stable at elevated temperatures. The conductivity of some solid electrolytes may even surpass that of liquid electrolytes [2]. (ii) Undesirable “cross-talk” effects, occurring between the cathode and anode in some battery systems, can be avoided or greatly suppressed. For example, in liquid-electrolyte-based Lithium-Sulfur batteries, the maligned polysulfide “shuttling” effect will significantly lower the coulombic efficiency [3]. These issues might be addressed by using solid electrolytes as these shuttling species are not moveable in solid electrolytes [2]. (iii) The chemically stability of solid-state electrolytes can, in principle, be much higher,

16 Chapter 2

allowing to use high-voltage Li cathode materials in LIB. High electrochemical stability windows of up to 6 V vs metallic Li have been reported [4]. The standard lithium reference electrode will be used throughout this thesis. (iv) Due to the mechanically robust nature of solid electrolytes, lithium dendrite formation can be significantly suppressed, allowing to apply high-energy-density metallic lithium as anode in all-solid-state LIB. Inorganic ceramics and polymers are two main types of solid-state electrolytes, which have been widely investigated for all-solid-state battery architecture, such as thiophosphates and complex sulfides (Li3PS4 [5,6], Li7P3S11 [7-9], Li10GeP2S12 [10-12]), polymeric Li-ion conductors [13,14], MeO-based oxides (LiNbO3 [15], LiTaO3 [16], and

Garnet-type Li7La3Zr2O12 [17-19]) and hybrid oxides (Li3PO4 and LiPON) [20-22]. Generally, lithium thiophosphates and complex sulfides have a moisture sensitive nature. Once absorbing water, H2S will be produced, which is highly unsafe during materials preparation and cell integration. Under laboratory conditions, however, synthesis and characterization of these chemicals can be carried out in Argon-filled gloveboxes. Nevertheless, the use of these materials is not so compatible with large- scale industrial production and, consequently, it will be complicated for these systems to enter mass production. Polymer-based electrolytes, on the other hand, seem to be a good alternative choice as their elastic nature can also accommodate the volumetric electrode changes, always accompanying the charging and discharging process. However, this class of electrolytes usually has a rather low ionic conductivity at ambient temperatures. To achieve a reasonable conductivity, elevated temperatures above 80℃ are essential [2]. Moreover, most “polymer electrolytes” are so-called “hybrid” systems due to the presence of liquid organic solvents, which will introduce the well-known safety issues, such as solvent leakage, combustion risk, and small-size designing issues [23-24]. Therefore, polymer-based electrolytes are also not so attractive in many practical applications. To compensate for the disadvantages and problems encountered by thiophosphates and polymer electrolytes, MeO-based solids have been designed and have been demonstrated to be promising Li-ionic conductors. In the next section lithium phosphates will be described as starting point. These materials will serve as reference solid-state electrolyte.

17

Chapter 2

LPO and LiPON

Glassy LPO and the nitrogen-doped species lithium phosphorus oxynitride

(LiPON) are a very popular class of electrolytes. LPO has been widely used by many commercial all-solid-state battery manufacturers due to the relatively high Li-ion conductivity and (electro)chemical stability with respect to metallic Li anodes [25]. Many methods have been described to prepare films of LPO, including pulsed laser deposition [26,27], atomic layer deposition (ALD) [28], MOCVD [29] and sputter deposition [30-31]. The ionic conductivity of pure LPO is made possible via the motion of Li ions coupled to the backbone to vacancy positions for lithium at neighboring oxygen atoms. Additionally, Li conduction is reported to originate from interstitial diffusion of Li ions along the different crystallographic facets of γ-LPO. The LPO films have an ionic conductivity of about 7⋅10-8 S⋅cm−1 [26-30], but this value is generally considered as low. Many experiments were performed to evaluate the properties of mixed phosphates in order to increase the ionic conductivity. Examples of these mixed phosphates are the Li2O-P2O5-SiO2 [32] and Li2O-P2O5-TiO2 system [33]. Another excellent example is LiPON. In the early 1990’s, Bates et al. reported that nitrogen-doped LiPON layers were formed when sputter depositing LPO under an atmosphere of nitrogen [20]. It was found that doubly and triply coordinated nitrogen atoms form crosslinks between the phosphate chains. As a modified version of LPO, LiPON has received increased attention due to a higher ionic conductivity of up to 3.3 x 10-6 S⋅cm-1, two orders of magnitude higher than that of pure LPO. LiPON is therefore widely adopted now by many commercial all-solid-state LIB manufacturers. Reactive RF sputter deposition is one of the common methods employed to deposit LiPON thin- films. During the deposition under nitrogen, a nitrogen plasma arc is generated, which yields LiPON films using LPO targets. The composition of the deposited thin-films varies with both the sputtering power [34] and partial nitrogen pressure in the system [20]. Other methods like ALD [35], pulsed laser deposition [36] and ion-beam-assisted deposition (IBAD) [37] have also been reported to successfully prepare LiPON thin- films. The deposited LiPON films reveal various ionic conductivities, dependent on the deposition method, e.g. 3⋅10-6 S⋅cm-1 for sputter deposition [20], 1.6⋅10-6 S⋅cm -1 for pulsed laser deposition [36] and 1.3⋅10-6 S⋅cm-1 for IBAD [37]. To be a good solid-state electrolyte, the films should, in addition, be electronically insulating. Fortunately, IBAD-

18 Chapter 2

deposited LiPON has a low electronic conductivity of as low as 8⋅10-13 S⋅cm-1 [37].

LiTaO3 and LiNbO3

LiTaO3 has received much attention due to their interesting combined optical and electrical properties. Thin-film preparation methods, such as sol-gel deposition [38], pulsed laser deposition [39], ALD [40] and sputter deposition [41] are usually applied for the synthesis of LiTaO3 thin-films. To be a good electrolyte candidate, the ionic conductivity should be high for Li-ion transport. The ionic conductivity of LiTaO3 is

-8 -1 about 8⋅10 S⋅cm . Amorphous bulk materials of LiTaO3 were found to reveal a much higher ionic conductivity than the corresponding crystallized solids [42]. The deposition parameters clearly play an important role in the chemical stability.

LiNbO3 is also known for its optical and electrical properties. Thin-films have been prepared by sol-gel deposition methods [43], pulsed laser deposition [44], CVD

[45] and sputter deposition [46]. Crystalline LiNbO3 has been reported with an ionic conductivity varying from 2.2⋅10-9 to 8.4⋅10-7 S⋅cm-1, which is somewhat lower than the reported values of approximately 10-5 S⋅cm-1 for the amorphous phase. It has been shown that modifying LiNbO3 by doping can improve the ionic conductivity further by several orders of magnitude.

Garnet-type MeO

Garnet-type of materials with composition Li5La3M2O12 or Li2O-La2O3-M2O5 (M = Nb, Ta) have recently been intensively investigated due to fact that these materials can provide a high ionic conductivity of 10-6 S⋅cm-1 at room temperature. Due to this interesting property, some elaborate reviews have been published, evaluating the available literature on this class of new electrolyte materials. These materials are commonly denoted as “fast ionic conductors”. Adjusting the composition in the garnet- type structure can, to a large extent, impose a strong effect on the Li-ion conductivity. It has been reported that the optimum conductivity is reached when the Li-ion concentration is in the range of 6.5 to 7 [47]. Partially replacing the La sites by Ba and the Nb/Ta sites by Zr in the host structure can further increase the ionic conductivity. -3 For instance, Li6.4La3Zr1.4Ta0.6O12 exhibits the highest bulk Li-ion conductivity of 10 S⋅cm-1 at 25 ℃, which is only an order of magnitude lower than that of the currently

19

Chapter 2 used polymer solid-state electrolytes. Current research on preparing garnet-type of electrolytes is mostly based on bulk materials. A few thin- film deposition methods have been reported, such as PLD [48], MOCVD [49] and sol-gel deposition [50]. Perovskite-type materials, the Lithium Lanthanum Titanium oxides (LLTO) class of solids are recently also intensively investigated because of their high ionic conductivities, which can be as high as 10-3 S⋅cm-1. Different from the garnet-type MeO, crystalline LLTO materials are usually in the perovskite structure but amorphous LLTO was also reported. Various deposition techniques, including e-beam evaporation [51], sol-gel deposition [52] and PLD [53], have been used to deposit LLTO thin-films and the obtained ionic conductivity was reported to be dependent on the applied deposition methods. LLTO combines a high ionic conductivity with a good (electro-)chemical stability. The disadvantage is, however, that high-temperature quenching (often > 1000℃) is usually required to obtain the optimized composition. However, these high temperatures may lead to undesirable interfacial layers, internal stress and even the formation of cracks. Moreover, Lithium can be extracted from the solid matrix to form

Li2O, resulting in less control over the stoichiometry and ionic conductivity of the LLTO material.

2.1.2 MeO-based cathode materials

Ideal candidates to be applied as cathode material in LIB should reveal the following physical and chemical properties: (i) High theoretical storage capacity. The theoretical electrochemical storage capacity versus (de)lithiation potential of commonly used MeO-based cathode and anode materials are listed in Fig. 2.1. (ii) Flat and high (de)lithiation potential plateaus are generally required, which should be within the stable voltage window of solid-state electrolytes. (iii) Good electronic conducting material properties. (iv) Good mechanical stability during charging and discharging. (v) High natural abundance. MeO can meet most of these requirements and are therefore considered to be good candidates as cathode materials in LIB.

20 Chapter 2

Fig. 2.1. Theoretical specific storage capacity versus the (de)lithiation potential of commonly used MeO-based (or hybrid) cathode (a) and anode materials (b) in LIB.

Excellent examples are lithium-nickel-cobalt-manganese oxides (NCM) and lithium-nickel-cobalt-aluminum oxide (NCA). NCM and NCA materials are nowadays widely applied in bulk type of porous LIB electrode systems. Research on the basis of thin-film deposition, on the other hand, are rather scarce. This is related to the more complicated elemental composition, which is hard to precisely control during the sputter deposition, ALD and CVD processes. We will therefore focus in this part on the thin-film cathode deposition of MeO materials, such as LiCoO2, LiNiO2, LiMnO2,

LiFePO4 and V2O5.

LiCoO2

Since it was firstly synthesized by Johnston in 1958, LiCoO2 has been extensively investigated [54]. Mizushima et al. reported the electrochemical performance and its potential application in rechargeable batteries in 1980 [55]. Sony developed the first commercial rechargeable LiCoO2-based LiB with carbon as anode material in 1991. In general, LiCoO2 has two crystal structures: the spinel, low- temperature, phase (LT-LiCoO2) and the high-temperature, hexagonal, structure (HT-

LiCoO2). The hexagonal crystal lattice has a layered structure, where lithium and transition metal ions alternately occupy the octahedral sites (see Fig. 2.2a) [56]. The high-temperature modification can be synthesized at temperatures above 400℃.

21

Chapter 2

Fig. 2.2. Schematic structural representation (a) and general phase transitions upon different degree of delithiation (b) of HT-LiCoO2 ref. [56].

The HT-LiCoO2 is the commonly used cathode material due to its high reversibility with respect to lithiation and delithiation. Since Li-ions will be transported within the two dimensional (2D) CoO2 layered structure, the diffusion coefficient can be as high as 10-12 to 10-11 cm2/s, facilitating good electrochemical charge and discharge kinetics. The (de)lithiation reaction of LiCoO2 can be represented by Eqs. 1.1-1.3, in which x, the amount of lithium, can be reversibly cycled between 0 and 0.47.

(De)Lithiation of LiCoO2 is accompanied by several phase changes. In the range of 0

< x < 0.04, the material reveals a single hexagonal, solid-solution, phase (H1). The first phase transition occurs in the range of 0.04 < x < 0.25. Here a two-phase system exists between H1 and another hexagonal phase (H2). The H2 phase offers more space between the neighboring oxygen atoms and is characterized by an improved electronic conductivity. A potential plateau at about 3.9 V can be observed due to the coexistence of the two hexagonal phases. When delithiated to the state of about x = 0.47, the electrode will transform from the hexagonal microstructure into a monoclinic phase, which corresponds to a potential of 4.2 V versus metallic Li, as shown in Fig. 2.2b. Further delithiation results in an unstable microstructure and even a dramatic phase collapse, which consequently leads to irreversible capacity losses during cycling.

Therefore, the LiCoO2 electrode should never be electrochemically charged beyond 4.2 V. As a consequence, only 0.47 Li per Co can be extracted, delivering a specific

22 Chapter 2

capacity of about 129 mAh/g. Various methods have been described to deposit electrochemically active LiCoO2 thin-films, including sol-gel methods [57], radio frequency (RF)-magnetron sputtering [57-59], and PLD [59].

LiNiO2

Lithium nickel oxide is also an attractive cathode material in LIB and shows some promising properties compared to LiCoO2. The material has a reversible storage capacity of about 180 mAh/g, substantially higher than that of LiCoO2. This is mainly due to the fact that 0.8 mole of Li+ can be delivered per molar amount of Ni whereas this was restricted to only 0.47 in the case of LiCoO2. In addition, Ni is more cost- effective than Co and less environmentally polluting.

LiNiO2 also has two crystallographic phases: a cubic and hexagonal structure.

Hexagonal LiNiO2 has a similar layered structure in comparison to the HT-LiCoO2.

However, only the hexagonal-layered LiNiO2 structure shows electrochemical active electrode properties. During the delithiation process, LiNiO2 undergoes several crystallographic phase transformations: it initially changes from the hexagonal phase to a monoclinic phase, subsequently turns into a hexagonal phase again, converts into a two-phase coexistence region of two hexagonal phases and finally converts into a single-phase hexagonal solid solution [60]. However, the material properties of LiNiO2 still suffer from some drawbacks. Owing to the more complicated oxidation from Ni2+ 3+ to Ni , stoichiometric LiNiO2 is difficult to synthesize. Also, annealing at high temperatures may cause evaporation of Li2O, leading to decomposition of LiNiO2 and, consequently, resulting in partially disordered cation distribution. Another serious issue regarding LiNiO2 is the high capacity loss upon cycling, resulting from the deformation of the NiO2 layers, inducing irreversible structural changes. Therefore, the microstructural stability is a major threshold to achieve high-performance LiNiO2. This limits up till now the commercialization of LiNiO2 as cathode materials in LIB.

Interesting strategies have been proposed to improve the performance of LiNiO2. Doping foreign atoms, such as Co, Mn, Mg and Ti, seems to be a promising approach. For example, the introduction of Co can limit the formation of superstructure from Li voids, stabilizing the hexagonal crystal lattice [61]. Mn doping can reduce the degree of cation disordering [62] and Mg-Ti doping can prevent over-delithiation of LiNiO2 [63].

Coatings have also been investigated to modify LiNiO2. Significantly reduced cation

23

Chapter 2

disordering can be achieved for LiNiO2 electrode with sol-gel coated ZrO2 surface layers, resulting in improved electrode cycling life [64]. Thin-film LiNiO2 electrodes have been investigated by means of sputtering deposition [65-66] and electrostatic spray deposition [67]. The deposited thin-film LiNiO2 electrodes show similar electrochemical performance as the reported powder-based electrodes.

LiMnO2

Materials with the general formula LiMO2 (M=Co, Ni and Mn) have also been considered as attractive cathodes for rechargeable LIB. Among these materials

LiMnO2 is very promising due to its non-toxic, low cost, high energy density and high- specific-storage-capacity (285 mAh/g) properties. Armstrong et al. [68] were the first reporting about the synthesis of layered LiMnO2 in 1966, using α-NaFeO2 as starting material and applying an ionic exchange method. After the preparation of this new material it has been intensively characterized. LiMnO2 has two crystallinities: orthorhombic and monoclinic structures. Orthorhombic LiMnO2 has a layered structure similar to that of HT-LiCoO2 and hexagonal LiNiO2. The initial charging capacity of the orthorhombic LiMnO2 can be as high as 270 mAh/g with two clear voltage plateaus at about 3.0 and 4.0 V. Upon electrochemical cycling, the orthorhombic LiMnO2 transforms into a spinel-structured LiMn2O4 because of the same O-atomic-packed lattice. Along with this structural transformation, significant volume changes occur, causing the electrode storage capacity to decay upon cycling. The reversible capacity of LiMnO2 is about 200 mAh/g. In general, doping the host material with foreign atoms, such as Al, Co, Ni, Cr, V, Ti, Mo, Nb, Mg, Zn and Pd, can effectively improve the structural stability and restrict the spinel transformation [69]. Thin-film LiMnO2 electrodes have been prepared by CVD [70] and sputter deposition [71].

Spinel LiMn2O4 is attracting increasing attention as ideal cathode material. The theoretical specific capacity of LiMn2O4 is 148 mAh/g and the practical storage capacity can be as high as 140 mAh/g. Excellent cycling stability is the main advantage over

LiMnO2. The spinel LixMn2O4 presents a cubic structure with O atoms, exhibiting a face-centered cubic stacking. Four regions exist in the voltage (dis)charge profiles: in the range of 0 < x < 0.1, Li-ions will be lithiated into single solid-solution- phase γ-MnO2; when 0.1 < x < 0.5, γ-MnO2 and Li0.5Mn2O4 co-exist, corresponding to a voltage plateau of about 4.15 V; when x > 0.5, a new LiMn2O4 phase is formed which co-exists with

24 Chapter 2

Li0.5Mn2O4 at a voltage plateau at around 3.9~4.0 V. However, it has been found that

LiMn2O4 will decay fast upon cycling when being cycled at elevated temperatures (>55℃) [72]. This has been related to the lattice distortion from the cubic to the tetragonal structure, leading to an increased polarization.

V2O5

V2O5 has some interesting properties as cathode material, offering the advantages of a higher theoretical capacity (up to 441 mAh/g can be stored in Li3V2O5), natural abundance and increased safety compared to conventional commercial cathode materials, such as LiCoO2 and LiFePO4. During discharging, several stages have been identified, which are related to a different degree of lithiation. LixV2O5 reveals two voltage plateaus at 3.4 and 3.2 V when x varies between 0 < x < 0.8. In this voltage range the electrochemical reactions are reversible. However, when further discharging to lower potentials, irreversible phase transformations from Li1V2O5 to

Li3V2O5 can take place. The use of this material is therefore limited to x = 0.8, delivering a reversible storage capacity of 118 mAh/g. Thin-films of V2O5 have been deposited, using RF-sputtering [73], sol-gel deposition [74], spin coating [75] and PLD [76].

LiFePO4

LiFePO4 was first proposed by Goodenough and co-workers and it was demonstrated as a highly reversible (de)lithiation cathode material [77]. It has been successfully commercialized in rechargeable LIB. In comparison to LiCoO2 and LiNiO2, an advantage of LiFePO4 is the natural abundance of raw materials and therefore its cost-effectiveness. The electrochemical process of LiFePO4 has been characterized by a two-phase redox reaction between compositions very close to the end members

LiFePO4 and FePO4, according to

+ − 퐿𝑖퐹푒푃푂4 ↔ 퐿𝑖1−푥퐹푒푃푂4 + 푥퐿𝑖 + 푥푒 (2.1) where x is very close to 1, generating a wide voltage plateau at 3.4 V vs Li/Li+. This characteristic two-phase phase transition process results in a very flat potential plateau during charging and discharging, delivering a high specific storage capacity of 170 mAh/g. Disadvantageously both end-member phases suffer from very poor electronic

25

Chapter 2 and ionic conductivities, which restrict the electrode rate capability. Intensive efforts have been put on this issue to overcome the sluggish mass and charge transport. Increasing the operating temperature has been reported to significantly improve the reversible cycling rates [78]. Other methods involve incorporating dopant atoms [79- 80], nano-scale particle reduction [81], conductive particle encapsulation or powder mixing (including electronic and ionic conductors) [82-83]. Synthesizing thin-film-type of LiFePO4 electrodes is also a promising solution to address these shortcomings.

Various thin-film methods have been developed to deposit LiFePO4 electrodes, such as PLD [84] and RF-sputtering [85].

2.1.3 MeO-based anode materials

In conventional solid-state Li-ion batteries, the negative electrode commonly consists of metallic Li. However, the highly volatile nature and low melting temperature of metallic Li (181℃) is a drawback, restricting large-scale utilization of these batteries in micro-electronics applications. The development of novel anode materials with a high specific energy is therefore a prerequisite. Metal oxides are also promising anode materials for LIB. These materials can react with lithium, according to the following overall redox reaction mechanism

+ - MxOy + 2yLi + 2ye = yLi2O + xM, (2.2) which offer large rechargeable storage capacities.

SnO, SnO2, PbO and PbO2

Tin oxides, such as SnO and SnO2, are attracting an increasing attention as potential substitutes of the conventional graphite anode. This is mainly because they show higher theoretical capacities (SnO: 875 mAh/g, SnO2: 782 mAh/g), which is superior to that of graphite (372 mAh/g). It is well established that the reaction of Tin oxides with Li+ consists of two steps:

+ − 푆푛푂푥 + 2푥퐿𝑖 + 2푥푒 → 푆푛 + 푥퐿𝑖2푂 (x = 1, 2) (2.3)

+ − 푆푛 + 푦퐿𝑖 + 푦푒 ↔ 퐿𝑖푦푆푛 (0 ≤ y ≤ 4.4) (2.4)

During the first discharge process, Tin oxides will be lithiated forming Li2O and metallic Sn in the potential range of 0.95-1.2 V, as represented by Eq. 2.3. This first step is

26 Chapter 2

irreversible, leading to the initial irreversible capacity loss, but is essential to achieve a completely reversible transformation from Sn to Li4.4Sn below 0.7 V (Eq. 2.4). However, the huge volume expansion of more than 250%, occurring in the second step, results in severe pulverization of the active materials, particle cracking, loss of contacts, and eventually a poor cycling stability. The common strategy is to encapsulate SnOx with carbonaceous materials [86-87] or design novel nanostructured

SnOx [86, 88-89]. At the same time, SnO2 thin-films were reported to exhibit higher reversible discharge capacities and longer cycle life than SnO2 powder electrodes.

SnO2 thin-films have been fabricated as anode in Li-ion batteries by many different techniques, including RF magnetron sputtering [90,91], CVD [92], PLD [93], electrostatic spray deposition [94] and sol-gel method [95].

Lead-based oxides (PbO and PbO2) have a similar (de)lithiation performance as SnOx, which can be represented by

+ − 푃푏푂푥 + 2푥퐿𝑖 + 2푥푒 → 푃푏 + 푥퐿𝑖2푂 (x = 1, 2) (2.5)

+ − 푃푏 + 푦퐿𝑖 + 푦푒 ↔ 퐿𝑖푦푃푏 (0 ≤ y ≤ 4.4) (2.6)

Upon the first discharge cycle, PbOx is converted into Pb together with the irreversible formation of Li2O. Similar to the electrochemical behavior of SnOx, the formation of

Li2O will result in a large capacity loss in the first lithiation cycle. Only the LiyPb alloy (Eq. 2.6) can be reversibly delithiated in the potential range of 0.1~0.6 V, yielding a total storage capacity of 529 mAh/g for PbO and 493 mAh/g for PbO2.

TiO2 and Li4Ti5O12

Li4Ti5O12, with a spinel structure, has also attracted a lot of attention as promising anode candidate in LIB. On the one hand, Li4Ti5O12 is often hailed as a zero- strain insertion anode, making this an excellent reversible anode material. On the other hand, it has a flat but significantly higher (de)lithiation voltage plateau of approximately 1.55 V, which is well above the characteristic potential range at which the solid- electrolyte interphase (SEI) is generally formed (about 1.0 V vs Li+/Li), hence avoiding

SEI formation. In addition, Li4Ti5O12 has a high theoretical storage capacity of 175 mAh/g. The charge transfer reaction can be represented by

+ − 3퐿𝑖 + 3푒 + 퐿𝑖4푇𝑖5푂12 ↔ 퐿𝑖7푇𝑖5푂12 (2.7)

One of the drawbacks of using this material as anode is that Li4Ti5O12 has a 27

Chapter 2 rather poor rate capability due to the poor electronic conductivity (10-13 S·cm-1) and the sluggish Li-ion diffusion. One way to address this issue is forming a second conductive phase by introducing foreign atoms on the various sites in the Li4Ti5O12 host structure.

Fig. 2.3. Structural transformation of Li4Ti5O12, Li7Ti5O12 and Li9Ti5O12 [103].

Another interesting method is synthesizing thin-film electrodes of Li4Ti5O12. The film thickness can then be reduced to such a value, at which the limited electronic conductivity and Li+ diffusion will not seriously affect the electrochemical performance.

Many deposition methods have been applied to synthesize Li4Ti5O12 thin-film anodes, such as sol-gel [96,97], magnetron sputtering [98,99], spray pyrolysis [100], ALD [101] and metal-organic chemical vapor deposition (MOCVD) [102].

It is generally accepted that Li4+xTi5O12 can be reversibly cycled between to 0 < x < 3 in the voltage range of 1.0 to 3.0 V. However, additional capacity can be extracted from Li4T5O12 when being discharged to lower cut-off potential of, for example, 0 V. It was demonstrated that Li7Ti5O12 can be further lithiated to Li9Ti5O12 in the voltage range of 1 to 0 V. As a result, a theoretical capacity up to 295 mAh/g has been reported

[103]. Fig. 2.3 shows the structural changes from Li4T5O12, Li7T5O12 to Li9T5O12 during (de)lithiation.

TiO2 has also been considered as promising electrode for Li-ion batteries as it is safe, non-toxic, readily available and reveals a long cycling stability. Various crystalline polymorphs of TiO2 (including anatase, rutile, brookite, TiO2-B (bronze),

TiO2-H (hollandite), TiO2-R (ramsdellite)), and amphorous TiO2 have been investigated with respect to Li-ion insertion and extraction. In comparison with Li4Ti5O12, TiO2 is a low-cost material and has a good cycling performance. The reversible lithium-ion

(de)insertion from TiO2 occur, according

28 Chapter 2

+ − 푇𝑖푂2 + 푥퐿𝑖 + 푥푒 ↔ 퐿𝑖푥푇𝑖푂2 (2.8) in which x can range between 0 and 1, leading to a theoretical capacity of 335 mAh/g. + Similar to Li4Ti5O12, TiO2 is also facing a poor electronic conductivity and slow Li diffusion rate, restricting the rate capability and storage capacity of TiO2. Different strategies have been developed to address these issues: designing different + nanostructured TiO2, such as nanoparticles, nanotubes and nanowires, to shorten Li diffusion length; coating or combining TiO2 with carbonaceous materials and metal oxides to change its physical and chemical surface properties, and introducing selectively doping with heteroatoms to form more open channels and active sites for Li-ion transport, as well as increasing the intrinsic electronic conductivity. A potential solution to overcome these limitations is simply synthesizing thin-film TiO2 electrodes.

Several thin-film formation methods have been reported to deposit TiO2, for example, by sputter deposition [104], ALD [105], MOCVD [106] and liquid phase deposition [107]. Forming thin-film-based electrodes by MOCVD was shown to be a promising route to address the conductivity issues [108].

CoO, Co3O4

From the various types of transition metals, Co3O4 has been widely used in many fields, like field-emission, supercapacitors, as heterogeneous catalysts, and in electrochromic devices. Thackeray et al. reported in 1985 that Co3O4 can also be used as electrode material in LIB [109]. It was shown that Co3O4 combines a stable electrochemical performance with a high theoretical specific capacity of 890 mAh/g compared to other metal oxides. The following two-step electrochemical reaction scheme upon (de)lithiation has been proposed [110]

+ − 퐶표3푂4 + 8퐿𝑖 + 8푒 → 3퐶표 + 4퐿𝑖2푂 (2.9)

+ − 퐶표 + 퐿𝑖2푂 → 퐶표푂 + 2퐿𝑖 + 2푒 (2.10)

+ − 퐶표푂 + 2퐿𝑖 + 2푒 ↔ 퐶표 + 퐿𝑖2푂 (2.11)

In the first lithiating metallic cobalt and Li2O are formed, which is considered to be completely irreversible (Eq. 2.9). In the following delithiating process (Eq. 2.10), metallic cobalt will be embedded into the Li2O matrix, thereby generating CoO instead of Co3O4, due to the close similarity of the oxygen lattices in both Li2O and CoO. In the 29

Chapter 2 subsequent lithiating/delithiating step, it has been demonstrated that the reaction of CoO with Li+ is reversible (Eq. 2.11). As a consequence, the whole process will consume a quarter of available lithium in the Li-ion battery system and, consequently, yields a theoretical storage capacity of 667 mAh/g. Many techniques have been reported for synthesizing Co3O4 thin-films, such as RF-sputtering deposition [111], CVD [112], MOCVD [113] and ALD [114]. High reversible specific capacities have also been obtained with thin-film electrodes. However, the large irreversible capacity loss of Co3O4 during the first cycle and the higher fading rate makes this material not so promising. The reversible electrochemical reaction mechanism of the (de-)lithiating process for CoO was recognized to be the decomposition of CoO to Li2O and Co by insertion of Li+, as indicated in Eq. (2.11). Based on this reaction sequence, CoO was also investigated as anode material in Li-ion batteries. The reported theoretical capacity of CoO was 714 mAh/g at room temperature. The reversible (de)lithiation of CoO (Eq. 2.11) takes place at an electrode potential of 1 V.

2.2 Thin-film deposition

Many methods can be used to deposit all-solid-state thin-film LIB. Based on the deposition rate, these methods can be divided into two groups: (i) Fast-deposition-rate methods, such as pulsed laser deposition, sputter deposition and E-beam evaporation and (ii) slow-rate methods, including MOCVD and ALD. In general for methods in the former class, there is a temperature difference between the substrate and the deposited films, which may lead to thermal tensile stress, which disadvantageously may induce film cracking. ALD is a novel method, by which high-quality thin-films can be deposited. This method has therefore been intensively used for the deposition of photovoltaics thin-films. Regrettably the deposition rate of ALD is slow and this method is therefore at this moment not competitive for large-scale production of electrochemical storage devices. MOCVD can be characterized by a medium deposition rate, leading to high step-conformal and homogenous thin-films. Moreover, MOCVD has the advantage to provide excellent stoichiometric control of the deposited films. In this section various MeO thin-film chemistries deposited by MOCVD will therefore be reviewed.

30 Chapter 2

2.2.1 MeO-based solid electrolyte materials

Fig. 2.4a shows the deposition thickness of LPO thin-films as a function of time at different temperatures by MOCVD, using tert-butyllithium (t-BuLi) as Li-precursor and trimethyl phosphate (TMPO) as P-precursor [29]. The deposition rate can be obtained from the slopes of these lines and was reported to be constant for both temperatures, which is crucial for obtaining high-quality and homogeneous thin-films. The Arrhenius plot of the deposition rate as a function of deposition temperature (ln

Rdep vs 1/T) is shown in Fig. 2.4b. The activation energy of the deposition process can be calculated from the slope of this line and is found to be 1.14 kJ/mole. This low activation energy suggests a diffusion-controlled deposition process in the investigated temperature range. Fig. 2.4c-f shows the morphology of the LPO thin-films deposited at different temperatures, indicating the homogeneous quality without revealing any cracks or pinhole defects. When depositing at elevated temperatures, it is clear that the surface texture becomes somewhat rougher. It is known that the LPO films deposited at lower temperatures are not crystalline but have become amorphous. Increasing the deposition temperature led to complete crystallization of the deposited films. The X-ray diffraction (XRD) patterns shown in Fig. 2.4g confirms the influence of the deposition temperature on the crystallography. The electrochemical stability has been investigated by cyclic voltammetry (CV). The results are shown in Fig. 2.4h and suggest that the deposited LPO films are electrochemically stable in the voltage range of 0 to 4.7 V vs Li/Li+. Electrochemical impedance spectroscopy (see the inset of Fig. 2.4i) confirms that amorphous LPO has a better ionic conductivity than the crystallized form. The LPO films deposited at 300℃ yield the highest ionic conductivity at room temperature of 3.9⋅10-8 S⋅cm-1.

31

Chapter 2

Fig. 2.4. Deposited film thickness as a function of the CVD deposition time at 350℃ and 500 ℃ (a) and Arrhenius plot showing the deposition rate for planar LPO films (b). SEM images of LPO thin-films deposited at different temperatures 300℃ (c), 350℃ (d), 400℃ (e), 450℃ (f) and accompanying XRD patterns (g). CV plot of a Pt/LPO/Li half- cell at room temperature (h) and ionic conductivity of LPO films as a function of deposition temperature (i) ref. [29].

32 Chapter 2

Fig. 2.5. CVD deposition rate for LixTayOz determined by RBS as a function of the susceptor temperature (a); Lithium-to-tantalum ratio (determined by ICP-AES) and the oxygen-to-tantalum ratio (determined by RBS) for samples deposited at various susceptor temperatures (b). Cyclic Voltammograms of planar LiTaO3 films deposited at various susceptor temperatures (c) ref. [115].

LiTaO3 has also been investigated by MOCVD, using t-BuLi and tantalum(V)ethoxide as precursors. As shown in the Arrhenius plot in Fig. 2.5a, the deposition rate of LixTayOz strongly depends on the deposition temperature [115]. Also in this case it was concluded that deposition at high temperatures was diffusion controlled (> 500°C), while deposition at low temperatures was reaction-rate controlled, as has been concluded from the higher slope in the Arrhenius plot. The chemical composition of the films has been analyzed. Rutherford backscattering spectrometry (RBS) and inductively coupled plasma-atomic emission spectroscopy (ICP-AES) results in Fig. 2.5b show that the deposition temperature only has a weak effect on the film composition. However, the CV spectra of the various films, shown in Fig. 2.5c,

33

Chapter 2 reveal that the deposition temperature has a very pronounced effect on the voltage stability window.

2.2.2 MeO-based cathode materials

Cathode materials are of significant importance as the theoretical capacity of thin-film LIB is strongly dependent on these materials. MOCVD was employed to deposit LiCoO2 thin-films using t-BuLi as Li-precursor and CpCo(CO)2 as Co-precursor [116]. As expected, the XRD patterns, shown in Fig. 2.6a, indicate that the crystallography of the deposited LiCoO2 layers is strongly dependent on the deposition temperature [116]. No crystalline phases are detected for the layers deposited at 300°C, the material is completely amorphous. The degree of crystallinity strongly increases at higher deposition temperature. However, it is hard to distinguish between the HT-LiCoO2 and LT-LiCoO2 since their diffraction reflections are highly overlapping in the XRD patterns. Scanning electron microscopy (SEM) observations confirm the influence of the deposition temperature on the microstructure (Fig. 2.6c-f). It has been concluded that the 300°C-deposited thin-film has an amorphous morphology with a rough surface. Deposition at higher temperatures gives rise to more homogeneous and crystalline structures. In order to investigate the electrochemical activity of the thin-film electrodes deposited at various temperatures, CV measurements have been reported (Fig. 2.6b). Obviously, it has been concluded that the electrodes deposited at low temperatures are hardly electrochemically active. Calculated from the integrated charge, the electrodes obtained at 500 ℃ are most active and deliver the highest reversible storage capacity. Oyedotun et al. investigated the possibility of depositing Lithium-manganese oxides by means of MOCVD and the films were prepared via a single solid-source precursor (lithium manganese acetylacetonate) [117]. The deposition temperature was optimized at 420°C with a flow rate of carrier gas (nitrogen) at 17 sccm, which gives rise to a deposition rate of 2 nm/min. Rutherford backscattering spectroscopy (RBS) was used to determine the composition of the deposited film, revealing a stoichiometry of Li0.47Mn0.27O0.26. Regrettably, the researchers did not check the electrochemical (de)lithiation performance of the deposited films.

34 Chapter 2

Fig. 2.6. XRD patterns (a), CVs of LiCoO2 layers obtained at varying temperatures (b) and SEM images (c-f) of LiCoO2 thin-films CVD-deposited at various temperatures ref. [116].

Alcántara et al. developed a lithium-nickel-citrate precursor for the deposition of

LiNiO2 cathode material by MOCVD [118]. The lower deposition temperature (450-

650°C) can result in a mixture composition of Li-containing NiO and Li2CO3. When introducing the produced single precursors at higher temperatures of 700-800°C under oxygen atmosphere, quasi-stoichiometric layered LiNiO2 solids were obtained.

However, the produced LiNiO2 presents a powder-based structure deviating from the thin-film morphology. As cathode material, quasi-stoichiometric-LiNiO2 showed a

35

Chapter 2

significant capacity loss during cycling. This capacity decay has been explained in terms of a partial migration of Ni from the 3a (nickel layers) to the 3b sites (lithium layers).

2.2.3 MeO-based anode materials

It has been demonstrated that high-quality LiCoO2 can be deposited by MOCVD [116]. Without involving the Li-precursor during the MOCVD deposition process, there is also a possibility to synthesize Co3O4 films by making use of the Co-precursor only

[115]. In contrast to the MOCVD deposition of LiCoO2, the oxygen flow plays an important role in the deposition of Co3O4 thin-films. The XRD patterns of Fig. 2.7a show that increasing the oxygen flow rate at a fixed temperature of, for example, 350°C is very beneficial to obtain well-crystallized thin-films. The SEM observations of Fig. 2.7c- f also confirm the influence of oxygen flow rate. At higher flow rates, the deposited thin- films have a higher degree of crystallinity as can be recognized on the sharp edges protruding the electrode surface. On the other hand, thin-films deposited at low flow rates reveal a smoother surface. The influence of the temperature has also been investigated with a fixed oxygen flow rate of 50 sccm [115]. Remarkably, there is a clear trend that the deposition rate decreases with increasing temperature (circles in Fig. 2.7b). This is contradictory to the previous observations for LPO, LiTaO3, Li4Ti5O12, LiCoO2, where increasing temperatures lead to enhanced deposition rates. This decrease in the present Co3O4 case has been explained by depletion of the precursor, as Co3O4 has already been deposited on the susceptor surface before reaching the substrates. To confirm this, a lower oxygen flow rate of 1 sccm has been selected for the deposition at some temperatures. As shown in Fig. 2.7b (crosses) the deposition rate at low oxygen flow rate is more or less temperature independent. It has been concluded that this demonstrates that there is a precursor deficiency at higher temperatures, resulting from some undesirable side reactions, which can be controlled by decreasing the oxygen concentration.

36

Chapter 2

Fig. 2.7. XRD patterns of Co3O4 thin-films CVD-deposited at 623 K with increasing oxygen flow (a), Arrhenius plot revealing the deposition rate of Co3O4 at various temperatures: the oxygen flow was set at 50 sccm (spheres) or 1 sccm (crosses) (b) and cross-section SEM images of Co3O4 films deposited at 623 K at various oxygen flow rates (c-f) ref. [115].

37

Chapter 2

-1 Fig. 2.8. CV cycle 1, 2 and 3 at the 1 mV·s of a Co3O4 thin-film electrode (a). The thin-film was deposited at 773 K and the charge capacity of the electrode was based on CVs (b): the black diamonds indicate the charge involved in the reduction (lithiation) reaction while the white symbols represent that of the oxidation reaction ref. [115].

The electrochemical response (Fig. 2.8a) of a Co3O4 electrode, deposited at

400°C with 3 sccm of O2, has been investigated by CV [115]. The results show that there is a large deviation of the first cycle from the subsequent cycles. After the first reduction/oxidation cycle the currents are highly reduced due to the occurring irreversible reaction. After the second cycle, the reversibility has been stabilized, revealing the high storage activity of the deposited thin-films. The integrated storage capacity is shown in Fig. 2.8b and confirms the high irreversible capacity, occurring in the first cycle and the stable capacity in the subsequent cycles.

Fig. 2.9. XRD patterns (a) and charge/discharge voltage profiles (b) of Li4Ti5O12 thin- films deposited with various Li-precursor flow rates and fixed oxygen and titanium precursor flow rates ref. [102].

38 Chapter 2

Li4Ti5O12 is an interesting alternative for metallic Li due to high structural stability (zero-strain insertion material) during cycling and a mid-discharge electrode potential close to 1.5 V, which excludes the formation of a SEI. MOCVD was used to study the feasibility of depositing Li4Ti5O12 thin-film anodes with titanium isoproxide (TTIP) and t-BuLi as precursors [102].

Fig. 2.10 XRD patterns of Li4Ti5O12 films (deposited with 1 sccm O2, 300 sccm Li and 200 sccm Ti-precursor flow rates) with and without post-annealing (a). Voltage curves (b) and derivative of the storage capacity with respect to voltage curves (c) of Li4Ti5O12 films with (red curve) and without (black curve) post-annealing ref. [102].

Fig. 2.9a shows the XRD patterns of the Li4Ti5O12 thin-films deposited at 500°C with different Li-precursor flow rate. Various t-BuLi flow rates in the range of 100 to 400 sccm were applied with 1 sccm oxygen flow rate and 200 sccm TTIP. It was found that when the t-BuLi flow rates are lower than 200 sccm the films consist of two chemical compositions (Li4Ti5O12 and TiO2), due to the deficient amount of Li-precursor to efficiently react with TTIP. Increasing the t-BuLi flow rate to 300 sccm will lead to a

39

Chapter 2

pure Li4Ti5O12 phase. Galvanostatic (dis)charging reveals the influence on the voltage profiles of the various electrodes in Fig. 2.9b. For the electrodes deposited with 100 and 200 sccm t-BuLi, two charging plateaus can be found at 1.55 and 1.91 V, which correspond to the characteristic delithiation plateaus of Li4Ti5O12 and TiO2, respectively. The electrodes deposited with a high Li-precursor concentration only reveal the typical single-voltage plateaus. These results agree well with the XRD observations of Fig. 2.9a. However, further increasing the Li-precursor to 400 sccm will decrease the capacity, which may be due to the induced Li-containing impurities. Owing to the low quantities, these are, however, difficult to detect in the XRD patterns.

The storage capacity obtained with the MOCVD-deposited Li4Ti5O12 thin-film electrodes (Li-300) is a bit lower than for the electrodes prepared by sol-gel [96], ALD [101] and by solid-state reaction [119]. This may be attributed to the low deposition temperature (500°C) and that the samples are not well crystalized. To investigate this, an annealing treatment at 800°C for 15 min under Ar gas was carried out for the as- denoted Li-300 MOCVD-deposited sample. The XRD patterns confirmed that the crystallinity has indeed improved after annealing as the intensity of the diffraction peaks of Li4Ti5O12 increased significantly (see Fig. 2.10a). The (dis)charging voltage, the CV profiles and the corresponding derivative storage capacities are shown in Fig. 2.10b- c, respectively, and also demonstrate the favorable influence of the improved crystal structure on the electrochemical performance, which matches well with that of the characteristic Li4Ti5O12 electrodes. After post-annealing, the storage capacity was also increased due to the increased number of (de)lithiation sites induced by the temperature treatment.

TiO2 is an attractive anode material for Li-ion batteries due to the SEI inhibition at the (de)lithiation potential above 1.5 V. However, bulk-type TiO2 has a poor conductivity and a low Li-ion diffusion rate, limiting the rate capability and storage capacity. Thin-film-based electrodes are a promising route to address these conductivity issues [108]. Fig. 2.11a-d shows SEM images of MOCVD-deposited TiO2 films at various temperatures. It was reported that all films are homogeneous without showing any cracks or pinholes. It is interesting to note that all the samples deposited in this temperature range show a high degree of crystallinity. The Raman spectra of the deposited films are shown in Fig. 2.11e as a function of deposition temperature and reveal that the deposited films have the typical anatase TiO2 structure. The deposition rate increases at higher temperatures as the Arrhenius plot shows in Fig.

40 Chapter 2

2.11f. The two slopes indicate a kinetically controlled deposition rate at low temperatures and a diffusion-controlled mechanism at higher temperatures.

Fig. 2.11. SEM images (a), Raman spectra (b) and Arrhenius plot (c) of planar TiO2 films deposited at various temperatures ref. [108].

41

Chapter 2

Fig. 2.12. Arrhenius plot based on RBS measurements for the CVD deposition of MoO3 using Mo(CO)6 as precursor. The closed symbols indicate deposition runs with a 50 sccm oxygen flow rate while the open symbols have a 1 sccm oxygen supply (a). Corresponding XRD patterns of MoO3 deposited at various temperatures (b) ref. [115].

MoO3 is another interesting electrode material, which can be used both as anode or cathode in Li-ion batteries due to the medium (dis)charging voltage range of the order of 2.5 to 3.0 V. This material can be lithiated to Li1.5MoO3, resulting in a 100% volume expansion [120]. To address such a large volume change during (dis)charging, some porous MoO3 materials have been synthesized [121]. Several thin-film-based

MoO3 deposition reports have been presented in the field of CVD [122], sol-gel [123], ion beam [123], sputter [124] and ALD deposition [125]. MoO3 has also been deposited by means of MOCVD to investigate the possibility to deposit thin-films, using Mo(CO)6

42 Chapter 2

as Mo-precursor [115]. Temperature and oxygen dependencies on the MOCVD deposition process have been investigated. Fig. 2.12a shows the Arrhenius plot based on RBS analyses of the deposition rate as a function of temperature with an oxygen flow of 1 and 50 sccm. It can be observed that there are again two growth-rate regimes in the investigated temperature range. The deposition rate is diffusion-controlled at temperatures higher than 300°C and kinetically controlled at lower temperatures. When using different oxygen flow rates, the deposition rates are overlapping, suggesting that there is no significant influence of the oxygen content in this concentration range. The XRD spectra are shown in Fig. 2.12b and indicate that there is a clear correlation between the deposition temperature and the crystallinity of MoO3. The films are in the amorphous state at temperatures lower than 300°C and the crystallinity increases at more elevated deposition temperatures. The samples are completely crystalline at temperatures higher than 400°C. However, SEM images show that the deposited films have an interesting 3D morphology and even reveal the formation of nanorod and nanowire structures (Fig. 2.13a-f). Results of the electrochemical performance in the deposition temperature range of 350 to 500°C are shown in Fig. 2.13g. The CV spectra of electrodes deposited at lower temperatures (350 and 400°C) display reveal very broad voltage characteristics. For the films deposited at higher temperatures (450 and 500°C), the responses are much better. After the first cycle the electrodes are much more in line to with what is electrochemically expected.

RuO2 has a high electronic conductivity and is therefore often used as current collector. However, only a few papers were reporting using this material in all-solid- state LIB [126,127]. RuO2 is considered to be a conversion electrode material with respect to Li-ions. The (de)lithiation reaction has been represented by

+ − 푅푢푂2 + 4퐿𝑖 + 4푒 ↔ 푅푢 + 2퐿𝑖2푂 (2.12)

43

Chapter 2

Fig. 2.13. SEM-images (a-f) and corresponding CV curves (g) of MoO3 thin-films deposited in the temperature range of 573 to 773 K ref. [115].

The possibility of employing MOCVD to deposit RuO2 electrodes for all-solid- state LIBs has been investigated, using (iButylCp)2Ru as Ru-precursor [115]. During deposition the temperature was varied in the range of 400 to 600°C, using a fixed oxygen flow rate of 90 sccm. Fig. 2.14a shows the measured XRD patterns, revealing a positive influence of the temperature on the crystallinity of RuO2. At 400°C, RuO2 has been detected together with some small amounts of metallic Ru. Increasing the deposition temperature to 500°C the amount of RuO2 increases and a single RuO2 phase has been formed at 600°C. The oxygen flow rate was also found to play a significant role in the composition of the deposited films. Fig. 2.14b shows the XRD patterns of the samples deposited at 500°C with various flow rates in the range of 50 to 600 sccm. It clearly shows that increasing the oxygen content during deposition improves the crystallinity of the RuO2 thin-films. The samples deposited at 500°C at an oxygen flow rate of 400 sccm was used to investigate the . The CVs have been measured in the range of 1 and 4 V with a scan rate of 1 mV/s (see Fig. 2.14c). It shows that the current density decreases dramatically, the maximum current at the third cycle is only 25% of that in the initial cycle. The cycling fading of the RuO2 electrode can be attributed to

44 Chapter 2

the large volume expansion (100%) during (de)lithiation, leading to continuously losing active material. The poor cycling stability challenges the deposited RuO2 thin-films to be applied as high-performance anode materials in LIB. Further modification of deposited RuO2 is therefore needed to improve the cycling performance.

Fig. 2.14. X-ray diffraction patterns of ruthenium(oxide) deposited at three temperatures with fixed oxygen flow rate of 90 sccm (a), and deposited under various oxygen flow rates at a fixed temperature of 773 K (b), and CV investigation (1 mV·s-1) of RuO2 deposited at 773 K with a 400 sccm O2 flow (c) [115].

2.3 3D thin-film deposition

Thin-film-based all-solid-state LIB are strong candidates to power numerous wireless autonomous devices. For conventional two-dimensional (2D) all-solid-state (planar) LIB it is, however, complicated to combine a high power with high energy performance due to the limited chip area and volume. To obtain high storage capacities, two routes can be followed: One approach is to enlarge the surface area of 2D all- 45

Chapter 2 solid-state LIB, but this is not compatible with the small nature of integrated devices; another way is to increase the thickness of the battery electrodes, which would greatly increase the diffusion pathways for Li-ions, limiting the power performance. Considering these limitations new architectures have been proposed, which are all based on the exploration of the third dimensional (3D) geometry [133]. An advantage of 3D batteries is that the internal surface area between the cathode, electrolyte and anode has been significantly enlarged, which implies that with almost the same amount of packaging and substrate material, much higher currents can be obtained, consequently, creating high-power batteries [1]. Compared to planar solid- state batteries, the 3D all-solid-state LIB concept simultaneously improves the volumetric storage capacity and rate capability [108].

Fig. 2.15. SEM images of tilt view of 30μm-wide trenches (a); cross-sectional view of 10μm-wide trenches (b); top (c) and cross-sectional view (d) of a 3D pillared substrate [108].

To investigate the electrochemical performance of 3D batteries, the films should be homogeneously deposited onto 3D-structured substrates. Well-known 3D

46 Chapter 2

substrates are nano-rod templates [128,129], carbon micro-rod arrays [130], aerogel architectures [131,132], microchannel plates [133,134], etched pillars [102] and trenches [29,115]. Each of these morphologies have its own advantages and disadvantages. Among these, the etched trenches and pillars are the two most frequently-used structures due to the well-defined aspect ratios (enlarged surface area) and good mechanical properties. Fig. 2.15 shows typical trench-(a and b) and pillar- structured (c and d) substrates. The surface enlargement factor ( 퐴) of the pillar substrate has been calculated, according to

퐴 = 1 + 2휋푟ℎ/(2푟 + 푙)2 (2.13) where 푟, ℎ and 푙 represent the radius, depth and distance between the Si pillars, respectively [135]. For trenched substrates, A has been represented by

퐴 = 1 + 2ℎ (푙−푠)⁄ 푙(푤+푠) (2.14) in which ℎ is the trench depth, 푤 the width, 푠 the spacing between the trenches and 푙 is the total footprint length of the battery structure. As an advanced technique for depositing thin-film materials, MOCVD can be used to achieve step-conformal and homogeneous deposits in highly structured substrates, offering good opportunities to create 3D all-solid-state batteries. It is important to recognize that the usable area is limited for small electronic devices. So, the traditional performance indicators of batteries, i.e. gravimetric and volumetric energy and power densities, are less relevant here. Instead, the real issue is how much energy and power a device can deliver per footprint area, measured in terms of mAh·cm-2, J·cm-2 or μW·mm-2 [136]. Based on, for example, the observation made in Fig. 2.4 it was confirmed that the deposition of LPO in the temperature range from 300 to 500°C is diffusion- controlled [29]. In order to achieve a homogeneous deposition in 3D-structured substrates, the samples should obviously be deposited under kinetically controlled conditions. This implies that the temperatures should be reduced to even lower values. However, the deposition temperature should still be high enough for the reaction to occur under these conditions. Therefore, 300°C was chosen to investigate the 3D deposition of LPO. Fig. 2.16 shows MOCVD-deposited LPO thin-films in 30-µm wide and deep trenches for 6h. It shows an as-expected continuous and homogeneous thickness of about 340 nm thin-film on top of the trenches. Moving into the trench, the

47

Chapter 2 film thickness starts to deviate from the top part and a thickness of 210 nm film was only deposited at the top-corner of the trench. The film thickness is even further reduced at the bottom, down to about 60 nm.

Fig. 2.16. LPO thin-film deposited at 300℃ for 6 h inside a 30-μm-wide trench (a) and at higher magnification at the top (b), the center (c) and bottom (d) [29].

48 Chapter 2

-1 Fig. 2.17. CV (1 mV·s ) curves of planar LiCoO2 electrodes annealed at 973 K for 3, 6 or 15 minutes are compared with the as-deposited film (a). SEM image of a LiCoO2 thin-film deposited for 8 h in a trench that is 10 μm wide and 30 μm deep (b) [115].

As described in section 2.2, high-temperature LiCoO2 deposition is beneficial to obtain electrochemical active thin-films to be applied as cathode in LIB. However, according to the result shown in Fig. 2.6c-f, depositing LiCoO2 at lower temperatures are expected to yield more homogeneous films to achieve a step-conformal 3D geometry. These are therefore contradictory characteristics. To solve these conflicting aspects, new studies have been carried out to evaluate the 3D deposition possibility at low temperatures, followed by a high-temperature annealing step to obtain electrochemically active layers [115]. In an attempt to investigate this, LiCoO2 was first MOCVD-deposited at 400°C for 2 h and, subsequently, annealed at 700°C for different times (3, 6, 15 and 30 min). The electrochemical stability of the deposited and annealed planar samples are shown in Fig. 2.17a. It was found that there is no large difference

49

Chapter 2 between different annealing times, indicating that the crystalline transformation is fast and an annealing time of 3 min. is already sufficient for completing this conversion. Fig. 2.17b shows the homogeneity of the as-deposited film in a 10-by-30-μm trench. This illustrates that LiCoO2 can be successfully deposited with a good step coverage of over 80%.

Fig. 2.18. 3D substrate configuration to study the layer deposition uniformity (a). Deposited Li4Ti5O12 film at the top (b), middle (c) and bottom (d) of a 3D trench [102].

It has been shown that Li4Ti5O12 can also be deposited by MOCVD in 3D. Fig. 2.18 presents the SEM images of the deposited films at 500°C and post-annealing at 800°C into a 30-by-30-µm trench. As a result, an aspect ratio of 23% was obtained at the bottom part of the trench. To check the electrochemical performance, TiN-coated Si-pillared substrates were used, of which the pillars have a height of 50 µm, a diameter of 2 µm at a distance of 5 µm (Fig. 2.19a) [102]. As shown in Fig. 2.19b, the 3D pillar electrode can deliver a significantly higher storage capacity per footprint area in

50 Chapter 2

comparison to planar electrodes. The result is a bit lower than theoretically expected based on the calculated surface area enlargement of approximately 7.5. This has been attributed to the inhomogeneous film coverage at the bottom of the 3D architecture. Interestingly it was concluded that the storage capacity of the 3D electrode is increasing during cycling, which has been attributed to some gas trapping at the bottom and liquid electrolyte penetration into the pillars upon cycling [102].

Fig. 2.19. Cross-sectional view of the 3D substrate used for electrochemical measurements, inset image is the top-view (a), electrochemical performance of Li4Ti5O12 anodes deposited on a planar and 3D substrate (b) [102].

51

Chapter 2

Fig. 2.20. Tilt view of a 3D pillar substrate covered by TiO2 (a). SEM images of deposited TiO2 film on top (b), surface (c) and bottom (d) of a 3D pillar substrate. The deposition temperature is 350℃ [108].

The MOCVD deposition characteristics of TiO2 in 3D has also been reported

[108]. To get a homogeneous coverage in 3D-structured substrates, the TiO2 films were deposited at 350°C. Fig. 2.20 shows the SEM images of deposited TiO2 into a pillar-structured substrate, using TiN as current collector. In contrast to Li4Ti5O12, TiO2 can remarkably be deposited with a high aspect ratio of about 94%. As shown in Fig.

2.21 the 3D TiO2 electrodes clearly exhibit an improved storage capacity and power performance in comparison to planar electrodes. Obviously, the 3D electrode geometry exhibits lower overpotentials, especially under high-current loading conditions, indicating the improved power performance. Also, in line with the author’s expectations the 3D electrode shows much higher storage capacities at all current densities than the planar electrode (see Fig. 2.21c). Fig. 2.21d shows the areal capacity improvement of the 3D TiO2 electrode over a planar electrode. It is worthwhile to note that the

52 Chapter 2

increase is more significant at higher current densities and can even reach a factor of 16 at 80 µA/cm-2. This value is higher than the theoretical surface enlargement of 7.5, which manifests the advantage of the 3D electrode geometry in improving both the power and energy density performance.

Fig. 2.21. Voltage curves of planar TiO2 (a) and 3D TiO2 electrodes (b); Areal capacity of planar and 3D TiO2 electrodes for charging (Ch) and discharging (Dis) at different current densities (c); areal capacity improvement as a function of current densities (d) [108].

2.4 Mobility of lithium-ion

Park et al. reviewed the ionic conductivity of Li-ions in all battery components, i.e. cathodes, anodes and electrolytes [137]. This section will mainly focus on how the Li-ions are distributed and can be in-situ investigated in the various phases under operating conditions. Li-ion batteries inevitably suffer from capacity losses during (dis)charge cycling.

53

Chapter 2

The capacity decrease can be attributed to the immobilized amount of available Li-ions in the battery stack. In an effort to get more information about the details of Li-ions distribution, in-situ characterization methods are needed. Some in-situ characterization methods, such as XRD [138], Raman spectroscopy [139,140], SEM [141], transmission electron microscopy (TEM) [142], Mössbauer spectroscopy [143,144], Nuclear magnetic resonance (NMR) [145,146], atomic force microscopy (AFM) [147], and X-ray tomographic microscopy [148] have been applied to quantify the crystal structure evolution and monitor the expansion/contraction of the electrode materials during (de)lithiation. Each of these characterization tools have their own advantages and limitations [149]. In particular, low sensitivity to Li-ions makes these techniques less attractive in analyzing LIB. Recently a novel method, known as neutron depth profiling (NDP), has been reported, which facilitates the determination of lithium concentration gradients in electrochromic devices [150-152], thin-film battery electrodes and electrolytes [153]. Applying NDP to LIB is based on the reaction of a thermal neutrons with 6Li. Irradiating the 6Li isotope with thermally cold (about 25 meV) neutrons results in the formation of α-particles (4He) and tritons (3H), according to

푛 + 6퐿𝑖 → 4He+ 3H (2.15)

When locally generated, both 4He and 3H particles have a well-defined energy of 2055 and 2727 keV, respectively [154-156]. However, while moving through the various battery materials the 4He and 3H particles will lose quite some energy. The energy of the outgoing particles can be detected by sensors. A mathematical relationship between the particles formation depth and the energy loss can be established, allowing to in-situ determine Lithium concentration profiles. Due to the larger nuclei size and lower initial energy, 4He particles face more extensive collisions in the various battery layers and will lose more energy [149]. As a consequence, only rather thin-film batteries can be analyzed with a high resolution using 4He. When the sample thickness increases, 4He particles may completely perish inside the battery (layers). In that case, analyzing the 3H spectra can be a more successful alternative. The advantage of the NDP technique is that it can be considered as a non- destructive method since the amount of annihilated 6Li atoms is negligible with respect to the total amount Li atoms. It should be noted the NDP measurements should be operated under vacuum to ensure a high accuracy. This is due to the fact that even air

54

Chapter 2

causes quite some scattering of 4He and 3H, lowering the depth resolution. NDP is therefore, in principle, highly suitable for analyzing all-solid-state Li-ion batteries as the risk of liquid electrolyte leakage can be avoided and the battery stacks in general can be much thinner.

Fig. 2.22. The NDP spectrum of the as-deposited battery and the battery after the first charge and discharge (a). When the spectrum of the as-deposited state is subtracted from the spectra of the charged and discharged state, the amount of lithium shuttled during use of the battery can straightforwardly be determined (b) [157].

55

Chapter 2

To investigate this option, all-solid-state LIB have been deposited onto a silicon substrate with a 200-nm Pt bottom current collector, a 500-nm LiCoO2 cathode, a solid- state electrolyte consisting of 1.5-μm LiPON, and a 150-nm Cu top current collector [157]. Fig. 2.22a shows a typical NDP spectrum for this battery stack, in which the characteristic formation energies for 4He and 3H have been marked. Due to the limited thickness of the entire battery stack, the 3H particles are losing only little energy and this resulting part of the spectra is therefore not so informative. Favorably the 4He part of the spectra is much more interesting to analyze. The Cu layer shifts the 4He energy from the original formation energy of 2055 to about 1600 keV. By subtracting the as- deposited spectrum, the intensity differences can be “amplified” as has been reported for the charged and discharged state (Fig. 2.22b). It is clearly visible that the intensity is reduced in the cathode (475-960 keV) while an intense narrow peak appears at the anode side, consisting of metallic Lithium, has been deposited onto the Cu current collector. The reverse processes are also clearly visible during discharging. It was found that the integrated area of both the cathode and anode also nicely coincided with the integrated charge, flowing through the all-solid-state battery during charging and discharging.

2.5 Conclusions

It is shown that MeO are promising candidate materials to be applied in all-solid- state thin-film Li-ion batteries. MOCVD is an advanced technique to deposit thin-film materials in both a planar and 3D configuration. It has been determined that the deposition kinetics is strongly dependent on the deposition temperature. In general the deposition is diffusion-controlled at higher temperatures. The preferred kinetically controlled deposition region is often found at lower temperatures. Obviously the deposition parameters have to be accurately controlled to homogeneously deposit thin- film electrodes in 3D. The as-obtained 3D electrodes show an improved power and energy performance over planar electrodes. In an effort to investigate the mobility of lithium-ions inside all-solid-state batteries, neutron depth profiling turned out to be an interesting in-situ method. It is worth to note that the MeO-based anode materials described in this chapter have either large-irreversible capacity loss in the first cycle (SnO, SnO2, PbO, PbO2

56 Chapter 2

and Co3O4), limited lithium-ion accommodation sites (TiO2, LTO), or toxic nature (PbO,

PbO2, CoO and Co3O4), which makes them less promising. In sharp contrast, Si is excellent in specific capacity (as high as 3579 mAh/g), good recyclability, environmental friendly and abundant on earth. Therefore, the following chapters will discuss the findings with respect to Si electrodes. Firstly, the interface between Si and liquid electrolyte will be investigated, which mainly deals with the SEI structure and impact in forming defects (Chapter 3). Then, discussion will be shifted to the interface properties involved in the Si/solid electrolyte (LPO), which is investigated by means of in operando NDP (Chapter 4). Chapter 5 will introduce a Monte-Carlo-based model to convert energy profiles (raw NDP data) into depth-lithium concentration profiles, which facilitates to gain quantification information. Chapter 6 will describe one way to improve interface performance by introducing one protective layer (LTO) between Si and LPO.

57

Chapter 2

References

[1] P. H. L. Notten, F. Roozeboom, R. A. H. Niessen, L. Baggetto, 3-D integrated all-solid-state rechargeable batteries. Adv. Mater. 2007, 19, 4564-4567. [2] J. Janek, W. G. Zeier, A solid future for battery development. Nat. Energy 2016, 1, 1-4. [3] C. Chen, D. J. Li, L. Gao, P. Harks, R. Eichel, P. H. L. Notten, Carbon-coated

core-shell Li2S@C nanocompositesas high performance cathode materials for lithium-sulfur batteries. J. Mater. Chem. A. 2017, 5, 1428-1433. [4] V. Thangadurai, S. Narayanan, D. Pinzaru, Garnet-type solid-state fast Li ion conductors for Li batteries: critical review. Chem. Soc. Rev. 2014, 43, 4714-4727. [5] Z. C Liu, W. J. Fu, E. A. Payzant, X. Yu, Z. L. Wu, N. J. Dudney, J. Kiggans, K. L. Hong, A. J. Rondinone, C. D. Liang, Anomalous High Ionic Conductivity

of Nanoporous β-Li3PS4. J. Am. Chem. Soc. 2013, 135, 975-978. [6] K. Homma, M. Yonemura, T. Kobayashi, M. Nagao, M. Hirayama, R. Kanno,

Crystal structure and phase transitions of the lithium ionic conductor Li3PS4, Solid State Ionics 2011, 182, 53-58. [7] K. Minami, A. Hayashi, M. Tatsumisago, Crystallization Process for

Superionic Li7P3S11 Glass–Ceramic Electrolytes. J. Am. Ceram. Soc. 2011, 94, 1779-1783. [8] D. Wohlmuth, V. Epp, M. Wilkening, Fast Li Ion Dynamics in the Solid 6,7 Electrolyte Li7P3S11 as Probed by Li NMR Spin‐Lattice Relaxation. ChemPhysChem 2015, 16, 2582-2593. [9] Y. Onodera, K. Mori, T. Otomo, M. Sugiyama, T. Fukunaga, Structural

Evidence for High Ionic Conductivity of Li7P3S11 Metastable Crystal. J. Phys. Soc. Jpn. 2012, 81, 044802 (1-5). [10] N. Kamaya, K. Homma, Y. Yamakawa, M. Hirayama, R. Kanno, M. Yonemura, T. Kamiyama, Y. Kato, S. Hama, K. Kawamoto, A. Mitsui, A lithium superionic conductor. Nat. Mater. 2011, 10, 682-686. [11] Y. F. Mo, S. Ping Ong, G. Cede, First Principles Study of the

Li10GeP2S12 Lithium Super Ionic Conductor Material. Chem. Mater. 2012, 24, 15-17. [12] D. A. Weber, A. Senyshyn, K. S. Weldert, S. Wenzel, W. B. Zhang, R.

58 Chapter 2

Kaiser, S. Berendts, J. Janek, W. G. Zeier, Structural Insights and 3D

Diffusion Pathways within the Lithium Superionic Conductor Li10GeP2S12. Chem. Mater. 2016, 28, 5905-5915. [13] J. Y. Song, Y. Y. Wang, C. C. Wan, Review of gel-type polymer electrolytes for lithium-ion batteries. J. Power Sources 1999, 77, 183-197. [14] A. Manuel Stephan, K.S. Nahm, Review on composite polymer electrolytes for lithium batteries. Polymer 2006, 47, 5952-5964. [15] G. Perentzis, E. E. Horopanitis, E. Pavlidou, L. Papadimitriou, Thermally

activated ionic conduction in LiNbO3 electrolyte thin films. Mater. Sci. Eng. B 2004, 108, 174-178. [16] Z. Y. Li, X. F. Chen, X. F. Hu, The preparation and ionic conductance of

nano-amorphous LixTaOy thin film. J. Phys. D 1996, 29, 2740-2744. [17] S. Ohta, K. Tetsuro, T. Asaoka, High lithium ionic conductivity in the garnet-

type oxide Li7−X La3(Zr2−X, NbX)O12 (X = 0–2). Journal of Power Sources 2011, 196, 3342-3345. [18] R. Murugan, V. Thangadurai, W. Weppner, Fast litium ion conduction in

garnet-type Li7La3Zr2O12. Angew. Chem. Int. Ed. 2007, 46, 7778-7781. [19] V. Thangadurai, W. Weppner, Investigations on electrical conductivity and chemical compatibility between fast lithium ion conducting garnet-like

Li6BaLa2Ta2O12 and lithium battery cathodes. J. Power Sources 2005, 142, 339-344. [20] J. B. Bates, N. J. Dudney, G. R. Gruzalski, R. A. Zuhr, A. Choudhury, C. F. Luck, J. D. Robertson, Electrical properties of amorphous lithium electrolyte thin films. Solid State Ionics 1992, 53, 647-654. [21] H. Aono, E. Sugimoto, Y. Sadaoka, N. Imanaka, G. Adachi, Ionic conductivity of solid electrolytes based on lithium titanium phosphate. J. Electrochem. Soc. 1990, 137, 1023-1027. [22] F. Vereda, N. Clay, A. Gerouki, R. B. Goldner, T. Haas, P. Zerigian, A study of electronic shorting in IBDA-deposited Lipon films. J. Power Sources 2000, 89, 201-205. [23] P. Knauth, Inorganic solid Li ion conductors: An overview. Solid State Ionics 2009, 180, 911-916. [24] K. Takada, Progress and prospective of solid-state lithium batteries. Acta Materialia 2013, 61, 759-770.

59

Chapter 2

[25] X. H. Yu, J. B. Bates, G. E. Jellison, F. X. Hart, A stable thin‐film lithium electrolyte: lithium phosphorus oxynitride. J Electrochem Soc. 1997, 144, 524-532. [26] K. Naoaki, I. Naoya, M. Yasutaka,T. Yoshinari, J. Kawamura, Thin film

batteries with Li3PO4 solid electrolyte fabricated by pulsed laser deposition. ECS Trans. 2009, 16, 53-60. [27] S. Yuki, A. Dials, A. Hayashi, M. Tatsumisago, Preparation of amorphous

Li4SiO4-Li3PO4 thin films by pulsed laser deposition for all-solid-state lithium secondary batteries. Solid State Ionics 2011, 182, 59-63. [28] B. Q. Wang, J. Liu, Q. Sun, R. Y. Li, T-K. Sham, X. L. Sun, Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteries. Nanotechnology 2014, 25, 504007 (1-8). [29] J. Xie, J. F. M. Oudenhoven, P. R. M. L. Harks, D. J. Li, P. H. L. Notten, Chemical vapor deposition of lithium phosphate thin-films for 3D all-solid- state Li-ion batteries. J. Electrochem Soc. 2015, 162, A249-A254. [30] H. Y. Park, S. C. Nam, Y. C. Lim, K. G. Choi, K. C. Lee, G. B. Park, S. R. Lee, H. P. Kim, S. B. Cho, Effects of sputtering pressure on the characteristics of lithium ion conductive lithium phosphorus oxynitride thin film. J. Electroceram. 2006, 17, 1023-1030. [31] N. Kuwata, N. Iwagami, Y. Tanji, Y. Matsuda, J. Kawamura, Characterization

of thin-film lithium batteries with stable thin-film Li3PO4 solid electrolytes fabricated by ArF excimer laser deposition. J. Electrochem Soc. 2010, 157, A521-A527. [32] W. Li, M. Wang, Z. H. Li, X. F. Shang, H. Wang, Y. W. Wang, Y B. Xu,

Synthesis and characterization of inorganic solid electrolytes of Li2O-SiO2-

P2O5 and Li2O-TiO2-SiO2-P2O5 systems. Russ. J. Electrochem. 2007, 43, 1279-1283. [33] K. Takada, K. Fujimoto, T. Inada, A. L. Kajiyama, M. Kouguchi, S. Kondo, M. Watanabe, Sol-gel preparation of Li+ ion conductive thin film. Appl. Surf. Sci. 2002, 189, 300-306. [34] C. H. Choi, W. I. Cho, B. W. Cho, H. S. Kim, Y. S. Yoon, Y. S. Tak, Radio- Frequency Magnetron Sputtering Power Effect on the Ionic Conductivities of Lipon Films. Electrochem. Solid State Lett. 2002, 5, A14-A17 (). [35] A. C. Kozen, A. J. Pearse, C. F. Lin, M. Noked, G. W. Rubloff, Atomic layer

60 Chapter 2

deposition of the solid electrolyte LiPON. Chem. Mater. 2015, 27, 5324-5331. [36] S. L. Zhao, Z. W. Fu, Q. Z. Qin, A solid-state electrolyte lithium phosphorus oxynitride film prepared by pulsed laser deposition. Thin Solid Films 2002, 415, 108-113. [37] F. Vereda, R. B. Goldner, T. E. Haas, P. Zerigian, Rapidly grown IBAD LiPON films with high Li-ion conductivity and electrochemical stability. Electrochem. Solid State Lett. 2002, 5, A239-A241. [38] T. A. Deis, P. P. Phule, Preparation of oriented lithium tantalate thin films using molecularly modified tantalum(V) ethoxide and lithium acetate. J. Mater. Sci. Lett. 1992, 11, 1353-1355.

[39] J. A. Agostinelli, G. H. Braunstein, T. N. Blanton, Epitaxial LiTaO3 thin films by pulsed laser deposition. Appl. Phys. Lett. 1993, 63, 123-125. [40] J. Liu, M. N. Banis, X. F. Li, A. Lushington, M. Cai, R. Y. Li, T. K. Sham, X. L. Sun, Atomic layer deposition of lithium tantalate solid-state electrolytes. J. Phys. Chem. C 2013, 117, 20260-20267.

[41] Y. Saito, T. Shiosaki, Heteroepitaxial growth of LiTaO3 single-crystal films by RF magnetron sputtering. Jpn. J. Appl. Phys. 1991, 30, 2204-2207. [42] A. M. Glass, K. Nassau, T. J. Negran, Ionic conductivity of quenched alkali niobate and tantalate glasses. J. Appl. Phys. 1978, 49, 4808-4811.

[43] K. Nashimoto, M. J. Cima, Epitaxial LiNbO3 thin films prepared by a sol-gel process. Mater. Lett. 1991, 10, 348-354. [44] A. M. Marsh, S. D. Harkness, F. Qian, R. K. Singh, Pulsed laser deposition

of high quality LiNbO3 films on sapphire substrates. Appl. Phys. Lett. 1993, 62, 952-954. [45] A. A. Wernberg, H. J. Gysling, A. J. Filo, T. N. Blanton, Epitaxial growth of lithium niobate thin films from a single‐source organometallic precursor using metalorganic chemical vapor deposition. Appl. Phys. Lett. 1993, 62, 946-948. [46] K. Benaissa, P. V. Ashrit, G. Bader, F. E. Girouard, V. V. Truong, Electrical

and optical properties of LiNbO3. Thin Solid Films 1992, 214, 219-222. [47] A. Ramzy and V. Thangadurai, Tailor-made development of fast Li ion conducting garnet-like solid electrolytes. ACS Appl. Mater. Interfaces. 2010, 2, 385-390. [48] J. Reinacher, S. Berendts, J. Janek, Preparation and electrical properties of

61

Chapter 2

garnet-type Li6BaLa2Ta2O12 lithium solid electrolyte thin films prepared by pulsed laser deposition. Solid State Ionics 2014, 258, 1-7.

[49] H. Katsui, T. Goto, Preparation of cubic and tetragonal Li7La3Zr2O12 film by metal organic chemical vapor deposition. Thin Solid Films 2015, 584, 130- 134. [50] K. Tadanaga, H. Egawa, A. Hayashi, M. Tatsumisago, J. Mosa, Ma. Aparicio,

A. Duran, Preparation of lithium ion conductive Al-doped Li7La3Zr2O12 thin films by a sol–gel process. J. Power Sources 2015, 273, 844-847. [51] C. L. Li, B. Zhang, Z. W. Fu, Physical and electrochemical characterization of amorphous lithium lanthanum titanate solid electrolyte thin-film fabricated by e-beam evaporation. Thin Solid Films 2006, 515, 1886-1892. [52] Z. F. Zheng, H. Z. Fang, F. Yang, Zi. K. Liu, Y. Wang, Amorphous

LiLaTiO3 as solid electrolyte material. J. Electrochem. Soc. 2014, 161, A473- A479.

[53] J. K. Ahn, S. G. Yoon, Characteristics of perovskite (Li0.5La0.5)TiO3 solid electrolyte thin films grown by pulsed laser deposition for rechargeable lithium microbattery. Electrochim. Acta 2004, 50, 371-374. [54] W. D. Johnston, R. R. Heikes, D. Sestrich, The preparation, crystallography,

and magnetic properties of the LixCo(1−x)O system. J. Phys. Chem. Solids 1958, 7, 1-13. [55] K. Mizushima, P. C. Jones, P. J. Wiseman, J. B. Goodenough,

LixCoO2 (0

[56] H. Gabrisch, R. Yazami, B. Fultz, The character of dislocations in LiCoO2. Electrochem. Solid-State Lett. 2002, 5, A111-A114. [57] T. Matsushita, K. Dokko, K. Kanamura, Comparison of electrochemical

behavior of LiCoO2 thin films prepared by Sol-Gel and sputtering processes. J. Electrochem. Soc. 2005, 152, A2229-A2237. [58] J. B. Bates, N. J. Dudney, B. J. Neudecker, F. X. Hart, H. P. Jun, S. A.

Hackney, Preferred orientation of polycrystalline LiCoO2 films. J. Electrochem. Soc. 2000, 147, 59-70. [59] P. J. Bouwman, B. A. Boukamp, H. J. M. Bouwmeester, H. J. Wondergem,

P. H. L. Notten, Structural analysis of submicrometer LiCoO2 films. J. Electrochem. Soc. 2001, 148, A311-A317.

62 Chapter 2

[60] T. Ohzuku, A. Ueda, M. Nagayama, Electrochemistry and structural

chemistry of LiNiO2 (R3̅m) for 4 volt secondary lithium cells. J. Electrochem. Soc. 1993, 148, 1862-1870. [61] A. Rougier, I. Saadoune, P. Gravereau, P. Willmann, C. Delmas, Effect of

cobalt substitution on cationic distribution in LiNi1-yCoyO2 electrode materials. Solid State Ionics 1996, 90, 83-90. [62] Y-K. Sun, D-J, Lee, Y-J. Lee, Z. H. Chen, S-T. Myung, Cobalt-free nickel rich layered oxide cathodes for lithium-ion batteries. ACS Appl Mater. Interfaces 2013, 5, 11434-11440.

[63] Y. Gao, M. V. Yakovleva, W. B. Ebner, Novel LiNi1-xTix/2Mgx/2O2 compounds as cathode materials for safer lithium-ion batteries. Electrochem. Solid State Lett. 1998, 1, 117-119.

[64] J. Choa, T-J. Kimb, J. K. Yong, B. Park, High-performance ZrO2-coated

LiNiO2 cathode material. Electrochem. Solid State Lett. 2001, 4, A159-A161. [65] K. F. Chiu, In situ modification of RF sputter-deposited lithium nickel oxide thin films by plasma irradiation. J. Electrochem. Soc. 2004, 151, A1865- A1869. [66] K-H. Kim, S. Tae-Yeon, Y. Young-Soo, Fabrication of a thin film battery

using a rapid-thermal-annealed LiNiO2 cathode. Electrochem. Solid State Lett. 2002, 5, A252-A255. [67] K. Yamada , N. Sato , T. Fujino , C. G. Lee , I. Uchida , J. R. Selman,

Preparation of LiNiO2 and LiMyNi1-yO2 (M=Co,Al) films by electrostatic spray deposition. J. Solid State Electrochem. 1999, 3, 148-153. [68] A. R. Armstrong, P. G. Bruce, Synthesis of layered LiMnO2 as an electrode for rechargeable lithium batteries. Nature 1996, 381, 499-500. [69] G. Ceder, S. K. Mishra, The stability of orthorhombic and monoclinic‐layered

LiMnO2. Electrochem. Solid State Lett. 1999, 2, 550-552. [70] P. Liu, J-G. Zhang, J. A. Turner, C. E. Tracy, D. K. Benson, Lithium‐ manganese‐oxide thin‐film cathodes prepared by plasma‐enhanced chemical vapor deposition. J. Electrochem. Soc. 1999, 146, 2001-2005. [71] S. Komaba, N. Kumagai, M. Baba, F. Miura, N. Fujita, H. Groult, D. Devilliers, B. Kaplan, Intercalation of lithium in r.f.-sputtered vanadium oxide film as an electrode material for lithium-ion batteries. J. Appl. Electrochem. 1998, 28, 41-48.

63

Chapter 2

[72] G. Amatucci, A. D. Pasquier, A. Blyr, T. Zheng, J.-M Tarascon, The elevated

temperature performance of the LiMn2O4/C system: failure and solutions, Electrochim. Acta 1999, 45, 255-271. [73] C. Navone, R. Baddour-Hadjean, J. P. Pereira-Ramos, R. Salot, High-

performance oriented V2O5 thin films prepared by DC sputtering for rechargeable lithium microbatteries. J. Electrochem. Soc. 2005, 152, A1790-A1796. [74] J. P. Pereira-Ramos, R. Baddour, Electrochemical and structural characteristics of some lithium intercalation materials synthesized via a sol-

gel process: V2O5 and manganese dioxides-based compounds. Solid State Ionics, 1992, 53-56, 701-709. [75] M. B. Sahana, C. Sudakar, C. Thapa, G. Lawes, V. M. Naik, R. J. Baird, G. W. Auner, R. Naik, K. R. Padmanabhan, Electrochemical properties of

V2O5 thin films deposited by spin coating. Mater Sci Eng B 2007, 143, 42- 50. [76] C. V. Ramana, R. J. Smith, O. M. Hussain, M. Massot, C. M. Julien, Surface

analysis of pulsed laser‐deposited V2O5 thin films and their lithium intercalated products studied by Raman spectroscopy. Surf. Interface Anal. 2005, 37, 406-411. [77] A. K. Padhi, K. S. Nanjundaswamy, J. B. Goodenough, Phospho‐olivines as positive‐electrode materials for rechargeable lithium batteries. J. Electrochem. Soc. 1997, 144, 1188-1194. [78] A. S. Andersson, J. O. Thomas, B. Kalska, and L. Häggström, Thermal

stability of LiFePO4-based cathode. Electrochem. Solid-State Lett. 2000, 3, 66-68. [79] S.-Y. Chung, J. T. Bloking, Y.-M. Chiang, Electronically conductive phospho- olivines as lithium storage electrodes. Nat. Mater. 2002, 1, 123-128. [80] D. Wang, H. Li, S. Shi, X. Huang, L. Chen, Improving the rate performance

of LiFePO4 by Fe-site doping. Electrochim. Acta 2005, 50, 2955-2958. [81] Y. Wang, Y. Wang, E. Hosono, K. Wang, H. Zhou, The design of a

LiFePO4/carbon nanocomposite with a core–shell structure and its synthesis by an in situ polymerization restriction method. Angew. Chem. Int. Ed. 2008, 47, 7461-7465. [82] F. Croce, A. D. Epifanio, J. Hassoun, A. Deptula, T. Olczac, B. Scrosati, A

64 Chapter 2

novel concept for the synthesis of an improved LiFePO4 lithium battery cathode. Electrochem. Solid-State Lett. 2002, 5, A47-A50. [83] K.-S. Park, S. B. Schougaard, J. B. Goodenough, Conducting‐polymer/iron‐ redox‐ couple composite cathodes for lithium secondary batteries. Adv. Mater. 2007, 19, 848-851. [84] Y. Iriyama, M. Yokoyama, C. Yada, S-K. Jeong, I. Yamada, T. Abe, M. Inaba,

Z. Ogumi, Preparation of LiFePO4 thin films by pulsed laser deposition and their electrochemical properties. Electrochem. Solid-State Lett. 2004, 7, A340-A342. [85] J. Xie, N. Imanishi, T. Zhang, A. Hirano, Y. Takedo, A. Yamamodo, Li-ion

diffusion kinetics in LiFePO4 thin film prepared by radio frequency magnetron sputtering. Electrochim. Acta 2009, 54, 4631-4637. [86] Z. X. Chen, M. Zhou, Y. L. Cao, X. P. Ai, H. X. Yang, J. Liu, In situ generation

of few‐layer graphene coatings on SnO2‐SiC core‐shell nanoparticles for high‐performance lithium‐ion storage. Adv. Energy Mater. 2012, 2, 95-102.

[87] J. Read, D. Foster, J. Wolfenstine, W. Behl, SnO2-carbon composites for lithium-ion battery anodes. J. Power Sources 2001, 96, 277-281. [88] H. Li, X. J. Huang, L. Q. Chen, Electrochemical impedance spectroscopy study of SnO and nano-SnO anodes in lithium rechargeable batteries. J. Power Sources 2001, 81-82, 340-345. [89] H. Uchiyama, E. Hosono, I. Honma, H. S. Zhou, H. Imai, A nanoscale meshed electrode of single-crystalline SnO for lithium-ion rechargeable batteries. Electrochem. Commun. 2008, 10, 52-55. [90] E. Fortunato, R. Barros, P. Barquinha, V. Figueiredo, S-H. K. Park, C. -S.

Hwang, R. Martins, Transparent p-type SnOx thin film transistors produced by reactive rf magnetron sputtering followed by low temperature annealing. Appl. Phys. Lett. 2010, 97, 052105 (1-3). [91] K. Suzuki, M. Mizuhashi, Physical and electrochemical characterization of amorphous lithium lanthanum titanate solid electrolyte thin-film fabricated by e-beam evaporation. Thin Solid Films 2006, 515, 1886-1892. [92] T. Brousse, R. Retoux, U. Herterich, D. M. Schleich, Thin‐film Crystalline

SnO2‐lithium electrodes. J. Electrochem. Soc. 1998, 145, 1-4. [93] N. Kuwata, J. Kawamura, K. Toribami, T. Hattori, N. Sata, Thin-film lithium- ion battery with amorphous solid electrolyte fabricated by pulsed laser 65

Chapter 2

deposition. Electrochem. Commun. 2004, 6, 417-421. [94] Y. Yu, L. Gu, A. Dhanabalan, C-H. Chen, C. L. Wang. Three-dimensional

porous amorphous SnO2 thin films as anodes for Li-ion batteries. Electrochem. Acta. 2009, 54, 7227-7230. [95] J. Santos-Pena, T. Brousse, L. Sanchez, J. Morales, D. M. Schlich,

Antimony doping effect on the electrochemical behavior of SnO2 thin film electrodes. J. Power Sources 2001, 97-98, 232-234. [96] Y. H. Rho, K. Kanamura, M. Fujisaki, J. Hamagami, S. Suda, T. Umegaki,

Preparation of Li4Ti5O12 and LiCoO2 thin film electrodes from precursors obtained by sol-gel method. Solid State Ionics 2002, 151, 151-157.

[97] L. Kavan, M. Gratzel, Facile synthesis of nanocrystalline Li4Ti5O12 (spinel) exhibiting fast Li insertion. Electrochem. Solid-State Lett. 2002, 5, A39-A42. [98] C. L. Wang, Y. C. Liao, F. C. Hsu, N. H. Tai, M. K. Wu, Preparation and

characterization of thin film Li4Ti5O12 electrodes by magnetron sputtering. J. Electrochem. Soc. 2005, 152, A653-A657. [99] F. Wunde, F. Berkemeier, G. Schmitz, Lithium diffusion in sputter-deposited

Li4Ti5O12 thin films. J. Power Sources 2012, 215, 109-115. [100] Y. Yu, J. L. Shui, C. H. Chen, Electrostatic spray deposition of spinel

Li4Ti5O12 thin films for rechargeable lithium batteries. Solid State Commun. 2005, 135, 485-489. [101] X. Meng, J. Liu, X. Li, M.N. Banis, J. Yang, R. Li, X. Sun, Atomic layer

deposited Li4Ti5O12 on nitrogen-doped carbon nanotubes. RSC Adv. 2013, 3, 7285-7288. [102] J. Xie, P. R. M. L. Harks, D. J. Li, L. H. J. Raijmakers, P. H. L. Notten, Planar

and 3D deposition of Li4Ti5O12 thin film electrodes by MOCVD. Solid State Ionics 2016, 287, 83-88. [103] T. F. Yi, H. P. Liu, Y. R. Zhu, L. J. Jiang, Y. Xie, R. S. Zhu, Improving the

high rate performance of Li4Ti5O12 through divalent zinc substitution. J. Power Sources 2012, 215, 258-265. [104] M. Kitano, K. Funatsu, M. Matsuoka, M. Ueshima, M. Anpo, Preparation of

nitrogen-substituted TiO2 thin film photocatalysts by the radio frequency magnetron sputtering deposition method and their photocatalytic reactivity under visible light irradiation. J. Phys. Chem. B. 2006, 110, 25266-25272. [105] B. J. Choi, D. S. Jeong, S. K. Kim, C. Rohde, S. Choi, J. H. Oh, H. J. Kim, C.

66

Chapter 2

S. Hwang, K. Szot, R. Waser, B. Reichenberg, S. Tiedke, Resistive

switching mechanism of TiO2 thin films grown by atomic-layer deposition. J. Appl. Phys. 2005, 98, 033715 (1-10). [106] W. G. Lee, S. I. Woo, J. C. Kim, S. H. Choi, K. H. Oh, Preparation and

properties of amorphous TiO2 thin films by plasma enhanced chemical vapor deposition. Thin Solid Films. 1994, 237, 105-111. [107] J.-G. Yu , H.-G Yu, B. Cheng, X.-J. Zhao, J. C. Yu, W.-K. Ho, The effect of calcination temperature on the surface microstructure and photocatalytic

activity of TiO2 thin films prepared by liquid phase deposition. J. Phys. Chem. B. 2003, 107, 13871-13879. [108] J. Xie, J. F. M. Oudenhoven, D. J. Li, C. G. Chen, R.-A. Eichel, P. H. L.

Notten, High power and high capacity 3D-structured TiO2 electrodes for lithium-ion microbatteries. J. Electrochem. Soc. 2016, 163, A2385-A2389. [109] M. M. Thackeray, S. D. Baker K. T. Adendorff, J. B. Goodenough, Lithium insertion into Co3O4: A preliminary investigation. Solid State Ionics 1985, 17, 175-181. [110] Z.-W. Fu, Y. Wang, Y. Zhang and Q.-Z. Qin, Electrochemical reaction of

nanocrystalline Co3O4 thin film with Lithium. Solid State Ionics 2004, 170, 105-109. [111] C. L. Liao, Y. H. Lee, S. T. Chang, K. Z. Fung, Structural characterization

and electrochemical properties of RF-sputtered nanocrystalline Co3O4 thin- film anode. J. Power Sources 2006, 158, 1379-1385. [112] Y.-M. Kang, M.-S. Song, J.-H. Kim, H.-S. Kim, M.-S. Park, J.-Y. Lee, H. K.

Liu, S. X. Dou, A study on the charge-discharge mechanism of Co3O4 as an anode for the Li ion secondary battery. Electrochem. Acta. 2005, 50, 3667- 3673. [113] A. Jena, N. Munichandraiah, S. A. Shivashankar, Metal-organic chemical vapor-deposited cobalt oxide films as negative electrodes for thin film Li-ion battery. J. Power Sources 2015, 277, 198-204.

[114] M. Rooth, E. Lindahl, A. Hårsta, Atomic layer deposition of Co3O4 thin films

using a CoI2/O2 precursor combination. Chem. Vap. Deposition 2006, 12, 209-213. [115] J. F. M. Oudenhoven, Deposition and characterization of thin films for 3D lithium-ion micro-batteries. PhD thesis Eindhoven University of Technology

67

Chapter 2

2011, ISBN: 978-90-386-2870-7. [116] J. F. M. Oudenhoven, T. van Dongen, R. A. H. Niessen, M. H. J. M. de Croon,

P. H. L. Notten, Low-pressure chemical vapor deposition of LiCoO2 thin films: A systematic investigation of the deposition parameters. J. Electrochem. Soc. 2009, 156, D169-D174. [117] K. O. Oyedotun, E. Ajenifuja, B. Olofinjana, B. A. Taleatu, E. Omotoso, M. A. Eleruja, E. O. B. Ajayi, Metal-organic chemical vapour deposition of lithium manganese oxide thin films via single solid source precursor. Materials Science-Poland 2015, 33, 725-731. [118] R. Alcántara, P. Lavela, J. L. Tirado, R. Stoyanova. E. Kuzmanova, E. Zhecheva, Lithium-nickel citrate precursors for the preparation of

LiNiO2 insertion electrodes. Chem. Mater. 1997, 9, 2145-2155. [119] X. Li, M.Z. Qu, Z.L. Yu, Preparation and electrochemical performance of

Li4Ti5O12/graphitized carbon nanotubes composite. Solid State Ionics 2010, 181, 635-639. [120] T. Tsumura, M. Inagaki, Lithium insertion/extraction reaction on crystalline

MoO3. Solid State Ionics 1997, 104, 183-189.

[121] Y. G. Li, B. Tan, Y. Y. Wu, Mesoporous Co3O4 nanowire arrays for lithium ion batteries with high capacity and rate capability. Nano Lett. 2008, 8, 265- 270. [122] A. Donnadieu, D. Davazoglou, A. Abdellaoui, Structure, optical and electro-

optical properties of polycrystalline WO3 and MoO3 thin films prepared by chemical vapour deposition. Thin Solid Films 1988, 164, 333-338. [123] A. K. Prasad, D. J. Kubinski, P. I. Gouma, Comparison of sol-gel and ion

beam deposited MoO3 thin film gas sensors for selective ammonia detection. Sensors and Actuators B 2003, 93, 25-30. [124] T. J. Vink, R. G. F. A. Verbeek, J. H. M. Snijders, Y. Tamminga, Physically

trapped oxygen in sputter-deposited MoO3 films. J. Appl. Phys. 2000, 87, 7252-7254. [125] M. Diskus, O. Nilsen, H. Fjellvåg, Growth of thin films of molybdenum oxide by atomic layer deposition. J. Mater. Chem. 2011, 21, 705-710. [126] P. Balaya, H. Li, L. Kienle, J. Maier, Fully reversible homogeneous and

heterogeneous Li storage in RuO2 with high capacity. Adv. Funct. Mater. 2003, 13, 621-625.

68 Chapter 2

[127] E. G. Keith, C. K. Alexander, X. Y. Chen, A. S. Marshall, N. Malachi, A. Y. Cao, L. B. Hu, W. R. Gary, Fabrication of 3D core-shell multiwalled carbon

nanotube@RuO2 lithium-ion battery electrodes through a RuO2 atomic layer deposition process. ACS Nano 2015, 9, 464-473. [128] E. Perre, L. Nyholm, T. Gustafsson, P. L. Taberna, P. Simon, K. Edstrom, Direct electrodeposition of aluminium nano-rods. Electrochem. Commun. 2008, 10, 1467-1470. [129] S. Leopold, I. U. Schuchert, J. Lu, M. E. Toimil Molares, M. Herranen, J. O.

Carlsson, Electrochemical deposition of cylindrical Cu/Cu2O microstructures. Electrochim. Acta 2002, 47, 4393-4397. [130] C. L. Wang, G. Y. Jia, L. H. Taherabadi, M. J. Madou, A novel method for the fabrication of high-aspect ratio C-MEMS structures. J. Microelectromech. Syst. 2005, 14, 348-358. [131] J. W. Long, D. R. Rolison, Architectural design, interior decoration, and three-dimensional plumbing en route to multifunctional nanoarchitectures. Acc. Chem. Res. 2007, 40, 854-862. [132] D. R. Rolison, R. W. Long, J. C. Lytle, A. E. Fischer, C. P. Rhodes, T. M. McEvoy, M. E. Bourga, A. M. Lubers, Multifunctional 3D nanoarchitectures for energy storage and conversion. Chem. Soc. Rev. 2009, 38, 226-252. [133] D. Golodnitsky, M. Nathan, V. Yufit, E. Strauss, K. Freedman, L. Burstein, A. Gladkich, E. Peled, Progress in three-dimensional (3D) Li-ion microbatteries. Solid State Ionics 2006, 177, 2811-2819. [134] M. Nathan, D. Golodnitsky, V. Yufit, E. Strauss, T. Ripenbein, I. Shechtman, S. Menkin, E. Peled, Three-dimensional thin-film Li-ion microbatteries for autonomous MEMS. J. Microelectromech. Syst. 2005, 14, 879-885. [135] J. F. M. Oudenhoven, L. Baggetto, P. H. L. Notten, All‐solid‐state lithium‐ion microbatteries: A review of various three‐dimensional concepts. Adv. Energy Mater. 2011, 1, 10-33. [136] Y. Wang, B. Liu, Q. Li, S. Cartmell, S. Ferrara, Z. D. Deng, J. Xiao, Lithium and lithium ion batteries for applications in microelectronic devices: A review. J. Power Sources 2015, 286, 330-339. [137] M. Park, X. C. Zhang, C. Myoungdo, B. L. Gregory, S. Ann Marie, A review of conduction phenomena in Li-ion batteries. J. Power Sources 2010, 195, 7904-7929.

69

Chapter 2

[138] S. Misra, N. Liu, J. Nelson, S.S. Hong, Y. Cui, M.F. Toney, In situ X-ray diffraction studies of (de)lithiation mechanism in silicon nanowire anodes. ACS Nano 2012, 6, 5465-5473. [139] V. Stancovski, S. Badilescu, In situ Raman spectroscopic–electrochemical studies of lithium-ion battery materials: a historical overview. J. Appl. Electrochem. 2014, 44, 23-43. [140] S. Hy, Y.H. Chen, J.Y. Liu, J. Rick, B.J. Hwang, In situ surface enhanced Raman spectroscopic studies of solid electrolyte interphase formation in lithium ion battery electrodes. J. Power Sources 2014, 256, 324-328. [141] D.J. Miller, C. Proff, J.G. Wen, D.P. Abraham, J. Bareno, Observation of microstructural evolution in Li battery cathode oxide particles by in situ electron microscopy. Adv. Energy Mater. 2013, 3, 1098-1103. [142] J.Y. Huang, L. Zhong, C.M. Wang, J.P. Sullivan, W. Xu, L.Q. Zhang, S.X. Mao, N.S. Hudak, X.H. Liu, A. Subramanian, H. Fan, L. Qi, A. Kushima, J. Li, In situ observation of the electrochemical lithiation of a single

SnO2 nanowire electrode. Science 2010, 330, 1515-1520. [143] J. B. Leriche, S. Hamelet, J. Shu, M. Morcrette, C. Masquelier, G. Ouvrard, M. Zerrouki, P. Soudan, S. Belin, E. Elkaim, F. Baudelet, An for operando study of lithium batteries using synchrotron radiation. J. Electrochem. Soc. 2010, 157, A606-A610. [144] J. Li, A. Smith, R.J. Sanderson, T.D. Hatchard, R.A. Dunlap, J.R. Dahn, In situ 119Sn Mössbauer effect study of the reaction of lithium with Si using a Sn probe. J. Electrochem. Soc. 2009, 156, A283-A288. [145] R. Bhattacharyya, B. Key, H. L. Chen, A. S. Best, A. F. Hollenkamp, C. P. Grey, In situ NMR observation of the formation of metallic lithium microstructures in lithium batteries. Nat. Mater. 2010, 9, 504-510. [146] S. Chandrashekar, N.M. Trease, H.J. Chang, L.S. Du, C.P. Grey, A. Jerschow, 7Li MRI of Li batteries reveals location of microstructural lithium. Nat. Mater. 2012, 11, 311-315. [147] D. E. Demirocak, B. Bhushan, In situ atomic force microscopy analysis of morphology and particle size changes in Lithium Iron Phosphate cathode during discharge. J. Colloid Interface Sci. 2014, 423, 151-157. [148] M. Ebner, F. Marone, M. Stampanoni, V. Wood, Visualization and quantification of electrochemical and mechanical degradation in Li ion

70 Chapter 2

batteries. Science 2013, 342, 716-720. [149] P.P.R.M.L. Harks, F. M. Mulder, P. H. L. Notten, In situ methods for Li-ion battery research: A review of recent developments. J. Power Sources 2015, 288, 92-105. [150] L. H. M. Krings, Y. Tamminga, J. van Berkum, F. Labohm, A. van Veen, W.

M. Arnoldbik, Lithium depth profiling in thin electrochromic WO3 films. J. Vac. Sci. Technol. A 1999, 17, 198-205. [151] G. P. Lamaze, H. Chen-Mayer, M. Badding, M. L. Laby, In-situ measurement of lithium movement in thin film electrochromic coatings using cold neutron depth profiling. Surf. Interface Anal. 1999, 27, 644-647. [152] G. P. Lamaze, H. H. Chen-Mayer, A. Gerouki, R. B. Goldner, Analysis of lithium transport in electrochromic multilayer films by neutron depth profiling. Surf. Interface Anal. 2000, 29, 638-642. [153] G. P. Lamaze, H. H. Chen-Mayer, D. A. Becker, F. Vereda, R. B. Goldner, T. Haas, P. Zerigian, Cold neutron depth profiling of lithium-ion battery materials. J. Power Sources 2003, 119-121, 680-685. [154] R. G. Downing, G. P. Lamaze, J. K. Langland, S. T. Hwang, Neutron depth profiling: overview and description of NIST facilities. J. Res. Natl. Inst. Stand. Technol. 1993, 98, 109-126. [155] D. X. Liu, J. H. Wang, K. Pan, J. Qiu, M. Canova, L. R. Cao, A. C. Co, In situ quantification and visualization of lithium transport with neutrons. Angew. Chem. Int. Ed. 2014, 53, 9498-9502. [156] X. Y. Zhang, T. W. Verhallen, F.Labohm, M. Wagemaker, Direct observation of Li‐ion transport in electrodes under nonequilibrium conditions using neutron depth profiling. Adv. Energy Mater. 2015, 1500498 (1-8). [157] J. F. M. Oudenhoven, F. Labohm, M. Mulder, R. A. H. Niessen, F. M. Mulder, and P. H. L. Notten, In situ neutron depth profiling: A powerful method to probe lithium transport in micro‐batteries. Adv. Mater. 2011, 23, 4103-4106.

71

Chapter 3. Early-stage defect formation in Si electrodes

Interface visualization by full-field diffraction X-ray microscopy

Abstract

While intensive efforts have been devoted to unravel the mechanical behavior of Si electrodes upon (de)lithiation, less attention has been paid to the role of interfaces. Novel full-field diffraction X-ray microscopy has been applied to examine this role. The penetration depth of hard X-rays allows in-operando measurements to be performed under electrochemical operating conditions, while the large field of view enables to simultaneously acquire information of larger areas. The results on planar silicon electrodes in liquid electrolytes indicate a correlation between the early stage of defects formation and heterogeneities in SEI development.

73

Chapter 3

3.1 Introduction

High-storage-capacity Si electrodes are known by its high alloying content with lithium up to a stoichiometric composition of Li4.4Si or Li3.75Si. This process is, however, accompanied by extreme volume changes of about 300% and results in a rapid storage capacity decay upon cycling due to mechanical fracture or decrepitation of the electrode material. Hence, understanding the mechanical evolution during the (de)lithiation process is key to unravel the performance of Si anodes. Considerable efforts, both experimentally and in modeling, have been devoted to the characterization of the mechanical properties of Si anodes. Electron microscopic observations indicated that the lithiation-induced expansion of crystalline Si preferentially occurs along (110) crystallographic facets [1-3]. By using the substrate curvature technique, the stress and fracture energy evolution of thin-film Si anodes have been measured as a function of (de)lithiation [4]. Upon lithiation, the Si thin-film electrodes begin to deform plastically, with a compressive stress of approximately

1200 MPa at the lithiation state of Li0.4Si, followed by a decrease to approximately 450

MPa upon further lithiation to Li3.75Si. During delithiation the compressive stress was reverted into tensile stress, peaking at 1200 MPa at Li0.33Si [4]. The stress evolution in Si nanoparticles has been confirmed by in-situ Raman Spectroscopy and differs from that of thin-film Si electrodes [5]. At the begining of the lithiation process, the core of the nanoparticle is under tensile stress due to expansion of the surface native oxide, which is the first to be lithiated. Further lithiation inverts this stress into compressive, when the outer layers of the amorphous Si start to expand while the surface oxide layer is stable. The difference in stress evolution between the case of a curved reaction front in nanoparticles and flat reaction front in the case of thin-film electrodes is studied in [6]. Besides, Si-based nanoparticle anodes are also shown to have a size (thickness)- dependent fracture behavior upon (de)lithiation [7]. The majority of these experiments were performed without any spatial resolution, yielding only average information about the mechanical properties. Local stress is therefore often underestimated, and the early stage of defect formation has mostly been neglected. Moreover, these experiments were performed in a liquid electrolyte. The presence of an SEI layer may significantly hinder the investigations of the mechanical failure mechanisms of the underlying Si electrodes. Therefore, a high- spatial-resolution study which bring better understanding of the correlation between

74 Chapter 3

the SEI formation and its influence on the underlying electrode is still in demand.

Fig. 3.1. Schematic representation of the FFDXM working principle and the in- operando cell configuration (a). Mono-crystalline Si sample was prepared as the working electrode (WE) and was assembled in a custom-made cell with two lithium metal foils, acting as the reference (RE) and the counter electrode (CE). The Bragg diffracted X-ray by the Si electrode, is directed by a set of compound refractive lenses (CRLS) onto a direct-coupled detector. The exposure time used to obtain the results in the present research is 1 s. The resolved LixSi/Si interface by FFDXM method is schematically depicted (b).

Given these scenarios, a novel in-operando and spatially resolved method is designed to unravel the mechanical evolutions buried under the SEI. In this chapter Full-Field Diffraction X-ray Microscopy (FFDXM) is employed, which is a novel technique that combines X-ray diffraction with microscopic imaging [8]. The working principle of FFDXM is illustrated in Fig. 3.1a. As a diffraction method, FFDXM is extremely sensitive to structural deformation in single-crystal electrodes with a lattice tilt resolution of 0.02 mrad and a strain resolution of 10-4. It is well-known that crystalline

Si will transform into amorphous LixSi upon lithiation [9]. The volume changes induced by LixSi at the electrode surface induces compressive or tensile stress to the underlying crystalline Si. This stress can be monitored by shifts of the Bragg peak position in the reciprocal space. For a small defect at its very early stage, its strain field may extend

75

Chapter 3 laterally to a few micrometers only, which can be adequately imaged by a 100-nm spatial resolution. The large Field of View (FoV) of about 100 × 100 μm2 and fast acquisition speed of 1 s allows the entire sample of a few mm2 to be investigated simultaneously for the early-stage defect formation during the first minutes. FFDXM can therefore be favorably employed to investigate interface evolutions at amorphous

LixSi/crystalline Si interfaces, as has been schematically depicted in Fig. 3.1b.

3.2 Experimental

3.2.1 Sample preparation

Single-crystal Si samples were fabricated by thermal evaporating 200 nm Cu on one side of the highly doped double-sided polished Si wafers (200 µm thick, UniversityWafer), acting as the current collector. Such thick Si substrates are rigid materials and necessary for high-precision single-crystal diffraction measurement. During lithiation, only the top-surface 300-500 nm Si are alloyed into their amorphous state, the dark-field contrast in FFDXM measurement thus reflects the mechanical impact of the lithiated Si on the pristine monocrystalline Si underneath.

3.2.2 In-operando FFDXM analyses

The FFDXM experiments were carried out on the ID01 beamline at the European Synchrotron Radiation Facilities (ESRF) in Grenoble, France. The size of the Si samples were 0.7 × 0.7 cm2. Electric feed-through facilitates the connections of the cell to a potentiostat or galvanostat (Biologic, SP300). All potentials are given with respect to Li/Li+ reference electrodes. The basic principles for in-operando FFDXM and 3D Reciprocal-Space- Mapping (RSM) analyses are schematically shown in Fig. 3.2a and 3.2c, respectively. The Si samples are mounted vertically for the horizontal scatter geometry. For θ-2θ specular Bragg peak analyses (e.g. 004 reflection), the X-ray scattering plane is parallel to the X-Y plane. A set of CRLs is mounted behind the sample to create dark- field images with the diffracted beam. For 3D RSM analysis, the sample is tilted in two orthogonal directions (see phi and psi rotations in Fig. 3.2a) while the detector and the objective lens remain fixed. The so-called rocking curve (see Fig. 3.2b) represents intensity of the diffracted beam as a function of the sample angle. For each point of the 76 Chapter 3

rocking curve (Fig. 3.2b), a real space image (raw FFDXM image) is acquired at the detector.

Fig. 3.2. Measurement principle for raw FFDXM images (a), rocking curve of the Si electrode (b) and the schematic principle for 3D reciprocal space map analyses (c).

For in-operando measurements, so-called time scans are performed for which the sample angle is fixed at -0.03° off the θ angle for the (004) reflection (tilted by the phi motor). This -0.03° off angle is marked by the circle in Fig. 3.2b of the rocking curve. The X-ray intensity (photon/s), reflected by the sample at the angles of incidence will be captured by the CRLS with local 2D resolution and imaged by the detector, generating raw FFDXM images (Fig. 3.2a). 3D Reciprocal space mappings were

77

Chapter 3 performed only at the end of some selected cycles due to the time consumption (Fig. 3.2c). To scan the reciprocal space in 3D, a series of 2D phi-psi meshes are performed for a number of Q values along the (004) direction (Fig. 3.2c). Each mesh scan contains spatially-resolved information on the sample tilt because of the orthogonality between the phi- and psi- rotations, while repeating them at different Q values allows the measurement of strain. Analyzing the complete set of images allows to retrieve the lattice tilt of the sample in the rocking plane, spatially resolved in the Y-Z plane. Q is the momentum transfer, a vector in the 3D reciprocal-space that denotes the change in momentum between the incoming and scattered rays. The value for of the moment 2휋 difference is 푄 = , where 푑 is the interplanar distance. 푑

3.2.3 In-operando Atomic Force Microscopy characterization

An Atomic Force Microscopy (AFM) (Bruker, Santa Barbara, USA, Dimension

Icon Microscope) setup, operating inside an Argon-filled glovebox (H2O <0.1 ppm, O2 <0.1 ppm, MBraun, Stratham, USA), was used to perform the in-operando AFM measurements. The design of the in-house-made cell holder for the in-operando AFM is shown in Fig. 3.3. The Si single-crystal working electrode is assembled in an in- operando AFM cell with two lithium metal foils as RE and CE and 1M LiPF6 dissolved in 1:1 EC/DMC as electrolyte. The Peak-Force-Quantitative-Nano-Mechanical (PF- QNM) mode [10] was employed to carry out the topographical investigations. Tapping mode cantilevers (NCHR, NanoSensors) made of silicon nitride with a nominal spring constant of 16 N·m-1 are used as force transducer. All images have been captured with a tip-force of 80 nN at a scan rate of 0.5 Hz in the trace-retrace mode, and direction of the tip movement are indicated separately for each image. For the localized Li- movability detections, the AFM was operated in the Electrochemical Strain Microscopy mode, which is effective to qualitatively visualize local variations [11-13]. ESM, conducted under contact mode, is a novel AFM technique. It concentrates a periodic electrical field with the same frequency as the contact resonance frequency at the conductive AFM tip within a radius of about 10 nm [11-12]. The induced electrical field then moves lithium-ions toward or away from the electrode surface [13]. This results in a local electrochemical surface strain, which is proportional to the local Lithium-ion mobility [11]. In the ESM mapping mode, the cantilever has been changed to a conductive one with Platinum/Iridium coating (PPP-ESM, Nanosensors) and a nominal

78 Chapter 3

spring constant of 16 N·m-1. The contact resonance frequency and amplitude were tracked with a phase-locked loop (HF2LI, Zurich Instruments, Switzerland). The resonance frequency (the matched tip-sample contacting resonance frequency with periodic AC voltage frequency) has been described in the various AFM-related figure captions. To ensure a stable tip-sample interaction, a slow scanning speed of about 1.5 Hz was applied with 256 samples on one line.

Fig. 3.3. In-operando AFM setup: A single-crystal Si sample, acting as the working electrode (WE), and two Lithium foils acting as the reference (RE) and the counter electrode (CE), are assembled in a home-made AFM cell made of Teflon which is inert with respect to the used electrolyte. Electronic feedthroughs facilitate the connections between the electrodes and potentiostat.

79

Chapter 3

3.3 Results and discussion

3.3.1 Early-stage defect formation visualized by FFDXM

Fig. 3.4. Defect evolution at the LixSi/Si interface, visualized by FFDXM. The voltage, current and defect intensity versus time plots in the 1st (a), 2nd (b) and 3rd (c) cycle with indicated numbers, pointing to the moments of time when more detailed FFDXM images are recorded. Large FoV (100 x 430 μm) of the visualized defects at the point 2 of Fig. 3.4 b (d). Defect intensity plots of selected Area 1 and 2 were extracted from the observed two defect contrasts illustrating their unsynchronized evolutions in the 2nd (e) and 3rd cycle (f). Green and red areas in Figs. 3.4a, b and c show lithiation and delithiation currents accordingly.

The Si electrode potential (E) is scanned by cyclic voltammetry (CV) between the open-circuit potential (Eocp) and +5 mV at a scan rate of 5 mV/s. So-called FFDXM time scans were performed during CV, and dark-field snapshots of 100×100 μm2 at -

80 Chapter 3

0.03° off the Si (004) Bragg () angle were continuously recorded. This allows in-situ qualitative imaging of defect evolution at a frame (f) collection rate of about 1 f/s. In between each CV scan, a 3D RSM analysis is performed, which allows quantitative information on the lattice strain-tilt to be mapped simultaneously on the entire imaged area. Fig. 3.4 shows the in-operando FFDXM results of the time scans and corresponding electrochemical data during the first three CV cycles. Fig. 3.4 a, b and c show the voltage (top row), current (middle row) and integrated intensity (Iint) of defects versus time curves in the 1st, 2nd and 3rd cycle, respectively. The green-marked regions represents the lithiation process (negative current) while the red-marked areas indicate delithiation period (positive current) of Si electrode. A small current increase can be found in the CV curve near E = 1.0 V in the first CV cycle of Fig. 3.4a (see encircled blue area). The significantly lower oxidation current observed in the reversed scan of cycle 1 indicate that lithium was mainly consumed to form the SEI layer by the decomposition of carbonaceous solvents and lithium-salt [13], and only in small part entered Si. The SEI peak at 1.0 V in the 2nd CV cycle (middle row of Fig. 3.4b) is significantly lower than the first cycle, indicating that the majority of the SEI formation has mostly been completed in the previous cycle, and that more current is now available for the lithiation process of Si. Starting from cycle 2, two structural defects can be discerned in the FFDXM image. Only the cropped (5×22 μm2) FFDXM images (Fig. 3.4 g) around the two defects are shown for clarity. These remained the only structures visible in the entire (100×430 μm2) investigated sample area FoV (Fig. 3.4d) up until cycle 3. The 1:4.3 aspect ratio of the images is due to the projection of the exit beam at low angles.

The Iint of the cropped area is shown in Fig. 3.4a, b and c, the bottom rows. Annotation arrows and numbers in these figures refer to particular moments of the lithiation process. Since the sample is oriented slightly off the Bragg angle, Iint is highly sensitive to any structural changes, deviating from the original monocrystalline Si. No contrast was observed during the first cycle on the same area as Iint only reveals a 0 flat horizontal line (bottom row of Fig. 3.4a). Iint starts to sharply increase and two isolated structures can be clearly observed soon after the voltage reaches +5 mV in the 2nd CV cycle, as is indicated by point 2 in Fig. 3.4b and g. The appearance time of the defects (point 2) indicates that they were formed during lithiation. The exact nature of the two defects will be analyzed in more detail by 3D-RSM at the end of cycle 3.

81

Chapter 3

The current turns positive at around point 3 in Fig. 3.4b, indicating the start of the delithiation process (red area). According to theoretical calculations and experimental observations, for the flat-reaction-front Si electrodes compressive stress is induced at the LixSi/Si interface during lithiation and tensile stress is built up during the delithiation process [4,6]. Moving from point 2 to 3 (bottom row of Fig. 3.4b), the Iint curve shows a decrease during this period. This might be due to fact that the compressive stress is partially relaxed before delithiation occurs. During delithiation, the highest Iint and strongest contrasts positions at point 4 (bottom row in Fig.3.4b). This might be the indication that largest tensile stress has been reached. Subsequently, the tensile stress declines, as indicated by the decrease of Iint from point 4 to 5 (bottom row in Fig3.4b). All dark-field images in Fig. 3.4 g consistently show two separate contrasts which evolve in some way. To trace evolution of each contrast separately, the partial Iint curves were plotted, with integration performed by area covering the first and second contrast accordingly, as denoted in Fig. 3.4g, top row subplot 5. These partial Iint curves are denoted as ‘Area I’ and ‘Area II’ accordingly. When deconvoluting nd Iint for Area I and Area II in the 2 CV-cycle, it becomes clear that the evolution of the two defects are unsynchronized, as is evidenced from the two partial intensity-time plots in Fig. 3.4e.

A small depression at point 7 is observed in the Iint curve of Fig. 3.4c at the start of the 3rd lithiation cycle. This can be understood as the residual tensile stress from the previous cycle has to be first mitigated before it could be transformed into compressive stress. This is further evidenced by the weakened FFDXM contrast at point 6 and 7 in

Fig. 3.4g. In all other respects, the Iint and CV curve of cycle 3 very much resemble that of cycle 2. Upon closer inspection of the two defects, it is revealed in the Iint curves of Area I and II in Fig. 3.4f that each defect responds slightly different to the same CV scan. The result serves as a good example of why spatially resolved techniques are required to fully understand the failure mechanism in those systems where inhomogeneous behavior is to be expected. 3D RSM has been carried out at the end of cycle 3 to quantify lattice tilt of the two defects. Fig. 3.5a shows the raw FFDXM image at the end of the 3rd cycle. Fig. 3.5b shows the analyzed 3D RSM image of the observed structures, which indicates that both defects appear as pits. The lattice tilt direction is indicated by arrows and the tilt angle is indicated with a maximum lattice tilt of ~0.03°. It should be noted that even after 3 cycles, the amount of incorporated Li is limited due to the very short time when

82 Chapter 3

voltage was below the lithiation potential in each cycle. The observation thus sheds light on the very early stage of defect formation when the average stress value is still moderate and the defect density is low.

Fig. 3.5. Raw FFDXM image at the end of the third cycle (a) and corresponding 3D RSM image (b). Ideal calculated 3D RSM image for a bump (c) and pit structure (d), which indicate that the two measured defects in (b) can be identified as pits rather than bumps.

83

Chapter 3

Fig. 3.6. FFDXM images showing the defect evolution at the LixSi/Si interface from cycle 4 to 6 with elongated voltage-holding time at E = 5 mV. The electrochemical plots in cycle 4, 5 and 6 with indicated numbers, revealing more detailed FFDXM images (a). FFDXM images at the indicated time marked in Fig. 3.6a (b).

The next figure, Fig. 3.6 repeats analysis similar to the one already made in Fig 3.4 but now for cycles 4, 5 and 6. Note that intensity level in the bottom row of Fig 3.6a is considerably higher than in Fig. 3.4, and much larger areas of material are presented at FFDXM dark-field images Fig. 3.6b. Two small dark spots of irregular shape at the right part of subplot 11 (Fig. 3.6b) actually corresponds to two contrasts originally formed during cycle 2. One can see that starting from cycle 4, voltage is held at +5 mV for an increasing period of time to prolong the lithiation process. During this period a reduction current can still be observed, as is indicated by the green area in Fig. 3.6a

(middle row). An incubation time up to point 12 in Fig. 3.6a can be seen where Iint and the FFDXM contrast remain unchanged even though E = +5 mV and the reduction current is at its maximum. After holding the electrode potential at +5 mV for about 120 s, Iint starts to increase at point 12. This corresponds to the time it takes to fill lithium in the previously delithiated layer, and is the reason why no mass defect formation (no immediate increase of Iint) when starting the voltage holding at +5 mV. Continuously forcing lithiation under potentiostatic control induces a steady expansion of the defect area as can be concluded from the FFDXM images at point 12 and 13 in Fig. 3.6b. The deformation is still partially elastic as is confirmed by the almost reversible contrast at point 14 (Fig. 3.6b). During cycle 5 new defects are created, mostly of them nucleated

84 Chapter 3

around the initial two defects as can be seen at the FFDXM image at point 15 of Fig. 3.6b. Further, in cycle 6 one observes massive formation on defects, accompanied with large increase in Iint. It can be seen, that at the end of cycle 6 there is a time delay between the start of delithiation current (flipping from green to red) and start of decline in intensity of contrasts. That can be explained by the existence of the thick (partially) lithiated layer of amorphous Si which had been formed above crystalline Si up to that moment. The delay is attributed to mass transport of lithium across thick LixSi layer.

3.3.2 AFM study of the Si surface morphology

The FFDXM observations show that there is a considerable inhomogeneity of defect formation and evolutions during (de)lithiation of crystalline Si at the early stages. One interesting fact about these defects is that they only show up after the completion of the SEI layer. To study this relation further, in-operando AFM has been employed. The high surface sensitivity of AFM enables direct observation of the SEI formation at the surface of the monocrystalline Si electrodes. The set-up for in-operando AFM is schematically shown in Fig. 3.3. The so-called PF-QNM mode with a low contact force of 80 nN is used to minimize the disturbance of the AFM tip on the formation of the SEI.

The topography of pristine monocrystalline Si at Eocp is shown in Fig. 3.7a, indicating a typical smooth Si surface with a roughness of 1.18 nm. In-operando topographical measurements (Fig. 3.7b) of a Si electrode are conducted by scanning the electrode potential from Eocp (3.0 V) to +5 mV with a scan rate of 7 mV/s and simultaneously scanning the tip in the direction indicated by the arrow. The corresponding CV curve is also shown in Fig. 3.7b. Scanning the voltage up to 1 V, a SEI-free Si surface is observed. The surface roughness starts to increase significantly at about 0.75 V when the SEI formation starts. The current is switched off as soon as the electrode voltage reaches +5 mV. During the subsequent relaxation period a complete topographical image is taken (Fig. 3.7c). The result shows that the surface is now completely covered by an inhomogeneous SEI layer with a roughness of 2.41 nm. Fig. 3.7d shows the topographical image during the back scan to 2.5 V and to 0.75 V.

85

Chapter 3

Fig. 3.7. In-operando surface morphology evolution of a Si electrode in 1M LiPF6 dissolved in EC/DMC. 3D topographical mapping image at open-circuit potential (OCP) (a), during CV scanning from OCP to the cut-off voltage of +5 mV at a scanning rate of 7 mV·s-1 together with accompanying CV plot (b), after the 1st cycle to 5 mV (c) and topographical image during the back scan to 2.5 V and to 0.75 V (d).

86 Chapter 3

Fig. 3.8. In-operando 2D topography of a Si electrode in the 5th (b and c) and 6th (e and f) CV-cycle, where the CV voltage range for each image is indicated by colors in the CV plots for 5th (a) and 6th cycle (d). Due to surface fluctuations caused by crack formation, the contact of AFM tip sometimes loses control for a short period of time, which results in the blurry region in Fig. 3.8 b. Scanning directions in the topographical images are indicated by arrows.

To mimic the same conditions as used in the FFDXM experiments, more CV cycles have been applied, see Fig. 3.8. The SEI formation peak which was so well developed in the first cycle, is not so pronounce anymore in the subsequent cycles. This is consistent with the common-sense knowledge that the main part of the SEI is formed in the 1st cycle [14]. A crack is observed while scanning the electrode potential from 5 mV back to 2.5 V during the 5th cycle. The scanning periods corresponding to in-operando AFM images of Fig. 3.8b and c are indicated by red and green in the current-voltage plot of Fig. 3.8a, respectively. Due to crack-formation-caused dynamic disturbance, the contact of AFM tip has lost control for a short period of time, as can be recognized by the blurry region in the framed lower part of Fig. 3.8b. The sample is further scanned for a 6th cycle. It is shown that new SEI are formed as confirmed by the brighter spots in Fig.3.8e and f. In particular, a large bright spot is observed right at the triangle-shaded fractured area. These topographical analyses demonstrate that the formed SEI on monocrystalline Si electrode presents an inhomogeneous

87

Chapter 3 morphology.

Fig. 3.9. Topography (a) and corresponding ESM amplitude (b) mapping at the same region after the 6th cycle had been completed. The contact resonance frequency of the tip-sample system was 290 kHz for the ESM measurement.

Ex-situ ESM is employed to quantify the effect of SEI heterogeneity on the mobility of lithium-ions within the SEI. Fig. 3.9 a and b shows the topography and corresponding ESM image, respectively, at the Si surface, prepared by rinsing with DMC and drying after the 6th CV cycle had been completed. Fig. 3.9a shows a rough surface, consistent with the inhomogeneous SEI formation. The ESM mapping in Fig. 3.9b indicates a lower Li-mobility (blue regions) in certain areas, while the majority of the surface is of moderate and high mobility (green and red regions). One also can notice that low-mobility areas are to some extent correlated with bright spots in the AFM topographical image Fig 3.9a, i.e. thicker SEI. Therefore, these in-operando AFM and ex-situ ESM observations gives a clear picture of the inhomogeneous SEI layer on Si. The heterogeneity in morphology, composition and lithium-ion mobility of SEI will lead to a variation in the degree of (de)lithiation adjacent areas during cycling, which has been revealed by the FFDXM analysis (Fig. 3.4). It is worthwhile to mention that since FFDXM measures the lattice strain field around the defects, the lateral size of the contrast is usually much larger than the size of the defects themselves, as measured by AFM or ESM.

88 Chapter 3

3.3.3 Dual-layer structure of SEI on Si

st Fig. 3.10. In-operando Young’s-modulus maps of the 1 CV cycle from Eocp to +5 mV with accompanying CV plot (a), after scan to +5 mV during relaxation (b) and during the back CV scan to E 2.5 V and to 0.75 V (c).

89

Chapter 3

Form the electrochemistry data of in-operando FFDXM (Fig. 3.4a) and AFM (CV plot in Fig. 3.7b) it follows that the SEI peaks are more pronounced in the 1st cycle compared to subsequent cycles, which indicate that the SEI is mainly developed in the first lithiation process. However, according to the in-operando AFM topographical results (Fig. 3.8), it can be seen that the SEI is still quite dynamic in the subsequent cycles. One question that arises from this observation is why the SEI formed in the first cycle can passivate the Si surface. The possible explanation is that the SEI formed on Si has a dual-layer structure, which is similar to the carbon-based electrode [17-20]. The SEI developed in the 1st discharging process is the inner SEI containing mainly inorganic species, which are dense and can prevent the Si for further inorganic SEI forming, and SEI formed subsequently composes mainly organics, which are still dynamic.

Fig. 3.11. In-operando 2D Young’s modulus maps of a Si electrode in the 5th (b and c) and 6th (e and f) CV-cycle, where the CV voltage range for each image is indicated by colors in the CV plots for 5th (a) and 6th cycle (b).

To address this, the Young’s modulus mapping has been simultaneously analyzed during the topographical analyses by conducting in-operando AFM in the PF-

90 Chapter 3

QNM mode [10]. Young’s modulus is a mechanical property that measures the stiffness of the sample surface. Fig. 3.10 a shows in-operando Young’s-modulus map of the Si surface in the first CV cycle from Eocp to +5 mV (a), during relaxation (b) and during the back scan to 2.5 V and to 0.75 V (c), which corresponds to the topographical images of Fig. 3.7 b, c and d. Fig. 3.10a clearly indicates that the sample surface became harder when the Si electrode is scanned to the SEI forming potential (onset from ~ 0.75V), suggesting the SEI formed during this period mainly contains inorganics. Fig. 3.10b in combination with Fig. 3.7c shows that the whole sample surface has been covered by a layer of harder SEI. When the Si electrode is scanned back from 5 mV to 2.5 V, the sample surface has become a bit softer (see Fig. 3.10c). It should be noted that the fact that the sample became softer is not due to the decomposition of inorganic SEI, as seen from Fig. 3.7d that the surface has become rougher and SEI is thicker, but due to a new layer of soft SEI has been formed on top of the inner harder SEI. The outer SEI composes mainly organic species. In the subsequent cycles, the surface became softer. Fig. 3.11 shows the Young’s-modulus maps of the 5th and 6th cycle, which reflects the mechanical properties of the same surface of topographical images in Fig. 3.8. It can be seen that the surface is becoming softer and softer. The cracks is also clearly visible in the Young’s modulus mode maps (Figs. 3.11c, e and f). As the observed crack may break the passivated surface, freshly exposed Si will form new SEI in contact with the liquid electrolyte. The Young’s-modulus mapping of Fig. 3.11f indicates that the newly formed SEI contains a high ratio of softer organic components. Therefore, from these in-operando Young’s-modulus mapping analyses, it has been confirmed that the SEI formed on Si has an inner-outer dual-layer structure. Since the inner SEI is more or less stable after the first cycle, one parameter remaining to be known is how thick this inner SEI is. By subtracting the line height scan of fresh Si surface in Fig 3.7a (blue line, when no SEI) from that of inner SEI covered Si surface in Fig. 3.7b (purple line), the thickness of the formed inner SEI can be obtained. Fig. 3.12 shows the subtraction result with the inset showing the corresponding CV plot for each position. It clearly suggests that when the sample is scanned to the SEI forming potential (onset at ~0.75 V) inner SEI starts to be developed. The inner SEI is about 2 nm thick, which is has a similar thickness compared to the carbon-based anodes [17-20].

91

Chapter 3

Fig. 3.12. The thickness of Inner SEI on Si during CV scanning from OCP to the cut- off voltage of +5 mV at a scanning rate of 7 mV·s-1, inset shows accompanying CV plot.

3.4 Conclusions

In-operando FFDXM has been applied to study the Si/LixSi interface to unveil the structural failure mechanism in Si-based LIB. Based on the in-operando FFDXM and AFM observations, a correlation between defects/cracks and inhomogeneous SEI has been explained. When the mono-crystal Si electrode is cycled with liquid electrolyte setup, defects are observed in their early stage by FFDXM methods. These defects are caused by the difference of (de)lithiation rate due to the non- homogeneously formed SEI layer formed on top of the Si electrode. The heterogeneity of SEI in morphology, composition and lithium-ion mobility has been further demonstrated by in-operando AFM and ex-situ ESM. The in-operando AFM observation (Young’s modulus mapping) reveals the SEI formed on Si electrode has a dual-layer structure: while inner SEI formed in the first discharging process is very thin (~ 2 nm) and harder, composing mainly inorganic species, the outer SEI is softer and still changing in subsequent cycles

92 Chapter 3

References

[1] X. H. Liu, H. Zheng, L. Zhong, S. Huang, K. Karki, L. Q. Zhang, Y. Liu, A. Kushima, W. T. Liang, J. W. Wang, J-H. Cho, E. Epstein, S. A. Dayeh, S. T. Picraux, T. Zhu, J. Liu, J. P. Sullivan, J. Cumings, C. S. Wang, S. X. Mao, Z. Z. Ye, S. L. Zhang, J. Y. Huang, Anisotropic swelling and fracture of silicon nanowires during lithiation. Nano Lett. 2011, 11, 3312-3318. [2] S. W. Lee, M. T. McDowell, L. A. Berla, W. D. Nix, Y. Cui, Fracture of crystalline silicon nanopillars during electrochemical lithium insertion, PNAS, 2012, 109, 4080-4085. [3] J. L. Goldman, B. R. Long, A. A. Gewirth, R. G. Nuzzo, Strain anisotropies and self-limiting capacities in single-crystalline 3D silicon microstructures: Models for high energy density lithium-ion battery anodes. Adv. Funct. Mater. 2011, 21 2412-2422. [4] M. Pharr, Z. G. Suo, J. J. Vlassak, Measurements of the fracture energy of lithiated silicon electrodes of Li-ions batteries, Nano Lett. 2013, 13, 5570- 5577. [5] Z. D. Zeng, N. Liu, Q. S. Zeng, S. W. Lee, W. L. Mao, Y. Cui, In situ measurement of lithiation-induced stress in silicon nanoparticles using micro-Raman spectroscopy, Nano Energy, 2016, 22, 105-110. [6] K. J. Zhao, M. Pharr, Q. Wan, W. L. Wang, E. Kaxiras, J. J. Vlassak, Z. G. Suo, Concurrent reaction and plasticity during initial lithiation of crystalline silicon in lithium-ion batteries, J. Electrochem. Soc. 2012, 159 A238-A243. [7] X. H. Liu, L. Zhong, S. Huang, S. X. Mao, T. Zhu, J. Y. Huang, Size- dependent fracture of silicon nanoparticles during lithiation, ACSNANO, 2012, 6, 1522-1531. [8] J. Hilhorst, F. Marschall. T. N. Tran Thi, A. Last, T. U. Schülli, Full-field X- ray diffraction microscopy using polymeric compound refractive lenses, J. Appl. Crystallogr. 2014, 47, 1882-1888. [9] L. Bagetto, R. A. H. Niessen, F. Roozeboom, P. H. L. Notten, High energy density all-solid-state batteries: a challenging concept towards 3D integration, Adv. Funct. Mater. 2008, 18, 1-10 [10] G. Pletikapić, A. Berquand, T. M. Radić, V. Svetličić, Quantative nanaomechanical mapping of marine diatom in seawater using peak force

93

Chapter 3

tapping atomic force microscopy. J. Phycol. 2012, 48, 174-185. [11] N. Balke, S. Jesse, A. N. Morozovska, E. Eliseev, D. W. Chung, Y. Kim, L. Adamczyk, R. E. Garcia, N. Dudney, S. V. Kalinin, Nanoscale mapping of ion diffusion in a lithium-ion battery cathode. Nat. Nanotechnol. 2010, 5, 749- 754. [12] S. Kalinin, N. Balke, S. Jesse, A. Tselev, A. Kumar, T. M. Arruda, S. Gao, R. Proksch, Li-ion dynamics and reactivity on the nanoscale. Mater. Today 2011, 14, 548-558. [13] N. Schӧn, D. C. Gunduz, S. Yu, H. Tempel, R. Schierholz, F. Hausen, Correlative electrochemical strain and scanning electron microscopy for

local characterization of the solid state electrolyte Li1.3Al0.3Ti1.7(PO4)3. Beilstein J. Nanotechnol. 2018, 9, 1564-1572. [14] K. Xu, Nonaqueous liquid electrolytes for lithium-based rechargeable batteries. Chem. Rev. 2004, 104, 4303-4417 [15] V. A. Sethuraman, M. J. Chon, M. Shimshak, V. Srinivasan, P. R. Guduru, In situ measurements of stress evolution in silicon thin films during electrochemical lithiation and delithiation. J. Power Sources 2010, 195, 5062-5066. [16] J. C. Li, A. K. Dozier, Y. C. Li, F. Q. Yang, Y-T. Cheng, Crack pattern formation in thin film lithium-ion battery electrodes. J. Electrochem. Soc. 2011, 158, A689-A694. [17] E. Peled, S. Menkin, Review-SEI: past, present and future, J. Electrochem. Soc. 2017, 164, A1703-A1719. [18] K. Edstrom, M. Herstedt, and D. P. Abraham, A new look at the solid electrolyte interphase on graphite anodes in Li-ion batteries, J. Power Sources, 2006, 153, 380-384. [19] D. J. Li, H. Li, D. L. Danilov, L. Gao, X. Chen, Z. Zhang, J. Zhou, R.-A. Eichel,

Y. Yang, P. H. L. Notten, Degradation mechanisms of C6/LiNi0.5Mn0.3Co0.2O2 Li-ion batteries unraveled by non-destructive and post-mortem methods, J. Power Sources 2019, 416, 163-174. [20] D. J. Li, D. L. Danilov, Z. Zhang, H. Chen, Y. Yang, P. H. L. Notten, Modeling

the SEI-Formation on Graphite Electrodes in LiFePO4 Batteries, J. Electrochem. Soc. 2011, 162, A858-A869.

94

Chapter 4. Degradation Origin in Si-Based Solid LIB

A mechanistic neutron depth profiling study

Abstract

Like all rechargeable battery systems, conventional LIB inevitably suffer from capacity losses during operation. This also holds for all-solid-state LIB. In this chapter the in- operando NDP method is employed to investigate the degradation mechanism of all-solid-state, thin film Si-

Li3PO4-LiCoO2 batteries. It is found that the capacity losses in these thin film batteries are mainly related to lithium immobilization in the solid-state electrolyte, starting to grow at the anode/electrolyte interface during initial charging. The Li-immobilization layer in the electrolyte is induced by silicon penetration from the anode into the solid-state electrolyte and continues to grow at a lower rate during subsequent cycling.

______* Parts of this chapter have been published as C.G. Chen, J.F.M. Oudenhoven, D L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P.H.L. Notten, Advanced Energy Materials, 8 (2018) 201801430 (1-11), DOI: 10.1002/aenm.201801430.

95

Chapter 4

4.1 Introduction

The degradation of classical liquid-electrolyte-based LIB involves several mechanisms. One well-known mechanism is related to the formation of a SEI, a film of various reaction side-products at the interface between the electrodes and the liquid electrolyte [1-2]. It is expected that this is not occurring in solid-state batteries due to the absence of any liquid electrolyte [3]. A second degradation mechanism of LIB is related to mechanical integrity. This seems to be more crucial for solid-state batteries as these batteries can only perform well when good contacts are established and maintained between the electrodes and the electrolyte when the electrodes swell or shrink during (de)lithiation [4-6]. In liquid-electrolyte-LIB close contact between the electrodes and electrolyte can always be secured by the free-movable liquid electrolyte. For thin-film batteries, on the other hand, (micro-)cracking and delamination may occur, which will decrease the effective contact area between the individual battery layers, and therefore may decrease the effective energy and power density. A third mechanism can be attributed to the degradation of the electrode materials. During battery cycling, and especially during over(dis)charging, side reactions may occur in the electrodes that result in electrochemically inactive species [1,7]. Obviously, there is a need to understand the details of the degradation mechanisms involved in all-solid-state LIB. Some techniques have been applied to investigate the crystallographic changes within thin-film electrodes such as, for example, XRD [8-9] and Raman spectroscopy [10]. These techniques are, however, insensitive to lithium-ions and become also irrelevant when amorphous materials are involved. In-situ TEM has also been used to investigate all-solid-state batteries [11,12], but this technique has a maximum resolution limit of the order of 100, nmand is therefore not able to functionally monitor full battery stacks, and sometimes leads to chemical breakdown, and even short-circuiting because of the use of focused electron beams. Therefore a novel and flexible in-operando technique is under urgent demand which is capable of determining the lithium concentration with high-depth resolution inside solid-state, thin film, LIB. This shortcoming can be filled by NDP. NDP employs thermal neutrons (n) which react with 6Li, emitting alpha particles (4He) and tritons (3H) with well-defined initial kinetic energies of 2727 and 2055 keV, respectively, according to Eq. 2.15 in Chapter 2. On their trajectory through various layers, the kinetic energies of 3H and

96 Chapter 4

4He particles are reduced, depending on the material(s) passed. By detecting the energy loss of these charged particles, the depth at which these particles were initially formed can be deduced. As the number of 6Li atoms subjected to this nucleus reaction is negligible with respect to the total amount of lithium, this technique can be considered non-destructive. It has been demonstrated that this method is highly sensitive to lithium because of the high neutron absorption cross section [13-16], and this method has therefore been successfully applied to unravel lithium depth-profiles of electrochromic lithium, thin-film devices [17-19]. It has also been demonstrated that NDP can be applied as an ex-situ measurement technique to study dismantled batteries [20]. In-situ detection was performed to observe Li-ion transport within the individual electrodes [21-23]. With respect to integrated all-solid-state thin-film microbatteries, in-situ NDP can also be used to investigate the processes inside complete solid-state battery stacks [24]. In this chapter, NDP is employed as in- operando technique, to continuously monitor the degradation of thin-film microbatteries that were cycled during a prolonged period of time. The NDP-results are supported by both XPS depth profiling and TEM analyses.

4.2 Experimental

4.2.1 Battery manufacturing process

All-solid-state, thin-film Li-ion batteries were fabricated by sputtering and e- beam evaporation methods. The batteries have the following configuration (see inset of Fig. 4.1a): 200 nm sputtered Pt current collector, 550 nm sputtered LiCoO2 cathode, 2.0 μm sputtered LPO solid-state electrolyte, 50 nm e-beam evaporated Si anode, 150 nm e-beam evaporated copper current collector and the batteries were finally sealed with packaging coatings. Silicon was chosen as anode material, because it has a potentially very high gravimetrical storage capacity [3, 25], and only very thin anode films are therefore required in comparison to the cathode electrode thickness. Such thin-film Si electrodes were reported to be mechanically stable upon repeatedly (dis)charging [3,25]. It is worthwhile to note that the aim of these experiments is to study ageing, in particular to study the migration of Si. Therefore, the design of the test sample should (i) make possible migration of a considerable amount of Si into the LPO, (ii) make the formation of the Li-enriched interlayer visible by NDP at sufficient

97

Chapter 4

resolution. To comply with these requirements the LPO layer with a thickness 2 µm was used. Moreover, the total capacity of the test cells is not very high and the Si layer is only 50 nm thick. Therefore, the effect of Si migration and subsequent Li- immobilization upon battery degradation becomes clearly visible.

4.2.2 Electrochemical analyses

The as-produced solid-state batteries are connected to a Keithley 2400 sourcemeter to perform the electrochemical cycling, controlled by an in-house developed Borland Delphi program. The batteries were cycled at a constant current (dis)charging procedure for 350 cycles and then followed with a constant-current- constant-voltage (CCCV) (dis)charging method. The cutoff voltages were set at 3.00 and 4.00 V for charging and discharging at 10 or 20 μA, respectively. After every constant current (dis)charge step the battery was left to rest for 1 hour. Occasionally the influence of a longer resting period was investigated as indicated in the text.

4.2.3 In-operando NDP analyses

The NDP experiments were carried out with a low-energy thermal-neutron- beam line at the Reactor Institute Delft (The Netherlands). The NDP analyzing system is schematically shown in Fig. 5.1a. The NDP set-up consisted of a vacuum chamber that contained a neutron beam monitor, a charged-particle detector, a neutron beam stop and a frame to mount the batteries. The thin film batteries were mounted at an angle of 30 degrees to the incoming neutron beam, facing towards the detector. On top of the batteries, a neutron absorbing aperture was placed to delimit a well-defined area for the NDP analyses. Data collection of a single NDP spectrum takes approximately 8 minutes, which is relatively short with respect to the (dis)charging time of 6 hours and 3 hours for a 10-µA and-20 µA (dis)charge current followed by a 1 hour resting period. The results obtained directly from the NDP analyzer are energy spectra profiles.

4.2.4 Characterization of the Si/LPO interface

XPS depth profiles were obtained with a ThermoScientific K-Alpha instrument equipped with a monochromatic X-ray source (Al Kα = 1486.6 eV). The

98

Chapter 4

thicknesses, as measured by SEM, were compared with the number of sputter cycles that took place before the Cu surface was reached. Samples for TEM observations were prepared, using focused ion beam (FIB) milling to thin down the specimen to less than 100 nm. The anode-electrolyte interfaces were investigated using a TEM (FEI TalosTM F200). Elemental mapping to investigate the cross-section of the interfaces were conducted by an Elemental energy dispersive X-ray spectroscopy (EDX) spectrometer (Rayny EDX-800HS, Shimadzu). The samples were transferred under Ar from a glove box (Unilab PRO, MBraun) for the XPS, FIB and TEM analyses.

4.3 Results and discussion

Si-based thin-film batteries with a Si anode (50 nm), a LPO electrolyte (2 µm) and LiCoO2 cathode (550 nm) were deposited. Detailed information about how the batteries were synthesized has been given in the experimental section. The prepared batteries were positioned inside the NDP chamber and connected to a combined potentiostat/galvanostat. The NDP setup and battery configuration is shown Fig. 4.1a. The as-deposited battery was then characterized by NDP. Fig. 4.1b shows the energy spectrum of an as-deposited (discharged) battery, where the full energy range is displayed, including the energy (vertical dashed lines) of both the alpha particles (4He) and tritons (3H). It is clearly visible that the higher stopping power for alpha particles results in a much wider energy range than for the tritons in this thin-film battery configuration. In view of a better depth-resolution, further analyses will therefore be focused on the alpha particle energy spectra only. Subsequently, the battery was charged and discharged for two cycles with a (dis)charge current of 10 μA (0.15 C- rate). Fig. 4.1c shows the difference curves of the alpha particle energy profiles, obtained by subtracting the spectrum of the pristine (discharged) battery from the first cycle fully charged (black curve) and fully discharged battery (red curve). The NDP details during charging and discharging have been continuously monitored in the difference spectra of Fig. 4.1d. Recording each NDP spectrum takes about 8 minutes. The cathode, electrolyte and anode regions can be clearly identified in these spectra.

99

Chapter 4

Fig. 4.1. Schematic representation of the NDP set-up, where the battery is mounted at an angle of 30 degrees with respect to the incoming neutron beam (a). An electric feed- through facilitates the connections of the battery to a potentiostat or galvanostat, in this case a Keithley 2400 sourcemeter. The inset shows the layer-by-layer Si-LPO-LiCoO2 thin-film battery configuration. NDP spectrum of an as-deposited, pristine, all-solid- state Li-ion battery (b). As reference, the formation energies of the triton and alpha particles are represented by the dashed vertical lines. Difference energy spectra at the charged (black) and discharged (red) state of the first (dis)charging cycle for which the signal of the pristine (discharged) battery has been subtracted after background noise removal (c). Development of the in-operando spatial difference energy spectra during the first two charging and discharging cycles with the measured battery voltage curves at the left-hand side (d).

Focusing for the moment on the fully charged battery only, lithium is extracted from the LiCoO2 electrode during charging (Eq. 1.2), which obviously results in the negative cathode peak (black curve of Fig. 4.1c) when subtracted from the pristine NDP battery signal. Obviously, Li is stored in the Si anode upon charging (Eq. 1.1), which consequently results in a pronounced positive anode peak in the difference curve of Fig. 4.1c. An additional interesting observation is that some extra lithium seems to be present in the electrolyte as the lithium content at the electrolyte/anode interface is clearly increased. If the battery is discharged, lithium will move in the

100 Chapter 4

opposite direction. Considering the NDP curve in the fully discharged state (red curve in Fig. 4.1c), an increase of the Li-concentration in the cathode and a decrease in the anode are indeed to be expected compared to the fully charged state (black curve in Fig. 4.1c). In case the spectrum of the fully discharged battery would behave exactly the same as that of the pristine solid-state battery, no deviation at all would become visible in the difference curve in both the cathode, electrolyte and anode regions and, consequently, a horizontal line would have been expected. However, it is already clear that in the first cycle not all Li-ions return to their original cathode positions. Some of the lithium-ions remain behind in the Si anode and some are immobilized in the solid- state electrolyte. The observed Li-immobilization layer at the electrolyte/Si interface in the fully charged state (black curve in Fig. 4.1c) also exists in the fully discharged state (red curve in Fig. 4.1c). This is an interesting observation in itself as it is to be expected that immobilized lithium reduces the amount of free moveable Li-ions and, consequently, will negatively influence the storage capacity of Si-based solid-state LIB. In order to investigate the Li-immobilization process in more detail, about 90 NDP spectra were continuously collected during the first two (dis)charging cycles under in-operando conditions. The NDP results are given as a function of time in a spatial image mode in Fig. 4.1d, for which the spectrum of a pristine battery is again subtracted from each measured spectrum. The simultaneously measured battery voltage curve is shown at the left-hand side of Fig. 4.1d. Considering the first charging process, the spectra reveal that the Li-concentration in the LiCoO2 electrode is expectedly reduced as the deep dark blue color indicates. Simultaneously, Li-ions are stored in Si at very high concentrations, especially at the end of the charging process, as the dark red color reveals. During discharging the reverse processes can be identified. However, the high lithium content in the cathode and zero lithium content in the Si electrode as found for the pristine battery can no longer be reached. In addition, it can be seen that a Li-containing interlayer is formed at the electrolyte/anode interface. Therefore, the formation of this Li-immobilization layer will be investigated in more detail during subsequent cycling. For characterizing the degradation mechanism, the deposited solid-state LIB has been further cycled up to 30 cycles between 3 and 4 V with the same current as used for the first two cycles (10 μA). The voltage curves of some selected cycles are shown as a function of time in Fig. 4.2a and clearly indicate that the (dis)charge capacity is rapidly declining in these 30 cycles. The same cycles are also normalized

101

Chapter 4 in Fig. 4.2b with respect to the (dis)charging time, making it more distinct how the shape of the voltage curves is changing upon cycling. This facilitates to differentiate between effects that change the intrinsic processes inside the electrodes and effects that result from a decrease in the amount of mobile Li-ions. In the latter case, the shape of the normalized voltage curves remains unaltered. From Fig. 4.2b it is clear that the shape of the voltage curves changes only slightly. This is most pronounced between 3.6 and 3.9 V. After a few cycles the sloping plateaus at 3.65 V disappear upon cycling. This might be due to the stabilization of the amorphous Si anode as the electrochemical performance in the first few cycles was always found to deviate from the subsequent cycles [25-29].

Fig. 4.2. Voltage charging and discharging curves of a Si-LPO-LiCoO2 thin-film battery during the first indicated 30 cycles with a current of 10 µA as a function of time (a) and normalized with respect to the charging time (b). The subsequent cycles are (dis)charged with a current of 20 µA (c) and (d).

102 Chapter 4

Fig. 4.3. Charge (□) and discharge (○) storage capacity of a Si-LPO-LiCoO2 thin-film battery as a function of cycle number. The corresponding capacity decay (∆) during cycling is shown in the inset.

To monitor the aging effects at higher cycle numbers, the (dis)charging current after 30 cycles was increased to 20 μA. Using this higher current, charging and discharging was continued for several hundreds of cycles. Although the storage capacity declines at a lower rate (Fig. 4.2c), the changes in the normalized voltage curves (Fig. 4.2d) are only minor, indicating that the capacity loss is mainly related to the loss of mobile Li-ions. The storage capacity as a function of cycle number is shown in Fig. 4.3. At the end of the cycle-life experiment both charging and discharging conditions have been changed from constant current (CC) into CCCV (with CC=20 µA and CV=4.0 and 3.0 V during charging and discharging, respectively). By using this procedure, kinetic limitations are minimized and the fully available storage capacity of the battery can be utilized. The last data point in Fig. 4.3 at cycle 364 shows that the CCCV-cycled battery hardly reveals an increased storage capacity in comparison to the constant CC-charged cycles, suggesting that most of the lost storage capacity is indeed irreversible.

103

Chapter 4

Fig. 4.4. The difference energy spectra of a charged (a) and discharged battery (b) for the selected 1st (red), 2nd (blue), 10th (yellow), 30th (green), 100th (purple) and 250th (grey) cycle.

To explore the underlying degradation mechanisms, NDP spectra were continuously recorded during the entire cycling process. Fig. 4.4a and b show the measured energy profiles for alpha particles at the various indicated cycles as difference plots (again subtracted from the pristine battery state) in the charged and discharged state, respectively. The energy profiles show distinct differences. To view these differences in a more continuous way, all cycles are also shown in the spatial contour mode of Fig. 4.5a and b for the charged and discharged battery state, respectively. Interestingly, the lithium level in the charged state (Fig. 4.5a) within the delithiated cathode is more or less constant even after prolonged cycling (250 cycles), as the cathode region remains dark blue for all cycles. This indicates that all lithium positions inside the Li1-xCoO2 host material are still available and that the cathode is not deteriorating upon cycling. Simultaneously, it can be seen in Fig. 4.5a that the amount of lithium stored in the Si anode is significantly reduced during prolonged cycling, as the anode mapping color slowly changes from dark red into light red. Remarkably, it can also be seen that from the first charging cycle onwards an interlayer is formed inside the solid electrolyte starting to grow at the anode/electrolyte interface. This interlayer dramatically increases upon cycling and the electrolyte reveals a clear lithium enrichment (Figs. 4.4 and 4.5).

104 Chapter 4

Fig. 4.5. Development of the NDP difference spectra as a function of energy of a fully charged (a) and discharged (b) battery upon cycling up to 250 cycles.

This lithium enrichment must originate from the formation of a newly formed lithium-rich phase inside the solid-state electrolyte. Fig. 4.5a and b clearly reveal that the Li-immobilization region is continuously growing during cycling. This partly explains that the anode peak is reduced upon cycling (Fig. 4.4a and 4.5a) as the immobilized lithium is no longer available to fully charge the Si electrode. In the discharged state (Fig. 4.5b), both the cathode and anode cannot return to the pristine state. The deviations from a horizontal line at 0 counts/s for both electrodes is again due to the fact that lithium cannot fully return to the cathode as quite some lithium remains immobilized in the solid-state electrolyte and stays behind in the anode. It should be noted that the lithium remaining in the anode is mainly related to kinetic limitations of the Si electrode [30-32], which can be mostly delithiated in the CCCV process. The results of the CCCV cycle will be discussed in Chapter 5. It is obvious that due to strict electro-neutrality rules the electrolyte cannot simply contain more Li-ions than dictated by the LPO stoichiometry. The main question then arises in what new phase lithium has been immobilized. A possible explanation is that the formation of a new phase is induced by Si migration from the anode into the electrolyte. Silicon is well-known as a highly reactive chemical element, which can easily form silicide compounds in contact with other materials [33-36]. It is therefore proposed that a lithium-silicon-phosphate is formed. These multi-element phosphates have been reported to function as an excellent solid-state electrolyte and do, therefore, not impair basic solid-state LIB operation [37-41]. The formation of this new phase is,

105

Chapter 4

however, at the expense of consuming both lithium and silicon, and this therefore strongly reduces the storage capacity of Si-based solid-state batteries upon cycling.

Fig. 4.6. Three-dimensional spectra for Si 2p (first row) and Li 1s (second row) as determined by XPS depth-profiling for a pristine (a), fully charged (b) and fully discharged all-solid-state battery (c). Red spectra represent the Si anode, the interfacial Li-immobilization layer is identified by the green spectra whereas the grey spectra represent the pure LPO region. Cross-sectional HR-TEM image and corresponding EDX mapping of Si and P, and EDX line scans across the Si/LPO interface of a pristine (d), fully charged (e) and fully discharged battery (f).

Lithiation of Si in the first cycle is already essential in initiating the degradation process. It is therefore crucial to unveil the changes of the Si/LPO interface during this process, which is of key significance in understanding the degradation mechanism(s). To address this issue further, X-ray Photoelectron Spectroscopy (XPS) depth-profiling analyses have been carried out to investigate the evolution of the Si/LPO interface. Fig. 4.6a shows the Si 2p and Li 1s spectra of a pristine, as-deposited, battery. Clearly, both the Si 2p and Li 1s depth profiles show that the transition from the Si anode to the

106

Chapter 4

LPO electrolyte is very sharp and that no interfacial layer is present in the pristine state. However, after the first charging (lithiation), the transition between Si and LPO is no longer sharp. Si migrates into the solid-state electrolyte (Fig. 4.6b), resulting in the formation of the interfacial layer. The Si signal gradually decreases in the bulk of LPO. The reflections, denoted as Si-O-P [42-43], are also a clear indication of the formation of such a new phase. Another interesting observation for the Si electrode is the significant increase of the electrode thickness up to about 150 nm and the accompanying decrease in XPS signal intensity (compare Fig. 4.6a and b). This is caused by volume expansion upon Li-ion insertion, inducing a much less compact morphology. In close connection to the Si migration into the electrolyte, lithium is also trapped in the interfacial electrolyte region as the Li-enriched signal near the Si/LPO interface shows in the Li 1s spectra in Fig. 4.6b. This observation agrees quite well with the NDP observations shown in Fig. 4.1c. The immobilized Li-ions can unfortunately not be re- mobilized anymore, as has been concluded from Fig. 4.5b. This is confirmed by the XPS depth profile of the first discharge cycle (Fig. 4.6c), which shows that both the Si- O-P reflections and the Li-enrichment signals are still present in the LPO electrolyte. Owing to the Li extraction, the Si anode signal increases again towards higher intensities, indicating a more compact structure compared to lithiated Si. However, the original thickness and XPS intensity of the Si anode (compare Fig. 4.6a and c) is not fully recovered, which is due to the incomplete delithiation of Si, originating from the kinetic limitations. The front view of one Si 2p XPS spectrum corresponding to where the Li-immobilization layer has been formed is shown in Fig. 4.7 in detail. TEM observations also confirm the growth of such interlayer. The TEM image of the pristine Si/LPO interface is shown in Fig. 4.6d (left panel) and clearly shows a sharp electrode/electrolyte interface. EDX mapping for Si and P also indicate that there is no mixing between the two layers (second and third panel in Fig. 4.6d, respectively). Fig. 4.6e shows the Si/LPO interface after the first charging has become much more diffuse: a clear interfacial region (left panel in Fig. 4.6e) is formed between Si and LPO, which is confirmed by the elemental EDX results for Si and P (second and third panels in Fig. 4.6e, respectively). In contrast to the sharp EDX line scan shown in the 4th panel of Fig. 4.6d, a much more diffuse Si-gradient is found in the line scan of Fig. 4.6e, starting from the anode penetrating into the solid-state electrolyte. This again confirms that the origin of the interfacial layer is related to Si migration into the solid-state

107

Chapter 4 electrolyte. As expected the interfacial layer is conserved in the discharged state as Fig. 4.6f reveals.

Fig. 4.7. Front view of one XPS spectrum (Si 2p) of the Li-immobilization interfacial layer, which clearly indicates the formation of the Si-O-P bond, corresponding to the newly formed Si-modified phosphate at the Si/LPO interface.

Since three electrochemical procedures (charging, resting and discharging) were applied during cycling, it is interesting to investigate the impact of these stages on the growth process of the Li-immobilization interlayer. This could give a crucial answer of how and when Si migrates into the LPO solid-state electrolyte. The voltage curve of Fig. 4.8a indicates the three periods of charging from A to B, resting from B to C and discharging from C to D. The first hypothesis would simply be that the steep concentration gradient of Si at the Si/LPO interface is driving Si into the electrolyte. Against this hypothesis is the fact that the pristine battery was stored for three weeks under open-circuit conditions before the in-operando experiments were initiated and no mixing was found with NDP, XPS and TEM, even after such a long resting period. To further investigate the impact of resting between charging and discharging, NDP energy spectra were continuously recorded for a 21 hour resting period after the first

108 Chapter 4

charging. Fig. 4.8c shows the development of the in-operando spatial image during the entire relaxation period. This result clearly shows that the Li-immobilization interlayer is not expanding further during the extended relaxation time measured between B and C in Fig. 4.8a. Therefore we can conclude that the formation of the interface layer is not concentration-driven by Si and that Si migration into the solid-state electrolyte is induced by the charging and/or discharging current. In order to analyze Si-migration under current flowing conditions, spatial incremental images are considered just before charging (at position A), at the end of charging (B), just before discharging (C) and at the end of discharging (D). The incremental spatial images for charging (B-A) and discharging (D-C) are shown for the first 30 cycles in Fig. 4.8b and d, respectively. Focusing on the electrolyte region in the energy range of 800 to 1500 keV during charging (Fig. 4.8b), it is apparent that the Li- concentration differences between the fully charged and fully discharged state (B-A) in the solid-state electrolyte is quite inhomogeneous but locally increases to relatively high values as the red regions near the Si/LPO interface indicate. In contrast, during discharging (Fig. 4.8d), the differences between the fully discharged and fully charged state (D-C) is negligible, implying that the Li-immobilization layer is more or less stable and is not growing during the discharging periods. The findings shown in Fig. 4.8b-d clearly demonstrate that the Li-immobilization interlayer is mainly developed during the charging process. The fact that Li-immobilization in the solid-state electrolyte only takes place under charging conditions when Li-ions are transported from the cathode via the electrolyte to the Si anode is highly relevant. It is well-known that the lithiation process of Si induces very large volume expansions inside the anode. Especially at the Si/LPO interface this may create an extreme distortion of the host material through which the Si mobility can be drastically increased, facilitating Si migrating into the electrolyte. A second aspect which may play a role is that Li-ions are hopping inside the solid-state electrolyte via a vacancy hopping mechanism [44-45]. Li-ions have a radius of 0.128 nm, which is larger than that of Si (0.111 nm). The vacancies induced in the solid-state electrolyte under current flowing conditions can therefore easily host the highly mobile, migrated Si atoms. Simultaneously more Li-ions are immobilized in the electrolyte fulfilling the electroneutrality rule for this new chemical compound. Despite the fact that the vacancy hopping mechanism and Si volume changes during discharging are in the opposite direction, the migrated Si remains in the Li-immobilization interlayer, likely

109

Chapter 4 due to the fact that this compound is thermodynamically rather stable in comparison to that of pure LPO. The development of the degradation process is schematically summarized in Fig. 4.8e for the pristine, charging, fully charged, discharging and fully discharged state.

Fig. 4.8. Voltage charging, resting and discharging curve of a Si-LPO-LiCoO2 solid- state, thin-film battery during which the NDP spectra has been continuously collected (a). In-operando spatial energy profiles during the 1260 minutes of resting after the first charging process (c). The increment spatial image for a fully charged (b) and fully discharged (d) battery during the first 30 cycles, of which the images were obtained by subtracting the spectra for each cycle before the charging (B-A) and discharging (D-C) processes has started, respectively. Schematic representation of the evolution of the degradation mechanism of a Si-LPO-LiCoO2 thin-film battery upon cycling, involving the formation of the Li-immobilization interlayer, originating from the Si/LPO interface (e).

The degradation observed in the present study seems to deviate from our previously reported half-cell experiments, where a LPO-protected Si thin-film anode of

110 Chapter 4

50 nm thickness revealed a much better cycle-life [29]. However, this can be fully explained by the battery configuration used in the present work where a LPO layer thickness of 2 µm is used, while in our previous study a LPO layer thickness of only 200 nm was used [29]. Although a very stable cycle-life performance was observed in the latter case, a relatively large capacity drop was also present during initial activation [29]. This capacity loss can again be attributed to the formation of an interfacial Si- and Li-immobilization layer. However, as only 200 nm of LPO was used in that specific case, LPO becomes already fully saturated with Si in the initial stages of cycling and further immobilization of Si and Li does no longer occur. Moreover, these half-cell measurements [38] were performed against a metallic lithium counter electrode, which offers a large excess of lithium. Capacity losses due to the immobilization of lithium in the solid electrolyte can therefore not be monitored. In the full solid-state batteries considered in the present chapter, on the other hand, no excess of lithium is available. The immobilization of lithium in an interfacial layer has therefore a clear and direct impact on the cycle-life performance of all-solid-state batteries. Consequently, it can be expected that the occurring interfaces are common for all Si-based solid-state LIB, although the impact on the cycle life will be strongly dependent on the battery configuration, see for example Cras et al. [46] who reported deviating cycling performance for Si-LiPON-Li1.2TiO0.5S2.1 solid-state batteries with Si layer thicknesses, ranging from 80 to 180 nm. From the present results it can be concluded that developing stable interfaces for Si-based all-solid-state Li-ion batteries is essential to create long cycle-life performance.

4.4 Conclusions

Neutron Depth Profiling was shown to be a very powerful method to in-operando investigate the degradation mechanism of all-solid-state Li-ion batteries. It was found by NDP that degradation of Si-based all-solid-state batteries originates from the immobilization of lithium inside the electrolyte. A detailed degradation mechanism has been unveiled by combining NDP with supportive XPS and TEM measurements. It has been demonstrated that Li-immobilization is induced by Si-migration into the solid-state electrolyte under current flowing conditions. The Si-incorporated solid-state electrolyte is chemically modified in such a way that more lithium can be included in the as-formed

111

Chapter 4 interfacial layer. The formation of such an interfacial electrolyte layer unfortunately goes at the expense of the storage capacity of the thin-film batteries upon cycling as reversible Li-ions are immobilized in this new phase and can no longer be extracted during normal battery operation.

112 Chapter 4

References

[1] J. Vetter, P. Novάk, M. R. Wagner, C. Veit, K. –C. Mӧller, J. O. Besenhard, M. Winter, M. Wohlfahrt-Mehrens, C. Vogler, A. Hammouche, Ageing mechanisms in lithium-ion batteries. J. Power Sources 2005, 147, 269-281. [2] P. Verma, P. Maire, P. Novák, A review of the features and analyses of the solid electrolyte interphase in Li-ion batteries. Electrochimica Acta 2010, 55, 6332-6341. [3] P. H. L. Notten, F. Roozeboom, R. A. H. Niessen, L. Baggetto, 3-D integrated all-solid-state rechargeable batteries. Adv. Mater. 2007, 19, 4564-4567. [4] B. B. Wu, S. Y. Wang, W. J. Evans IV, D. Z. Deng, J. H. Yang, J. Xiao, Interfacial behaviours between lithium ion conductors and electrode materials in various battery systems. J. Mater. Chem. A 2016, 4, 15266- 15280. [5] Y. Z. Zhu, X. F. He, Y. F. Mo, First principles study on electrochemical and chemical stability of solid electrolyte-electrode interfaces in all-solid-state Li- ion batteries. J. Mater. Chem. A 2016, 4, 3253-3266. [6] A. Brazier, L. Dupont, L. Dantras-Laffont, N. Kuwata, J. Kawamura, J.-M. Tarascon, First cross-section observation of an all solid-state lithium-ion “nanobattery” by transmission electron microscopy. Chem. Mater. 2008, 20, 2352-2359. [7] M. Wohlfahrt-Mehrens, C. Vogler, J. Garche, Aging mechanisms of lithium cathode materials. J. Power Sources 2004, 127, 58-64. [8] L. Baggetto, P. H. L. Notten, Lithium-ion (de)insertion reaction of germanium thin-film electrodes: An electrochemical and in situ XRD study. J. Electrochem. Soc. 2009, 156, A169-A175. [9] T. D. Hatchard, J. R. Dahn, In situ XRD and electrochemical study of the reaction of lithium with amorphous silicon. J. Electrochem. Soc. 2004, 151, A838-A842. [10] K. Dokko, M. Mohamedi, N. Anzue, T. Itoh, I. Uchida, In situ Raman

spectroscopic studies of LiNixMn2-xO4 thin film cathode materials for lithium ion secondary batteries. J. Mater. Chem. 2002, 12, 3688-3693. [11] Z. Y. Wang, D. Santhanagopalan, W. Zhang, F. Wang, H. L. L. Xin, K. He, J. C. Li, N. Dudney, Y. S. Meng, In situ STEM-EELS observation of 113

Chapter 4

nanoscale interfacial phenomena in all-solid-state batteries. Nano Lett. 2016, 16, 3760-3767. [12] D. Ruzmetov, V. P. Oleshko, P. M. Haney, H. J. Lezec, K. Karki, K. H. Baloch, A. K. Agrawal, A. V. Davydov, S. Krylyuk, Y. Liu, J. Y. Huang, M. Tanase, J, Cumings, A. A. Talin, Electrolyte stability determines scaling limits for solid- state 3D Li ion batteries. Nano Lett. 2012, 12, 505-511. [13] G. P. Lamaze, H. H. Chen-Mayer, D. A. Becker, F. Vereda, R. B. Goldner, T. Haas, P. Zerigian, Cold neutron depth profiling of lithium-ion battery materials. J. Power Sources 2003, 119-121, 680-685. [14] L. Salavcova, J. Spirkova, F. Ondracek, A. Mackova, J. Vacik, U. Kreissig,

F. Eichhorn, R. Groetzschel, Study of anomalous behaviour of LiTaO3 during the annealed proton exchange process of optical waveguide’s formation –

comparison with LiNbO3. Optical Mater. 2007, 29, 913-918. [15] S. M. Whitney, S. R. Biegalski, Y. H. Huang, J. B. Goodenough, Neutron depth profiling applications to lithium-ion cell research. J. Electrochem. Soc. 2009, 156, A886-A890. [16] S. M. Whitney, S. R. F. Biegalski, G. Downing, Benchmarking and analysis of 6Li neutron depth profiling of lithium ion cell electrodes. J. Radioanal. Nucl. Chem. 2009, 282, 173-176. [17] L. H. M. Krings, Y. Tamminga, J. van Berkum, F. Labohm, A. van Veen, W.M.

Arnoldbik, Lithium depth profiling in thin electrochromic WO3 films. J. Vac. Sci. Technol. A 1999, 17, 198-205. [18] G. P. Lamaze, H. Chen-Mayer, M. Badding, M. L. Laby, In situ measurement of lithium movement in thin film electrochromic coatings using cold neutron depth profiling. Surf. Interface Anal. 1999, 27, 644-647. [19] G. P. Lamaze, H. H. Chen-Mayer, A. Gerouki, R. B. Goldner, Analysis of lithium transport in electrochromic multilayer films by neutron depth profiling. Surf. Interface Anal. 2000, 29, 637-641. [20] S. C. Nagpure, R. G. Downing, B. Bhushan, S. S. Babu, L. Cao, Neutron depth profiling technique for studying aging in Li-ion batteries. Electrochim. Acta 2011, 56, 4735-4743. [21] D. X. Liu, J. H. Wang, K. Pan, J. Qiu, M. Canova, L. R. Cao, A. C. Co, In situ quantification and visualization of lithium transport with neutrons. Angew. Chem. Int. Ed. 2014, 53, 9498-9502.

114 Chapter 4

[22] X. Y. Zhang, T. W. Verhallen, F. Labohm, M. Wagemaker, Direct observation of Li‐ion transport in electrodes under nonequilibrium conditions using neutron depth profiling. Adv. Energy Mater. 2015, 5, 1500498 (1-8). [23] D. X. Liu, A. C. Co, Revealing chemical processes involved in electrochemical (de)lithiation of Al with in situ neutron depth profiling and X- ray diffraction. J. Am. Chem. Soc. 2016, 138, 231-238. [24] J. F. M. Oudenhoven, F. Labohm, M. Mulder, R. A. H. Niessen, F. M. Mulder, P. H. L. Notten, In situ neutron depth profiling: A powerful method to probe lithium transport in micro‐batteries. Adv. Mater. 2011, 23, 41034106. [25] L. Baggetto, R. A. H. Niessen, F. Roozeboom, P. H. L. Notten, High energy density all‐solid‐state batteries: A challenging concept towards 3D integration. Adv. Funct. Mater. 2008, 18, 1057-1066. [26] V. Baranchugov, E. Markevich, E. Pollak, G. Salitra, D. Aurbach, Amorphous silicon thin films as a high capacity anodes for Li-ion batteries in ionic liquid electrolytes. Electrochem. Commun. 2007, 9, 796-800. [27] H. Jung, M. Park, Y.-G. Yoon, G.-B. Kim, S.-K. Joo, Amorphous silicon anode for lithium-ion rechargeable batteries. J. Power Sources 2003, 115, 346-351. [28] S. Bourderau, T. Brouse, D. M. Schleich, Amorphous silicon as a possible anode material for Li-ion batteries. J. Power Sources 1999, 81-82, 233-236. [29] J. Xie, J. F. M. Oudenhoven, P.-P. R. M. L. Harks, D. J. Li, P. H. L. Notten, Chemical vapor deposition of lithium phosphate thin-films for 3D all-solid- state Li-ion batteries. J. Electrochem. Soc. 2015, 162, A249-A254. [30] H. Li, X. J. Huang, L. Q. Chen, G. W. Zhou, Z. Zhang, D. P. Yu, Y. J. Mo, N. Pei, The crystal structural evolution of nano-Si anode caused by lithium insertion and extraction at room temperature. Solid State Ionics 2000, 135, 181-191. [31] U. Wahl, H. Hofsӓss, S. G. Jahn, S. Winter, E. Recknagel, Direct evidence for substitutional Li after ion-implantation into highly phosphorus-doped Si. Appl. Phys. Lett. 1993, 62, 684-686. [32] U. Kasavajjula, C. S. Wang, A. J. Appleby, Nano- and bulk-silicon-based insertion anodes for lithium-ion secondary cells. J. Power Sources 2007, 163, 1003-1039. [33] M. Bohner, Silicon-substituted calcium phosphates-A critical view.

115

Chapter 4

Biomaterials, 2009, 30, 6403-6406. [34] R. D. Thompson, B. Y. Tsaur, K. N. Tu, Contact reaction between Si and rare earth metals. Appl. Phys. Lett. 1981, 38, 535-537. [35] S. K. Zheng, J. W. Feng, J. A. Diverdi, G. E. Maciel, Chemistry of the Silica Surface: Reaction with Phosphorus Pentachloride. Inorg. Chem. 2006, 45, 6073-6076. [36] D.-S. Lin, T.-S. Ku, T.-J. Sheu, Thermal reactions of phosphine with Si(100): a combined photoemission and scanning-tunneling-microscopy study. Surface Science 1999, 424, 7-18. [37] Y. M. Zhu, W. F. Chu, W. Weppner, Investigation of gas concentration cell

based on LiSiPO electrolyte and Li2CO3, Au electrode. Chinese SCI Bull. 2009, 54, 1334-1339. [38] J. B. Bates, N. J. Dudney, G. R. Gruzalski, R. A. Zuhr, A. Choudhury, C. F. Luck, J. D. Robertson, Electrical properties of amorphous lithium electrolyte thin films. Solid State Ionics 1992, 53-56, 647-654. [39] K. Kanehori, K. Matsumoto, K. Miyauchi, T. Kudo, Thin film solid electrolyte and its application to secondary lithium cell. Solid State Ionics 1983, 9-10, 1445-1448. [40] Y. R. Su, J. Falgenhauer. T. Leichtweiβ, M. Geiβ, C. Lupó, A. Polity, S. Q. Zhou, J. Obel, D. Schlettwein, J. Janek, B. K. Meyer, Electrochemical properties and optical transmission of high Li+ conducting LiSiPON electrolyte films. Phys. Status Solidi B 2017, 254, 1600088 (1-8).

[41] M. Aslam, X. Y, Kong, A lithium ion conductor in Li4SiO4-Li3PO4-LiBO2 ternary system. Solid State Ionics 2016, 293, 72-76. [42] C. L. Yang, W. J. Weng, P. Y. Du, G. Sheng, G. R. Han, XPS studies of hydroxyapatite powders by grafting octadecyltrichlorosilane. Key Eng. Mater. 2007, 330-332, 765-768. [43] J. G. Liao, X. J. Wang, Y. Zuo, L. Zhang, J. Q. Wen, Y. B. Li, Surface modification of nano-hydroxyapatite with silane agent. Journal of Inorganic Materials 2008, 23, 145-149. [44] D. Danilov, R. A. H. Niessen, P. H. L. Notten, Modeling all-solid-state Li-ion batteries. J. Electrochem. Soc. 2011, 158, A215-A222. [45] Y. A. Du, N. A. W. Holzwarth, Li ion diffusion mechanisms in the crystalline

electrolyte γ-Li3PO4. J. Electrochem. Soc. 2007, 154, A999-A1004.

116 Chapter 4

[46] F. L. Cras, B. Pecquenard, V. Dubois, V-P. Phan, D. Guy-Bouyssou, All‐ solid‐state lithium‐ion microbatteries using silicon nanofilm anodes: High performance and memory effect. Adv. Energy Mater. 2015, 1, 1501061 (1- 10).

117

Chapter 5. NDP Energy Profiles Conversion into

Depth Concentration Profiles

Mathematical calibration procedure based on Monte-Carlo method

Abstract

The previous Chapter 4 qualitatively indicated the lithium- immobilization in the solid electrolyte forming a Si-doped interfacial layer. In order to evaluate quantitatively how much lithium is immobilized in the interlayer and remains inside the Si electrode, the energy profiles behave been converted into depth profiles. The details of these calculations based on a Monte-Carlo model are elaborated in this Chapter.

______* Parts of this chapter have been published as C.G. Chen, J.F.M. Oudenhoven, D L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P.H.L. Notten, Advanced Energy Materials, 8 (2018) 201801430 (1-11), DOI: 10.1002/aenm.201801430.

119 Chapter 5

5.1 Basics of energy loss theory

Depth profiles estimated by the NDP method are essential for understanding evolutions in battery stack layers. Chapter 4 convincingly qualitatively showed that energy profiles indicate the formation of a lithium-enriched interlayer at the Si/LPO interface in deposited thin-film Si-LPO-LiCoO2 batteries. It has also been demonstrated that the Si migration into LPO is essential for the occurrence of such an interfacial layer. One promising route to avoid Si migration into LPO is to directly use saturated Si- doped LPO (LiSiPO) as the solid electrolyte. Obtaining the chemical composition information of the formed LiSiPO solid electrolyte is highly relevant to produce such an intermediate electrolyte. This information can be obtained from depth lithium concentration profiles inside the battery stacks. Additionally, converting energy profiles to depth profiles will offer us more details about the lithium amount immobilized in the solid electrolyte and the Si migration depth into the LPO layer. Eq. 2.15 in Chapter 2 describes the formation of two types of charged particles (ions), the alpha particles (4He) and tritons (3H) with initial energy of 2.055 and 2.727 MeV, respectively. On the way towards the sample surface, the charged particles are losing energy proportional to the distance of their pathways in the solid materials. The resulting energy spectra for both particles are therefore measured for further analyses. Fig. 5.1 presents several important characteristics of the energy loss of a charged particles in a range of materials used in the production of thin-film batteries (simulations done by SRIM, [1]). Fig. 5.1a illustrates the dependence of the energy of an alpha particle on its pathway (penetration depth), Fig. 5.1b shows the same dependence for tritons. In general, the longer the pathway the more energy (E) is lost by the particles. The stopping power (S [MeV/µm]) is defined as the derivative of the energy (loss) with respect to traveling distance (x) in a given material, according to

푑퐸 푆 = − . (5.1) 푑푥

According to the original works of Bohr [2, 3], the stopping power of charged particles in solid media is composed of two terms. The first term is caused by the interaction of the incoming ions with the electronic clouds of the material atoms. This component is dominant for the battery materials under consideration and relevant ionic energies. The second component is attributed to interactions of the ions with the nucleus of the

120 Chapter 5

materials. This is usually much smaller and therefore frequently neglected in computational simulations.

Fig. 5.1. Relation between the energy of alpha particles (a) and tritons (b) as a function of the traveled distance inside various battery materials. Electronic (solid lines) and nuclear (dashed lines) contributions of the stopping power for α-particles and tritons in various materials (c) and (d) accordingly. Corresponding Bragg curves of alpha particles (e) and tritons (f).

121

Chapter 5

Fig. 5.1c and d shows the significant difference in magnitudes between the electronic (solid curves) and nuclear (dashed curve) stopping powers for the alpha particles and tritons in the same materials as in Fig. 5.1a-b. Obviously, the stopping power strongly depends on the energy and, therefore, on the traveling distance. Fig. 5.1e-f shows the stopping power of alpha particles and tritons, accordingly, as a function of the penetration depth for the same materials as shown in the previous figures. It can be seen that for each material the stopping power reaches a maximum, named a Bragg peak, which then quickly declines when the particles slow down to zero speed. Both stopping power and penetration depth strongly depends on the type of target material. From Fig. 5.1a, c and e it can be seen, that platinum has the largest stopping power of about 0.8 MeV/µm (at the peak) for the alpha particles and the smallest maximal penetration depth of approximately 3.5 µm, while light-weight Li metal combines the smallest stopping power of 0.1 MeV/µm with the largest penetration depth of 23.5 µm. A general tendency can be observed, that materials, containing lighter elements have a smaller stopping power and reveal larger penetration depths. From Fig. 5.1b, d and f it can be concluded that a similar tendency also holds for other types of ions (tritons). Simultaneously, it also can be observed that lighter and faster tritons have considerably smaller stopping power, and therefore reveal much larger penetration depths, which range from 133 µm for metallic lithium to 17.5 µm for platinum. Such a strong variation in stopping power for different ions and materials requires a more-detailed theoretical explanation. The following plain and intuitive derivations for the stopping power are reproduced from the first historical equation. Consider an ion with atomic number 푍1 moving with speed v inside a (target) material with atomic number 푍2 and atomic weight 푀2.

122 Chapter 5

Fig. 5.2. Layout of the interaction of an incoming ion with one of the target electrons (a). Integration with respect to impact parameter b taking into account axial symmetry of the problem (b).

When passing a target, an ion interacts with electrons of the target atoms. An impulse which is transferred to each electron leads to an energy loss of the ions. Light electrons are bound with the infinitely heavy nuclei. The minimal distance between ion and electron is denoted by , and further called the impact parameter, see Fig 5.2. Suppose that movement of ions started from position with coordinate L at zero moment of time and the particle was traced up to the position with coordinate x  0 , which was reached at the moment of time T L/ v . According to standard law of (classical) mechanics the change of transversal component of the impulse of electron is calculated as

T p( L ,0) F ( t ) dt (5.2) 0 where Ft() is a transversal component of the electromagnetic field. Electrostatic law and geometrical considerations leads to

Z e22Z e Ft( )  11 cos( )   cos( )  R2 () t b2 () L vt 2 Z e2 () L vt (5.3)  1 223/2 b() L vt Therefore

123 Chapter 5

T Z e2 () L vt dt pL( ,0)   1   223/2 0 b() L vt 22LL Z e s ds Z e 1/2 11 d b22  s  vv223/2  00bs (5.4) Z e221 1 Z e 1  11 1  2 2 2 v b L b vb 1  (L / b ) 

When the distance from which the ion came into the target is large enough, i.e. L  , then a limiting expression for transmitted impuls holds

Ze2 p(0)  lim  p ( L ,0)  1 (5.5) L vb

Finally, it can be noted that the electron dissipates energy on the whole ion trajectory, coming from infinity to the origin of coordinate system and then disappearing in the opposing direction. That results in the total change of the transverse momentum impulse, according to

2Ze2 p 1 (5.6) vb

In turn, the transmitted (and dissipated) energy can be calculated as

2 24 p  2Ze1 1 E   22 (5.7) 2mee m v b

Eq. 5.7 assumes that the trajectory of the ions is a straight line, any deviations are neglected. To obtain a stopping power, integration of Eq. 5.7 with respect to an impact parameter b is required, taking into account radial symmetry of the problem and multiply the result onto Z2 , i.e. the number of electrons per atom of the target material. Therefore

124 Chapter 5

bbmax 4 Z Z24 e max db S2 Z  E ( b ) bdb  21  2 m v2 b bbmin e min 24 , (5.8) 4 Z21 Z e bmax 2 ln me v bmin

where me denotes the mass of an electron. Eq. 5.8 contains singularities for bmin  0 andbmax , therefore physically meaningful limits for these two parameters must be given. The lower boundary for bmin can be estimated from Rutherford two-particle

2 Ze1 elastic scattering scheme, obtaining ~ 2 . The upper boundary can be obtained mve from the condition that the collision time should be smaller than the average time of orbital rotation of electrons, that is bmax ~ v /  , where  is an orbital electron frequency, see e.g. [4]. After substitution into Eq. 5.8 the following expression is obtained

24 3 4 Z21 Z e mve S  22ln (5.9) me v Z1 e 

Even this simple non-relativistic expression shows that (electronic) stopping power is a complex function of energy and charge of the incoming ions and depends on the properties of the host material. In particular, the stopping power is directly proportional to the atomic number of the target material and to the square of the atomic number of the incoming ions. Thus heavier materials should reveal higher stopping power, in line with the observations made from Fig. 5.1. The modern theoretical derivation of the stopping power is a refinement and extension of the original Bohr ideas and can be found in works of Bethe [5,6] and Bloch [7,8]. A general Bethe-Bloch stopping-power expression has the following form

휅푍 푆 = 2 푍2퐿(훾), (5.10) 훾2 1 where γ = 푣⁄ c and c is the speed of light. The pre-factor κ follows the expression κ = 2 2 2 2 4πr0mec in which me is the mass of an electron and 푟0 = 푒 ⁄(푚푒푐 ) is the Bohr electron radius. 퐿(훾) is called the stopping number, which has been expanded as

2 퐿(훾) = 퐿0(훾) + 푍1퐿1(훾) + 푍1 퐿2(훾) + ⋯ (5.11)

125

Chapter 5

and describes all corrections for basic, two-particle, energy loss processes. L0(γ) corresponds to the original Fano formulation [9], the second term of the stopping 3 number expansion 퐿1(훾) is usually called Barkas correction (also called 푍1 correction), 4 and the third term 퐿2(훾) is called Bloch correction, or 푍1 correction. All those terms have an analytical form but are generally quite complicated. For example, the Fano formulation has been reported as follows

2 2 1 2푚푒푐 훾 ∆퐸푚푎푥 2 퐵 훿 퐿0(훾) = 푙푛 ( 2 ) − 훾 − − 푙푛〈퐼〉 − (5.12) 2 1−훾 푍2 2 where 〈퐼〉 is the mean ionization energy of the target atom, 퐵⁄ is the shell correction 푍2 term, 훿⁄ 2 is the density correction and ∆퐸푚푎푥 is the largest possible energy loss in a single collision with a free electron, which is given by

2 2 2 −1 2푚푒푐 훾 2푚푒 푚푒 ∆퐸푚푎푥 = ( 2 ) (1 + 2 + [ ] ) (5.13) 1−훾 푀1√1−훾 푀1

The shell correction term 퐵⁄ deals with the assumption that the velocity of the 푍2 incoming ions is much larger than the velocity of electrons in the target materials. This term may contribute up to 10% of overall stopping power and is determined by the electronic structure of the host material. Various approximations are applied to calculate that term, such as hydrogenic wave functions, local density approximations or empirical corrections [4]. The mean ionization energy 〈I〉 accounts for the discrete energy levels of the electrons in the target substance. As proposed by Fano [10], the correction term and the mean ionization energy can be treated as a combined term, which is estimated from the experimentally measured electronic stopping power. The 훿 density effect ⁄2 takes into account polarization of the target material, which results in the reduction of the electromagnetic energy of the incoming ion in comparison with free-space values. Finally it should be noted that classical Bethe-Bloch formalism lacks accuracy in determination of stopping power for low-velocity situations. In that case, the incoming ions may capture target electron(s), which results in (partial) ∗ neutralization of its charges. Northcliff [11] introduced 푍1 as the statistical net charge correction to the charge of partially neutralized ions, according to

* Z1 v 1  exp(  2/3 ) (5.14) Z1 v0 Z 1 where v0 is the electron orbital velocity. Eq. 5.14 is also a simplification and is known

126

Chapter 5 to underestimate neutralization effects. It is known that in regions of low energies (100- 200 keV) the deviation of Bethe-Bloch equation with the experimental results can reach 15-25% [12].

5.2 Finite-Volume Discretization

Fig. 5.3. Original battery stack (a). Layout of finite volume discretization (b).

To model the NDP energy spectra of solid-state batteries, the layered structure of the battery stack is discretized into Finite-Volume Elements (FVE), as schematically shown in Fig. 5.3. The original battery stack can be seen in Fig 5.3a, and contains 6 layers of different composition. Note, that only lithium-containing parts of the stack can generate ions, therefore only those layers are discretized by FVE (Fig. 5.3b). However the copper current collector and layer of polymer packaging still have some stopping power and must therefore be taken into account during the Monte-Carlo SRIM simulations. Denote the FVE number as i, which ranges from 1 to N and introduce the molar concentration [mol·cm-3] of Li in the ith FVE, according to

푆푡(퐿푖) 푐푛 = 휌 (5.15) 푖 푀 where 휌 is the materials density [g·cm-3], M is the molar mass of the material [g·mol-1] and St(Li) is the stoichiometric lithium content in the material. For example, for LiCoO2 with density 휌 = 4.9 g·cm-3, and M is 98 g·mol-1, St(Li) is 1. It is generally accepted that the fraction of 6Li in natural abundant Li is the same in all materials. The 6Li 푛 concentrations (푐푖 ) can therefore be further renormalized, according to

127 Chapter 5

푛 푐푖 푐푖 = 푁 푛 , (5.16) ∑푖=1 푐푖 ∆푤

푁 푛 where ∆w is the width of an individual FVE. Consequently ∑푖=1 푐푖 ∆푤 = 1 and therefore ci represents the relative weight of a given FVE, and a set of 푐푖 represents the normalized distribution of Li within the complete battery stack. It is assumed that each FVE emits particles independently of any other FVE.

The intensity of this elementary source is proportional to 푐푖. The energy loss of ions during passage across a material is considered to be a random process. This process can be simulated by the publically available SRIM/TRIM Monte-Carlo program (SRIM- 2008.04) [11]. For the battery sample shown in Fig. 5.3a a set of 100 independent trajectories of 4He and 3H ions was simulated by SRIM. It was assumed that a source of the ions is in the deepest FVE, the left most FVE in Fig 5.3b.

Fig. 5.4. Monte-Carlo simulated trajectories of 4He and 3H ions moving through the entire battery stack (a). Transverse exit view where the 4He and 3H particles leave the battery stack surface, moving towards the detector (b).

Fig. 5.4 illustrates the basic functionality of SRIM. Fig. 5.4a shows the profile view of the particles, emitted from one of the inner FVE in the direction towards the outer interface. A spread in directions of ion trajectories can clearly be seen, which is minimal when just emitted but quite visible near the right edge of the battery stack. This spread is caused by the collision of heavily charged ions with atoms of the passing material. Fig. 5.4b illustrates a radial distribution of the exit points of ions at the surface, assuming a point source in the corresponding FVE.

128 Chapter 5

Fig. 5.5. Simulated energy distribution from 200 FVE elements (a). Spectra selected for each 8th element within the solid-state battery under investigation (b). Theoretically expected Li-distribution inside the various thin-film battery layers: LiCoO2 (black line), LPO (blue), LiSiPO (red) and Si (cyan) (c).

The left most FVE in Fig. 5.4 will obviously result in particles with the lowest energy, because those particles have to pass the longest distance through the material. The next FVE will give particles with somewhat larger energies and so on. The right most Li-containing FVE will give particles with the highest energy. Fig. 5.5 shows the simulated energy distributions from all 200 FVE (a) and from each 8th element (b) to better visualize the shape of energy distributions stemming from the individually selected FVE. It can be seen that the distance in energy distributions between signals of the individual FVE depend on the materials properties. Materials with a larger stopping power, e.g. the black curves for LiCoO2, generate larger distances between the separate distributions than for example LPO (blue curves).

129 Chapter 5

Fig. 5.6. Normalized simulated energy distributions from FVE for all elements (a), for clarity reasons the signals for each 8th element are shown (b) and resulting conversion into the energy spectrum (c).

The simulations shown in Fig. 5.5a presume that all FVE are identical and emit ions with equal intensity. In fact, the lithium content in FVE depends on the material, according to Eqs. 5.15 and 5.16. A theoretically expected normalized lithium concentration profile (Eq. 5.16) is shown in Fig. 5.5c. When the energy distributions depicted in Fig. 5.5a are normalized according to Fig. 5.5c, the resulting set of distributions are shown in Fig. 5.6a and b, illustrating what the total distribution looks like for a test cell. When the number of FVE increases, the contributions from an individual FVE are more continuous and become less noisy. It is interesting to note that the energy contributions from the layers with high stopping power, such as LiCoO2, produces lower average energies in the resulting spectrum because the corresponding part of the spectrum has a larger spread. In contrast, the layers with low stopping power (e.g. LPO) produce more compact peaks with a higher average energy intensity. To

130 Chapter 5 obtain the total energy distribution, the contributions for the individual FVE must be added up. Fig. 5.5c was calculated by adding individual contributions from 200 FVE.

The black line corresponds to the summation of the FVE of the LiCoO2 cathode, the blue line outlines the contributions of FVE of the LPO electrolyte, the red line corresponds to the mixture of Si and LPO, and the cyan line is the contribution of the lithiated Si anode. The resulting pink line represent the final simulated energy spectrum, which includes the contributions from all parts of the battery stack.

5.3 Determination of depth profiles

The main concern of the previous section was modeling of the energy distribution in the NDP experiments. That problem is interesting as it is, but also very important as a preliminary step in analyzing the lithium concentration profiles. The measured profiles are energy spectra and conversion into real lithium concentration profiles requires a method to reconstruct the lithium concentration at a particular depth on the basis of the energy signal. This section describes how such a depth profile algorithm can be set up. The determination of the lithium concentration profiles is a two-step process. In the first step a set of preliminary estimated parameters of the sample, such as layer thicknesses are fixed according to manufacturing process. Then (molar) lithium concentrations inside each layer are set according to the materials stoichiometry. After that, the layers thicknesses are varied to obtain the best agreement between the theoretically calculated and experimentally observed energy spectra. Such a procedure usually results in the reasonably good agreement with experimentally measured energy spectra, like it is shown in Fig. 5.7. The remaining deviations between the calculations and the experiments can be attributed to (local) deviations in the lithium concentration.

131 Chapter 5

Fig. 5.7. Comparison of a theoretical (pink, solid and dash lines) and experimentally measured spectrum of alpha particles (blue) and tritons (cyan).

The second step consists of a depth-profile estimation procedure. Denote a set of normalized concentrations in M separate FVE as 풄 = (푐1, 푐2, … , 푐푀). By definition 퐜 is an M-dimensional vector. Denote the energy distribution (energy spectrum), i.e. the probability density function of energy, given a set of normalized lithium concentrations in separate FVE-s as 푓(푒|풄) = 푓(푒|푐1, … , 푐푀) . Note that f(e|퐜) is a conditional probability density function. Since the signals from separate FVE are considered to be independent, it follows that

푀 푓(푒|푐1, … , 푐푀) = ∑푗=1 푐푗푓(푒|0, … , 푐푗, … ,0), (5.17)

th where 푓(푒|0, … , 푐푗, … ,0) is a conditional distribution of the signal from a single j FVE (while the stopping power in the rest of the sample is fixed). In these notations Fig.

5.5c corresponds to 퐜 and Fig. 5.5a shows 푓(푒|0, … , 푐푗, … ,0). Fig. 5.6a represents

푐푗푓(푒|0, … , 푐푗, … ,0), while Fig. 5.6c gives f(e|퐜). The distribution of particles at the exit of the battery stack can then be simulated. For example the spectrum, corresponding to the charged battery state at cycle 100, is presented in Fig. 5.7. The simulated spectrum (pink line, solid and dash) is compared with the experimentally measured spectra for both the alpha particles (blue) and tritons (cyan), indicating good agreement between the theoretically predicted and experimentally measured energy profiles. Note that the final simulated spectrum has been convoluted with the measurement noise

132 Chapter 5

with standard error of 35 keV, while the experimentally observed spectrum was subject to background noise removal and subsequent smoothing. Good agreement is obtained between the measured and simulated spectra for both types of particles.

Fig. 5.8. Calibration functions of the energy versus depth (a) and depth versus energy relation (b).

Consider a conditional distribution 푓(푒|0, … , 푐푗, … ,0). An expected value for this conditional distribution is given by

퐸̅푗 = ∫ 푒푓(푒|0, … , 푐푗, … ,0)푑푒 , (5.18) where E̅j represents an average value of the energy of the particles coming from the jth FVE. Define an Energy-Depth (direct) calibration function for the thin-film sample, according to

퐷퐸(퐸̅푗) = 푑푗 , (5.19)

th where dj is the location coordinate for the middle of j FVE layer. Eq. 5.19 defines the value of function DE in point E̅j equal to dj. Then, for an arbitrary E, function DE(E) is defined by linear interpolation from the table given by Eq. 5.19. In a similar way, an inverse (Depth-Energy) calibration function 퐸퐷(푑) is defined by

퐸퐷(푑푗) = 퐸̅푗 , (5.20) with linear interpolation between points. The functions DE(E) and ED(d) for the solid- state battery are shown in Fig. 5.8a and b, respectively, considering the alpha particles in 200 FVE. Note that Fig. 5.8 is in good qualitative agreement with Fig. 5.1, where

133

Chapter 5

퐿𝑖퐶표푂2 was shown to have one of the largest stopping powers and therefore produces the smallest slope in Fig. 5.8a and the largest slope in Fig. 5.8b. According to the calibration function, each point in the observed energy spectrum can be related to certain depth by applying DE(E) . That gives the approximate depth which this part of spectrum came from. The second part of the depth profile algorithm is an estimator of the amount of lithium at that particular depth. Denote f̂(E) of the observed NDP spectrum at energy E. Then the Bayesian estimates

푓̂(퐸) [3] for normalized Li concentration at depth DE(E) is written as 푐̂(퐸) = 푘푛 , 푑퐷퐸(퐸)/푑퐸 where the derivative dDE(E)/dE is obtained by numerical differentiation of direct calibration function DE(E) (Fig. 5.9a) and normalization constant 푘푛 is obtained from ∞ the condition 푐̂ (퐸)푑퐸 = 1. From Bayesian perspective f̂(E) represents ‘a data’, ∫0 while multiplier 푘푛 is ’a prior’. A pair of 푑퐷퐸(퐸)/푑퐸

푓̂(퐸) [퐷퐸(퐸), 푘푛 ] (5.21) 푑퐷퐸(퐸)/푑퐸 represents a NDP estimate for normalized lithium concentration in the experimentally measured sample. Fig. 5.9b shows a comparison with the known theoretical distribution of lithium given by the three horizontal lines and estimation according to Eq. 21, applied to the simulated spectra shown in Fig 5.6c. The expected theoretical distribution of lithium in cobalt-oxide, LPO, LiSiPO and LiSi is given by the horizontal lines: black, blue, red and cyan, respectively. The thick pink line represents the estimated lithium concentration as function of depth, while the thin lines of black, blue, red and cyan color give the estimated contributions of cobalt-oxide, LPO, LiSiPO and LiSi layers, respectively. It can be seen that the estimated lithium concentration (pink line) serves as a good estimate for the expected concentrations of lithium in the considered test sample. In real experiments an exact distribution of lithium in the sample is not known, as well as the exact thickness of the individual layers. However the thicknesses of the layers can be estimated by the first step of the estimation procedure, obtaining a nice correspondence between SRIM-simulated and experimentally measured spectra (Fig. 5.7). Then on the basis of the simulated spectrum the calibration function (Eq. 5.19) is estimated, its derivative calculated and, finally, the depth-profile estimation applied, according to Eq. 5.21.

134 Chapter 5

Fig. 5.9. Derivative of the direct calibration function 푑퐷퐸(퐸)⁄ 푑퐸 (a). Simulated Li concentration profile compared to the theoretically expected Li distribution, corresponding to Fig. 5.5c (b).

5.4 Application to the battery ageing characterization

The NDP raw data used for the conversion of energy spectrum into depth profile are based on the energy profiles, which have been shown in Chapter 4. Fig. 5.3a describes such a battery in the charged state after 100 cycles, when a LiSiPO interlayer, which has a chemical composition of Li3+βSiαPO4, has been formed at the anode/electrolyte interface. The following parts can be identified from left to right:

LiCoO2 cathode, LPO electrolyte, LiSiPO interlayer, Si anode, Cu current collector and packaging coating.

According to the general battery concept, the LiCoO2 cathode is separated from the Si-based anode by a LPO-type of solid-state electrolyte with initial chemical composition of Li3PO4. When Si migrates into the LPO matrix and gets trapped it can immobilize some additional lithium atoms, according to

퐿𝑖3푃푂4 + 훼 푆𝑖 + 훽 퐿𝑖 → 퐿𝑖3+훽푆𝑖훼푃푂4 (5.22)

The newly formed interfacial electrolyte with composition Li3+βSiαPO4 can be denoted as LiSiPO. The Si anode material fraction that migrates into the LPO layer is defined as x. If the original molar amount of Si in the anode is denoted by nSi, the molar amount of Si migrating into LPO can be represented by 푛푆푖:퐿푃푂 = 푥푛푆푖 . It is furthermore assumed that the number of Li-atoms stored per Si inside the solid-state

135 Chapter 5 electrolyte is constant and is determined by 훽⁄ 훼, according to Eq. 5.22. This ratio and other parameters have been determined from a comparison between the Monte-Carlo NDP simulations and the experimentally measured NDP spectra. The thicknesses of the layers were optimized to reach the best correspondence between experiment and simulation. The as-determined parameter development of a thin-film Si-LPO-LiCoO2 battery during cycling is summarized in Table 5.1. It indicates that the largest rate of loss of Si takes place at the first charging process. 훽⁄ 훼 was found to be equal to 10, which is comparable to the reported compositions [4-5]. This offers practically useful information in producing the LiSiPO solid electrolyte with such target chemical composition to prevent Si doping into LPO. On the basis of above analysis, the energy profiles shown in Chapter 4 have been converted to depth profiles. The depth profile of the pristine battery was subtracted from all subsequent estimated profiles. The resulting difference depth profiles as a function of cycle number are shown in Fig. 5.10a and b for the charged and discharged states, respectively. Again it is clear that lithium becomes accumulated in the solid-state electrolyte. This is in line with experimental results of Chapter 4, which shows the formation of a LiSiPO layer at the interface between LPO and Si. It also can be seen that some lithium stays behind in the anode and is therefore no longer available to fully discharge the cathode, but this is mainly related to kinetic limitations as NDP measurements confirm that most of the lithium residue can indeed be further extracted from the Si anode after CCCV discharging (compare the black and red curve in Fig. 5.10). Analyzing difference profiles in the charged state it can be seen that the depth profiles in the anode part goes down upon cycling, while these remain stable in the cathode and increase in the electrolyte. In contrast at the discharged state, the depth profiles in the cathode goes down upon ageing. That indicates that accumulation of lithium is accompanied with a reduction in size of the anode (Si migrates into electrolyte) and the amount of cyclable lithium declines inside the electrodes, because more and more of lithium is accumulated in the LiSiPO layer.

136 Chapter 5

Table 5.1. Optimized battery parameters as a function of cycle number. Symbol x α β Cumulative Atomic amount Excess atomic amount fraction of Si of Si per LPO in of Li per LPO in Definition migrated into LiSiPO LiSiPO LPO Growing, reflecting the Growing, reflecting the Remark/applicability Growing upon fact that LiSiPO fact that more Li is cycling becomes more trapped in LISIPO Si-rich during during ageing ageing 1st charge 0.160 0.035 0.35 1st discharge 0.160 0.035 0.35 2nd charge 0.160 0.035 0.35 2nd discharge 0.160 0.035 0.35 10th charge 0.220 0.038 0.38 10th discharge 0.220 0.038 0.38 100th charge 0.260 0.044 0.44 100th discharge 0.260 0.044 0.44 250th charge 0.300 0.048 0.48 250th discharge 0.300 0.048 0.48

A more-detailed, quantified, description of these degradation processes can be achieved by integration of the depth profiles-signal changes in the fully discharged battery states (see Fig. 5.10b) for the three individual electrode/electrolyte regions. The integrated, accumulated charge in the solid-state electrolyte (Qe(n)), the anode (Qa(n)), and the cathode (Qc(n)) is a function of cycle number (n), and can be represented by

퐴푖(푛) 푄푖(푛) = 푄푐(1) (5.23) 퐴푐(1) where i is e, a and c accordingly. The integrated peak areas of the electrolyte (Ae(n)), anode (Aa(n)) and cathode (Ac(n)) in the discharged battery state during cycling are related to the integrated cathode peak area in the charged battery state in the first cycle

(Ac(1)) and the electrochemical charge introduced during the first charging (Qc(1)). The results for the electrolyte and the Si electrode are shown by the red and black curve in Fig. 5.10c, respectively. The amount of accumulated lithium in the electrolyte region is increasing (red curve). The amount of lithium staying behind in the Si electrode is more or less constant upon cycling (black curve). As this feature has a kinetic origin it is, formally speaking, not related to a battery ageing process. The summation of these two lines is represented by open symbols in Fig. 5.10c and represents the total loss of lithium upon cycling. The total battery capacity loss (Qc(n)), obtained by integration of

137 Chapter 5 cathode peak area, is also shown in Fig. 5.10c (gray curve) and the result matches very well with the summation of the two Li-ion loss sources (open symbols). The as- determined integrated NDP capacity losses also match very well with the storage capacity decay curve, shown in the inset of Fig. 4.3 in Chapter 4, implying fast degradation during the initial 30 cycles followed by a more slow degradation process at higher cycle numbers.

Fig. 5.10. Depth profiles of the normalized lithium concentration at the charged (a) and discharged (b) state in the 1st (red), 2nd (blue), 10th (yellow), 30th (green), 100th (purple) and 250th (grey) cycle, for which the signal of the pristine (discharged) battery has been subtracted. Integrated NDP curves of the discharged battery as a function of cycle number (c). The red curve represents the integrated capacity loss due to lithium immobilization in the solid-state electrolyte (Qe(n)) and the black curve shows the integrated lithium residue in the Si anode (Qa(n)). The open cyan symbols show the summation of the integrated signals of the electrolyte and Si anode, which agrees very well with the grey curve, representing the integrated capacity reduction of the cathode (Qc(n)).

138 Chapter 5

5.5 Conclusions

It has been demonstrated that Finite-Volume-Element modeling in combination with Monte-Carlo calculations made by the SRIM/TRIM software can be employed to convert NDP energy profiles to lithium concentration depth profiles. A conversion method of experimentally measured energy spectra into the lithium depth profiles is proposed. The method was tested firstly on the simulated data, demonstrating an acceptable degree of accuracy. Subsequently, the method was applied to a large set of experimental data, revealing the ageing process of thin-film all-solid-state batteries described in Chapter 4. The method made it possible to propose a degradation mechanism for Si-anode-based thin-film batteries upon (dis)charging. Immediately during the activation cycle, the Si-containing LiSiPO interlayer is formed inside the LPO electrolyte, starting to grow at the interface between Si and LPO. This layer grows very fast during the first cycle but continues to grow with slower rate afterwards. A large part of original LPO electrolyte turns into LiSiPO at the end of the cycling. This process is accompanied by the loss of (lithiated) Si at the anode side. In addition, the reversible lithium content in the electrodes is also reduced. Conclusively, the proposed concentration depth-profile estimation method has been used to quantify the degree of lithium immobilization in the electrolyte of all-solid-state batteries. These results match very well with the reduced electrochemical storage capacities found for these batteries upon cycling.

139 Chapter 5

References

[1] http://www.srim.org/ [2] N. Bohr, On the constitution of atoms and molecules, Philos. Mag. 1913, 26, 1-25. [3] N. Bohr, On the decrease of velocity of swiftly moving electrified particles in passing through matter, Philos. Mag. 1915, 30, 581-612. [4] J. F. Ziegler, Stopping of energetic light ions in elemental matter, J. Appl. Phys. 1999, 85, 1249-1272. [5] H. Bethe, Zur Theorie des Durchgangs schneller Korpuskularstrahlen durch Materie, Ann. Phys. 1930, 6, 325-400. [6] H. Bethe, Bremsformel für Elektronen relativistischer Geschwindigkeit, Z. Phys. 1932, 76, 293-299. [7] C. F. v. Weizsäcker, Durchgang schneller korpuskularstrahlen durch ein ferromagnetikum, Ann. Phys. 1933, 409, 869-896. [8] F. Bloch, Bremsvermögen von Atomen mit mehreren Elektronen, Z. Phys. 1933, 81, 363-376. [9] U. Fano, Penetration of Protons, Alpha Particles, and Mesons, Annu. Rev. Nucl. Sci. 1963, 13, 1-66. [10] U. Fano, Studies in penetration of charged particles in matter, Nuclear Science Report No. 39, U.S. National Academy of Sciences, Washington DC, 1964, 1–338. [11] L. C. Northcliff, Energy loss and effective charge of heavy ions in aluminum, Phys. Rev. 1960, 120, 1744-1757. [12] H. O. Wyckoff, ICRU Scientific Counsellor, Stopping powers and ranges for protons and alpha particles, Intl. Comm. on Rad. Units, Bethseda, MD, 1993, ICRU-49. [13] J. O. Berger, Statistical decision theory and Bayesian analysis, Springer, New York 1985. [14] Y. R. Su, J. Falgenhauer. T. Leichtweiβ, M. Geiβ, C. Lupó, A. Polity, S. Q. Zhou, J. Obel, D. Schlettwein, J. Janek, B. K. Meyer, Electrochemical properties and optical transmission of high Li+ conducting LiSiPON electrolyte films. Phys. Status Solidi B 2017, 254, 1600088 (1-8).

[15] M. Aslam, X. Y. Kong, A lithium ion conductor in Li4SiO4-Li3PO4-LiBO2

140 Chapter 5 ternary system. Solid State Ionics 2016, 293, 72-76.

141

Chapter 6. Interface Tailoring of Si-Based Solid-State

LIB

Abstract

The Si/solid-state electrolyte interface has been further investigated by FFDXM. Ring-shape structures are formed at the interlayer. This interlayer expands during the lithiation process only, which agrees well with the NDP findings described in Chapter 4. Concurrent measurements conducted on a partly protected Si electrode containing a

protective 20 nm Li4Ti5O12 layer shows that the formation of the interlayer can be effectively prevented, which leads to strikingly increased electrochemical performance of the Si electrodes.

143 Chapter 6

6.1 Introduction

The NDP analyses of the Si/LPO interface described in Chapter 4 revealed that Li is trapped inside the as-denoted LiSiPO interlayer at the Si/LPO interface, resulting in a significantly reduced storage capacity in all-solid-state LIB [1]. A remaining question from these NDP investigations was whether the interlayer has been developed homogeneously. To address this, a technique with high spatial resolution is required and FFDXM perfectly meets this requirement [2]. In this Chapter, the FFDXM method has been devoted to visualize the Si/LPO interface under in-operando electrochemical conditions. The introduction of a protective layer may prevent the “cross-talk” between the Si and the solid LPO electrolyte. An ideal protective material needs to be mechanically, electrochemically and chemically stable against (lithiated) Si and LPO as well as a good conductor for lithium-ions. To address this, Li4Ti5O12 (LTO) has been proposed in this work, for two reasons. Firstly, LTO is known as a zero-strain electrode material, and can maintain its stable structure even after extensive cycling of lithium-ions [3-4]. Secondly, LTO is commonly accepted as a SEI-free anode material due to its high lithiation potential of 1.5 V [5]. The high lithium-ionic conductivity is achieved by lithiating and maintaining LTO in its highly lithiated state of Li7Ti5O12. The protective influence of LTO will be investigated in this chapter. To study the effect of the LTO layer and to ensure exactly same experimental conditions, a so-called “half-half” partly protected electrode configuration is adopted where half of the monocrystalline Si substrate electrode is covered with LTO before LPO is deposited and the other half is not, as is schematically shown in Fig. 6.1a. The thickness of the LTO protective layer and the LPO solid electrolyte are 20 nm and 200 nm, respectively. The fabricated samples were assembled in the FFDXM cell, which has been illustrated in Fig. 3.1a. The used monocrystalline Si substrates (200 μm) are the same as described in Chapter 3. Galvanostatic charging and discharging is applied as cycling method for the FFDXM measurements. During cycling, FFDXM rocking curves are simultaneously conducted for both the protected (Si-LTO-LPO) and exposed (Si-LPO) area. The (115) reflection, with an incident angle of 1.8°, is chosen to minimize contribution of bulk Si. The penetration depth of the X-rays at 19.7 keV is approximately 30 µm.

144 Chapter 6

Fig. 6.1. Schematic representation of a “half-half” (half protected by LTO, half not) monocrystalline Si electrode in the pristine state with accompanying ring-shaped contrasts on the unprotected area induced by the LPO deposition process (a). Raw FFDXM images in region I (Si-LPO area) and II (Si-LTO-LPO area) (b).

6.2 Results and discussion

6.2.1 Inhomogeneously formed interlayer at the Si/LPO interface

Interestingly, structural contrasts are clearly observed in the FFDXM images of a pristine Si electrode, only at the unprotected Si-LPO side (left panel in Fig. 6.1b). Since FFDXM is only sensitive to the structural changes of the monocrystalline Si, these structures must be induced in the monocrystalline Si by the LPO deposition process. Remarkably, the FFDXM structures are completely absent in the LTO- protected area (right panel in Fig. 6.1b).

145

Chapter 6

Fig. 6.2. In-operando interfacial contrast of an unprotected Si/LPO interface during galvanostatic lithiation (white regions), resting (grey regions) and delithiation (green regions). Voltage and current versus time plots in the first two cycles (a). Raw FFDXM images during the first two (de)lithiation cycles at the indicated time (b to i).

The half-half electrode has been investigated by in-operando FFDXM and galvanostastic charging/discharging has been applied for lithation/delithiation process. Fig. 6.2 a shows the voltage and current plots in the 1st and 2nd cycles. During these electrochemical process, the FFDXM images have been collected. Figs. 6.2b-i show the raw FFDXM images at the off-Bragg angle during galvanostatic lithiation (white areas), resting (grey areas) and delithiation (green areas), taken at the various indicated times during the 1st and 2nd (Fig. 6.2a) cycle. As concluded above, some structures are already present even before cycling (Fig. 6.2b). Three different structures can be identified in Fig. 6.2c. Type I structures, indicated by white arrows, are ring-shaped with a strong lattice tilt near the edges. Type II structures (red arrows) indicate similar contrasts but low lattice tilts near the edges. Type III structures (black arrows) reveal more irregular shapes with very strong lattice tilts near the edges. These irregular structures (type III) are therefore very bright in the FFDXM images and indicate that they might represent surface damages with defects, probably created during the LPO deposition process. Type I structures appear to grow in size upon cycling, manifesting itself as pairs of concentric circles with increased outer ring radius

146 Chapter 6

(see white arrows indicated structures in Figs. 6.2b-i). Type II structures (red arrows pointed structures in Fig. 6.2b-i) have similar round-shape as Type I structures. However, the size of Type II will keep more or less the same during cycling.

Fig. 6.3. XPS spectra for Li 1s (a), P 2p (b) and Si 2p (c) of a pristine Si-LPO electrode as a function of the sputter etch time. Red spectra represent the Si anode, the Si- doped-interfacial layer is identified by the green spectra whereas the grey spectra represent the pure LPO region.

Sputter-etched X-ray Photoelectron Spectroscopy (XPS) has been applied to check the chemistry of type I and II structures for the as-deposited sample. Fig. 6.3a shows clearly that, already in the pristine state, the Li 1s spectra in LPO layer (grey spectra) has a decreasing intensity gradient and a small amount of Li in the LPO layer has lithiated into Si anode (red spectra), forming an interfacial layer (green spectra). The formed interlayer has a higher lithium content than LPO. Fig. 6.3 b shows P 2p spectra indicating that the interlayer also contains P elements. The Si 2p spectra in Fig. 6.3c demonstrates that some Si from the anode have migrated out and doped into LPO. These XPS analyses suggest that Type I and II structures are resulting from forming Si-doped LiSiPO interlayer, which has already been induced after the deposition. However, the FFDXM results (Fig. 6.2) suggest that the formation of LiSiPO is not homogeneous. One question comes out is why some interlayer structures are growing (Type I) and some not (Type II). One possible explanation is that Type I structures (interlayer) have an unsaturated Si amount and Type II has a saturated Si composition. This can be evidenced from the edge effect difference for these two structures (white arrows (Type I) and red arrows (Type II) indicated in Fig. 6.2b). The lithium pre-doping into Si during deposition will induce stress on the monocrystalline Si. However, the Si migration out from the monocrystalline can mitigate the stress reducing the lattice tilt. 147

Chapter 6

Type II structures have less lattice tilt at the edges than Type I, indicating the Si ratio in Type II structures is lower than that in Type I structures, which might be already saturated. That is why the un-saturated interlayer (Type I) will further grow during cycling (Fig 6.2 b-i). The coverage ratio of Type I structures along the surface have been calculated and are shown together with the electrochemical (de)lithiation curves (Fig. 6.4a). From Fig. 6.4 it is evident that the diameters of the rings are only growing during lithiation, which is in line with the conclusion drawn from the NDP experiments that a Li-rich interlayer, denoted as LiSiPO, at the Si/LPO interface is growing upon cycling [1].

Fig. 6.4. Voltage and current curves and coverage ratio dots in the first two cycles (a). Calculated projected surface area of Type-I structures at the indicated time (b-i).

6.2.2 Interface tailoring effect of protective LTO layer

The interfacial performance at the Si-LTO-LPO side of the half-half electrode has been simultaneously tracked during the in-operando FFDXM measurements in the first two cycles. Fig. 6.5 show the voltage, current versus time plots (a) and the in- operando FFDXM images of the Si-LTO-LPO region in the first cycles (b). The structures observed in the Si-LPO area are absent on the Si-LTO-LPO side in the pristine state (Fig. 6.5b), which demonstrates LTO can prevent the Si electrode from

148 Chapter 6

doping lithium-ions from LPO during the deposition. Interestingly, the Si-LTO-LPO areas remains structures (defects)-free during the (de)lithiation (Fig. 6.5c and d).

Fig. 6.5. In-operando interfacial contrast of the Si/LTO/LPO interface. Voltage, current versus time plots in the initial two cycles (a). FFDXM images of the first two lithiation/delithiation cycles at the investigated SoC (b to d).

Extensive cycling is performed after the 2nd delithiation to check the protective effect of LTO. It has been confirmed that even after ~21 cycles, still no structural defects are observed on the LTO-protected area, on a surveyed area of 2.76 mm2. This improvement, however, is not due to a lower degree of lithiation. As a matter of fact, separate Si-LPO (0.7 x 0.7 cm2) and Si-LTO-LPO (0.7 x 0.7 cm2) samples have been fabricated and checked electrochemically. Fig. 6.6 shows the voltage plots of a Si-LPO (dash curves) and a Si-LTO-LPO (solid curves) electrode in the first five galvanostatic lithiation and delithiation cycles. It shows the LTO-protected sample has a higher lithiation and delithiation capacity in comparison to the Si-LPO sample under the same current loading, suggesting a lower interface resistance. The lower starting

Eocp (~ 0.6 V) of the Si-LPO electrode also indicates the sample has already been lithiated during the deposition, which could be caused by the AC-bias of the radio- frequency sputtering process [6-9].

149

Chapter 6

Fig. 6.6. Voltage-versus-capacity plots for a separate Si-LPO electrode (dash line) and Si-LTO-LPO (solid line) electrode cycled at a current of 500 μA.

6.3 Conclusions

The Si/LPO interface has been investigated by FFDXM technology. Due to the loss of lithium-ions from the LPO layer into Si during deposition, circular contrasts with two types of tilts have been visualized. The difference of the lattice tilt in type-I and II contrasts can be understood from the variation of pre-lithiation degree. Type-I has a higher lattice tilt, indicating the pre-lithiation is higher than that of type-II contrasts. In- operando FFDXM measurement shows that ring-shaped contrasts (interlayer) will form on the edge of type-I contrasts. The outer-ring of the interlayer will expand during only the lithiation process, which is consistent with the NDP observation. The Si/LPO interface with a LTO protective layer can avert the harmful interaction between Si and LPO. The interface remains defect-free even after 21 cycles under otherwise the same experimental conditions.

150 Chapter 6

References

[1] C. G. Chen, J. F. M. Oudenhoven, D. L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R-A. Eichel, P. H. L. Notten. Origin of degradation in Si-based all-solid-state Li-ion microbatteries. Adv. Energy Mater. 2018, 8, 1801430 (1-11). [2] J. Hilhorst, F. Marschall. T. N. Tran Thi, A. Last, T. U. Schülli, Full-field X- ray diffraction microscopy using polymeric compound refractive lenses, J. Appl. Crystallogr. 2014, 47, 1882-1888. [3] K. Zaghib, M. Simoneau, M. Armand, M. Gauthier, Electrochemical study of

Li4Ti5O12 as negative electrode for Li-ion polymer rechargeable batteries, J. Power Sources 1999, 81-82, 300-305.

[4] L. Zhao, Y-S, Hu, H. Li, Z. X. Wang, L. Q. Chen, Porous Li4Ti5O12 coated with N‐doped carbon from ionic liquids for Li‐ion batteries, Adv. Mater. 2016, 23, 1385-1388. [5] H. Chiu, X. Lu, J. Zhou, L. Gu, J. Reid, R. Gauvin, K. Zaghib, G. P.

Demopoulos, Capacity fade mechanism of Li4Ti5O12 nanosheet anode, Adv. Energy Mater. 2017, 7, 1601825 (1-10). [6] Z. L. Liau, B. Y. Tsaur, J. W. Mauer, Influence of atomic mixing and preferential sputtering on depth profiles and interfaces, J. Vac. Sci. Technol., 1979, 16, 121-127. [7] J-H. Lee, G. A. Rozgonyi, B. K. Patnaik, D. Knoesen, D. Adams, P. Balducci, A. S. M. Salih, Formation and diffusion behavior of intermixed and segregated amorphous layers in sputtered NiCr films on Si, J. Appl. Phys., 1993, 73, 4023-4029.

151

Chapter 7. Summary and Outlook

Life without portable, wireless electronics is nowadays unimaginable. The functionality of these electronic devices has increased tremendously during the last decade whereas the weight and size have decreased significantly. Wireless devices are mainly powered with on-board rechargeable batteries. The reduced size and increased functionality of present-day electronics also requires small-sized batteries. The concept of lithium-ion microbatteries or thin-film lithium-ion batteries (LIB) has been proposed to power these electronic devices. Lithium-ion microbatteries are based on an all-solid-state configuration by making use of solid electrolytes. Compared with conventional liquid-electrolyte-based LIB, lithium-ion microbatteries are lighter, smaller in size and safer in operation because of the absence of flammable solvents. Thanks to these promising outlooks, lithium-ion microbatteries are receiving more and more attention. As key component, intensive research efforts have been made to improve the lithium-ion conductivity of solid-state electrolytes. However, it appears that the principle hurdle for developing promising all-solid-state LIB is minimizing the existing interfacial problems, occurring at the electrode/solid-electrolyte interfaces, rather than maximizing the ionic conductivity performance. Interface problems involved in all-solid-state LIB have been summarized in Chapter 1. Improving the interface properties is essential for the successful development of all-solid-state LIB and the fundamental understanding of these interfaces has therefore been chosen as the main subject of this thesis. Metal oxides (MeO) have been widely used as electrode material and solid-state electrolyte for the deposition of lithium-ion microbatteries. Chapter 2 discusses in general terms the properties of typical MeO-based materials required for all-solid-state LIB. As an advanced technique, MOCVD has been mainly introduced in depositing thin-film MeO-based materials. During MOCVD deposition, the applied parameters, including temperature, precursor flow and post-annealing, will influence the quality of the deposited MeO films, like surface morphology, composition, electrochemical

153

Chapter 7 stability and storage capacity. With optimized parameters, MOCVD can also be employed for depositing homogeneous MeO thin-films in highly structured substrates for the application of 3D lithium-ion microbatteries. The obtained 3D electrodes show an improved power and energy performance over planar electrodes. In spite of the present promising results, some challenging issues still remain and should be addressed in the near future: (i) The price and toxicity of precursors used in MOCVD processes might limit its applicability to commercial devices. Novel and cheap precursor materials are therefore in urgent need to be developed. (ii) Some deposited electrode materials show less-promising cycle-life performance, such as

RuO2. This is due to the fact that the electrochemical results are mainly obtained in liquid-electrolyte systems. The construction of all-solid-state LiB could be more beneficial in this respect as the stability of the applied electrode materials are less sensitive in these cases. (iii) The present thin-film materials are mainly belonging to the chemical group of oxide materials. New classes of composite materials, such sulfides and selenides, could be the next generation of materials to become of interest for all-solid-state LIB. (iv) Homogeneous and high-aspect ratio deposition is the leading property to enable the feasibility of 3D all-solid-state LIB. Therefore, new MOCVD deposition strategies are of great interest. For example, introducing turbulent substrate holder systems or micro-blowing systems, facilitating perpendicular precursor diffusion, seems to be advantageous. Si is a very promising anode material candidate for LIB due to the high specific capacity. When using Si in a liquid electrolyte, a so-called solid electrolyte interphase (SEI) will be formed at the electrode surface, causing severe storage capacity losses. In addition, the extreme volume changes upon (de)lithiation of more than 300% will lead to crack formation in the electrodes. However, their relevance has been commonly neglected in thin-film battery research. In Chapter 3, the advanced full-field diffraction X-ray microscopy (FFDXM) method has been explored to investigate the interfaces of Si-based LIB. The versatile capabilities of FFDXM, such as the high transmission depth, excellent spatial resolution and imaging options under Bragg conditions enable to visualize processes, occurring at the interface between monocrystalline Si substrates and lithiated Si. Early-stage defects are formed when Si is lithiated in liquid electrolyte. The defect formation process has been accurately visualized during electrochemical lithiation via cyclic voltammetry (CV). Only a few defects are formed in the first CV cycles and the observed defects showed un-synchronized evolutions, which have been

154 Chapter 7

correlated with the formation of a heterogeneous SEI at the electrode surface. It has been confirmed by in-operando atomic force microscopy (AFM) and ex-situ electrochemical strain microscopy (ESM) that the formed SEI on top of the Si electrode surface induces an uneven morphology, deviating chemical composition and changing ionic lithium mobility. These inhomogeneities lead to variations in the degree of (de)lithiation in the underlying Si electrode, and this is shown to be the origin of the Si electrode cracking. Furthermore, it has been demonstrated by in-operando AFM via Young’s modulus mapping that the SEI formed on Si electrodes has an inner-outer dual-layered structure, in which the inner SEI has a thickness of approximate 2 nm, similar to the case of a graphene electrode. In order to avoid the SEI formation and investigate solid-solid interfaces in solid- state batteries, Si has been assembled in a thin-film LIB with Si-Li3PO4-LiCoO2 configuration. Chapter 4 describes the use of neutron depth profiling (NDP) to characterize the interfacial degradation of Si-based all-solid-state batteries. NDP is based on irradiating 6Li in LIB materials with neutrons, yielding alpha and tritons particles with fixed energy. Passing through the battery layers, these generated particles will lose energy. By analyzing the energy losses of these particles, coordinates of where these particles are emitted can be tracked. Consequently, depth and interface information of the batteries under operating conditions can be obtained. It has been found that the severe capacity decay for these batteries originates from the formation of a lithium-immobilization interlayer at the Si/solid electrolyte interface, which results from Si migration into Li3PO4 (LPO). Regrettably, the formation of such an interlayer immobilizes mobile lithium-ions which are therefore no longer available for the conventional charging and discharging process of LIB. Interestingly, the growth of such interlayers was found to occur only during the charging (lithiation) process of Si. A detailed Li-Si exchange model has been presented to describe this inferface formation process. Information gained from the research described in this chapter opens up new prospects in analyzing interface characteristics of various all-solid-state LIB under in-operando conditions in much more detail. To further quantify the factors involved in the degradation of Si-based all-solid- state batteries described in Chapter 4, the obtained NDP energy profiles have been converted into concentration depth profiles in Chapter 5. The determination of the concentration depth profiles is based on a combined SRIM/TRIM Monte-Carlo model by discretizing the battery layers into finite volume elements. The energy losses of both

155

Chapter 7

the formed alpha and triton particles, caused by the movement of the generated particles through the battery layers to the detector, have been calculated by using this model. Based on the electrochemical storage capacity losses it has, in addition, been calculated that most of the Si migration losses into LPO of about 16% takes place during the initial stages of the charging process. The Si migration process continues upon cycling but only develops during the charging periods, i.e. during the lithiation of Si. The introduction of Si into LPO is accompanied by the immobilization of lithium-ions in this interlayer, forming a Si-doped as denoted LiSiPO solid electrolyte. The chemical composition obtained from the in-operando NDP measurements has provided essential information about the modified solid electrolyte, which may be used as substituent for LPO in LIB, preventing further Si migration. The Si/LPO interface has been further investigated by FFDXM in Chapter 6. Li- Si co-diffusion, which presence as Li-rich interlayer LiSiPO has been confirmed by XPS, has been detected at certain areas at the pristine Si/LPO interface after deposition of LPO. The formation of a Li-rich interlayer is also in line with the NDP results described in Chapter 4. Using spatially resolved FFDXM, it has become clear that the growth of this interlayer is nucleated by circular Li-Si inter-diffusion regions and occurs only during lithiation. LTO is proposed as an advanced and effective protective layer. No Li- Si inter-diffusion regions and, consequently, no reduced lithium-ionic mobility have been observed using this protective layer. The introduction of LTO effectively prevents the defect formation in LPO and is thereby favorably preventing the degradation of Si electrodes. In conclusion, Si electrodes have been investigated for the application in thin- film, all-solid-state, batteries. It is shown that Si is an excellent anode material in LIB due to its high storage capacity, natural abundance and mature-developed production line. In contact with both liquid and solid-state electrolytes, interfaces are formed. These interfaces were subject of the studies described in this thesis. Under real battery operating conditions these interfaces should be electrochemically and mechanically stable. In case of a liquid electrolyte, the solvent/lithium salts will be reduced at the Si/liquid electrolyte interface, forming an inhomogeneous SEI, since the (de)lithiation voltage range of Si is outside the electrochemical stability window of the electrolyte. This heterogeneity of the SEI layer will lead to uneven lithiation of Si, which is one of the sources, causing defects and ultimately cracks. In a solid-state battery configuration, both the NDP and FFDXM research findings of Si/LPO interfaces also

156

Chapter 7

suggest the importance a stable Si/solid electrolyte interface. Introducing LTO layers between Si and LPO, which function as barrier for Si-migration, prevents detrimental Li-immobilization and, consequently, effectively improves the interface stability. Future work in the field of all-solid-state batteries should focus on the exploration of other types of protective materials, which are able to suppress the “cross-talk” between Si and LPO. These materials should be (electro)chemically and mechanically stable in contact with Si and LPO, and function as good lithium-ionic conductor for the lithiation and delithiation process. Another potential strategy is to employ the optimal and thermodynamically favorable lithium-silicon-phosphate (LiSiPO) composition as solid electrolyte in all-solid-state batteries, in which case Si migration into the electrolyte will be prevented as it is already saturated with Si. The findings described in this thesis have demonstrated the importance of interfaces in solid-state batteries. This has given rise to new insights to monitor and adjust interfaces in such a way that this will lead to improved designs of future generations Si-based lithium-ion microbatteries.

157

Acknowledgements

My four-year PhD trajectory can be divided into two periods: in the first period, I worked at Eindhoven University of Technology (TUe) in the Netherlands, and in the second period at Forschungszentrum Jülich (FZJ) in Germany. Therefore, I had the opportunity to work with a lot of colleagues and friends, from whom I received a lot of help and support. This section will be dedicated to express my sincere gratitude to them.

First, I would like to thank my first promotor Prof. dr. Peter. H. L. Notten for his supervision during my PhD study. Peter, when I started writing this section, my thoughts went back to our first Skype meeting for the PhD job-interview. During this Skype meeting, you elegantly sketched a diagram with your plans to indicate my PhD tasks. After our meeting I felt highly motivated and, therefore, I joined your group at TUe to investigate thin-film lithium-ion microbatteries. In the beginning I had a lot of fundamental questions and each time we spoke you were always open and patient. One word you frequently mentioned was “systematical”; I should perform the experiments and data-analysis in a systematical way. By obeying this rule I obtained quite some interesting findings. As PhD, I am lucky that you supervised me. You gave me a lot of freedom to investigate the topics I was (and still am) interested in. You always said: “you are the expert in your field, you should guide us”. With these words you encouraged me to be innovative and to think independently. Additionally, whenever I encountered obstacles either in research or in life, you were always ready to offer support. One of the most central things during my PhD trajectory was the movement from TUe to FZJ. Before we finalized our relocation plan, we had many discussions with the board of the Chemistry Department. I must say that we did a perfect job. Peter, you are a good supervisor, friend and colleague. Thank you for everything.

I would like to thank my second promotor Prof. dr. Rüdiger-A. Eichel at FZJ (IEK- 9). Rüdiger, to be frank, I did not have much chance to discuss with you because your schedule is quite occupied, but from the meetings we had, I learned a lot from you. I remember that our first scientific discussion took place at TUe. I showed you my

Li2S@C results and you proposed some innovative ideas to improve the quality of the

159

Acknowledgements

work. The “thin-film battery” seminar in IEK-9 is another event that I remember clearly. During this event I showed our NDP results and we had quite some useful scientific discussions. After the discussion I asked you if it is possible to investigate my thin-film batteries by electron paramagnetic resonance spectroscopy (EPR) or nuclear magnetic resonance spectroscopy (NMR). You explained, that because of the mass loading of my samples, the EPR route would be better. After this meeting, I initiated the long time (~3 months) operando EPR measurements together with our colleague Dr. Peter Jakes. We obtained interesting results and I am sure you will be happy when you see them.

Dr. Dmitri. L. Danilov is my co-promotor. Dmitri, you are an expert in mathematical simulations and battery aging. In my first year, we did not have too much interactions because I was working on my Li-S project. However, from the second year of my PhD the number of scientific discussions increased abruptly when I shifted to the thin-film batteries. We discussed a lot on the topic of NDP modelling and how we should use the SRIM software in combination with the Monte-Carlo model. You spent much time in explaining the simulation code to me. For our NDP paper, you have converted the energy profiles to the depth profiles, which was essential to quantify our analysis. I really appreciate that. Thank you.

I would like to thank the other members of my defense committees: Prof. dr. C. Detavernier, Prof. dr. F. Roozeboom, Prof. dr. H. J. Bergveld and Prof. dr. F. M. Mulder. I highly appreciate your acceptation to be member of the defense committee and the time you spent in reading my thesis. Due to your valuable comments and suggestions, my thesis has been improved. I thank Prof. dr. P. G. M. Baltus for chairing the defense ceremony.

The Control System (CS) group at TUe is the group where I officially was registered. I want to acknowledge our CS secretary Ms. Diana Heijnerman for her kindness and the administrative help she always offered. Diana, I also would like to thank you for saving the TUe Christmas gifts every year, even when I moved to Jülich.

Thank you, Lu; I have learned a lot from you. With your assistance we performed a lot of XPS measurements, which always were very time-consuming. During my stay in Helix we had countless scientific discussions in the coffee corner. Due to your help and scientific partners, I was able to measure my Si samples in ESRF in Grenoble. I

160

Acknowledgements

always felt more confident in handling the delicate in-operando cell when you were sitting beside me. Eventually, we succeeded to build-up the operando FFDXM measurements and I hope we can finally publish the results in a high quality journal. Except from the scientific discussions, we also had a lot of general conversations together with our colleague Longfei, your wife Rong, and your daughter Nora. Thank you all.

Dear IEK-9 colleagues, I thank you all for the collaborative working atmosphere. I appreciate Dr. L.G.J. de Haart for the approval in purchasing chemicals, business trip, designing schemes and journal cover. Svenja, Nino, Prof. Florian Hausen, thank you for your collaborative efforts in measuring AFM or ESM on my samples. Dr. Peter Jakes, I sincerely appreciate your help in setting up our EPR experiments. Chantal, you not only helped me a lot in work-related financial issues, but also offered me some useful tips for local life in Jülich. Birgit and Michaela, with your help, I smoothly registered and worked in IEK-9. I would like to thank Christian for his technical assistance. Christian, you also assisted me a lot in communicating with the graphic department for designing the paper-cover and scheme. Maike, Jorg and Markus, thank you all for organizing interesting parties, BBQ, and “The Electrochemistry Day”. Zhiyuan, thank you for helping me to settle down and in finding an apartment in Jülich. I would like to thank Xiaochao for his help in designing graphic figures.

Special gratitude should be expressed to our group members. Luc, my best Dutch friend, we did an excellent job in transferring our equipment from Eindhoven to Jülich. I clearly remember that we had to disassemble the Antechamber from the glovebox to move it to FZJ. The worst part was that we had to assemble it again in Jülich. Anyway, thanks for the mechanical help. I very much appreciate our scientific discussions on EIS and EPR. I also enjoyed running together with you on the FZJ campus. Dongjiang, thank you for communicating with me when I applied for a PhD position in Peter’s group, helping in preparing the documents, and settling down in Eindhoven. I also learned a lot from you in our scientific discussions and daily life conversations. I would like to thank my group members and officemates Lei, Ming, Zhiqiang, and Kudakwashe for their friendliness, for creating a nice and motivating working atmosphere, and for the daily conversations. I thank Jie for the initial guidance in operating the MOCVD in Eindhoven. Many thanks should also be given to Achim. Achim, you taught me how to operate the PVD setup. In the beginning, we frequently 161

Acknowledgements

encountered problems during operation. Quite often, those problems occurred on your free day, but you always patiently explained me the effective solutions via WhatsApp. Apart from these technical conversations, you also told me a lot about traditional Germany culture and history. I also remember that we had to speed up in preparing our in-house made battery for beam-time in Garching, which was reserved for us. At that time, I still was based at TUe and I took the pre-annealed sample in the early morning from Eindhoven to the Aachen railway station, where we met. After I handed over the sample, you took it back to Jülich for post-deposition. Finally, we were just in time for our beam-time in Garching. Thank you sincerely for all your help.

Similar to Peter, I am open to collaboration, as always. Thanks to this, close collaboration has been established with some institutes, such as Forschungszentrum Garching-FRMII, ESRF in Grenoble, and IMDEA in Madrid. Egor, thank you for the beam-time support in FRMII and the useful discussion for the NDP Depth conversion. Tao, together with Lu’s help we successfully measured my samples in ESRF using the novel FFDXM method. I pushed you a lot in analyzing the data. My apologies for that and thanks for your understanding. In addition, I thank Na Li for her TEM support in IMDEA.

Last, but certainly not the least, I want to thank my parents. Thank you for understanding that I went abroad for study. Due to my study abroad, I owe you a lot of quality time. I sometimes felt quite sad when I couldn’t go back home during the Chinese Spring Festival. These were the times where I missed both of you very much. Therefore, I said to myself that I will spend future Spring-Festival-times with you. I also should thank my brother and my sister in law to take care of our parents during my absence. Your understanding and support are also quite important to me. Thanks for everything. I also want to thank my little cute nephew Yulin. Yulin, your grandparents spent a lot time with you and this somehow filled my void. I hope you can grow up healthily and I wish you a good future.

162

List of Publications

1. C.G. Chen, J.F.M. Oudenhoven, D L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P.H.L. Notten, Origin of degradation in Si-based all-solid- state Li-ion microbatteries. Advanced Energy Materials, 8 (2018) 201801430 (1- 11), DOI: 10.1002/aenm.201801430. 2. C.G. Chen, D.J. Li, L. Gao, P.R.L. Harks, R.-A. Eichel, P.H.L. Notten, Carbon-

coated core-shell Li2S@C nanocomposites as high performance cathode materials for lithium-sulfur batteries. Journal of Materials Chemistry A, 5 (2017) 1428-1433. 3. C.G. Chen, R.-A. Eichel, P.H.L. Notten, Metal-organic chemical vapor deposition enabling all-solid-state Li-ion microbatteries: A short review. Journal of Electroceramics, 38 (2018) 230-247. 4. C.G. Chen, R.-A. Eichel, P.H.L. Notten, Ionic conductivity of metal oxides: An essential property for all-solid-state Lithium-ion batteries. Metal oxide-based thin film structures 1st edition-formation, Characterization and Application of Interface-Based Phenomena, Edition: 1st. 2017, chapter: 16, page: 361-408, Amsterdam: Elsevier (Book chapter). 5. C.G. Chen, T. Zhou, L. Gao, S. Benning, N. Schnön, H. Simons, F. Hausen, T. U. Schülli, R.-A. Eichel, P.H.L. Notten, Early-stage defects in Si electrodes: an operando visualization by full-field diffraction X-ray microscopy. To be submitted 2019. 6. C.G. Chen, T. Zhou, L. Gao, T. U. Schülli, R.-A. Eichel, P.H.L. Notten, Interfacial tailoring of Si-based thin-film lithium-ion micro-batteries: in preparation. 7. S. Benning, C.G. Chen,* R.-A. Eichel, P.H.L. Notten, F. Hausen, Direct observation of SEI formation and lithiation in thin-film silicon electrodes and the impact on the mechanical properties via in-situ EC-AFM. DOI: doi.org/10.1021/acsaem.9b01222 ACS Applied Energy Materials, 2 (2019) 6761-6767. 8. D.L. Danilov, C.G. Chen, M. Jiang, R.-A. Eichel, P.H.L. Notten, On conversion of NDP Energy Spectra into Depth Concentration Profiles for Thin-Films All-

163

List of Publications

Solid-State Batteries with Si anode, Radiation Effect and Defects in Solids, submitted, 2019.

The author also contributed to the following publications

9. C.G. Chen, J.L. Wang, H. Wang, T. Liu, X.G. Li, Synthesis and analysis of the Mg-Ti-Zr nanocomposite as hydrogen storage material. Materials Chemistry and Physics, 206 (2018) 21-28. 10. T. Liu, C.G. Chen, F. Wang, X.G. Li, Enhanced hydrogen storage properties of

Magnesium by the synergic catalytic effect of TiH1.971 and TiH1.5 nanoparticles at room temperature. Journal of Power Sources. 267 (2014) 69-77. 11. T. Liu, C.G. Chen, C.G. Qin, X.G. Li, Improved hydrogen storage properties of

Mg-based nanocomposite by addition of LaNi5 Nanoparticles. International Journal of Hydrogen Energy, 39 (2014) 18273-18279. 12. T. Liu, C.G. Chen, H. Wang, Y. Wu, Enhanced hydrogen storage properties of Mg-Ti-V nanocomposite at moderate temperatures. The Journal of C, 118 (2014) 22419-22425. 13. H.Y. Shao, C.G. Chen, T. Liu, X.G. Li, Phase, microstructure and hydrogen storage properties of Mg-Ni materials synthesized from metal nanoparticles. Nanotechnology, 25 (2014) 135704 (1-6). 14. T. Liu, X.J. Ma, C.G. Chen, X.G. Li, Catalytic effect of Nb nanoparticles for improving the hydrogen storage properties of Mg-based nanocomposite. The Journal of Physical Chemistry C, 119 (2015) 14029-14037. 15. J. Xie, J.F.M. Oudenhoven, D.J. Li, C.G. Chen, R.-A. Eichel, P.H.L. Notten, High

power and high capacity 3D-structured TiO2 electrodes for Lithium-ion microbatteries. Journal of the Electrochemical Society, 163 (2016) A2385- A2389.

Conference contributions

16. Deposition and electrochemical characterization of Ta2O5 thin film electrodes for 3D-integrated all-solid-state Lithium-ion batteries,

164

List of Publications

C.G. Chen, R.-A. Eichel, P.H.L. Notten, Bunsen-Kolloquium: Solid-State Batteries II, Frankfurt, Germany, 2016,

17. Deposition and electrochemical characterization of Ta2O5 thin film electrodes for 3D-integrated all-solid-state lithium-ion batteries, C.G. Chen, R.-A. Eichel, P.H.L. Notten, 67th Annual Meeting of the international society of electrochemistry, The Hague, The Netherlands, 2016.

18. Carbon-coated core-shell Li2S@C nanocomposites as high performance cathode materials for lithium-sulfur batteries, C.G. Chen, D.J. Li, L. Gao, P.R.L. Harks, R.-A. Eichel, P.H.L. Notten, Advanced Battery Conference 2017, Aachen, Germany, 2017. 19. The degradation origin of all-solid-state lithium-ion micro-batteries, C.G. Chen, J.F.M. Oudenhoven, D L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P.H.L. Notten, The 19th International Meeting on lithium batteries, Kyoto, Japan, 2018. 20. The degradation of Si-based all-solid-state thin-film Li-ion micro-batteries, C.G. Chen, J.F.M. Oudenhoven, D L. Danilov, E. Vezhlev, L. Gao, N. Li, F. M. Mulder, R.-A. Eichel, P.H.L. Notten, Lithium Batteries Discussions-2019, Arcachon, France, 2019.

165

Curriculum Vitae

Curriculum Vitae

Chunguang Chen was born on 14th August 1988 in the province of Hebei, China. He received the Bachelor Degree in Metallic

Materials Engineering at the Shijiazhuang Tiedao University in

July 2012. After that, he continued his study and research at the

Beihang University. While working at this university on the topic of

“Synthesis, characterization, and hydrogen storage properties of

Mg-Ti based nanocomposites” he received the Master degree in January 2015. Since

September 2015 he joined the Laboratory of Energy Materials and

Devices/Forschungszentrum Jülich-IEK9 as a PhD candidate on the topic of all-solid- state lithium-ion microbatteries under the supervision of Prof.dr. P.H.L. Notten and

Prof.dr. R.-A. Eichel. This thesis describes the most interesting findings obtained during his PhD research work.

166