ii COMPLEXITY REDUCTION TECHNIQUES FOR ADVANCED MEMS ACTUATORS SIMULATION iv COMPLEXITY REDUCTION TECHNIQUES FOR ADVANCED MEMS ACTUATORS SIMULATION

Vorgelegt von Jan Lienemann

Lehrstuhl für Simulation Institut für Mikrosystemtechnik (IMTEK) Albert-Ludwigs-Universität Freiburg

Dissertation zur Erlangung des Doktorgrads der Fakultät für Angewandte Wissenschaften der Albert-Ludwigs-Universität Freiburg im Breisgau Adresse Lehrstuhl für Simulation Institut für Mikrosystemtechnik (IMTEK) Albert-Ludwigs-Universität Freiburg Georges-Köhler-Allee 103 79110 Freiburg

Dekan Prof. Dr. Bernhard Nebel

Autor Jan Lienemann

Tag der Prüfung 21. 12. 2006

Gutachter Prof. Dr. Jan G. Korvink Prof. Dr. Ulrike Wallrabe

Vorsitz Prof. Dr. Jürgen Wilde Beisitz Prof. Dr. Gerald Urban To my parents Erklärung nach S5(2) der Promotionsordnung

Ich erkläre hiermit, dass ich die vorliegende Arbeit oh- ne unzulässige Hilfe Dritter und ohne Benutzung an- derer als der angegebenen Hilfsmittel angefertigt habe. Die aus anderen Quellen direkt oder indirekt übernom- menen Daten und Konzepte sind unter Angabe der Quelle gekennzeichnet. Insbesondere habe ich hierfür nicht die entgeltliche Hilfe von Vermittlungs- oder Be- ratungsdiensten (Promotionsberaterinnen oder Pro- motionsberater oder anderer Personen) in Anspruch genommen. Niemand hat von mir unmittelbar oder mittelbar geldwerte Leistungen für Arbeiten erhalten, die im Zusammenhang mit dem Inhalt der vorgelegten Dissertation stehen. Die Arbeit wurde bisher weder im In- noch im Ausland in gleicher oder ähnlicher Form einer anderen Prüfungsbehörde vorgelegt.

Freiburg, den 17. Januar 2007

Jan Lienemann Contents

I. Introduction 5

1. Overview 7 1.1. ...... 7 1.1.1. Electrowetting Arrays ...... 10 1.1.2. Electrowetting Devices ...... 11 1.1.3. Device Design ...... 12 1.1.4. Computer Simulation Aided Design ...... 14 1.2. Model Order Reduction ...... 17 1.2.1. Model Order Reduction Versus Compact Modelling? . . 18 1.3. Major Results ...... 23 1.3.1. Modelling and Simulation of EWOD ...... 23 1.3.2. Model Order Reduction With Weak Nonlinearities . . . 24 1.4. Thesis Overview ...... 24

2. MEMS Actuators 27 2.1. MST and Properties of MEMS ...... 28 2.1.1. MEMS as Transducers ...... 28 2.2. Scaling Effects ...... 30 2.3. Computational Issues ...... 32 2.3.1. Complexity in Numerical Modelling ...... 34 2.3.2. PDEs, Material and Geometry ...... 35 2.3.3. Coupling ...... 36 2.4. Application Examples ...... 37 2.4.1. IBM Scanning-Probe Data Storage Device ...... 38 2.4.2. Imego Butterfly Gyro ...... 42 2.4.3. IRST RF ...... 44 2.4.4. Bondwire Model ...... 46 2.4.5. Heat Transfer Model ...... 46

ix Contents

II. Theory 49

3. Modelling and Simulation of MEMS 51 3.1. Notation and Basic Theory ...... 51 3.1.1. Symbols and Notation ...... 51 3.1.2. Linear Algebra ...... 53 3.2. Structure of Equations ...... 54 3.2.1. Newton Raphson Procedure ...... 57 3.2.2. Homotopy Methods ...... 58 3.2.3. Path Following Schemes ...... 59 3.3. Numerical Time Integration ...... 60 3.3.1. First Order Systems ...... 60 3.3.2. Second Order Systems ...... 61 3.3.3. Conversion to First Order ...... 63 3.3.4. Nonlinear Systems ...... 64 3.4. Harmonic and Modal Analysis ...... 64 3.5. Lagrangian Mechanics ...... 65 3.6. Structural Continuum Mechanics ...... 67 3.6.1. Stress and Strain ...... 67 3.6.2. Nonlinearities ...... 70 3.6.3. Beams ...... 71 3.6.4. Contact ...... 73 3.7. Electrostatics ...... 73 3.7.1. Transducer Elements ...... 76 3.7.2. Example: Capacitor with Movable Plate ...... 79 3.7.3. The ANSYS TRANS126 Element ...... 85 3.8. Spatial Discretisation Methods ...... 86 3.8.1. The Finite Element Method (FEM) ...... 87 3.8.2. FEM for a Coupled Analysis ...... 92 3.8.3. Geometric Nonlinearities ...... 101 3.9. Fluidics ...... 104 3.9.1. Fluid Dynamics ...... 105 3.9.2. Wetting on Surfaces ...... 107 3.9.3. Free Surfaces in the Navier-Stokes Equations ...... 111 3.10. Electrowetting ...... 112

x Contents

4. Model Order Reduction 117 4.1. System Theory ...... 117 4.1.1. Transfer functions ...... 118 4.1.2. Observability, Controllability & Minimal Representation 120 4.1.3. Passivity and Stability ...... 120 4.2. MOR for Linear Systems ...... 122 4.2.1. Guyan Method ...... 123 4.2.2. SVD Based Approximation Methods ...... 125 4.2.3. Krylov Subspace Methods and Padé Approximants . . . 128 4.2.4. Krylov Subspace Methods for Second Order Systems . . 136 4.2.5. Other MOR Methods for Linear Systems ...... 141 4.3. MOR for Nonlinear Systems ...... 142 4.3.1. Proper Orthogonal Decomposition (POD) ...... 145 4.3.2. System Matrix Optimisation ...... 147 4.3.3. Balancing and Optimisation ...... 149 4.3.4. Polynomial Projection ...... 150 4.3.5. Other MOR Methods for Nonlinear Systems ...... 152

III. Implementation 155

5. EDEW–Tool for Simulation and Optimisation of Electrowetting 157 5.1. Surface Evolver Model ...... 157 5.1.1. Numerical Representation ...... 158 5.1.2. Substrate-Liquid Interfaces ...... 158 5.1.3. Electrowetting Model ...... 159 5.2. EDEW ...... 160 5.3. Limits ...... 163

6. Polynomial Model Order Reduction Framework 169 6.1. Scanning-Probe Data Storage Device Model ...... 171 6.2. Representation of Polynomial Systems ...... 173 6.3. ANSYS Interface ...... 176 6.4. Series Expansion of Nonlinearities ...... 178 6.5. Model Order Reduction and Time Integration ...... 179 6.6. Verilog-A Export ...... 181 6.7. Limits ...... 181

xi Contents

IV. Results 183

7. EDEW 185 7.1. Droplet Motion ...... 185 7.2. Droplet Splitting ...... 187 7.3. Rising Fluid in Tube ...... 188 7.4. Pinch-Off in Confined Setup ...... 188 7.5. Channels ...... 191 7.6. Optimisation of Electrode Fine Structure ...... 192 7.6.1. Influence of the Spike Shape ...... 194 7.6.2. Influence of the Spike Length ...... 195 7.6.3. Comparison with Geometric Model ...... 195 7.7. Results Summary ...... 197

8. Model Order Reduction 199 8.1. Arnoldi vs. Guyan – Second Order Case ...... 199 8.2. Polynomial Approximation ...... 205 8.3. Polynomial Reduction ...... 206 8.4. Results with Other Reduction Approaches ...... 210 8.5. Combined Approaches ...... 214 8.6. Results Summary ...... 215

9. Conclusions and Outlook 217 9.1. Conclusions ...... 219 9.2. Outlook ...... 219

Appendices 221

A. Model Data of Numerical Examples for MEMS 223

B. Theory 225 B.1. Lagrangian Mechanics ...... 225 B.1.1. Coordinates ...... 225 B.1.2. Virtual Displacements ...... 226 B.1.3. Equations of Motion ...... 226 B.2. Structural Continuum Mechanics ...... 228 B.3. Arnoldi method ...... 230

xii Contents

C. DSI Format 233 C.1. General ...... 233 C.2. File Header ...... 235 C.3. System matrices and vectors ...... 236 C.4. Example ...... 237

D. ANSYS Interface 241 D.1. ANSYS Binary File Fields ...... 241 D.2. Binary File Write-Out Script ...... 244 D.3. TRANS126 Export Script ...... 244 D.4. Verilog-A Export Example ...... 245

Bibliography 247

Acknowledgements 279

Curriculum Vitae 281

Nomenclature 283

Index 291

xiii xiv List of Figures

1.1. Typical setup of an electrowetting device...... 9 1.2. The main operations of a microfluidic electrowetting array. . . 10 1.3. Different actuation setups for electrowetting ...... 12 1.4. Different modelling approaches for a p-n-p transistor...... 20

2.1. The Y chart...... 33 2.2. The three contributions to complexity...... 34 2.3. A MEMS RF switch (illustration)...... 36 2.4. A clamped-clamped beam’s force-displacement curve...... 37 2.5. Setup of the storage device...... 39 2.6. Dimensions of the probe...... 39 2.7. SEM image of a single probe of the storage device...... 40 2.8. 3D Visualisation of the Imego butterfly gyro...... 42 2.9. The Imego butterfly gyro...... 42 2.10. Schematic layout of the butterfly design...... 43 2.11. The RF switch model...... 45 2.12. First eigenmodes of the RF switch model...... 45 2.13. The bond wire model...... 47 2.14. The modelled beam with heat flux inputs and heat sink. . . . . 47

3.1. Comparison of different time integration algorithms ...... 63 3.2. Surface stresses on a unit volume...... 67 3.3. Strain in a beam element...... 72 3.4. Soft and hard contact...... 73 3.5. A mass-capacitor-spring system...... 79 3.6. Forces on the upper plate versus voltage and distance...... 80 3.7. Equilibrium voltage and forces at constant voltage...... 80 3.8. The behaviour of an undamped system near pull-in...... 82 3.9. The behaviour of a damped system near pull-in...... 82 3.10. A mechanical system with snap-through behaviour...... 83 3.11. Force on the mass versus the position and equilibrium...... 84

xv List of Figures

3.12. The ANSYS TRANS126 element...... 85 3.13. Force vs. gap for a parallel plate transducer element...... 86 3.14. Extended version of the capacitor transducer element ...... 87 3.15. Heat capacity and heat conductivity of various materials. . . . 90 3.16. Linear shape functions for FEM discretisation ...... 90 3.17. Conducting beam with counter electrode below...... 93 3.18. Hermite shape functions for one-dimensional finite elements. . . 96 3.19. Viscosity of a fluid leading to to a finite velocity gradient. . . . 105 3.20. Cohesive forces inside and on the surface...... 108 3.21. Contact angle for a droplet sitting on a plane surface...... 110 3.22. Virtual displacement of the contact line...... 110 3.23. Droplet changing its contact angle due to electrowetting. . . . . 112 3.24. Experimental results for electrowetting...... 114

5.1. Droplet on square and jagged electrode...... 160 5.2. EDEW user interface...... 161 5.3. 1DPath model...... 162 5.4. Variation of γ(x) at pad edge...... 162 5.5. FEM solution of the electrostatic energy near the contact line. 166

6.1. Flow chart for reduction of nonlinear cantilever model...... 170 6.2. The scanning-probe data storage device ANSYS model. . . . . 172 6.3. Position of the four monitor nodes (marked with circles). . . . 173 6.4. Polynomial approximation of the capacitance...... 179

7.1. Simulation results for moving droplet...... 185 7.2. Fluid flow in a moving droplet...... 186 7.3. Splitting of a droplet by electrowetting...... 187 7.4. Height of a liquid column subject to electrowetting...... 189 7.5. Minimal transportable volume in a sandwich structure...... 189 7.6. Droplet morphologies with same volume in confined setup. . . . 190 7.7. Liquid meniscus in a curved channel for increasing voltage. . . 191 7.8. Meniscus in a rectangular channel...... 192 7.9. Schematic drawing of the geometric model...... 193 7.10. Potential energy for a spike length of 100 µm...... 194 7.11. Potential energy for a spike length of 400 µm...... 195 7.12. Potential energy for different spike lengths...... 196 7.13. Potential energy calculated with the geometric model...... 196

8.1. Comparison of full and reduced model for butterfly...... 200

xvi List of Figures

8.2. Comparison of bondwire model, transient simulation...... 201 8.3. Comparison of bondwire model, harmonic simulation...... 202 8.4. Step response of the RF switch...... 204 8.5. Harmonic response of the RF switch...... 205 8.6. Comparison of nonlinear model and polynomial approximation. 207 8.7. Comparison of reduced system and polynomial system...... 208 8.8. Distribution of matrix entries in W(2)...... 209 8.9. Comparison of reduced, simplified solution and red. solution. . 210 8.10. Reduced, simplified solution versus full solution...... 211 8.11. Results from the simulation of the full model...... 212 8.12. Transient simulation for an input of 5 104W/m2...... 212 · 8.13. Error between reduced models of order 8 and original model. . 213 8.14. Response of the electrostatically actuated beam...... 215

xvii xviii List of Tables

1.1. Comparison between full CFD and QS approach ...... 16

2.1. Effects for signal conversion (after [17]) ...... 31 2.2. Properties of the simulated cantilever ...... 39

3.1. Symbols and notation ...... 52

4.5. Methods for model order reduction of linear systems...... 136

7.1. Parameters for the simulation in figure 7.1 on page 185. . . . . 186 7.2. Parameters for the simulation in figure 7.3 on page 187. . . . . 187 7.3. Parameters for the simulation in figure 7.5 on page 189. . . . . 190 7.4. Parameters for the simulation in figure 7.8 on page 192. . . . . 191 7.5. Parameters for the electrode fine structure optimisation. . . . . 192

A.1. Properties of the Imego butterfly gyro model...... 223 A.2. Properties of the IRST RF switch model...... 223 A.3. Properties of the bondwire model...... 224 A.4. Geometry and material properties for heat transfer model. . . . 224

C.1. Recommended mathematical functions for the DSI format . . . 234 C.3. Macros for entering matrices in a DSIF file...... 236 C.2. Matrices to describe a system of 1st and 2nd order...... 238

D.1. Contents of the binary header...... 241 D.2. Contents of the .full file which the interface can process. . . . 241 D.3. Contents of the .emat file which the interface can process. . . . 242

xix xx in DWcnit falbayo citfie o h well-k the for files alon effect, script electrowetting of the library model a which of program created Evolver consists have the EDEW We implemented computation and consuming. tion. a model time with simulation very electrowetting simulation be based can full i mechanic code A This fault-prone (CFD) no moved. namics liquid. and because the assembled system, for be the except parts of moving reliability any without ported l famdlfreetoetn n h oe re reducti order model the and equations. electrowetting of for systems model a of ple ffr e osblte,eg,asse iuainwt co a device. with (MEMS) simulation micro-electromechanical system a f a of thi the reduce e.g., model on to ways possibilities, days find for new to run beneficial offers very to thus mil is needs to It simulation up available. one with equations where of freedoms drive systems dis of enormous comb numerical with after up in but end ve elements, still example often beam for e.g, with like, seen combination treatment as in structures effects wide-stretched coupling The questions. nrydmiscnb motn,b tteculn ewe e between coupling shape. the and it temperature between be or important, fluidics trans be and be the us Coupling can is being microsystems. domains it effect of energy And same success actuator. the the or or constitutes – which a device for the effect of transducer parasit purpose a main be can the e This with exciting experience. to life’s rise everyday give our effects beyond scaling However, i possible. other as to that try experience o to of necessary lack size it the small pr with The time-consuming along and prototypes expensive for microsystems. complicated, of often field the is and the important very in a development been and always has simulation and Modelling Abstract lcrwtigi neeatwyt rcs iud.Drople liquids. process to way elegant an is Electrowetting nti hss epeettocmlxt euigapproach reducing complexity two present we thesis, this In rmamdligadsmlto iwon,ti oe oei some poses this viewpoint, simulation and modelling a From priori a opt smc ftebhvoro h device the of behaviour the of much as compute et hc r way are which ffects rtsto n can one cretisation ceetinterfering effect ic opeiy This complexity. s dsr a made has ndustry we l possible all tween peiyreduced mplexity lprsne to need parts al dcinprocess oduction ihagraphi- a with g ue capability ducer DWapplica- EDEW scnb trans- be can ts so h exam- the on es u o research for sue no nonlinear of on in fdegrees of lions edspecial need s yfiirebut filigree ry onSurface nown setCPUs astest cessthe ncreases lectrostatics h device the f lfli dy- fluid al da main as ed nteresting surface a 1

0 cal user interface (GUI) for easier operation. The complexity reduction here is the simplification of some fluidic effects which allows to simulate only the surface of a droplet, reducing the problem dimension from fully 3D to a 2D surface embedded in the 3D space. Model order reduction, on the other hand, works on the already discretised equations. Starting from a possibly large system (e.g., 100 000 equations), it returns a much smaller system (e.g., 20 equations) which exhibits the same behaviour for a certain operating regime. This small system can then be easily used in circuit simulators allowing for fast simulation. We apply this technique, among other examples, to the IBM MEMS-based scanning-probe data storage device (also known under its working title “millipede”). The results can also be applied to a wide range of other micro-electromechanical devices. We also discuss how to preserve material and setup parameters like the damping on the example of the Imego butterfly gyroscope and other devices.

2 eeMgihetnwezmBipe i ytmiuainm mikroelektromechanischen Systemsimulation eines die Komplexität reduzier Beispiel reduzierter zu zum Komplexität wie diese Möglichkeiten finden, neue zu daue Wege Tage g nützlich, noch sehr Prozessoren enorm verfügbaren schnellsten ein den noch auf erhalten, Freiheitsgraden von immer Millionen Diskretisierung mit chungssystem Kam numerischen tr einem Balkenelemente; der z.B. bei nach wie Beispiel Verfahren filigra zum besondere sehr wie erfordern oft Strukturen mit ausgedehnten Kombination in weit Kopplungen auf. Fragen te drzice e eprtruddrForm. der Elektros und der Temperatur zwischen der Kopplung zwischen der oder es sei möglic sein, allen wichtig zwischen kann Kopplung Die b Signalu Fähigkeit Mikrosystemen. dienen, wesentliche von diese zu folg der genau Energiedomänen als Und verschiedenen zwischen dient. der setzer Aktuator Effekt, oder Hauptzw der Sensor den genau einen der oder sein, Effekt – parasitärer stört Alltagser ein kann unsere Dies über gehen. weit die Auswirkungen, Skalierungse erstaunliche berechnen. Verhal zu E Vorhinein das im zei der möglich es, wie an und soweit erfordern Mangel teure besitzen, der Industriezweige komplizierte, sowie andere oft Prototypen der Mikrosysteme. für und der Produktionsprozess Bereich Baugruppen im der wichti Entwicklung Größe sehr ein und immer Forschung schon die war Simulation und Modellierung Zusammenfassung ehnshnTiemnir drbwg ednmse.Ein müssen. werden bewegt oder kei da montiert Flüss Systems, Teile der des mechanischen Zuverlässigkeit außer die Teile erhöht bewegliche Dies werden. dass ohne werden, portiert Flüssigkeitst prozessieren. zu Flüssigkeiten Weg, ganten mBipe e lkrshgseetnBntuguddrMo der auf. und Gleichungssysteme Benetzung nichtlinearer gesteuerten duktion elektrisch der Beispiel am u ih e oeleugudSmlto omndmteini damit kommen Simulation und Modellierung der Sicht Aus ndee retzie i wiMgihetnzrKomplexi zur Möglichkeiten zwei wir zeigen Arbeit dieser In lcrwtig i lkrshgseet eezn,erö Benetzung, gesteuerte elektrisch die Electrowetting, öfhnkne trans- können röpfchen MM)Geräts. (MEMS) e ie Bausteins eines ten ntennsh ele- sehr einen ffnet esnLsn auch Lösung dessen et eohhaben jedoch ffekte tdmkn man kann otzdem arne hinaus- fahrungen efehleranfälligen ne ai n Fluidik und tatik güdtdnEr- den egründet t sitdeshalb ist Es rt. e Energiearten hen n iseröffnet Dies en. getgebraucht igkeit tenmModell einem it tätsreduzierung dellordnungsre- l Signalum- als , e,ae auch aber nen, c e Geräts des eck e hm für Thema ges wnlrfür mwandler einteressan- ge fhug die rfahrung, vollständi- e taufwändige m-Aktuator i geringe Die oe Glei- roßes 3

0 ge strömungsmechanische Simulation kann sehr zeitaufwändig sein. Wir ha- ben deshalb ein oberflächenbasiertes Simulationsmodell für Electrowetting er- stellt und in der Software EDEW implementiert. EDEW besteht aus einer Bibliothek von Skripten für das bekannten Surface Evolver-Programm, die den Electrowetting-Effekt modellieren; dazu gehörig eine graphische Benut- zerschnittstelle für eine einfache Bedienung. Die Komplexitätreduktion besteht hier aus der Vereinfachung einiger fluidischer Effekte, die es erlaubt, bloß die Oberfläche des Tropfens zu simulieren und damit die Dimension des Problems von vollen drei Dimensionen auf eine zweidimensionale Oberfläche, die im 3D- Raum eingebettet ist, zu reduzieren. Modellordnungsreduktion hingegen geht von schon diskretisierten Gleichun- gen aus. Von diesem womöglich sehr großen System (z.B. 100 000 Gleichun- gen) ausgehend gibt diese Methode ein wesentlich kleineres System (z.B. 20 Gleichungen) zurück, das für einen bestimmten Einsatzbereich die gleichen Werte zurückliefert. Dieses kleine System kann dann leicht in Schaltkreis- Simulatoren eingesetzt werden, was eine schnelle Simulation ermöglicht. Wir wenden diese Technik unter anderem auf den auf MEMS basierenden Raster- Sonden-Datenspeicher von IBM an (auch unter dem Arbeitstitel “millipede”. Die Ergebnisse können auch für eine große Zahl von anderen Mikrosyste- men verwendet werden. Wir zeigen außerdem unter anderem am Beispiel des Butterfly-Drehratensensors von Imego, wie Material- und Betriebsparameter (beispielsweise die Dämpfung) erhalten werden können.

4 Introduction atI. Part 5

0

.Overview 1. ags aktopruiis n savr ciefil fre [ of prove field conferences active MEMS very recent a of is en ceedings microsystem and of opportunities, fields market the largest of one currently is Microfluidics s its Electrowetting of 1.1. model a (MOR). i.e., reduction droplet, order o model a based be of is will which model topic of effect soap-bubble second electrowetting degrees a the The of of for number topics: rium model two lower a between a equat about alternate of with be therefore system one will given We smaller a much (DOFs). where a part, to simulation reduced necessar the really are and which account posed, into me effects sides: usual only different taking two the by from to reduction compared complexity complexity tackle numerical ideas lower a to lead T ino irflii n ireetoehnclsses(M systems microelectromechanical and modelli microfluidic the for of approaches tion different two presents thesis his o hnsbigcmlxwe hycudb simple. be could they justifi when any complex never being is things There was for energy. unnecessary mental and and inefficient attention an time, is complexity with Dealing lcbe hr saohrter hc ttsta hshas this insta that will states it which here, theory happened. another is and is bizarre it discovers There more why even anybody plicable. something and ever by replaced for be if is and that disappear Universe states the which what theory actly a is There oga dm 15–01,TeHthie’ ud othe to Guide Hitchhiker’s The (1952–2001), Adams Douglas dadd oo(on1933) (born Bono de Edward 18 .Rpouil aallbatch parallel Reproducible ]. h oeln part modelling the o h questions the for y iern ihthe with gineering erha h pro- the as search gadsimula- and ng rttpcwill topic first h equilib- the n hd.These thods. Galaxy already osi order is ions M)which EMS) rae The urface. cation inex- eof te ntly ex- freedom 7

1 1. Overview fabrication of large numbers of low cost devices is ideal for the varied dis- posable devices dictated by contamination concerns in biology and medicine. Design of such devices will need to focus on exploiting device scaling while optimising for reliability, cost and lifetime. The displacement of fluid volume is a fundamental design issue in microflu- idic devices. A variety of micropumps [19] has been proposed that use mov- able mechanical parts like membranes for displacing fluid volumes or spotting droplets. They mostly operate with a continuous stream of fluid after being primed at the start. This thesis is about an alternative fluid displacement mechanism: electrowetting. Electrowetting is an elegant method to realise the motion, dispensing, split- ting and mixing of single droplets in a microfluidic system without the need for any mechanical – and fault-prone – components. It is technologically much easier as well: The manufacturing process requires only one step to pattern a metallic layer, whereas other micropumps require a number of lithographic steps and complex etching procedures. Moving droplets by an applied electric potential (without additional energy transducers like piezos or electrostatic actuators) can be achieved by three main effects: dielectrophoresis/electroos- mosis [20], electrocapillarity [21, 22], and electrothermal effects [23, 24], and can be described under the framework of electrohydrodynamic forces [25, 26]. The setup we will consider in this article is an electrocapillarity approach called “Electrowetting on dielectrics” (EWOD), which is a method to modulate the interfacial energy of a fluid/solid interface [27–31]. This changes the wetting properties and thus leads to a motion of the droplet’s contact line [32–35]; if the substrate is only partially wetting, the liquid seeks to cover this part to minimise its energy, and a fluid motion [36–38] can be observed. Spatial con- trol of wetting is accomplished by applying the voltage only on certain parts of the substrate – it is, e.g., partitioned into an array of controllable spots by an assembly of electrodes. There are also a number of other means to locally control wettability [39], for example using photocapillarity, thermocapillarity [40–42], or nanofibres [43], or combinations like opto-electrowetting [44]. It however turns out that elec- trowetting allows for the fastest droplet velocity, which is in the range of 100 mm/s whereas the next best technology, thermocapillarity, achieves up to 15 mm/s [45]. Also, the electrodes need not necessarily be fixed on the substrate, for example they may also themselves consist of a liquid [46]. The change of wetting properties leads to a change of contact angle [47] and curvature of the droplet surface, which can be interpreted as Laplace pressure difference [48].

8 agrdsac.B oigtodolt otesm pt mi spot, same tools. the design to proper from droplets benefit two can [ which moving Splitting By achieved. be distance. larger a spsil tal n htcnb oet nraetesystem the increase to done be can what o and splitting all, droplet e.g., at process, process. possible a the is if during know shape to droplet necessary the ten estimate to difficult is iue1.1: Figure rdc.I hspriua eu,tedolti contacted is droplet figure the setup, in particular behavi this wetting In Furthe the predict. otherwise, device. the insi homogeneous; i of chemically trapped layer functioning be and correct easily dielectric cannot the the ions inhibit situa that that would and is essential pinholes, (electrolyte) is no with It liquid conducting surface. of exposed droplet a while rpesi naglrrgo,a stecs nmicrochannel in case the is as region, angular an in droplets ytmcnit fadeeti ae fthickness of layer dielectric a of consists system h rpe n h ilcrclyrdet h trdelectr stored the to due layer interfaci dielectric the the decreases and This droplet capacitor. the a in as accumulated usqetapiaino hspoesalw otransport to allows process this of application Subsequent ic h rpe hp suulyhaiydsotd(o t a (for distorted heavily usually is shape droplet the Since h yia eu fa lcrwtigdvc ssoni figu in shown is device electrowetting an of setup typical The yapyn voltage a applying By 1.1 ute osblte r icse nsection in discussed are possibilities further , favoltage a if yia eu fa lcrwtigdvc.Tecnatangl contact The device. electrowetting an of setup Typical Wire V ilcrcLayer Dielectric Electrodes Fine Structure Interdigital Liquid V 49 V sapplied. is , 50 ewe h lcrd n h rpe,cag is charge droplet, the and electrode the between θ eursmr opiae cuto schemes, actuation complicated more requires ] d l p d ihmtleetoe below, electrodes metal with ihawr sshown as wire a with 1.1.2 ie geometry, given a n ..Electrowetting 1.1. sai nry[ energy ostatic odinsulator good a s ,i utb plane be must it r, ltninbetween tension al e nteupper the on ted u sdffiutto difficult is our etelyr this layer; the de ute,i sof- is it Further, h rpe over droplet the npage on ,se[ see s, sreliability. ’s etetof reatment re e θ 1.1 igcan xing slowered is 52 The . 11 ) it ]), . 51 ]. 9

1 1. Overview

Computer simulations help to gain understanding about the behaviour of a droplet for a given electrode geometry and voltage curve.

1.1.1. Electrowetting Arrays One possible application of electrowetting to biochips is the switching between flow channels. Channel based biochips [53, 54] are typically configured at de- sign time. In contrast, the use of an electrode array that controls wettability offers the possibility for reconfiguration of the “virtual” fluid channel at run- time [55–59]. The device is the fluidic analogue to field programmable gate arrays (FPGA) used in microelectronics. Here, fluidic gates allow the fluid meniscus to traverse a certain spot in the channel, inhibit the motion, or alter the fluid path [60]. It is even possible to omit preprocessed channels at all and form virtual channels by a suitable actuation of an assembly of electrodes. This can also be seen as a micropump with discretised pumping volumes [30, 61–63]. Since the effect mostly acts at phase boundaries, these devices usually operate with a quantised flow of single droplets instead of a continuous flow; there are, on the other hand, other setups which use the moving droplet as a piston for pumping another fluid and thus achieve almost-continuous flows [64].

Figure 1.2: The four main operations of a microfluidic electrowetting array: Droplet creation (1), droplet motion (2), droplet splitting (3) and droplet merg- ing (4).

Figure 1.2 shows an illustration of a possible electrowetting electrode array with the four main operations on the droplets:

Creation: to take a certain amount of liquid from a reservoir to form droplets of a given size.

Transport/pumping: to move the droplet along a path to or from other func-

10 l plctosaearydbosas[ bioassays arrayed are applications ble rcieidxdffrn hntesronigmdu simme is medium surrounding the than different index fractive o-odcig micbeadtasaetlqi o ai (or liquid transparent and immiscible non-conducting, A possible. lik still conductor is transparent monitoring a optical Using (ITO), lower. is surface droplet advanced more figure some in Therefore, dropl demonstrated as the applications. distorts optical also in wire use dropl the the Further, of tracking accordingly. the wire requires above described setup The Devices Electrowetting 1.1.2. demonstrated. were surfaces, three-dimensional e.g., [ lenses Splitting: otc n h aaiieculn ntesbtae ti a (figure is contacts It capacitive two substrate. with the droplet on the coupling capacitive operate the and contact Merging/Mixing: ttstesltigo rpes[ droplets of splitting the itates [ voltage applied theoret the the of to contrast polarity pa in the field – on electric that depends hal the observed wetting only was since It case, pad, this twice. each layer In for available vol electrodes. the is both which voltage wets between then electrodes, droplet two The with overlap must line [ path optical [ displays the construc computer of microfluidic to in part structure valves light be mechanical as a can of serve on droplet can change force the the a where e.g., exert ments, biochips, ar to there of used But scope be the probes. beyond (DNA) plications deoxyribonucleic-acid e.g., of, h rpe scnndbtentosbtae (figure substrates two between confined is droplet the seilyfrotclproe,i sueu oivr h s the invert to useful is it purposes, optical for Especially ita ecinvascnb omda igeso ftear the of spot single a at formed be can vials reaction Virtual Figure nte ouini oueacnutv lt nta ftew the of instead plate conductive a use to is solution Another inlcmoet iedtcos aayi ovres s outlets. converters, catalytic detectors, like components tional civdb iuinaddb eidcataino droplet or actuation periodic by aided diffusion by achieved 69 ;ohrstp hntemrl lnrstp osdrdher considered setups planar merely the than setups other ]; 1.3 osltadoltit mle at o aallprocessin parallel for parts smaller into droplet a split to hw h lsia eu ihoewr opoiea ohmic an provide to wire one with setup classical the shows a omredolt n i hi otns hscnbe can This contents. their mix and droplets merge to 1.3 ntefloigpage. following the on 49 , 50 , 66 59 n utmcmiaoilsynthesis combinatorial custom and ] ,sneteLpaepesr fthe of pressure Laplace the since ], 1.3 72 ..Electrowetting 1.1. ]. tsae impeding shape, et ) hsas facil- also This c). 45 1.3 nimtnoxide tin indium e tp(figure etup tadmvn the moving and et sstedielectric the sses ple n waste and upplies [ r 67 clrsls–the – results ical loohrap- other also e , eusaeused, are setups ) h contact the b); fteapplied the of f aei applied. is tage etblt can wettability 68 73 ;tedroplets the ]; s osbeto possible lso r,sc that such ire, pia ele- optical t sdit an into rsed radaptive or ] )wt re- a with ]) oin[ motion a.Possi- ray. g. e[ 70 1.3 , 65 71 d): 11 ]. ],

1 1. Overview

a)

b)

c)

nonconducting liquid d)

e)

Figure 1.3: Different actuation setups for electrowetting. a) Droplet contacted by wire; b) two capacitive contacts; c) confined droplet (upper substrate as counter electrode); d) inverted setup; e) liquid in a channel. electrolyte; the voltage is applied between the surrounding medium and the substrate. The main advantage is that the droplet shape is not distorted by an electrode, and setups with radial symmetry are easy to build, so that good adaptive lenses can be created. The density of the two liquids should be equal to avoid gravity or acceleration effects which would distort the lense shape. The viscosity allows to further tune the dynamic response. By electrode de- sign, the degree of radial symmetry can be adjusted. Finally, electrowetting can also be used to pump liquid in a channel; besides moving droplets in capillaries, one possible use is the priming of a microfluidic device to avoid bubbles of air clogging the system.

1.1.3. Device Design Computer simulations give insight in the driving forces leading to motions of a droplet. Calculated energy curves give hints to help the designer understand what happens energetically, and show optimisation potential to increase the speed or reliability of the motion. They can also show if a process, like split- ting, is possible at all for a given configuration, and which parameters need to

12 li rcsigalgorithms. processing Fluid lo o oe iet aktwihi rca nsc innov such in crucial is which market (BioMEMS). to systems time bio-microelectromechanical lower devel a up for speed allow hence simulations prototypes; expensive bly etndt lo o eibeoeain ute,hwteg the motion. how droplet further, and operation; fields reliable a electrical for allow to tuned be li rcs flow. process Fluid Reliability. se are: asked xenlconstraints. External oedsg ol hc iuaincudhl oaheear achieve to help could simulation a which goals design Some hsealstedsge oeprmn ihu h edt w to need the without experiment to designer the enables This o xml,frdsg ftedolttasotsm questi some transport droplet the of design for example, For • • • • • ue.I sipratt siaetedoltgoer ode to geometry droplet parameters curves the voltage its the estimate and and to electrodes above, of important size listed is It operations four tuned. the of each msd[ imised n fprle rcsigi atd h prtosms no must operations the sequence wanted, one is of processing end parallel and if start and The sequence. process complete consumption). power o hudteeetoe esae oalwtasotwt m with transport allow to shaped be electrodes the should How steeavlaelmtwee .. opeewtigo su a of wetting complete e.g., where, limit voltage a there Is processes? the are accurate How electr given a with transported be can volumes droplet Which ftelqi stasotdi hnes o ol tb poss shapes? be “flange” it optimal would there how Are channels, chamber? in larger transported a is liquid the If pe tmnmlatainvlae o ag ag fdrop of range large a for voltages actuation minimal at speed etc. forces, as external such considered, time, be processing to sumption, have might constraints other ment, civd ute,teiflec ftlrne hudb qua t be from should independence tolerances that of difficul such influence are made the which be Further, parameters should achieved. design to The sensitive th circumstances not trol. which is under operation and design, an certain a of limits ti fums motnet eemn h eiblt n t and reliability the determine to importance utmost of is It 74 oaodbektruho h ilcrclyradminimis and layer dielectric the of break-through avoid to ] aysnl prtosms ecmie ofr the form to combined be must operations single Many ic h eiewl ecnetdt xenlequip- external to connected be will device the Since natainshm utb on oachieve to found be must scheme actuation An V ( t ) tevlaesol emin- be should voltage (the ..Electrowetting 1.1. petcce and cycles opment oer influences eometry n htwl be will that ons h following: the e tv ed as fields ative fc occurs? rface i o possi- for ait e volumes? let interfere. t ntified. ucs of success e oe con- power d setup? ode bet fill to ible ocon- to t utfit, must utbe must inthe sign oeis hose aximal he 13 e

1 1. Overview

To understand the motion and be able to optimise, it is important to • know how the potential energy distribution that a droplet sees during the process is influenced by the setup.

For droplet splitting, both droplet shapes and actuation parameters are of interest; an optical engineer would be interested to extract the geometry of a droplet to determine the focal length of a droplet lens [69]; if fluorescent markers are to be detected inside the droplet, one would be interested in knowing the thickness variation of the droplet to calibrate the light output.

1.1.4. Computer Simulation Aided Design Experiments can answer many of those questions, and for the given setups are fairly easy to perform and hence quite satisfying. But there are some limitations involved. Due to the small size of some features (dielectric layer thickness, electrode fine structure), facilities for production and measurement of prototypes must be available. Especially if cost is an issue, experiments should be prepared using estimates of the results. Optimisations with a large number of evaluations might be easier narrowed down by computer simula- tion, since it is fairly costly to make quantitative and qualitative experimental comparisons when it comes to, e.g., finding optimal electrode shapes. Further, effects of changes can be estimated without interferences and contamination in a simulation. Often, quantities are not accessible to a measurement, or the experiment influences the operation of the device. Finally, one can also esti- mate the response of the system to inputs which are difficult to reliably apply in a laboratory setup, giving potential to perform thought experiments. This motivated the development of a modelling tool with which we could quickly perform what-if calculations. However, also simulations have their limits. First, they are always based on a model. The amount of detail, the number of physical effects involved, the validity of assumptions and simplifications determine the accuracy of such a model. Material and geometrical data need to be obtained, and solver and discretisation parameters must be chosen correctly. The possible resolution of details depends on the speed of the implementation. In conclusion, simulations should not replace experiments but complement and accompany them. Another method of verification are analytical, verified models for special cases, which have proven their correctness in many experiments. This is the method we used for the verification of the electrowetting model in the tube example.

14 udsraeb arnintinl eh h pta cons spatial The explic mesh. It triangle Lagrangian surfaces. a optimal by of surface simulation the fluid the on for simulation software our a base We program. h lcrsai n udcdmi n rvdn treatmen [ providing levelset and coupl a e.g., domain method, by, volume fluidic shape finite and the electrostatic or too w the (FEM) simulation simulation method a (CFD) element such dynamics finite fluid for o computational possible process a are the implement approaches understand of to number helping in A effective very is which tgso rcs.I sntmata ulcmuainlflu computational full a as meant [ e.g., not in, is described It as investig process. tool l th to energy a c potential of and of the the electrowetting goals investigate stages compute to to The to further, subject methodology change; model. droplet this fast our a a in of provide used to shape have are we tool approach lation the is which hswsmtvtdb )tecmlt vrhdwn finert of overshadowing complete Re moti number the slow (Reynolds very a) forces of by electrostatic motivated limit was quasi-static bas the the This from is in excluded simulation are results droplet Our yielding and moving the effects here. within Dynamic process answer tensions. port to surface want of we equilibrium energy ones the from ent p the before just characterised be should design a q prototypes. if interface of go cost to the way at (however, effects dynamic includes pitn.Rcn ulctoshv loaotdti appr give this that adopted point [ also models have saddle dynamic publications energy Recent us the allowing e.g., splitting. accessible, of, m easily picture the thus accurate is and energy tool o potential simulation in This than our detectable approaches. and experimental visible performa more and potentia the much on the is hints modifications There, strong of give thesis. ele variations this the device W in certain in shown later path. as optimisation fluidic insight re physical ture a time general exact block more the hence provides about statement it and no minimum whet makes simulation see local of clearly kind a we in short, “stuck” In get computations. quasi-static of nti hss epeetato,EE ( EDEW tool, a present we thesis, this In ute eindcso sterpeetto ftemodel the of representation the is decision design further A nte osblt st iwtedoltmto nisquas its in motion droplet the view to is possibility Another 76 l rpsdi [ in proposed als ] 42 26 , 75 ;teqetosakdt F olaediffer- are tool CFD a to asked questions the ]; rvlm-ffli ehd ic hsmethod this Since method. volume-of-fluid or ] 1 ]. ≈ E ufc Evolver Surface 1 volve n )tecnevtv nature conservative the b) and ) d olt by roplets nsaea different at andscape ..Electrowetting 1.1. c,adteeffect the and nce, nadln time. long and on tyrpeet the represents itly nrycre of curves energy l aiy,ti sthe is this uality), e rpe can droplet a her ooti fairly a obtain to rga [ program ahfrderiving for oach toefiestruc- fine ctrode h udctrans- fluidic the iet droplet to rise s t h ffc of effect the ate electrowetting. f ftedroplet the of t e iuain thus simulation, rit htthe that traints pnea CFD, as sponse hrsimulation ther i uniyin quantity ain lectro nacomputer a in .Oecould One l. -ttclimit, i-static ag fthe of hange a ocsby forces ial t,eg,the e.g., ith, outo of roduction ddynamics id n tleast at ing do the on ed w iethis hile 77 simu- e etting), , 78 15 ],

1 1. Overview

Surface Evolver makes available for use with nodes, edges and faces are a useful modelling tool with which it is possible to simplify and hence speed up the computation of droplet motion. Due to the exclusion of internal fluid transport, the number of equations is strongly reduced; there is also no need for a boundary element treatment of the droplet interior. This simplified model allows for a fast integration with a much lower CPU time compared to full CFD simulations. For example, the droplet splitting (section 7.2 on page 187) needed only a few minutes for solving. Further, there is no need to store a three-dimensional (3D) grid but only a two-dimensional (2D) surface. The modification of the geometry of, e.g., an electrode is a matter of a few seconds work, and allows to quickly perform parameter variation studies, the results of which aid in developing compact models of the droplet operations. This makes this approach well suited for optimisation loops. However, these decisions also have some disadvantages which will be discussed in section 5.3 on page 163. Table 1.1 gives a short comparison of the two options.

Table 1.1: Comparison between full computational fluid dynamics simulation (CFD) and our quasi-static approach (QS). A “+” in this table means that the method is appropriate or good, a “–” means that there are difficulties, and a “+/-” means a limited suitability. Property CFD QS CPUtimeandmemory – + Potential energy landscape – + Compact models +/– + Optimisation +/– + Interaction with solver +/– + Design for “worst case” +/– + Surface representation implicit explicit Mesh Eulerian (fixed) Lagrangian (moving) Surface recovery +/– + Topological changes + – Inertia and damping + – Fluidic transport + – Overshooting + – Transient behaviour + –

16 fteerreeg.I u iw nte esr smr usef more is measure another view, our In energy. error the of ouin hc r usd fti pc.Ti sweeteg the where is This reduc ( space. equation the (see this that matrices of so outside terminals, are output ex which and for solutions input system, of a of set Ano excitations limited possible score. a of can reduction range order the limit model where to imp fields th not the by of are one introduced which is artificially states worse, even system tha or, by so applications, occupied modes, is frequency space low and solution modes frequency high between amncresponses: harmonic hr salto eudnyi h eutn ytm ic th since system, [ resulting applications the many in for redundancy optimal fin of the lot as a methods is discretisation there usual co lower the approx much in a ex a since with which possible estimate find 10-200) good (e.g., a to yielding equations thus freedom, of behaviour, number of lower much degrees a of with millions possibly with h eue ytmtruhu hstei) hnw compute we then thesis), this by throughout system reduced the n e h upto h eue ytmbe system reduced the of output the let and etipt e h nu be input the Let input. test a in(ytmsaei h qiiru)admdlsimulation modal and system). equilibrium) a the s of in load), state harmonic (system a (system simulation to tion transient (response simulation namely harmonic MEMS, time), for tasks lation etrta nfr rdwt h aenme fnodes. of number th same represent the may with reduced so grid grid refined the or uniform a generating size a as for than far the time so better in in the not affected in is is but accuracy system model, t original reduced reduction, combi small the model a originally for course, an however, Of of possible, expected. is are approaches two errors emplo is large refinement where local grid, solution coarse a from starting where, h olof goal The Reduction Order Model 1.2. noplay. into fe,the Often, n esr ftesmlrt stenr fteerri h re the in error the of norm the is similarity the of measure One h eue oe hudso iia eaiu o h mai the for behaviour similar a show should model reduced The oe euto a ese stecmlmn faatv gri adaptive of complement the as seen be can reduction Model k y r ( t ) − oe re reduction order model y ( L t 2 ) k norm h aecnb oei h rqec oanfrthe for domain frequency the in done be can same The . k Y 3.2 r R ( t t 1 s 2 npage on ) ) | · | − d Y t 79 ( u sue o hsproe iiga estimate an giving purpose, this for used is s .Oerao sta hr sfwdistinction few is there that is reason One ]. ( ) MR s ie ag ytmo equations of system large a given is, (MOR) t k ) 57 . n h upto h rgnlbe original the of output the and n bevblt/otolblt come observability/controllability and ) y r ( t ) ..MdlOdrReduction Order Model 1.2. w ilueteindex the use will (we iceiain This discretisation. e t lmn method, element ite ml yspecifying by ample e oipoethe improve to yed E ai snot is basis FEM e ainr simula- tationary te n scatter and ather emjrbenefit major he peiy hsis This mplexity. inmyneglect may tion hrapoc is approach ther fe vibrations (free aino those of nation iisasimilar a hibits h ro norm error the l the ul: resolution true e ratfrreal for ortant uintm of time lution epneover response uho the of much t mt system imate refinement, d oe.The model. pneto sponse simu- n infinity r y ( for t 17 ) ,

1 1. Overview norm or supremum norm , which is the supremum of the absolute value k · k∞ of the error on the considered time interval, or, in more casual words, the maximum distance between the response curves. We can measure the error either for all nodes, or, what is often more interesting, at a single degree of freedom which corresponds to a very exposed point of the device, e.g., where the measurement takes place. Yet, the optimal similarity measure would be an a priori error estimator which gives general results for all inputs and degrees of freedom and not a single test input. One is certainly also interested to preserve system properties of the original model like stability and passivity of a system [80]. An ideal MOR algorithm should fulfil four main demands [81]:

1. Small approximation error and existence of global error bound. 2. Preservation of essential system properties like stability and passivity. 3. Computational efficiency of the reduction procedure. 4. Automatic procedure, i.e., with minor expert knowledge required.

This approach is one possible way to generate compact models, however it would be good to give a tighter definition of what we mean by compact modelling and by model order reduction and how they are related. We will begin this discussion with a small excursus about electrical circuits.

1.2.1. Model Order Reduction Versus Compact Modelling? The operation of an electrical circuit can be effectively described by a system of ordinary differential equations (ODEs) when we enforce the Kirchhoffian laws (the conservation of energy and conservation of charge). In electrical en- gineering, such a system is produced automatically by circuit simulators such as SPICE or SABER from a so-called netlist [82]. The latter lists compo- nents of the circuit, that is, resistors, capacitors, inductors and so on, and the connectivity between the discrete connection nodes of the elements. The be- haviour of each component is described by some relationship between voltage and current or charge and current. This equivalent network approach is not limited to electrical circuits: Almost any dynamic system can be represented as an equivalent electrical circuit [83], which means that the ODEs are written in terms of effective resistors, capaci- tors, and so on. As a rather unusual example, in [84] an equivalent circuit was suggested for the Schrödinger equation, and in [85] it was solved with an AC network analyser that played the role of an analog computer at that time.

18 h rnitrsbasis, transistor’s the ytmo qain [ equations figure of dopin system in different as one-dime with currents a blocks and is, semiconductor that consi attached diodes, be three can coupled of transistor intimately a two case of equation simplest nation semi-empirical the a In build behaviour. to one-d tor used simple be some can for results papers) These research recent of examples as urn oigoto h collector, the of out flowing current where o lcrclcrir ncoe om(e [ (see form closed in carriers electrical for ahmtclysekn,tega of goal the speaking, Mathematically Modelling Compact qu modelling. in compact device by the equ understood of simple is a dynamics what find the is i to describe become, is, accurately that can has engineers, that This MEMS modelli for community. goal circuit technology equivalent ultimate that microsystem surprise the no in be should it So, ope ihaPisnBlzaneuto,o,frhg fre high equations. for Maxwell or, ac the equation, to fo Poisson-Boltzmann principle, PDEs a transport In with the coupled start. solve should the one from operation right transistor challenge a was tors iciseeet uha eitr,cpctr n hi c their and [ capacitors lines resistors, transmission as such elements circuits iefrajitsse iuaini usd facpal n acceptable of outside is simulation system engineers. joint other a because for simulatio important network time very a is of ODEs subpart of additional low-dimensionality an capacitors as resistors, included whi effective and of ODEs, network a low-dimensional by to represented (PDEs) equations differential rcia ouinfrcmatmdligwst ov h t the solve to was modelling compact for solution practical A lcrclegneswr mn h rtrsacest mak to researchers first the among were engineers Electrical hra hr sams opolmt rt onarelationsh a down write to problem no almost is there Whereas I I I E C E = = steeeti urn oigit h rnitrsemitte transistor’s the into flowing current electric the is I α F F 0 I  F e 0 qV  86 EB e qV 89 ,temdligo eiodco lmnslk transis- like elements semiconductor of modelling the ], /kT V EB ]: CB /kT − 1.4 stevlaebtencletradbasis, and collector between voltage the is 1 −  ntenx ae hsrslsi h following the in results this page, next the on 1 −  α − R I I V R R opc modelling compact EB 0 0   e e stevlaebteneitrand emitter between voltage the is qV qV 82 CB CB o noeve n [ and overview an for ] /kT /kT ..MdlOdrReduction Order Model 1.2. − − 1 1   nutr n oon so and inductors , miain s e.g., as, ombinations st ovr partial convert to is lcrclcarriers electrical r gi eypopular very is ng unyoperation, quency ee sacombi- a as dered ieterequired the wise .Wt voltages With g. uaeydescribe curately soa structure nsional cases. imensional omdltransis- model to ESdevices. MEMS e .Nt htthe that Note n. rsfrdesign for orms sin n this and estion, hltro are on later ch asotPDEs ransport vln circuit ivalent pfrsimple for ip a,an way, a n r, I C q 87 sthe is sthe is (1.1) , 88 19 ]

1 1. Overview

electronic charge, k the Boltzmann constant and T the temperature. IF 0, αR, IR0 and αR are parameters depending on geometry, doping and material properties. We call this equation semi-empirical because it does not describe

VEB VCB

I I E F R C IE IC

α I I α I IC R R B F F b) B

C B C

VCB IB B VEB c) E E E

a) IE

d)

Figure 1.4: Different modelling approaches for a p-n-p transistor. a) Transistor representation in a circuit diagram. b) Ebers-Moll compact model of a transistor. c) Compact model for small signal dynamic behaviour analysis. d) Mesh for numerical discretisation of PDEs. b) and c) are adapted from [89]. the transistor behaviour exactly but nevertheless it has some physical back- ground. The equation contains parameters IF 0, αR, IR0, αF that originally have physical sense. When equation 1.1 is used for a real 3D geometry, it is possible to say that the estimated response is still physically meaningful but the parameters should be treated as effective. This means that one cannot de- termine them from geometry and real materials properties but rather should use a fitting procedure to measured or simulated curves. In addition, to render the equation able to describe a real transistor quantitatively, more parameters must be added. Thus, the physical sense of the final set of unknown param- eters is difficult to define. This constitutes the first and the most important step of compact modelling. The second step is so-called parameter extraction based on experimentally

20 iePE r ovdnmrclyb rt oc n hsi co is this and force [ time brute real by in numerically approac simulation solved circuit the from are comes PDEs time. time vice computational computational long allowable of case the the for for even proce personn result computational same educated automatic the an highly in of interested is time as industry involvement modelling long Compact a acceptable. requires be well might this sidered h e su shr omk tcmltl uoai n robu and automatic completely it make to here is modell issue “compact key as contra considered The models be can compact which o alternative reduction, of An H model set development. models. standard technological compact of a nature creating very to of restriction process the a up view speed to it allow o rnitr [ transistors for ihdmninlssescnocri so-called a is occur can Ano systems increasing. dimensional rapidly is high qu chip a becomes on dimension integration element system the i. the lumped, elements, from model abstract electrica ODE pacted, circuit again a are form pioneers directly they The though modelling. compact in than hr h ubro ieetdvcsi o i ohp hton that resources. hope of to lack big to too due is approach empirical devices above different hampers the of especially number This the models. in where reduced industry its and obtain represents stage to and ways production requirement technology tive the this reach a moment, to of technologies the invention new at the Yet, between time plication. lag big a nprle ihcmatmdlig nier s oe red model use engineers [ modelling, compact with parallel In Reduction Model MEMS reduction. model conside of thus completion and after results efforts the putational of reusability the is reduction design. circuit for extr engineers parameter electrical repeating by develo After used newly again a developed. to are technolog more models any as newer applied But be cannot models. model mod transistor transistor the particular that, describe After to characteristics. volt-ampere measured 79 oe euto a eur ag opttoa ffrs In efforts. computational large require can reduction Model h urn nutyrsos st r osadriecompa standardise to try to is response industry current The hscmatmdligapoc a escesu provided successful be can approach modelling compact This , 93 – 98 ,ee huhtenme fpbiain nti rai much is area this in publications of number the though even ], 90 n ES[ MEMS and ] 92 91 .I hscs,teceravnaeo model of advantage clear the case, this In ]. ihtehp htjitepr efforts expert joint that hope the with ] ..MdlOdrReduction Order Model 1.2. necnetproblem interconnect al aigo com- of saving rable to st wthto switch to is ption hreapewhere example ther ueta produces that dure vle,teold the evolves, y cinapproaches uction lcnb applied be can el nier.Even engineers. l erhsalterna- searches nuprbound upper An n ndemand”. on ing t ihbecause high ite oteekfor bottleneck a cin hyare they action, h ESarea MEMS the ecie above described . led com- already e., l saresult, a As el. hntede- the when h e eieand device ped tmdl both models ct it ihthe with dicts wvr nour in owever, st. h aecon- case the bndwith mbined htteeis there that utilap- dustrial a apply can e [ 98 less 21 ],

1 1. Overview when a long transmission line manifests parasitic capacitance and inductance at high frequencies. For the last ten years or so, the community of electrical engineers has invested much effort on how to apply model reduction of linear ODE systems. The most advanced results here are established by control theory, which al- lows us to make the strong statement that model reduction of a linear dynamic system is solved in principle. This means that there are methods (for example the balanced truncation approximation, the singular perturbation approxima- tion, and the Hankel-norm approximation) with guaranteed error bounds for the difference between the transfer function of the original high-dimensional and reduced low-dimensional systems. Model reduction based on these meth- ods can be made fully automatic. A user merely has to set an error bound, and then the algorithm will find the smallest possible dimension of the reduced system which satisfies that bound. Alternatively, a user specifies the required dimension of the reduced system and then the algorithm estimates the error bound for the reduced system. Unfortunately, the computational complexity of current implementations is of order O(n3), with n the order of the large system of ODEs. Hence, if the system order doubles, the time required to solve the problem will increase about eight fold. In other words, even though the theory is valid for all linear dynamic systems, practically we can use it for “small” systems only. Recently, there have been considerable efforts to find computationally ef- fective strategies in order to apply methods based on Hankel singular values to large-scale systems, the so-called SVD-Krylov methods based on low-rank gramian approximants [99–102]. Another alternative is the matrix sign func- tion method [103]. However, they are currently under development and engi- neers will have to wait for the experience of mathematicians to grow in this field. Most of the practical work in model reduction of large linear dynamic sys- tems has been tied to Padé approximants (so-called moment matching) of the transfer function via Krylov subspaces [80, 104] by means of either the Arnoldi or the Lanczos process. In the literature, there are some spectacular examples where, using this technique, the dimension of a system of ordinary differential equations was reduced by several orders of magnitude, almost without sacrificing accuracy. The disadvantage is that Padé approximants do not have a global error es- timate, and hence it is necessary to select the order of the reduced system manually [105]. This knowledge transfers gradually to other engineering communities. The

22 urn ttso eerhi h niern omnt can community engineering the [ in publications research recent of status current ...MdligadSmlto fEO n t Application Its and EWOD of Simulation and Modelling following the 1.3.1. are thesis this in presented results major The Results Major 1.3. lcrwtigi hnesadfrteotmsto felec of optimisation the se for confined a and in channels detachment in and electrowetting evaporation of effect the study ia n prtn parameters. geomet- operating and of rical optimisation and and design models the compact deriving for is useful which forces capillary and droplets liq- uid of configuration energy the provide in results insight sim- simulation running The the ulation. with parameters interaction and simulation user-friendly of a specification in- for user allows (GUI) graphical elec- terface A the effect. by trowetting handling fluid microscale on focus with setups simulation different o.Tesfwr rvdsasrp epaelbaywiho which library template script Evol a Surface provides the software on The based program tor. Java a EDEW, in electrowetting-on-dimplemented for approach modelling a developed We nmteaia ttsis h eut fwihcnb appl be b large can a which is of there results as the pro formal statistics, so-called less mathematical the or in more all, made After be a ca can modelling. form extraction reduction functional compact model a of rather if part but good first model be reduced would top numerical it a and only words, geometry other as In such r problems sation. model design of engineering application the many limits in wi This discretisation would reduction. during model One used peat form. properties symbolic other the or in geometry reduction model during eters n ehd o h oe euto fnniersystems. nonlinear of reduction model the for methods find DWwste sdt iuaetetasotadsltigof splitting and transport the simulate to used then was EDEW nte motn su shwt rsregoercladm and geometrical preserve to how is issue important Another rpe Manipulation Droplet 106 – 117 ,weeoeas bevsacertedt r to try to trend clear a observes also one where ], rd deshapes. edge trode eetiswihwas which ielectrics hu aigt re- to having thout ..MjrResults Major 1.3. e ee[ here ied : dcinmethods eduction eso parameter of cess esavreyof variety a ffers aoost the to nalogous d fresearch of ody u,t analyse to tup, rdc not produce n tra param- aterial iet change to like ese from seen be lg optimi- ology rpes to droplets, e simula- ver 118 , 119 to 23 ].

1 1. Overview

1.3.2. Model Order Reduction With Weak Nonlinearities We present a methodology and implementation for model order reduction for nonlinear models with a special focus on MEMS transducers and the typical challenges they present from a modelling perspective. A polynomial approxi- mation to the electrostatic (1/x2) force/displacement dependency in a parallel plate setup allows to apply the Arnoldi method to a model of the IBM scanning-probe data storage device. The reduced model also features a contact element for the tip. We further investigate how to preserve Rayleigh damping as a pa- rameter of the reduced model. The final result is a Verilog-A model which is used in a system simulator to optimise the circuitry and oper- ation of the device. Another emphasis is on the mod- elling of devices with nonlinearities. To successfully apply model order reduc- tion, it is helpful to write the discretised nonlinear equations in a closed form. We show different examples of this modelling process.

1.4. Thesis Overview

The thesis is split in four main parts, and each part is again split into two chapters. In the first introductory part, chapter 1 gives an overview over the thesis, introduces the basic concepts of electrowetting and model order reduction and discusses some of the challenges we have to deal with when doing computer simulations. Chapter 2 describes the special properties of MEMS, in particular the transducer properties, coupling of energy domains and scaling effects, presents current manufacturing techniques and application examples, and the computational issues which often make MEMS simulation special. Some numerical case studies are given, which will be used later to demonstrate model order reduction techniques. Part II shows the relevant theoretical background and presents theoretical results. Chapter 3 starts with the description of some basic properties of dif- ferential equations and shows algorithms for their solution. We give an outline of the theory of fluidics including wetting and electrowetting, elasticity and

24 euto sfloe ygvn eut n icsin nt on discussions n and a steps. results polynomi the giving from nonlinear by path weakly followed is as the reduction representation Then, the scanning- to IBM butterfly. the device) illustrat of Imego discretisation also the the from is (coming of system damping reduction Rayleigh the of on Firs meth treatment Arnoldi 8. the the of chapter method; performance in the given compare setup. are systems, transport linear framework droplet reduction the and order of tubes model optimisation in the fluid of setups, various results in mot droplets the of demonstrate evaporation which experiments numerical several of icsino h iisadsrntso h hsnapproa chosen the of strengths and limits chapte the The of Verilog-A. discussion language a description c model hardware the the how show to we implementation, descrip the integration the time from po After and system ANSYS. of polynomial package treatment elements a finite obtained our commercial we presenting how After discuss we scan mode tems, model. IBM this of numerical the description for the the model on revisit d we compact this device, a Since storage of data fra requirements models. the the polynomial about by of details guided reduction gives the 6 for applicati Chapter discuss veloped approach. finally chosen and the guide usage of short discuss a give we E electrowetting; cepts, implementation of optimisation its and and simulation model electrowetting the presents r o ieraymore. undertake any be linear can not approaches which are second-orde discuss in system we damping Then, linear Rayleigh systems. of for treatment algorithms differen the relevant of on systems results most redu of the order properties model present basic to first of devoted description is a 4 from Chapter parti discretise described. are to tions n methods distance, numerical plate Further, variable with capacitor discussed. coup a tackle of to example way the a on as elements Transducer electrostatics. akmdl [ models mark h hsscoe ihcnlsosada ulo nchapter in outlook [ an publications journal and corresponding conclusions The with closes thesis The h atpr nlypeet ueia eut.Catr7s 7 Chapter results. numerical presents finally part last The atIIdsrbstesfwr mlmnaino hs con these of implementation software the describes III Part 12 – 14 n aul [ manuals and ] 15 , 16 1 r ie ntebbigah.Ad- bibliography. the in given are ] – 4 ,cneec aes[ papers conference ], ..Tei Overview Thesis 1.4. ldffrnilequa- differential al h neligcon- underlying the eerrfrec of each for error he ,nwwt focus a with now l, n n lolimits also and ons niereet are effects onlinear igaepresented; are ling rb aastorage data probe h eut four of results The ileutos we equations, tial lsse n its and system al o,sltigand splitting ion, ln ihour with along s hnesadthe and channels ie,vibrating) (i.e., r E,ato for tool a DEW, et.Catr5 Chapter cepts. ch. ocue with concludes r ino h MOR the of tion dt h Guyan the to od osteresults the hows vlpetwas evelopment hnsystems when n dwt results with ed eokw de- we mework to.Starting ction. nb exported be an ,w ou on focus we t, 9. yoilsys- lynomial oe nthe in model 5 ning-probe – 11 onlinear ,bench- ], 25

1 1. Overview ditional journal publications on the model order reduction part are in prepa- ration.

Chapter Summary

Electrowetting is an effective and flexible approach to process liquids. • Wetting properties are modified by electric voltage. • Device optimisation requires computer simulation. • Two different approaches with different objectives: full CFD and quasi- • static surface energy minimisation. Model order reduction allows for the fast solution of large dynamic ODE • systems. Fully automatic generation of compact models. • Control theory methods provide good error estimates; Padé approxi- • mants/moment matching is currently much faster for large systems. Nonlinear model order reduction is still a significant challenge. •

26 I 03t 07a nulgot aeo bu 7 sepce dep expected is 17% about of [ rate application growth of annual field the an 2007 to 2003 simulation. nrae.I okTxsIsrmnsaot1 er obring to years 15 develop about [ the Instruments (DMD also Texas devices up took micro-mirror speeding market It con the are With increases. markets now. microbolometer emerging and as MEMS opt and RF heads microphone, inkjet MEMS , pressure devices, MEMS ertial .MM Actuators MEMS 2. rw,adsadr ol n rcdrseis hs ttak it market. Thus, to R&D exits. from years procedures 6 and to tools standard and grown, fteeise a ecruvne yspitctdts st device. test the sophisticated of by circumvented vicinity be the can pos prototyp issues are the these measurements if of of cameras, examination speed the high c and Also most microscopes c in repeated. the And be therefore must and produced. microparts, cycle is change or prototype repair a to until possible time some takes it r nlddi h n atigss hycnb rdcds c so empty. produced is be tank can the they Hewlett-P after so old disposable cartridges for ink are used the printheads in the included are example, initial For an high size, a part. in with per small processes are production MEMS mass by world. fabricated macro the to compared lenges uigtels er,teMM aktsoe ai growth. rapid a showed market MEMS the years, last the During h eeomn rcs fmcoytm rsnssm fund some presents microsystems of process development The h osqec sta rttpsaeotnepniet bu to expensive often are prototypes that is consequence The hscatr ewn ootieteseilpoete fM of f properties consequences the special discuss the and outline devices macroscopic to to want trast we chapter, this n ayasaltighsbe aelreb h ih ido ad- of kind right the by large made been has thing vertising. small a Many akTan(85-11) onciu akei King in Yankee Connecticut A 1910), - (1835 Twain Mark 120 .Atrti eot h andvc akt r in- are markets device main the report, this After ]. 121 , 122 )t h akt o,eprec has experience Now, market. the to ]) rhrsCourt Arthur’s mlt production omplete otadalwcost low a and cost utrso n in and on ructures clMM,while MEMS, ical il tal Some all. at sible rmdligand modelling or eursgood requires e cadprinters ackard sotnol 3 only often es epta they that heap mna chal- amental l,adthat and ild, r usually are d M ncon- in EMS ssi snot is it ases hi digital their etspeed ment nigon ending sidered From 27

2 2. MEMS Actuators

The consequences of a wrong design can be disastrous. One famous example is the Pentium FDIV bug in 1994. An error in the floating point unit of the early Intel Pentium processor caused small errors in the return values of the division command, requiring Intel to exchange the buggy processors – leading to a monetary damage of between 300 and 475 million USD and a vast image problem [123]. This stresses the importance of modelling and simulation for the complete design flow, starting from the manufacturing [124]. Then, the behaviour of the final device must be accessible to simulation, be it the transient response to a certain input or the spectrum of the harmonic response, resonant frequencies or nonlinear effects like buckling. Another very important field is the relia- bility, to estimate the lifetime of a device and to identify failure mechanisms. Optimisation can help the designer to find the best parameters for a system, or, in the case of topology optimisation [125], a completely new design which is hardly imaginable in classical design processes. As the importance of MEMS increases, also commercial software vendors see the benefit of providing integrated tools for applications in MEMS. For example, the finite element simulator ANSYS [126] has started to include special element types which are useful for, e.g., electrostatically actuated de- vices. While this is still a function set on top of a very general code, there are also packages with special focus of MEMS, for example the IntelliCAD (now IntelliSuite) system [127], the SOLIDIS [128] tool which is integrated into the ISE-TCAD system (now Synopsis) [129], and the ConventorWare and MEMCAD packages [130].

2.1. MST and Properties of MEMS

Microsystem technology (MST) is an engineering discipline which is engaged in the production and characterisation of multiphysics devices with feature sizes in the micrometre to millimetre range [115, 131–134]. These devices are often referred to as micro-electromechanical systems although many feature fluidic or optical (“MOEMS”, “BioMEMS”, etc.) components. In the following, MEMS will be used generally for a device produced with MST.

2.1.1. MEMS as Transducers In the majority of applications, microsystems are used as transducers, i.e., they convert one physical input quantity to another. Often these quantities are located in different energy domains. MEMS devices can cover a large area of

28 o h ramn fculn ffcsi em firreversibl of terms [ in in effects given coupling system o is of A and treatment domain. transistors the electromagnetic for (VLSI) the in integrated remain ele scale which ou purely ments, large an from very and MEMS as separates circuit), such feature electric This an temper actuator). example, a (the (for example, modifier (for a quantity age), intermediate co which an sensor) micro to (the Many transducer quantity input process. An reverse structure: the wh common sensor performs a a which to is actuator, etc. example an pressure, One concentration, MEMS. chemical to temperature, related a mu is indeed coupling and to nature, their tion constitutes and MEMS to inherent tutrlmcaisdmis nohrwrs h oe sho model the elect PDEs. words, mechanical the other and between in Maxwell coupling domains, the mechanics least structural at include should model measureme the or to energy up six light between distinguish of we processing practise, and fluids of coupl handling mechanics structural from beginning domains, energy h lcrsai ocs[ th forces scales, length electrostatic microscopic the on employed be can principles resistance. electric and inte solids, friction, are deformed domains energy dissipative for Examples sflii at iepmsadeetial otolbeva controllable electrically and pumps like parts fluidic as atlvr [ cantilevers ula nryi o yt osdrdi hsshm.These dissipative scheme. and conservative this into in classified be considered further (yet) can not is energy Nuclear ihtertasue rpry tsesta opigi so is coupling that seems it property, transducer their With seape osdra ai rqec R)sic:Amathe A switch: (RF) frequency radio an consider example, As nesnilcmoeto aymcoytm r oigstru moving are microsystems many of component essential An • • • • • • ehnclsga oan(ipaeet oain elasti rotation, (displacement, domain signal Mechanical waves) (electromagnetic domain signal Radiant hra inldmi kntceeg faosadmolecule and atoms of energy (kinetic domain energy) signal atomic Thermal and (molecular domain signal Chemical domain signal Magnetic domain signal Electrical inleeg) udc a lob nlddhere included be also may fluidics energy); tional 135 139 – 137 , 140 ]. n pia tutrs(L [ (DLP structures optical and ] 131 – 134 ]. ..MTadPoete fMEMS of Properties and MST 2.1. inldomains signal 121 nrydmis[ domains energy ) eea actuation Several ]). nlls nflisand fluids in loss rnal lcrcvlae or voltage, electric n dt electrostatics, to ed otfeun are frequent most e thermodynamics e vs[ lves vrsteinput the nverts hrcrutele- circuit ther pttransducer tput oantcand romagnetic tr oavolt- a to ature nrydomains energy toi devices, ctronic ehn htis that mething ytm aea have systems ho h atten- the of ch [ tcframework atic l opethe couple uld 17 to et In heat. of nt iy gravita- city, 138 ]: c converts ich trs e.g., ctures, s) ,sensing ], matical 124 29 ].

2 2. MEMS Actuators

Table 2.1 shows the names of some well-known effects which can be used by a transducer. Those effects can be unidirectional (for example a solar cell which does not influence the light source) or bidirectional (for example if the frictional heat leads to a change in the mechanic properties, so that a cycle mechanical thermal mechanical emerges). The coupling effects con- → → sidered in this thesis are different variants of electrostatic forces, in particular electrostatic-structural interaction and electrostatic-fluidic interaction. A further classification of coupling effects is between the place where the coupling takes place. For example. the Joule effect which heats a conductor through which a current passes, couples the electric and thermal energy do- main in the same volume, whereas frictional heating, fluid-structure coupling or the electrostatic actuation of a cantilever is a boundary effect.

2.2. Scaling Effects

Most of the special properties of MEMS can be explained by the scaling of length scales [141]. Assume that one scales a system by a factor s. Lengths will change with the factor s, areas with a factor of s2, volumes with a factor of s3. This means that surface effects show an increase in their importance compared to mass effects. A “drop” of water with a volume of 1 litre which is put on a table under the influence of terrestrial gravity will become flat and spread all over the surface. On the other hand, if this drop is 1 nanolitre (which means scaling lengths by a factor 1000), it will almost form a perfect capped sphere, the surface shape is completely determined by the surface tension and interfacial energies with the substrate. A measure for the ratio of gravitational effects to surface tension effects is the Bond number Bo = ρgL2/γ, where ρ is the density, g is the gravitational acceleration, γ is the surface tension and L is a representative length (e.g, the droplet diameter). For our examples, Bo 0.001 0.1, whereas for the macroscopic regime, Bo > 10. ≈ − This scaling effect also means that the smaller the device, the smaller the part which can be considered as “bulk”, i.e., the volume part where the surface does not influence the behaviour any more. One can say the microsystems are mainly surface. Along with the scaling of lengths, time scales exhibit the same change. For the example of eigenmodes, [142] shows that time and acceleration scale with length, whereas frequencies scale with the inverse of s. Resonance frequencies thus become larger. Both kinetic and elastic energy scale by a factor of s3, which for the kinetic (co)energy is obvious by its definition T = 1 ρv x˙ 2, ∗ 2 | |

30 seilya o rsue hntema rept slre[ large is path be free may mean ionisation the of when pressures because low flow at current alread isolation especially since air earlier volta the down this break of here decrease given further relations may linear conditions atmospheric and h radw otg far ota h lcrcfil utb must l field a electric is the there that about However, so below air, remain of factor. voltage scaling breakdown the the smaller the smaller al 2.1: Table aduulymr icl ofbiae.Gvnaprle pl parallel a Given fabricate). favourable gap to less difficult are more usually electromagnets (and on based actuators that happe transfer heat since Kee challenging temperature. be of can equilibration distributions fast the is effect scaling eedo urnswihsaeby scale which forces currents Magnetic voltage! on constant depend for length the scaling to where antcataosaentsniiet ut hc ed to tends which dust, to sensitive not are actuators magnetic chem. magn. electr. therm. mech. rad. in/out ti loitrsigta lcrsai ocsoutperfor forces electrostatic that interesting also is It d area , ρ stedensity, the is a.mc.tem lcr an chem. rad. magn. body black electr. therm. mech. rad. lumin. chemo- effect Faraday lumin. inject. effect elastic photo- lumin. photo- ffcsfrsga ovrin(fe [ (after conversion signal for Effects A n ilcrcpermittivity dielectric and 3 expans. thermal reaction explos. strict. magnetro- force stat. electro- moment. conserv. pressure rad. × v ∝ 10 s 6 3 V h oueand volume the / m cond. heat reaction exotherm. effect hausen Etting- effect Joule Peltier/ heat frictional heating rad. ekfilsbcueo ufc roughness surface of because fields Peak . s o osatvlae n hsbecome thus and voltage, constant for ε h force the , 17 effect Seebeck effect Volta effect Hall effect junction pn electr. piezo- cond. photo- x ]) ˙ ∝ s/s F C stesed Another speed. the is antcfre,so forces, magnetic m law Weiss Curie induct. magnetic law Ampere’s strict magneto- magn. photo- = igu temperature up ping nteohrhand, other the on , eoebreakdown before y svr fast. very ns ..SaigEffects Scaling 2.2. 1 2 t aaio with capacitor ate ntemicroscale the in εA d e nfc,the fact, In ge. 2 eatatdby attracted be oea issue, an come V 143 mtgvnby given imit eindto designed e 2 sinvariant is .Further, ]. reaction therm. endo- reaction chem. lysis electro- explos. induced pressure- chem. photo- 31

2 2. MEMS Actuators electrostatic fields. This is particularly a problem because of the small gap sizes between the capacitor plates. On the other hand, electrostatic devices are mostly compatible with the CMOS process and easier to fabricate. When going further down, at some scale a point is reached when the con- tinuum assumption of matter starts to break down and individual atoms and molecules become visible. One effect is the so-called wall slip. Usually for a fluid flowing in a tube, the continuum assumption is that the velocity of the fluid at the channel walls is zero. As sizes get smaller, this assumption does not hold any more; there is a nonzero speed at the walls [144, 145]. The Knudsen number Kn = λ/L describes the transition between those regimes: It gives the ratio between the mean free path λ and a typical length L of a system [146– 148]. For gases, a continuum can be assumed for Kn < 0.01. Using special methods like artificial wall slip there is a short range up to Kn = 0.1 where continuum simulation can give still good results. For a value larger than 1 the probability of a particle hitting a wall is higher than interacting with another particle; another simulation approach must be considered (a very interesting macroscopic analogy for the spreading on a surface is presented in [149]). The range in between is quite inconvenient for simulation. Particle numbers are too high for a molecular simulation, while continuum approaches produce questionable results. Particle methods, for example smoothed particle hy- drodynamics or dissipative particle dynamics [150–152], or Lattice-Boltzmann type simulations can be a remedy. The first step in a simulation of microsys- tems is thus to decide in which regime the simulation operates. All our devices and applications are fortunately well in the regime of continuum models, so that there is no need to consider atomic effects here. Due to finite precision numerics, scaling of units is useful.

2.3. Computational Issues

We have already mentioned process and device simulation for a single MEMS part. If the device behaviour is clear, the next level is system simulation. The single device is put in the context of the complete assembly – circuitry, in- puts and outputs of other energy domains and the environment are taken into consideration. For this task, a compact model is needed: a small (in terms of unknowns/equations) and simplified model which captures the essential prop- erties of the device. This is especially true if a large number of devices are to be simulated (e.g., a very-large-scale integrated (VLSI) microchip) or if separate time scales are used so that many timesteps have to be calculated [153].

32 asiKh hr rYcat(figure chart Y or chart Gajski-Kuhn iue2.1: Figure nlyasse ee iuaino h opeeasml [ assembly macr complete the properties, of material simulation manufac and level the structure system of a the modelling finally on v a based simulation from PDEs a path to From the to attached). correspond device assemb levels the and with o device) (readout (packaged board level level circuit signal package system), cou die), micro level, (the the material level of and parts geometry of levels levelaction those architectural call f or could the system level, the one l logic and level those the level, algorithmic particular, circuit the In the level, component. geometry the complete the fr to starting views microchip abstraction, These increasing view. with geometrical levels of hierarchy physical behavi the or and functional view The tural system: microelectronic a of views ndsg ffr n nwhw rmtedtie E oe,he model, FEM derivi detailed by the representations From compact more know-how. towards treme and a progresses represents effort already de itself design The in in source: which single model, a device 3D from a abstraction model of levels rive hs ieetlvl fdsg n iuaincnb visual be can simulation and design of levels different These o optraddegneig(A) ti otdsrbet desirable most is it (CAE), engineering aided computer For Behavioral h hr,soigtedffrn ee n iw fVS devi VLSI of views and level different the showing chart, Y The Register−transfer Algorithms Systems Transfer functions Logic Geometry Chips, PhysicalPartitions Macros, FloorPlans Polygons Clusters Cells, ModulePlans Transistors 2.1 Gates, Flipflops [ ) ALUs, Registers 154 Subsystems, Buses .Ti iga hw three shows diagram This ]. CPU, Memory Structural ..CmuainlIssues Computational 2.3. mtesrcue na on structures the om ntoa lc level, block unctional o microsystems, For . u iw h struc- the view, our 124 esr,device sensor), a f ylvl( printed (a level ly gteefo the from these ng ln ee (inter- level pling inrsat with starts signer du investment ndous aesxdifferent six have vl r called are evels epit these iewpoint, eal ode- to able be o ]. uigprocess turing oesand models o sdi the in ised steadily ces. 33

2 2. MEMS Actuators detailed model. Further, lithographic masks for production are derived from this model. From each lower to higher level, there needs to be a mathematical or nu- merical process to reduce the complexity of a model. We will in this thesis focus on the transition from the geometry to the signal level, in particular from numerical simulations of a discretised device to a model with low state space. There are many applications of complexity reduced models: Not only in the design process, where they – used as fast solvers – give means to the hands of engineers to quickly be able to evaluate changes on the design of a device, allow for realtime simulations or hardware-in-the-loop testing. They can also be part of a controller [155, 156], which needs to be always aware of the current system state, which may not be accessible from the real device. In [157], a slightly different use is presented: Reduced models are used to train a neural network which approximates the transfer function and is part of a feedback system to control a torsional micromirror. Other applications include image and sound processing, games and visualisation, among them applications where speed is more important than absolute accurary.

2.3.1. Complexity in Numerical Modelling

Figure 2.2: The three contributions to complexity.

Complexity is introduced to such a mathematical model through three dif- ferent properties [158] (see figure 2.2): First the size of the system. A model consisting of millions of equations (e.g., a FEM model) is surely more diffi-

34 ltsdet h iiso h auatrn rcse.For processes. manufacturing the of limits the to due plates h lcrnc) ota l aeilcntnsadtegeo the it and in constants kept material is all that which so sensor electronics), acceleration the turbule an operate (e.g., devices, device ranges Sometimes, of mo ment laminar. size turbulence mostly small are complicated flows the Thus, quired, physic to the microsystems. Due of in flow: observed simplification fluid a allow is example often effects scaling The Geometry and Material PDEs, 2.3.2. all. at model sacrific a this simulate without to But m possible reduced som redundancy. rang the unwanted a use tailor operating all to of can input certain reducing range applications possible a the Some possible on in restrictions the well on range. or very frequency model work or the can amplitude of model accuracy reduced the A be can us this systems linear for techniques well-known many render may rprisitouennierte noteequations. d pred the state make into Finally, nonlinearities grains out. introduce average like they properties features systems material large for size o layers whereas Small composite when used. especially prope are plates, material thin Even very important. stron for much more ent much a are play effects capacitances down surface like slows effects damping Parasitic t squeeze-film heat tures. fast; small, very are happens masses rounding Since transport. current nonlinear ubro osbevrain rwi nepnnilway. exponential an par in each grow since variations space, possible parameter of complete number the i grows, span numeri parameters to or of fitting difficult number curve the When from deduced observations. mental be prop can material etc.) parameters, parameters, design and geometry (e.g., eters hpe.Oerao o hslrenme fdgeso freed of degrees of structures. number filigree and large slender this with presen for geometry model complicated reason capacitor ex the analytic One an example than for chapter. solve model, to simplified time a more on takes and handle to cult sal,mcoytmgoer sbito ipeeeet l elements simple of built is geometry microsystem Usually, ial,nnierte edt ope n fe surprisi often and complex to lead nonlinearities Finally, oee,ti sntawy re o xml,semiconducto example, For true. always not is this However, sa a always is there reduced, is model a of complexity the When h eodiflec stenme fprmtr.Amdlwith model A parameters. of number the is influence second The ..CmuainlIssues Computational 2.3. er eaelinear. behave metry ris optimisation erties, dl oteeneed, these to odels ieetmaterial different f e oe n also And role. ger ase otesur- the to ransfer pnetmaterial ependent eopsto by position zero s gbhvorand behaviour ng nsaldisplace- small in ,i sotnnot often is it e, aystructures many lmdl.One models. al cin difficult, ictions esaentre- not are dels eoe more becomes t eless. mtrlt the lets ameter e ntenext the in ted a n experi- and cal te r differ- are rties k em and beams ike rsinbased pression sso highly show rs oigstruc- moving information e mcnb a be can om c srarely is nce e param- few ,b tan it be e, pplication. crifice: 35

2 2. MEMS Actuators like cantilevers, there are analytic models available. But since often struc- tures are very slender, a standard 3D finite element mesh with an adequate element size results in an exorbitant number of very small elements. Adaptive meshing [159] can be a remedy, another possibility are special elements like shell and beam elements. This can however only be used when geometries are simple enough and even then, their number can be too large for a sys- tem simulator. Another example are acoustic simulations of, e.g., a surface acoustic wave (SAW) device, where, by a rule of thumb, six finite elements per wavelength are required.

2.3.3. Coupling What rises most concerns for an integral simulation are coupling effects. They are the main source for nonlinear effects in microsystems. This can be ei- ther the coupling between different energy domains as described above, e.g., coupling the electrostatic domain to a mechanical system. But also inside of one domain there is coupling, examples are geometric nonlinearities and the coupling in the Navier Stokes equation, where displacements or velocities in different directions couple.

Stress-Strain relations: σ = D ε 0 ˙˙ Momentum balance: ∇0P + ρs(b0 – x )=0

Dv Navier Stokes: ρ = –∇p + ∇ τ⋅ a Dt Gauss’ Law: ∇ ⋅E = ρ e ε⁄

Figure 2.3: A MEMS RF switch (illustration).

The electrostatics-to-structure coupling is some especially interesting case because of the long reach of the electrostatic potential. Figure 2.3 shows an illustration of a device where many couplings are present. The device works as an RF switch: If a voltage is applied between the upper plate and the inner plates on the bottom, the central part touches the conductor at the bottom of the device, and a (capacitive) contact forms between switch and conductor. This provides means to reliably switch high frequencies in the GHz range,

36 hni h aeo opeso rwtotsrs.Ti leads sh This as case, stress. beam without clamped-clamped or called so compression the of in differences case the in than sdfrnmrcleprmnsfrmdlodrrdcin S reduction. order model for experiments numerical for used aea hc oeteCDsno [ sensor CCD the move which cameras ids(Es eogt hscategory. this to belong (LEDs) diodes applications consumer into [ way Instruments sensor Texas their from certainly find are actuators MEMS also for But area application broadest The Examples Application 2.4. spri 1. the of in nonlinea thickness change a beam rapid a the of is beyond case there is the curve, displacement In green the the displacement. con in the shown spring equivalent as on the depend simulation; not The linear does applied. a is of force suc simul result a wall show when the a curves midpoint to the two mounted of The displacement ends fixed. the its is with rotation beam and a displacement of consists setup The iesos hc ed otesrs tffnn ffc:tes the effect: stiffening stress the the in to beam leads which dimensions, ietos ftetnini tog h eiesesmc s much seems device the strong, is tension the If directions. .. o aa ytm osic ewe edn n receiv bands. and frequency sending different between between switch switch to to phones systems cell radar for e.g., 2.4: Figure ntefloigscin edsrb h ESdvcsadst and devices MEMS the describe we section, following the In led h ueymcaia atsosaculn between coupling a shows part mechanical purely the Already Central displacement [a.u.] -4 -3 -2 -1 -5 0 0 2 lme-lme emsfredslcmn curve. force-displacement beam’s clamped-clamped A z Linear Nonlinear ieto eed ntetnino h emi h other the in beam the of tension the on depends direction Force [a.u.] 4 6 121 ,R ESdvcs riaesaiiesi digital in stabilisers image or devices, MEMS RF ], 8 10 160

.As AEsadlight-emitting and LASERs Also ]. Axial tension Axial ..ApiainExamples Application 2.4. tn ftedevice the of stant ie in tiffer w nfigure in own ei h DMD the it be , m r real-life are ome [ s e uv shows curve red n icir or circuitry ing to eut of results ation gcntn as constant ng ins fthe of tiffness oremarkable to 17 simulation r htboth that h utrswe ructures , z h space the 131 direction , 134 2.4 37 ]. :

2 2. MEMS Actuators devices, some of them already commercialised or close to mass fabrication. In addition, there are simplified examples that allow a comparison with analytical models. All systems are (with the exception of the heat conduction model) second-order in time; no transformation to first order was performed. In particular, we simulated and order-reduced models of the IBM AFM- based scanning-probe data storage device, the Imego butterfly gyro and the IRST RF switch. In addition, a wire bond model has been created to demon- strate the application on slender structures, and nonlinear heat conduction along a beam has been tested.

2.4.1. IBM Scanning-Probe Data Storage Device This device, which is also known under its internal project name “millipede”, is a famous example for a truly micro-electromechanical system. It is currently developed at the IBM Research Laboratory in Rüschlikon near Zürich [161]. The capability of an atomic force microscope (AFM) tip to not only observe surfaces at atomic scale but also modify them has motivated the creation of a high-density data storage system. Data densities of 641 Gbit/in2 with raw 4 bit-error rates better than 10− were successfully achieved [162]. Data den- sities of 1 TB/in2 have been demonstrated, the same range as that expected for magnetic perpendicular recording technology [163]. Whereas the magnetic recording storage density is fundamentally restrained by the superparamag- netic limit, the fundamental limit of AFM tips is in the scale of atoms and molecules. This offers a long-term perspective for this technology. The chal- lenge of mechanical surface modification thus does not lie in the data density, but rather in the speed of writing and reading. The probes operate on a microsecond timescale, which limits the applicability in data recording where nanoseconds are common. Harddisks nowadays easily reach 50 MB/s. With the ability of MEMS batch processes to create a large number of small structures at a time, the solution is massive parallelisation. Using an array of several thousand AFM tips operating in a highly parallel manner, data throughput is increased by several orders of magnitude once the heads are positioned, and the technology becomes competitive. The system consists of an array of small cantilevers with a fine tip (see figure 2.5), an electrostatic actuation system, a thin epoxy-based polymer and an integrated micromagnetic x/y scanner [162, 164]. The actual dimensions used for the simulation are shown in table 2.2 and figure 2.6. The electrostatic actuation system deflects the cantilever until its heated tip touches the polymer medium. There, it creates tiny indentations which represent the stored data

38 iue2.6: Figure 2.2: Table 2.5: Figure atlvrpthfil ie100 size pitch/field Cantilever pigcntn fcantilever of constant Spring atlvrlnt 65 length Cantilever atlvrtikes0.5 thickness Cantilever ei thickness Media i pxdsac oplmr800 radius polymer Tip to distance apex Tip i node Tip ttindpr 0.25 part thinned at – rpriso h iuae cantilever simulated the of Properties iesoso h probe. the of Dimensions fr (reprinted device storage probe scanning the of setup The ee length: Lever thickness: Lever height: Tip distance: Substrate-tip ≈ ≈ ≈ 0 nm 100 0.05 20 µ µ nm µ m µ m nm m m 65 nm 500 nm 700 nm 800 N / µ m m ..ApiainExamples Application 2.4. ig hcns:20nm 250 thickness: Hinge Substrate m[ om 162 ]). 39    

2 2. MEMS Actuators bits. By moving the polymer with the scanner, a raster of bits emerges. The size of this field is typically in the range of 100 µm, which is also the distance of the cantilevers. The design also contains structures for adjusting the distance of the entire tip array to the medium. The chip and scanner are thermally connected which allows to maintain equivalent temperature to manage thermal expansion. Heaters ensure that the chip and the polymer medium are maintained at constant temperature to manage thermal expansion. The probe cantilever is made from phosphorous-doped silicon and consists of a capacitive platform for the electrostatic actuation, structural elements, lower-doped parts serving as resistors, and the tip (see figure 2.7) [162]. The part of the cantilever where the structure is attached to the rigid support structure is thinned to serve as a hinge. On the free side, a tip is placed to create the depressions.

Figure 2.7: Scanning electron microscope (SEM) image of a single probe of the stor- age device. Left inset: Enlarged view of the tip. Right inset: Pattern written by the probe with a storage density of 641 Gbit/in2 (reprinted from [162]).

When a voltage pulse is applied to the capacitive platform, a force is gener- ated that pulls the tip towards the polymer. Voltages below 20 V are sufficient to generate a force of 1 µN. Simultaneously, the resistors are heated. In the write mode, a voltage pulse – applied between the middle and the the outer electrodes – heats the write resistor, from which the tip protrudes. Simultaneously, a negative voltage pulse is applied to the polymer substrate. The tip’s temperature increases, and as the tip is pressed against the poly- mer due to the electrostatic force emerging between the cantilever and the

40 o h udcdmigo h oin(hnfimdmig[ damping (thin-film motion the of fluid-st damping tip, fluidic the the heating for for coupling for im coupling current-temperature are electrostatic-mechanical couplings is many There and function. domains energy most because esting smaue,adtesraepol a edetected. be can profile surface cond the electrical and its thus measured, is and var is resistor tip These the the p thickness. of through temperature polymer room-temperature flow lower the heat the the the to to Moreover, owing resistor indentation gap. read air hot the the through accordingly. from decreases flow resistor sur heat the read of the height c the including the previous body, rigid region the voltage, almost of small an contour like a the and, applying follows tations, s and By mode, polymer write the modified. in contacts not than geo is lower its pattern is heater, mer the temperature of tip’s position the the voltage, of Because voltage. stant etdcniee nafs irtn oeoe h is ot so surface. planarized pits, smooth is the a over track create mode the appl to vibrating reflows the fast manner: medium in a similar in “one” a cantilever po a in heated equilibrium denote its possible to to also again created is released is is cantilever pit the then, small A substrate. oe re euto eepromdi nogigcooperat ongoing an in [ performed IBM and were IMTEK reduction cantileve electro the order the of to deflection model reduction electrostatic order the particular model in apply will we work, this eieadiscrutydsg utaodti situation. this avoid experi must design also applied circuitry may the its platform and when actuation device hand, other electrostatic par the the small On a high, substrate. only However, the to place. contact takes contact until moved is n hsmtra rpriscnb osdrdconstant. considered be can timesc properties smaller much material a thus on coand is cantilever properties the mechanical of the damping. oscillation on the Rayleigh temperature as of t model influence example, damping the For simplified enough. a s small by to is possible scribable t cross-coupling is the It addition, if device. In effects the operate imprint. to polymer circuitry electric the for coupling mechanical rmamdligadsmlto iwon,ti eiei es is device this viewpoint, simulation and modelling a From nra oe h i shae ytera etr hc sope is which heater, read the by heated is tip the mode, read In o ytmsmlto ftedvc,cmatmdligmus modelling compact device, the of simulation system a For h omloeainmd eebe uli iebehaviou like pull-in a resembles mode operation normal The 11 ]. ..ApiainExamples Application 2.4. .Tesmlto and simulation The r. h cuto,electric actuation, the prt oeo these of some eparate ciiy hssignal This uctivity. l hnteheat-up the than ale iin rsn data Erasing sition. hsiflecsthe influences This onigcantilever rounding utr interaction ructure 165 er n lower a and metry nepl-n The pull-in. ence edmigi de- is damping he l eneglected: be uld ywitninden- written ly ain modulate iations ehnclpart, mechanical a h polymer the hat httepoly- the that o ftetpi in is tip the of t eei external is here ) n thermo- and ]), ymvn the moving by ,snetetip the since r, otn o its for portant eilyinter- pecially otg stoo is voltage Furthermore, eue.In used. be t ae tcon- at rated agra the at larger niee tip antilever o between ion lmrfilm olymer e coding; ied 41

2 2. MEMS Actuators

2.4.2. Imego Butterfly Gyro

Figure 2.8: 3D Visualisation of the Imego butterfly gyro.

The butterfly gyro is developed at the Imego Institute in an ongoing project with Saab Bofors Dynamics AB1. The butterfly is a vibrating micro-mechanical gyro that has sufficient theoretical performance characteristics to make it a promising candidate for use in inertial navigation applications. The goal of the current project is to develop a micro unit for inertial navigation that can be commercialised in the high-end segment of the rate sensor market. The project has also included the manufacturing of an ASIC, named µSIC, that has been especially designed for the sensor (figure 2.9).

Figure 2.9: The Imego butterfly gyro. Left: Finite element mesh of the gyro with a background photograph of the gyro wafer pre-bonding. Right: The butterfly and µSIC mounted together.

1Parts of this text and figures 2.9 and 2.10 are courtesy of Dag Billger from the Imego institute

42 h xiainmd.A h tutr oae bu h axis pla the wafer about the rotates in structure anti-phase the in As vibrate (fig. mode. to excitation forced the are wings the lcrdscrepn otefu agrones. larger four the to correspond electrodes iue2.10: Figure velocity ftertto.A hsisati ie t xsaeparalle are acceleration axes absolute its The time, in reference. instant of this At rotation. the of lcrdsaetesalrdse ra hw nfigure T in shown pairs. areas wing dashed two smaller the the beneath are layer capac electrodes one for both to electrodes, confined deforma all keep detection, out-of-p elastic to the actuated possible to it are due makes wings This mode rotational the in-plane i when an beam structure Now, poses connecting the slanted. the Since are process, wings butterfly. elements. wet-etch beam the anisotropic of called an set using is a o by gyro consists frame the sensor common reason a The to connected element. are sensor that the contains layer dle yapyn Cbae Cvlae otefu ar fsmall of pairs four the to AC-voltages DC-biased applying By h yoci ossso he-ae iio ae stack, wafer silicon three-layer a of consists chip gyro The e sasm htapoint a that assume us Let 2.10 a = ,ec ftemse ilb ffce ya by affected be will masses the of each ), Ω a 0 n en oa oriaesse ihisoii ntecen the in origin its with system coordinate local a define and + ceai aoto h utrydesign. butterfly the of layout Schematic tangential Coriolis acc. | Ω ˙ {z × Detection axis r } acc. + Excitation axis Ω | centripetal Coriolis acc. × P ( {z ssbett a to subject is Ω × acc. a r } ) axis Sensitivity fapiti hngvnas given then is point a of 2 + Coriolis | Ω × {z ..ApiainExamples Application 2.4. rotation oilsacceleration Coriolis v acc. rel } + 2.10 a otegoa frame global the to l tv xiainand excitation itive rel nwihtemid- the which in ihteangular the with ino h beam. the of tion hc odthe hold which s w igpairs wing two f , h detection The . manufactured s ae hsim- this lane, eexcitation he fsensitivity of e hsis This ne. hsi the is This electrodes, . (2.1) tre 43

2 2. MEMS Actuators

where a0 is the acceleration of the origin of the local coordinate system (in- ertial frame), r is the position in the rotating coordinate system, v rel is the relative velocity of the point in the rotating coordinate system and arel is the relative acceleration in the rotating coordinate system. Let us assume that r consists of a fixed reference and a time-varying part, so that r = r 0 +∆r(t). Hence, v ref =∆r˙ and a ref =∆r¨. Then, equation 2.1 reads a = ∆r¨ + 2Ω ∆r˙ + Ω˙ ∆r + Ω (Ω ∆r) + × × × × relative acc. gyroscopic coupling inertial stiffness |{z} | {z } a + Ω˙ r + Ω (Ω r ) . 0| × 0 {z × × }0 inertial load This acceleration can be represented| as an inertial{z force. The Coriolis} force induces an anti-phase motion of the wings out of the wafer plane. This is the detection mode. The external angular velocity can be related to the amplitude of the detection mode, which is measured via the large electrodes. When planning for and making decisions on future improvements of the butterfly, it is of importance to improve the efficiency of the gyro simulations. Repeated analyses of the sensor structure have to be conducted with respect to a number of important issues. Examples of such are sensitivity to shock, linear and angular vibration sensitivity, reaction to large rates and/or acceleration, different types of excitation load cases and the effect of force-feedback. The use of model order reduction indeed decreases runtime for repeated simulations. Moreover, the reduction technique enables a transformation of the FE representation of the gyro into a state space equivalent formulation. This will prove helpful in testing the model based Kalman signal processing algorithms that are being designed for the butterfly gyro. The butterfly is made from single crystal silicon, thus an orthotropic material model is used. Table A.1 on page 223 lists the basic properties of the ANSYS model.

2.4.3. IRST RF Switch This is an example of a radio frequency shunt switch. The geometry and working principle are shown in figure 2.11 : By applying a bias voltage, the top beam is pulled onto the substrate until touch down occurs. On the bottom is a contact for the RF signal, so that upon touch down the switch is closed. As soon as the actuation voltage is lower than a certain limit, the elastic force moves the bar up to away from the contact, and the switch is open. Holes in the beam help to decrease the amount of air damping which allows for a shorter switching time. This concept is even more important in the case

44 iue2.12: Figure Q the on [ impact performance severe device a the has thus damping where MEMS, resonating of 2.11: Figure rnitr,btsili esnberne sadvantages As range. reasonable a in still milliwatts of but hundreds transistors, (several capacities handling power eyhg slto u otesailsprto fteR co RF the of separation sever spatial of the range dB to 40 hermet the due in by isolation is high determined which very reliability mainly the size, is small which the switc cost between its it in the pro be are microseconds, the relays, switch macroscopic general, of and vo type In bias this electronic the of completed. disadvantages of is and consumption tages process power switching no the almost once is there and occurs eedn ntp,atae ihvlae ewe ne 0a 10 under between voltages with actuated type, on depending ilcrclyrsprtstetoeetoe,s htn o no that so electrodes, two the separates layer dielectric A n o neto os( loss insertion low and ) h rttrevbainlegnoe fteR wthmodel switch RF the of eigenmodes vibrational three first The L of Courtesy electrode. lower electr the is holes on Ferrario. with down bar touch centre Schema to The activated Right: actuation. picture. switch Schematic of Left: ture model. switch RF The 166 0 . ]. 5dB 15 n h iert.Tesice are, switches The linearity. the and ) ..ApiainExamples Application 2.4. igsedo several of speed hing r oe hnfor than lower are ) lblincce,the cycles, billion al vrmacroscopic over dcos(pto (up nductors ete,advan- perties, hs fpurely of those d80 nd cpackaging, ic mccontact hmic tg source ltage fco and -factor ostatically V . i pic- tic orenza The . 45

2 2. MEMS Actuators shunts and electric switches there is the almost zero power consumption once the switching process is completed [167]. Table A.2 on page 223 shows the properties of the ANSYS model, figure 2.12 on the previous page the geometry and the first three eigenmodes. The length of the beam is 600 µm and its width 100 µm. It is composed of two layers of thickness t1 and t2 with respective elastic moduli E1, E2 and Poisson’s ratios ν1 and ν2, which are represented in the finite element model through a special layered 2D element type. The beam is clamped on both front sides. Four holes of diameter 50 µm are cut in the beam at 1/8, 3/8, 5/8 and 7/8 of the beam length to reduce damping.

2.4.4. Bondwire Model We created this rather simple model of a gold wire to demonstrate model order reduction of a slender, long structure which gives rise to a number of rod-like eigenmodes, and to experiment with the preservation of Rayleigh damping parameters. The structure consists of a gold wire which could be used for connecting a micro chip to the lead frame. The geometry data is estimated from pictures of real bonds. The wire is fixed on both of its ends. A pressure is applied to the first bend as shown in figure 2.13. Table A.3 on page 224 shows the properties of the ANSYS model.

2.4.5. Heat Transfer Model This model serves as a benchmark for nonlinear model order reduction of first-order ODEs. It is used to demonstrate the introduction of material non- linearities. The geometry is chosen as a rather simple one-dimensional (1D) beam with length L and cross sectional area A. The nonlinear heat conduc- tivity κ is represented by a polynomial in temperature T (x,t) of arbitrary degree n

n κ(T )= a + a T + + a T n = a T i. 0 1 · · · n i Xi=0 The right end of the beam (at x = L) is fixed at ambient temperature. The model features two inputs, a time-dependent uniform heat flux f at the left end (at x = 0) and a time dependent heat generation rate (heat source) Q along the beam (see figure 2.14). Section 3.8.1 on page 87 describes how to discretise this system. The mate- rial properties are given in table A.4 on page 224.

46 hpe summary Chapter 2.14: Figure 2.13: Figure • • • • • • ESdvlpetrqie ieetdvlpetapproach development different a requires development MEMS h rndcrpoet sfnaetlt ES oeln m modelling MEMS; to fundamental is property transducer The hs ffcscuecmlxt ntemdln n simulatio and modeling the in complexity cause effects These h ytma hl utb ae noacuta ieetle important. different are at levels account the into between taken connect be to must means whole detail; a as system The cln ffcspeetfrhrise;seilsmall-scal special issues; necessary. further present effects Scaling h loihsaeapidt ubro plcto exampl application of number a to applied are algorithms The eie,icuigerysimulation. early including devices, noacutculn effects. coupling account into opeiyrdcini hsa seta ato ESsimul MEMS of part essential an thus is reduction complexity elidsr xmlsadaaei xmlsdemonstratin examples academic and properties examples industry real ( κ h oeldba ihha u nusadha sink. heat and inputs flux heat with beam modelled The w Sketch Right: units). Visualisation. (micrometre 3D data geometry Left: and mesh model. FEM wire bond The dT/dx =u Heat flux 2 ) Heat Source(Q=u

515 1 z ) R=105 x Heat sink(T=0) pressure Applied ..ApiainExamples Application 2.4. 1000 z x Fixed areas y oescnbe can models e 45 nodes Output process; n smacroscale as ation. special g

s both es, s take ust 665 esof vels =30 ith 47

2 48 Theory atII. Part 49

2

nagrtmo h antd fa ro,w s the use we error, an of magnitude the or algorithm an oteEnti umto ovnin where notat convention, index summation abstract Einstein use the will to we clarity, and understanding hr h olwn ue apply: rules following the where .MdligadSmlto fMEMS of Simulation and Modelling 3. I nadto otesmosdfie ntenmnltr,w wil we nomenclature, table the in in listed defined symbols bols the to addition In Notation and Symbols 3.1.1. mathe thesis. from this results in present used and be notation will the which define first us Let Theory Basic and Notation 3.1. th by discretisation the . of method examples and equations damental o eciigteaypoi eaiu fafnto,b it be function, a of behaviour asymptotic the describing For oteMM xmlsw s sts ae:nmrclmethods, numerical cases: test as use basi simulation we and examples modelling MEMS the the discuss to we chapter, this n • • • nie hc pero ohsdso neuto r o summ not are equation an of sides both on appear which Indices h oto aibeo h umto stpsti typewri a in typeset is summation ( the font of variable control The inma umto vralpsil auso hsvariabl the this of of side values one possible all only over at summation twice mean appear sign which variables index All ecp ntecus fagbacmanipulations) algebraic of course the in (except Eeyhn o a sbrn n nopeesbe,sesa she true.” incomprehensible”, it make and doesn’t boring alone that is “but say you “Everything i nta of instead 3.1 i ) ntenx ae ute,weei otiue to contributes it where Further, page. next the on rn ak (1883–1924) Kafka Franz P i k =0 α i a notation O i ndntdas denoted in o,a extension an ion, nt element finite e aia theory matical swt view a with cs h utm of runtime the s h sym- the use l e or e style ter id, h fun- the equality Landau dover ed α i 51 a i

3 3. Modelling and Simulation of MEMS

Table 3.1: Symbols and notation Notation Meaning a scalar variable or function a, ~ω vector A matrix In identity matrix of size n (size is optional) ai, Aij ith component of a vector/(i, j)th component of a matrix T T T T a , A transposed vector/matrix, a1i = ai/Aij = Aji a∗, A∗ conjugate transpose, a1∗i = ai/Aij∗ = Aji a b dot product of two vectors/matrices (= aT b) · a b cross product of two vectors × a absolute value | | a Euclidean norm | | A determinant | | a norm k k (∂/∂x ,∂/∂x ,... )T ∇ 1 2 x˙ derivative with respect to time (=dx/dt) mina b minimise b with respect to a iff if, and only if (equivalency) a : b there exist a such that b ∃ for all. . . ∀ 1 if i = j δij Kronecker delta symbol, δij = (0 if i = j 6 cmd command, datastructure, filename

52 iff ros edfieti oaini eae u oehtinve somewhat but related a in notation that say this define we errors, hr the where notation hm function A them. bu h point the about for etr.The vectors. Let Algebra Linear 3.1.2. vectors a o all not e fvectors of set hs etr sdfie by defined is vectors those iff ulrn.Telf inverse left The rank. full h ubro ieridpnetvcosi h arx rec A matrix. the in vectors A independent linear of number the the as aldhriintasoe;i ti qa oistran its to equal is it iff transpose); symmetric hermitian called (also h ih inverse right the ( arx hr eemnn fzr en httemti ss is matrix the that means matrix a zero of of determinant determinant a where matrix, where h otiilsolutions nontrivial the x basis The qaemti is matrix square A The h otiil(.. ozr)solutions nonzero) (i.e., nontrivial The ∗ n ∈ ∃ x < x A a x a ≤ C x 0 i R 1 . m , A . . . , m determinant rank α ∃ ( o subspace a for ≥ a × s o utmso loihs esythat say we algorithms, of runtimes For . > M f i 0 n 1 = ) and ssur,aecle the called are square, is 0 hs entosaeeuvln fw replace we if equivalent are definitions These . ( matrix A . r eo e flnal needn vectors independent linearly of set A zero. are r . . . a x .I is It ). has i = ) k famatrix a of r osatadvns for vanish and constant are X i image rank( a a ∞ = ∈ 0 ulrwrank row full k i k O λ uhthat such stesbpc eeae yallna obntoso thes of combinations linear all by generated subspace the is C r A is i ( unitary a ewitnas written be can R n ( g − A λ ierydependent linearly det ( ( ℑ 1 and x = ) s − hermitian A ( famti stemti o which for matrix the is matrix a of ) )) S A A s is x spstv sm)ent iff (semi)definite positive is A ⊆ ) as ) n A A i A i | ≡ O qaematrix square a ; famatrix a of iff P | C [ = x oteequation the to f − stedmnino t mg,o nohrwords other in or image, its of dimension the is ( [ = iff λ ( A A i k 1 → fdimension of =0 x a − famti stemti o which for matrix the is matrix a of m | ∗ ) 11 ffi seult t ojgt transpose conjugate its to equal is it iff , a ≤ | 0 α sasaa ubrascae oec square each to associated number scalar a is = 1 s ] ≤ i iff ) rgt eigenvectors (right) a ihoerwadclm seulto equal is column and row one with k A . . . n i M ∃ in − with and x A iff 1 | g a 0 . s , ( k < i k stesa fisclms e of set A columns. its of span the is ∃ x ∃ x with ] rank( α iercmiainover combination linear A . > M ) α dim i A | , 1 x i ..Ntto n ai Theory Basic and Notation 3.1. for λ α . . . ∈ i T . ( i m k S A C A oteequation the to 0 x > x ∈ = = The . = ) k uhthat such = f Z k ∈ n ( ∀ x λ where , snniglri thas it iff nonsingular is ) C ffisTyo expansion Taylor its iff m C = ) i and n x 0 span( o approximation For . : and i T x ∋ AA α a O by x r aldthe called are ps,i scalled is it spose, i eigenvalues 1 ( a | f ulclm rank column full g . . . S : 0 6= aglrmatrix tangular a − i 1 ( ( 1 /x 1 x 0 = x tdwy we way: rted stesa of span the is , . . . , a nua.The ingular. )) ) A = ≤ | k A nete of either in x x as I uhthat such ∈ − . i ∗ a M A 1 = x C A k x | of ) ∞ → | λ g form C a = ( fa of A i > 11 left x x A 53 of H I ) i | 0 e | . ; ; ,

3 3. Modelling and Simulation of MEMS eigenvectors. The eigenvalues can be calculated as the roots of the charac- teristic polynomial det(λI A). A matrix is Hurwitz iff all eigenvalues have − negative real part. The singular value decomposition (SVD) is closely related to the eigenvalue decomposition. The main differences of SVD compared to eigenvalue decom- position are as follows [168]: SVD can be computed for non-square matrices A and remains within real number arithmetic for real matrices. Further, the left and right singular vectors are each orthogonal also for asymmetric matrices. Finally, a right-singular vector v k and its image Av k need not be in the same direction or even in spaces of the same dimension. m n m m For any matrix A C × , there exist unitary matrices U C × and n n ∈ ∈ V C such that A = UΣV∗ where Σ = diag(σ ,...,σ ) is a diagonal ∈ × 1 n matrix of the singular values of A which are real and non increasing scalars, i.e., σ . . . σ . In the case of symmetric matrices, U = V. The singular 1 ≥ ≥ n values are the square roots of the eigenvalues. The singular values are important for the approximation properties of ma- trices: The best approximation of a matrix A Cm n of rank r by a matrix ∈ × B Cm n of rank s < r is given as ∈ ×

minrank B s A B 2 = σs+1(A). ≤ k − k The q-th right Krylov subspace for a matrix A and a vector b is defined by

r q 1 Krq(A, b)= span(b, Ab,..., A − b), the q-th left Krylov subspace by

l T T q 1 Krq(A, b)= span b, A b,..., A − b .

If the vector b is in fact a matrix, the operations  are repeated on all columns of b.

3.2. Structure of Equations

Equations describing physical laws have characteristic properties which allow to classify them and also have an impact on the computational procedures used to solve them. Let us review some of the basic classifications. Equations with only ordinary derivatives involved are called ordinary dif- ferential equations, equations with partial derivatives are partial differential equations; partial derivatives are derivatives of one variable while all other

54 ttebre ftesmlto oan n nta aus(v mo values are: The initial conditions simulation). and the boundary of of domain) start simulation the at the specified of derivatives border the at re qain ihtescn iedrvtv.Tetp of type The derivative. on time influence second an the with deriva time equations first order the with stationary equations between order distinguish first we derivative), Depen derivatives, quantities. time other the on of depending quantity a of change of tececet a eedon depend may coefficients (the fa es w aibe rsn.TePDE The present. ther t variables and two variables, exact, two least More least at at point. on of depends operating PDE the the by at scribed fixed kept are variables acy(nta)cniin r fteform the of are conditions (initial) Cauchy v ob elpsd nparticular: in well-posed, be to i ( Dsotncnantetm derivative time the contain often ODEs x • • • • • • • ) iihe onaycniin,wihseiytevleof value the ∂ specify which conditions, boundary Dirichlet emn onaycniin,wihseiyaflxo h boun the on flux a specify which conditions, boundary Neumann elliptic a parabolic hyperbolic e.g., e onaycniin e.g., condition, a boundary Neumann a let couple which conditions, boundary (mixed) Robin qaindsrbn,eg,sainr etconduction, heat stationary e.g., describing, equation h rnin etcnuto,and conduction, heat transient the ∂ litcPE eur iihe onaycniinadal and condition boundary Dirichlet a require PDEs Elliptic emn n oi onayconditions. boundary Robin and Neumann for Ω Ω ∂x ∂ 2 . x ftesmlto domain simulation the of u 2 grad ∈ + Ω if b ieettpso Dsrqiedffrn onayconditio boundary different require PDEs of types Different . ∂x∂y if u 4 ∂ onayconditions boundary if ( ac 2 4 x u 4 ac t , ac − = ) + − b − 2 c b ∂ b ∂y 2 f > 2 2 n 0 = u 2 ( < 0 x hc stecs o h oso n Laplace and Poisson the for case the is which , + 0 ) hc stecs o iuineutos e.g., equations, diffusion for case the is which , hc stecs o h aeequation. wave the for case the is which , d for x ∂u ∂x and x grad Ω + ∈ vle n pta eiaie specified derivatives spatial and (values : e y ∂ u ∂u ∂y u ssi obe to said is ) Ω ( ( x d . x u t , + t , u ( = ) t ( f + ) ) x / 0 = , d )= 0) u t α ..Srcueo Equations of Structure 3.2. d or ( ( x x ) u ) ˙ u u ( for i ( t ( x ) ieol,adsecond and only, tive x ersnigarate a representing , t , qain n time (no equations ) x tiprattypes important st = ) igo h order the on ding or ∈ r derivatives are e efnto de- function he u le n time and alues ∂ d D a also has PDE nteborder the on β Ω u ( ( . x x o ouse to low dDirich- nd ) , 0) for / dary, d x t 55 ns = ∈

3 3. Modelling and Simulation of MEMS

Parabolic and hyperbolic PDEs require Cauchy initial conditions and • some boundary condition.

The numerical solution of PDEs requires discretisation in time and space, i.e., from a continuous description with an infinite number of unknowns to a finite number of unknowns.. Those discretisation methods will be discussed later in this chapter. Usually, we will encounter ODEs with a special structure. Consider for example the PDE for an elastic body

f I + f D + f S = bu(t), with f I the force caused by inertia, f D the damping force, f S the elastic force and bu(t) external forces depending on user input and varying in time.This will be transformed into an ODE with a finite number of degrees of freedom and matrices to couple the states x(t) , velocities ˙x(t)= dx(t)/dt and accelerations ¨x (t)= d2x(t)/dt2. We will use:

for a first order linear ODE system (Examples: Heat conduction, diffu- • sion phenomena):

Ex˙ (t)= Ax(t)+ Bu(t)+ b + Ff (t, x , u) y(t)= Cx(t)+ Du(t)+ d + Gg(t, x , u) (3.1)

where u : R Rm is called the input of the system, y : R Rp the 7→ 7→ system’s output, B Rn m the load, input or scatter matrix, C Rp n ∈ × ∈ × the output or gather matrix, D Rp n the straight-way matrix (zero for ∈ × most real systems), and x : R Rn is the state vector, which captures 7→ the internal state of the system. The system matrices E Rn n and ∈ × A Rn n are the place where geometry and material properties enter ∈ × the equation. f and g are vector valued nonlinear functions. We want to avoid zero entries in f and g and thus use matrices F and G to scatter the nonlinear parts to the equations; t is the time. Since the constant vectors b and d can be written as columns of B and D for a constant input with value 1, we will in the following not write them explicitly. for a second order linear ODE system (Examples: Structural mechanics, • electromagnetism):

Mx¨(t)+ Ex˙ (t)+ Kx(t)= Bu(t)+ b + Ff (t, x , ˙x , u)

56 eeo hsit alrsre,tuctn tatrtefir the after it truncating series, Taylor a into this Develop sasmo h eaiuso t ecitr.Te bytepr the obey They descriptors. its Additivity: of behaviours behavio the whose of those superposition: are sum in systems occur a linear only as words, do t other derivatives In respect its with or tion. quantity linear this equation if an quantity call We systems. nonlinear omt( Format suew aeteequation the have we Assume nta odtos .. h tt ftesse ttestar the at system the of state x the i.e., conditions, initial Homogeneity: The Procedure Raphson Newton 3.2.1. chapter appendix, descript the complete in The found be specified. can be can implement functions nonlinear free also and engineers and [ scientists language many by ory the nonlinearity. its of consequences some discuss also we hsi o h aefrnniersses neapeo non section a see of spring, example a An on mounted systems. is which nonlinear capacitor for a case is the not is This (0) h atdffrnito ewn omnini h classificat the is mention to want we differentiation last The h oeln ftasetbhvorfrhrrqie h s the requires further behaviour transient of modelling The sacnanrfrteeeutos edfie l omt[ format file a defined we equations, these for container a As Matlab n,frascn re system, order second a for and, , etnRpsnmethod Raphson Newton f f matrix arcsaenmdatrterpyia origins: physical simulations, their structural in after named occurs system are of matrices kind this Since the ( ( x x DSIF 0 0 = ) tffesmatrix stiffness 170 + ) [ u 169 , ( E xs.Tefra scle yaia ytm Interchange Systems Dynamical called is format The exist. ] x .Bsdo h qain ( equations the on Based ). ∂x , ∂ u l omt ic hsto sue o ueia ytmthe system numerical for used is tool this since format, file ] + f ∈ ( i αx ( y R x = ) n f 0 = ) × )∆ : n u C the x αu ( n . x i + ) 0 = 7→ ( apn matrix damping x ) C . u . sa loih oslennierequations. nonlinear solve to algorithm an is m y ( y ( . ) t = ) ˙x C C (0) x 3.1 npage on ( . t n ( and ) + ) or yao matrix gyrator D u ..Srcueo Equations of Structure 3.2. 233 3.2 ( t + ) . ,bt arxdt and data matrix both ), 3.7.2 M d ftesimulation the of t tderivative: st + ∈ iercombina- linear a npage on o fteformat the of ion h dependent the o o nlna and linear in ion ri expressible is ur G and , R eicto of pecification g n iersystem linear toso the of ations × ( 2 t, n h system the ae on based ] K x nil of inciple 79 the , ∈ u there, ; ) R mass . (3.3) (3.2) n × 57 n -

3 3. Modelling and Simulation of MEMS

Using the Jacobian Jij = ∂fj/∂xi we get 1 ∆x = J− x . − 0 This is the increment for x ; by repeating this step and if f fulfils certain prop- erties, we finally converge to the solution of (3.3). In summary, the Newton Raphson solution algorithm (or Newton method) has the following structure:

1. Choose a starting point x := x 0. 2. Repeat until convergence is reached, i.e., f (x ) <ǫ or/and ∆x <ǫ : k k 1 k k 2 1 a) x := x J− x − b) Update J

If the update in step 2b is too expensive, it can also be delayed for a number of iterations. This is the modified Newton-Raphson, which leads to slower convergence, but faster individual iteration steps.

3.2.2. Homotopy Methods There are certain problems which may lead to non-convergence of the Newton algorithm; the process can oscillate, diverge or miss solutions, and the choice of a starting point can be very critical. One example is the equilibrium posi- tion of the movable capacitor due to the inversion of force. Another example is the solution of arctan(x) = 0, where the Newton Raphson method fails to converge if the starting value x0 is chosen larger than about 1.34. Damping the Newton update (i.e., multiplying the update by a number 0

58 rlnt fec tpi iie;b hswythe way this by limited; is step each of arclength qain [ equations steslto fteoiia rbe.Teqeto remain question The problem. original the the of solution the is ahfloig lokona ueia otnain sam a is continuation, curve numerical solution as known also following, Path Schemes Following Path 3.2.3. J For h uhta h aito ftesolutions the of variation the that such ic tue h atthat fact the uses it since h ouinfrtepeiu au of value starting previous as the method; for Raphson solution Newton the the with system the solve h rgnlproblem. original the ftesceso ftefloigsteps: following the of succession the of t h ouincrecnteb bandb nerto ftei the of integration by obtained be the can problem curve solution The rnhsteagrtmsol olw hsi aldbranch called is This follow. w decide should [ to algorithm necessary exa also For the is zero. branches it becomes points; tangent singular the cause when tions fail can approach This 171 ( J Let o,w hoeasequence a choose we Now, sa pnitra nldn eo let zero, including interval open an is uhthat such .Peitrse:Proma nerto tp sal alo usually step, integration an Perform step: Predictor 1. .Cretrse:Ueoeo oeieaiesestebigt bring the steps iterative more or one Use step: Corrector 2. ( s , c s i 173 ( . ftecurve. the of det on akt h uv,freapewt etnmto,b s by method, Newton a with example for curve, for the to back point 0 = c s ) = ))) J : ,mast elwt hs rbe r discussed. are problem these with deal to means ], ˙ u h  ( J , c ( 171 = J h u ˙ c 7→ ( ( s ( ( h c 0 = ) t ˙ c x s )) .Tept olwn a epromdi uhawyta the that way a such in performed be can following path The ]. ( | ( ( c ( ) R ˙ c J s , s u c H ˙ c 0) ( )) ) hc sipiil endb nudrdtrie ytmof system under-determined an by defined implicitly is which ( n 0 = (0) ( 0 s u +1 s ftecrei eemndb h ytmo equations of system the by determined is curve the of 0 = )  . ) 0 = ) )) | stepolmwt nw ouin for solution, known with problem the is 1 = > ea rlnt aaersto of parametrisation arclength an be , u u 0 0 = (0) n let and , 0 h ( s 0 = ) u = 0 0 oee,aohrapoc smr efficient, more is approach another However, . h yia ahfloigmto consists method following path typical The . J s eteJcba of Jacobian the be 0 s s < , x x s s i 1 u i − slimited. is < 0 1 nly h ouinfor solution the finally, ; ∈ · · · R ..Srcueo Equations of Structure 3.2. s s < n i +1 r uoaial chosen automatically are k earglrpitof point regular a be 1 = c h iho h different the of hich hntetangent the then ; n mohwhere smooth and o each For . to oflo a follow to ethod s o ochoose to how s gtetangent the ng 1 = wthn.In switching. pe bifurca- mple, on,w use we point, epredicted he iilvalue nitial , h ( x s olving s , k i 1) we , 1 = 59 is

3 3. Modelling and Simulation of MEMS

3.3. Numerical Time Integration

Take the equations

Ex˙ (t)= Ax (t)+ f (3.4)

x (0) = x 0 for a first-order system and

Mx¨(t)+ Ex˙ (t)+ Kx (t)= f (3.5)

x (0) = x 0

x˙ (0) = v 0 for second order systems. We would like to integrate these equations from t = 0 to t = tend in steps of ∆t.

3.3.1. First Order Systems For first order systems we can use the generalised trapezoidal rule [174]

x (t +∆t) x (t) + (1 θ)∆tx˙ (t)+ θx˙ (t +∆t). ≈ − Depending on the choice of θ, this is either a purely explicit scheme (θ = 0, corresponding to a naive implementation), a purely implicit scheme (θ = 1, the backward Euler method) or mixed (e.g., θ = 1/2, the Crank-Nicholson method). The scheme is unconditionally stable for 1/2 θ 1. ≤ ≤ For nonzero θ, the corresponding algorithm can be written as

1 1. t := 0, xˆ := x 0, vˆ := E− (Ax 0 + f (0))

2. xˆ old := xˆ 1 1 1 1 θ 3. xˆ := E A − f (t)+ E xˆ + − vˆ θ∆t − − θ∆t θ 1 1 θ 4. vˆ := (xˆ xˆ  ) − vˆ  θ∆t − old − θ 5. t := t +∆t 6. Repeat from 2. until t t ≥ end

Another related family of integrators are Runge-Kutta methods [175].

60 etto hc vissoigodvle of values old storing avoids which mentation time order second a of implementation naive a give first us Let Systems Order Second 3.3.2. h reslto.Abte dai otk h alrexpansi Taylor the take to err is large idea to better leads A implementation solution. naive true the the that out turns It Algorithm Verlet hsi the is This n digte,wihgives which them, adding and 2. .Rpa rm2 until 2. from Repeat 5. 4. 3. 2. 5. 1. 1. 3. .Rpa rm2 until 2. from Repeat 7. 6. 4. t x t t x v v x t x v a x ˆ ˆ ˆ ˆ ˆ ˆ := =0 := 0 := := ( ( ( := := := := := := t t t − ∆ + ∆ + t t M v v M x x ˆ ˆ ˆ ˆ , , ∆ + ∆ + eltalgorithm Verlet ∆ x x + + ˆ ˆ + + − − t t t = ) = ) 2 = ) 1 1 := = v v 1 1 2 2 ˆ ˆ ( t t ( a a v − ˆ ˆ ∆ ˆ x x ∆ ∆ x x E − t 0 x 0 t t ( ( + v ˆ , and E t t ( ) + ) v t ˆ − 1 2 v ˆ + ) − a ˆ := K − v ∆ ˆ x x ˙ ˙ x x ˆ ¨ K ( ( v t t t := t t 2 ( 0 ≥ ≥ + )∆ )∆ [ x t ˆ 176 , )∆ v f a t t + ˆ t t 0 end end ) t , + + := f 2 177 (0)) + 1 1 2 2 M x x ¨ ¨ O ]; ( ( − (∆ t t x ¨ )∆ )∆ 1 ( t − scluae rm( from calculated is 4 t t 2 2 ) E ..NmrclTm Integration Time Numerical 3.3. − − + x ˆ x ˙ (0) x sthe is 1 1 6 6 ( ∆ ∆ t − t t − 3 3 K + + ∆ eoiyVre scheme Verlet velocity x t O O ) 0 + (0) (∆ (∆ t t r oprdto compared ors ons 4 4 3.5 ) ) f (0)) integrator: .A imple- An ). 61 :

3 3. Modelling and Simulation of MEMS

However, the position Verlet scheme has stability advantages in certain ap- plications [178]. The Verlet algorithm is symplectic [179], and thus conserves total linear and angular momentum. It is an explicit algorithm, i.e., it uses only information of the current time and does not consider future time steps, and this puts certain limits on the time step size.

Newmark Algorithm The Newmark integrator on the other hand takes into account the quantities at future timesteps; it is an implicit integrator. From the mean value theorem, it assumes that the velocity (the first time derivative of the state) is [180]

x˙ (t +∆t) x˙ (t) + [(1 δ)x¨(t)+ δx¨(t +∆t)] ∆t ≈ − 1 x (t +∆t) x (t)+ x˙ (t)∆t + α x¨ + αx¨ ∆t2 ≈ 2 −    This leads to the following algorithm [126]:

1. t := 0, xˆ := x 0, vˆ := v 0 1 2. aˆ := M− ( Ex˙ (0) Kx (0) + f (0)) − − 3. Set some constants:

2 a0 := 1/ α∆t a1 := δ/ (α∆t) a := 1/ (α∆t) a := 1/ (2α) 1 2  3 − a := δ/α 1 a := ∆t (δ/α 2) /2 4 − 5 − a := ∆t(1 δ) a := δ∆t 6 − 7

4. xˆ old := xˆ, aˆ old := aˆ 1 5. xˆ := (a0M + a1E + K)− (f + M(a0xˆ + a2vˆ + a3aˆ)+ E(a1xˆ + a4vˆ + a5aˆ)) 6. aˆ := a (xˆ xˆ ) a vˆ a aˆ 0 − old − 2 − 3 7. vˆ := vˆ + a6aˆ old + a7aˆ 8. t := t +∆t 9. Repeat from 3. until t t ≥ end

62 n eut cossfwr akgs Figure packages. software across results ing ute,fracoc of choice a for Further, a epromdb nrdcn e variables conversi new the introducing by notation, syste performed matrix order be In second can for order. used first be to also them can verting methods order first The Order First to Conversion 3.3.3. 3.1: Figure method acceleration for bev httesml loih antrcvrfo h er the timesteps. from recover few cannot first algorithm the simple the that observe tcnb hw htteagrtmi nodtoal stable unconditionally is algorithm the that shown be can It ic hsagrtmi mlmne nASS ti eyusef very is it ANSYS, in implemented is algorithm this Since ∆ t  > α δ 2 1 0 = ≥ + 0 M x 3.5 1.5 2.5 S 0 2 1 δ 1 4 1 2 3 4 . + 8  oprsno ieettm nerto algorithms integration time different of Comparison 0 , > α 1 2 M  + y ˙ 1 = δ 0 +  hc osntso n ueia apn fteresult. the of damping numerical any show not does which , . 5  2 , Analytic solution − E K E α 0 S 0 = 1 = Time 10 Newmark . 2 / Simple  , Verlet 4 y K and = 1 = 15  δ , 0 f 1 = f  3.1 2 = ..NmrclTm Integration Time Numerical 3.3. / 20 2 oprstedffrn schemes different the compares egtthe get we , y , x = 0  1 = x x ˙  and n hnwriting then and osataverage constant nt rtorder first to on o aeduring made ror v lfrcompar- for ul o [ for satrcon- after ms 0 0 = 181 . 5 ] We . 63

3 3. Modelling and Simulation of MEMS where S is a nonsingular matrix. The new system translates to

Mx¨ + Ex˙ + Kx = f Sx˙ Sx˙ = 0 − which obviously is the same equation as equation (3.5) on page 60. One could choose the identity matrix, but by choosing S = K, symmetric matrices − result for which a larger number of linear solvers exists.

3.3.4. Nonlinear Systems For nonlinear systems, M, E, K and f may depend on the states x, or they may be time dependent. In the latter case, it is required to update the matrices and vectors after each timestep. For the former case, in addition, it is necessary to use a nonlinear solver. Let us demonstrate this on the example of step 5 of the Newmark algorithm (the other steps remain). We need to solve the following equation for xˆ:

(a M(xˆ)+ a E(xˆ)+ K(xˆ)) xˆ (f (xˆ)+ M(xˆ)(a xˆ + a vˆ + a aˆ)+ 0 1 − 0 2 3 E(xˆ)(a1xˆ + a4vˆ + a5aˆ)) = 0

Rewriting this equation in the form

f (xˆ) = 0, we can use, e.g., the Newton Raphson scheme (section 3.2.1 on page 57) or the homotopy method with path following to solve for xˆ.

3.4. Harmonic and Modal Analysis

Now, we would like to see the response of equation (3.5) on page 60 to a iωt harmonic excitation f = f 0e , where ω = 2πf is the angular frequency. Due to the linearity of the system, we expect that the solution is of the same form, x = Aeiωt with possibly complex1 amplitude A. By inserting this in (3.5), we get:

MAω2eiωt + iEAωeiωt + KAeiωt = f eiωt, − 0 1This is the case for damped systems.

64 ac o rndcr.I a losrea olt eieafi a derive to tool a as serve also can It [ i discretisation which both transducers. automatically, returns almost for and effects tance system coupling holonomic and motion a of of coenergies whi and framework powerful ergies a provides mechanics Lagrangian The Mechanics Lagrangian 3.5. fteegnaus the eigenvalues, the of odtosms eapid tews spurious otherwise applied, be must conditions hssse a h rva ouinzr.Frtenontrivia the For zero. solution trivial the eigenmodes has system This edn oteegnau problem eigenvalue the to leading stehroi epneo h system. the of response harmonic the is othat so eal fteoiisaddrvto r ie nsection in varia given are the derivation functional, and certain origins the a of minimise Details should motion ical hr,tencsaysesaetefollowing: the are steps necessary the short, nonzero) (always the cancelling after and, o h napdsse ecnas aclt h revibrat free the calculate also can we system undamped the For .Dtrietekntccoenergies kinetic the Determine 2. .Choose 1. ( − A h eeaie oriae.Dfiethe Define coordinates. generalised the nld lcrcadmgei nrisi necessary. if energies magnetic and electric Include ( h ubro Osi qa otenme fcodnts h s the coordinates, of number the to equal called is o DOFs motions of infinitesimal number possible the the i.e., determined, be to − − M ( = ω ω 2 2 A M M ,teaglrvbainfeunyi ie ytesur roo square the by given is frequency vibration angular the ), − ω holonomic ω 2 n + i + e 182 2 i eeaie coordinates generalised M ωt K ω – ) E + i + A 184 + K 0 = ω .Temi dai htasse hc olw phys- a follows which system a that is idea main The ]. A K n a etetdwt h rmwr ssonhere. shown as framework the with treated be can and E oeshape mode e ) . + A i ωt K = 0 = ) f − 1 0 , f . 0 . yteegnetr.Drcltboundary Dirichlet eigenvectors. the by T q ∗ j hn h ere ffedmneed freedom of degrees the Then, . n oeta energies potential and e i ωt arnefunction Lagrange : ii oymodes body rigid ..Lgaga Mechanics Lagrangian 3.5. B.1 fgetimpor- great of s inlindicator. tional npage on ouin (the solutions l htksteen- the takes ch h ytm If system. the f os o which for ions, h equations the V L ieelement nite arise. ntrsof terms in = se is ystem T 225 ∗ − In . V 65 ts .

3 3. Modelling and Simulation of MEMS

3. Determine the generalised forces Ξ = N F (∂r /∂q ), where r (q,t) qj i=1 i · i j i are the real displacements in terms of generalised coordinates, from the P variation of nonconservative work δW nc. 4. The Euler-Lagrange equations returns the equations of motion: d ∂ ∂ L L =Ξqj j = 1 ...n. (3.6) dt ∂q˙j − ∂qj ∀ This approach is not limited to particle systems, but finds a wide applica- tion also for the discretisation of continuous (in contrast to lumped parameter) systems, electric circuits and quantum mechanics. Large benefits can be ex- pected for coupled systems, where coupling forces result from the equations in a very natural and automated way: E.g., for coupling a circuit to a mechanical system (using charge variables), is suffices to write the Lagrangian in the form

= T ∗ V + W ∗ W L − m − e where Wm∗ is the magnetic coenergy and We is the electric energy. The Lagrangian formalism is extended to continuous systems by the use of a Lagrangian density integrated over the volume of the system. The generalised coordinates qi(t) are now the fields

qj : q(x ,t) distributed over space. Forces can be localised (zero-dimensional), a line pressure (1D), an areal pressure (2D) or a body force (3D) and contribute to the non-conservative work increment. The kinetic energy is found by integration of the momentum per unit volume over the volume Ω: 1 ∂˙r(q(x ,t), ˙q(x ,t)) 2 T ∗ = ̺ dΩ. 2 ∂t ZΩ   The potential strain energy is 1 V = σT ǫ dΩ. 2 ZΩ where σ is the vector representation of stresses and ǫ is the vector represen- tation of strains. The Euler-Lagrange equations then become ∂ ∂ ∂ ∂ ∂ L + L L = 0 j ∂t ∂q˙j ∂xi ∂(∂qj/∂xi) − ∂qj ∀ Xi with functional differentiation of the Lagrange density replacing ordinary dif- ferentiation of the Lagrange function.

66 replacemen uesoni figure in shown cube 3.2: Figure ..Srcua otnu Mechanics Continuum Structural 3.6. esr fsern.Tedrvto sgvni h appendix the in given is derivation off-dia The the while shearing. elongation, of of measure measure a are parts diagonal npage on The Strain and Stress 3.6.1. n let and ipaigand displacing ipaeetmyb a be may displacement [ ftepeeucagd radfrain hc hne h p the Let changes which both. deformation, of combination a or unchanged, piece the of hn if Then, lmn nteoiia emtyand geometry original the in element sacag nsaeo cln n h oyi adt ei st a in be to said is body the oth and motion; scaling body a rigid or a shape have in we change and a shape is in change no is there 185 mgn neatc stoi n ooeeu ic fsoli of piece homogeneous and isotropic elastic, an Imagine ]. re-an eatsri tensor strain Venant Green-Saint d s u 2 228 − = d . R ufc tesso ntvolume. unit a on stresses Surface S 2 − R d = r h oiinatr ohbiggvni aeilcoordinate material in given being both after, position the etedslcmn ftepit ute,let Further, point. the of displacement the be r σ 3.2 x · τ d ii oymotion body rigid o mgn ipaeeto aeilpit.This points. material of displacement a imagine Now . xz r σ τ r − z zx etepsto fapitisd h aeilbefore material the inside point a of position the be d R τ xy · τ d zy R τ τ yx yz 0 = samaueo h tano oy The body. a of strain the of measure a is d S ..Srcua otnu Mechanics Continuum Structural 3.6. .. oinwihlae h shape the leaves which motion a i.e., , , ieeeeti h ipae one. displaced the in element line a σ x y z aeillk the like material d oa em r a are terms gonal y eessae ra or shape, iece’s nsection in rie there erwise, t of ate d s ealine a be strain B.2 67 s,

3 3. Modelling and Simulation of MEMS

It is calculated from the displacement u by means of the strain-displacement relations 1 ∂u ∂u ∂u ∂u γ = i + j + m m . (3.7) ij 2 ∂x ∂x ∂x ∂x  j i i j  For small strains, the last product may be neglected, and we get Cauchy’s infinitesimal strain tensor 1 ∂u ∂u ǫ = i + j . ij 2 ∂x ∂x  j i  Let this body now be subject to forces. We distinguish body forces which act on all volume parts of the body; they have the units of force over volume, and one example is gravity; and there are surface forces acting only on the surface of a volume; the unit is pressure or force over area. The interior of the body responds with internal forces, which in sum are zero due to Newton’s third law ratio=reactio. Now take a small cube dΩ inside, and consider one side dA upon which a force dN is exerted. The stress vector on this side is dN σ = , dA and repeating this for all three directions x, y and z, the Cauchy stress tensor σij is calculated, where the first index indices the normal direction of dA and the second index indicates the direction of the stress component. The three stresses σxx = σx, σyy = σy and σzz = σz are the normal stresses, while the remaining components are the shear stresses. The latter are often denoted by the symbols τxy = 2σxy, τyz = 2σyz and τxz = 2σxz.On the boundary, this stress tensor equals the forces acting on the body. For compatibility reasons, in the linear case σij = σji. Since in the linear case both the strain and stress tensor are symmetric, T they are often denoted as vectors ǫ = (ǫx,ǫy,ǫz, γxy, γyz, γxz) and σ = T (σx,σy,σz,τxy,τyz,τxz, ) , sometimes with numbered indices. The strain-dis- placement relations then read

ǫx ∂/∂x 0 0 ǫ 0 ∂/∂y 0 y u  ǫ   0 0 ∂/∂z  x z = u  γ   ∂/∂y ∂/∂x 0   y   xy    u  γ   0 ∂/∂z ∂/∂y  z  yz       γ   ∂/∂z 0 ∂/∂x   xz       

68 l,tenme fidpnetvle in values independent of number the als, utvns.Tk ml volume small a Take vanish. must r eo o h nes relationship, inverse the For zero. are h u falfre bd forces (body forces all of sum The where ea oisiiilsae ute,i by ok’ a:Th law: Hooke’s w obeys arbitrary it an Further, in state. piece initial the its deform to can we relax that mean we elastic, r nvco form vector in or, h qiiru ffre is forces of equilibrium the otestresses the to 2 nLtrtr,tenmso arcsadtnosvr,frex for vary, tensors and matrices of names the Literature, In c ewl suea dal lsi stoi ic fmateria of piece isotropic elastic ideally an assume will We obnn ( Combining σ scle h lsiiytno.Frsmer esn,fri for reasons, symmetry For tensor. elasticity the called is = E E E E E σ σ Z σ E E E ∆Ω ij 11 11 44 44 12 12 − − − = = ǫ 1 1 1 stemdlso lsiiyand elasticity of modulus the is = = sue,wieohr use others while used, is = = f Ed E = = = c Ω+ dΩ ǫ E E E ij E E E . u ǫ 22 55 21 kl 22 55 21 − − − 3.8 σ . = ǫ 1 1 1 ij = = = kl Z 2 n ( and ) = = = d ntefloigway: following the in , , ∂ E E E u ∆Ω E E E 33 66 13 . 33 66 13 − − − σ = = = 1 1 1 3.9 · = = = E ( + 2(1 + (1 n 31 E ,w get: we ), d ( + 2(1 E 1 ∂ E 31 − = 0 = Ω 1 ν E C ν )(1 E = E ) nta of instead ν 23 . E ∆Ω ) − f . 23 = − i 2 1 ǫ n nenlstress internal and ν E ihboundary with ..Srcua otnu Mechanics Continuum Structural 3.6. = = ) 32 ν (1 c E E E sPisnsrto l te entries other All ratio. Poisson’s is = and . 32 − − − 1 1 1+ (1 ν σ = ) E : − E eue o3: to reduces ν ν )(1 E ml,i [ in ample, ∂ − ∆Ω 2 σ ν strains e nitga form, integral in ; nietebody the inside ) ) ν orpcmateri- sotropic 184 .B ideally By l. yadi will it and ay ], ǫ ǫ = kl C relate σ (3.9) (3.8) and 69

3 3. Modelling and Simulation of MEMS where n is the normal unit vector. By the Gauss theorem, we can transform this to the differential form

div σ + f = 0 or, in Einstein notation,

∂σij + fi = 0 i. ∂xj ∀

For infinitesimal deformations or rotations, the Cauchy and Piola-Kirchhoff stress tensors are identical. The potential energy V for a volume Ω can be computed with the analog of a spring by

1 V = σT ǫ dΩ. 2 ZΩ 3.6.2. Nonlinearities The main sources of nonlinearities in structural mechanics are [186]:

Material nonlinearities • – Plasticity (time-independent) – Creep (time-dependent) – Viscoelastic/viscoplastic behaviour (plasticity and creep occur si- multaneously)

Contact or boundary nonlinearities • Force boundary condition nonlinearity (force depends on deflection) • Geometric nonlinearities • – Large strain (ǫ> 5%): Rubber, metal forming, membranes. – Small strains but finite displacements or rotation: Cantilevers, springs, thin plates. – Buckling: Loss of stability, for example a bar which is under com- pressive stress. The theory of linearised prebuckling describes the state just before the loss of stability, strains and deformations can be considered as infinitesimal.

70 in,adtesrs esrrdcsto reduces tensor stress the and tions, ic h emi rein free is beam the Since qain( equation ic noreape aeilnnierte r o relev not are nonlinearities material examples fi our and strains in small since with nonlinearities geometric on will We xsween tanocr i h ierapproximation). the linear beam the the (in of occurs centre strain the no in where However, axis page). following the on n hsfrtestrain the for thus and t ufc nthe in surface its ob nertdoe h rs eto fsc nojc.Let object. an such of section cross the in over integrated be to oe-iesoa aiodebde ntethree-dimens the approximating in by embedded solved ele manifold be lower-dimensional discretisation can a the problem This of s numerically, size method. simulated element the be determines to dimension ful is a est device ratios aspect the high when with cumbersome structures slender and long For Beams 3.6.3. on later. effects section the element review finite will We the displacement. of directions ent nispaeo ymty h emi ogadsedr .. t i.e., slender, and long is beam width The its than symmetry. longer of much plane its in tagt ntece n oml n hspaescin d sections plane thus ( and true normal, are and lin assumptions unstretched apply Kirchhoff straight, can the we that that suppose and we moves Further, beam beam the as the perpendicular along ways than larger much are beam the to perpendicular emti olnaiisrsl rmthe from result nonlinearities Geometric efidta o ml oain(uhthat (such rotation small a for that find We hshscneune o h tandslcmn relatio strain-displacement the for consequences has This h bending The e sasm iermtrasadsaldfrain,s th so deformations, small and materials linear assume us Let x ieto ihcntn rs section cross constant with direction ǫ u u u x z y x ( ( = − ≈ z y 3.7 )= 0) = )=0 = 0) = d d u x zθ npage on ) x y v = − ≈ illa oartto fteba’ rs etos(figure sections cross beam’s the of rotation a to lead will z − v z ieto u oala as in (also load a to due direction z d d d x d 2 v x 2 v 68 . y hyitoueaculn ewe h differ- the between coupling a introduce They . and w in z y ietos hr sn tesi hs direc- these in stress no is there directions, ieto n height and direction σ ..Srcua otnu Mechanics Continuum Structural 3.6. x = A Eǫ ( hc sbn epniua to perpendicular bent is which ∂u sin x only. m θ /∂x ≈ z θ ieto ftebeam) the of direction i )( ): ∂u h ant. iedisplacements, nite et ntefinite the in ments n hsstresses thus and , swihnwneed now which ns Ddsrpinis description 3D l o bend). not o m oa space. ional h iuainin simulation the ttela sal- is load the at /∂x oml remain normals stk beam a take us netesmall- the ince ei neutral a is re h eieby device the a elasticity. ear . elnt is length he j ) em in terms (3.10) 3.3 71

3 3. Modelling and Simulation of MEMS

dA

σx z z y x θy =dv/dx

Figure 3.3: Strain in a beam element. The strain in the z direction can be modelled as a function of the slope of the bending line.

Now let us derive a equation to model the bending line of a beam known as Euler-Bernoulli beam. Assuming constant E over the cross section, we can calculate the torque at the neutral axis by

M(x)= σxzdz = Eǫxzdz ZA ZA d2v = E z zdz − dx2 ZA d2v = EI with I = z2dz. (3.11) − dx2 ZA I is called the geometric moment of inertia. If loads are only applied at the ends of the beam, the torque is constant along the beam. The second derivative d2M(x)/dx2 is a distributed force. For this kind of load (which is equivalent to a pressure in 3D), we can then use the differential equation d2 d2v EI = q(x) dx2 dx2 to find the deformation of the beam. The Timoshenko beam amends this model by additionally considering shear stresses. In a similar fashion, the plate equation Et3 ∆ ∆w = p 12 (1 ν2) −

72 where ie h eomto fati lt ihthickness with plate thin a of deformation the gives cuto.Tocagdojcseetfre nec te,w other, each on engineeri forces exert microsystem objects in charged Two principle actuation. actuation used often An Electrostatics 3.7. pigmdlwihde o xr nifiiefrea contact displacement: at excess force the m infinite with better linear an a is exert t which extend, not represent force some does to not which elastic model does are spring this materials wou However, Since model contact truth. force. hard a repulsive negative, infinite turns displacement the as 3.4: Figure osdrfreapeamcaia otc ssoni figure in shown as contact b mechanical given limit a the example beyond move for may Consider system tim for the critical timestep, given especially disco is a sudden, This a conditions. means boundary contact in beh a The since completely, nonlinearity. change of type can special a are elements Contact Contact 3.6.4. hnnnpaa ufcsaeivle.Dtisaedescrib are Details involved. are chall whic surfaces become contact non-planar can frictional when contacts a Surface-to-surface be may surface. it the or unknown, be may contact model. nte iclyi ht seilyi h ehnclcase mechanical the in especially that, is difficulty Another F k ( x stesrn osat Figure constant. spring the is = ) otadhr contact. hard and Soft  d 0 − kx if if < x x ≥ 0 0 0 Force 3.4 hw h oc uv o uha such for curve force the shows 0 t ujc oapressure a to subject itned Distance adcontact Hard contact Soft nerto,snein since integration, e nigt implement to enging di [ in ed ..Electrostatics 3.7. ihaeattractive are hich voro system a of aviour gi electrostatic is ng tnoschange ntinuous efl physical full he dli ouea use to is odel u eun a returns but , drsl nan in result ld a ld on slide may h 126 h lc of place the , h contact. the y 3.4 , ssoon As . 187 , 188 73 p ]. .

3 3. Modelling and Simulation of MEMS when the charges are opposite. Let us first assume point charges, and let the first particle on position R have a charge Q and the second particle on position r have a charge q. Electromagnetic fields are described by the four Maxwell equations

div D = ρ Gauss’ law of electricity (3.12a) div B = 0 Gauss’ law for magnetism (3.12b) ∂B curl E = Faraday’s law of induction (3.12c) − ∂t ∂D curl H = j + j + Ampere’s law (3.12d) S ∂t and the constitutive equations

D = εrε0E = εE (3.13a)

B = µrµ0H = µH (3.13b)

j S = σE, (3.13c) where E is the electric field, D is the electric displacement, B is the magnetic induction, H is the magnetic field, ρ is the charge density, j is a prescribed current density, j S is the surface current due to free charges, ε0 is the di- electric permittivity of free space, εr is the relative dielectric permittivity of the material at the current point, µr and µ0 are the magnetic permeability of free space and the relative magnetic permeability of the material and σ is the electric conductivity. The material properties may also be anisotropic and then turn into matrices. A charge q in an electric field E feels by definition the force qE. Related to the electric field is the electric displacement charge, which is defined by

D = ε0E + P = ε0εrE = εE, where P is the polarisation of the medium. From (3.12a), it follows in integral form that

DdA = Q, (3.14) IA where A is a closed surface in which the charge Q is trapped. Therefore, by integration we find that particle 2 feels the Coulomb force Q r R F E = qE = q − . 4πε r R 3 | − |

74 The where where nryrqie obigcag rmoepaet h other: the to plate one from charge bring to required energy ooeeu edeit ewe h two: the between exists field homogeneous a hoetezr on of point zero the choose steeeg hc snee obigacharge a bring to the needed setup; is the of which energy energy the the for is expression an also gives This ihcharge with n h orsodn onryis coenergy corresponding the and oeta ieec or difference potential otecretpit hc is which point, current the to with hnapyn ( applying When lcrcfield electric Ψ( | E W W V W E C r = Ψ e e ∗ = e | = r stedsac rmtepaewt eaiecag.I hsc this In charge. negative with plate the from distance the is = = 2 = = ) = = − εA εA q Z Q εA Q d rdΨ grad Ψ ε 0 C r 4 C C 2 Q Q C . C πε Q V A C /d C ah area each, Q C C | E V − r Q C stecpctneo h eu. h nrysoe sthe is stored energy The setup.. the of capacitance the is = 3.14 . ( , − W Q a lob ecie sapotential a as described be also can Q )d e R voltage C oasse ftofcn poieycagdplates charged oppositely facing two of system a to ) C = Q | Ψ , 2 1 = A tti lt,btti sa rirr hie The choice. arbitrary an is this but plate, this at CV C 2 1 V C n distance and 2 . Q ewe h ltsis plates the between C 2 d n elcigtesryfields, stray the neglecting and , q 1 = rmarfrnepoint reference a from ..Electrostatics 3.7. lcrcpotential electric s we ase (3.15) 75

3 3. Modelling and Simulation of MEMS

The force can be calculated as the negative gradient of the energy, in the case of constant voltage this is

∂W 1 ∂C(d) 1 εA F = e = V 2 = C V 2, (3.16) − ∂d −2 ∂d 2 d2 or, for constant charge,

∂We∗ 1 ∂(1/C(d)) 2 1 1 2 F = = QC = QC . − ∂d −2 ∂d −2 εAC

3.7.1. Transducer Elements Transducer elements are special concepts for the coupling of different en- ergy domains and are thus a very important tool for MEMS simulation. In this section, we will consider the electrostatic/structural displacement [189], which means that electromagnetic radiation effects are neglected. [143] gives an overview how to determine whether this approach is valid for a given setup. In general, this is true for most MEMS structures with frequencies in the kHz/MHz range. The coupling takes place at the boundary between a conducting material and a dielectric medium, for example, a metal cantilever, which forms the first electrode. A counter electrode is separated by air. When a voltage is applied, the electrostatic force leads to a deformation of the microstructure [190], or, when doing a small signal analysis, to a spring softening of elastic structures. The concepts of calculating the static or dynamic behaviour of such a a de- vice range from analytical solutions of the PDE over numerical methods which are adapted to special device configurations (e.g., a beam) to discretisation of the complete geometry. The main advantage of analytical models is that they can easily applied, since no solution of large systems of equations is required and geometric pa- rameters are retained (sometimes they can even be given as a function, e.g., the place-dependent thickness of a beam). They are therefore well-suited for use in circuit or system simulators [191] and are thus already a first step to model order reduction. Their main drawback is a lack of flexibility when real devices deviate from the assumptions used for the analytic model. The most general and geometrically flexible approach is to numerically cal- culate the electric field in the dielectric and then recover the force at the boundary of the conducting layer; with this force, the displacement is calcu- lated, which is then the basis for a new calculation of the electric field, since

76 method consisten p are This results method the again. relaxation and calculated converged are has system loa forces the this the until on and based solved, then vector, ele is load the system the the first, through use one: system and following the separately the ter for domain input energy load each as treat simulation to is way first o ahnd,smigu h otiuin faleeet i elements all displac of contributions nodal the the up of summing terms node, in the each energy forming for by electrostatic calculated the are of element ent the in forces the where dielec the of change a with change will domain. distribution field the in,ti scalled is this tions, ieetsae)adcmuainlcssseto coupling on spent costs o computational i may and solution code states) (the source different issues where convergence used, are fu drawback be internal main can their The solvers know to commercial necessary Thus not ple. is it that means which lmn ie h ouini oueampigfo h initia the from mapping a use to deformat is i mechanical solution deformation the The the to and expensive size. due too element domain to is has of Remeshing equations change of electrode. system the the reflect thus and to domain deformed the on [ f methods at two which even those formulation Trefftz of field the u best the on the the model based bine to to methods due method also are and, natural There very domain a dielectric is the functions, of meshing the require ( exist ANSYS elements triangular Coupling 2D method. element finite the example em n loohreet iesrn softening. spring like effects will other cha we also which more and elements t thus terms transducer coupling is The the implementation viewpoint. Further, the modelling th and include nonlinear. to included interfaces become explicitly can special equations solvers have black-box to the need that and they is numeri least here and at drawback or convergence The usually better. and much necessary, is iteration h ouino uhaculdsse spsil ntowy [ ways two in possible is system coupled a such of solution The natraiei h onayeeet ehd[ method elements boundary the is alternative An n iclywt hs prahsi htteeeti field electric the that is approaches these with difficulty One h te prahi ostu l qain ntesm syste same the in equations all up set to is approach other The hr r ubro ehd o acltn h lcrcfie electric the calculating for methods of number a are There h ao datg sta h ovr a be can solvers the that is advantage major The . or ooihcscheme monolithic tgee scheme staggered TRANS109 lmn [ element 197 n a ese as seen be can and ]. or ietcoupling direct 193 ris3 xeso [ extension 3D its or ] 195 , 196 tottcfre en- forces ctrostatic ;ti sas called also is this t; hn oouter no Then, . lc as Seidel Gauss block ..Electrostatics 3.7. . lc-o solvers black-box mnsadthen, and ements opigterms, coupling e ,wihde not does which ], oesi iterated is rocess h mechanical the d cinn princi- nctioning a tblt are stability cal s nld such include use o xml,the example, for ngtd gradi- (negated) iie ythe by limited s spr of. part is t claebetween scillate lnigfo a from llenging o available. not s rcsimulation tric rsms be must erms lo ocom- to allow eut fone of results eo Green’s of se rdistances. ar o eused, be not scalculated is (reference) l d[ ld eadjusted be fequa- of m o fthe of ion 124 192 .The ]. 194 ,for ], 77 ]), ,

3 3. Modelling and Simulation of MEMS domain to the deformed domain, so that the equations are solved on the initial grid [143, 198]. The advantage of these approaches is full flexibility of the geometry and a very accurate calculation of the forces. The disadvantage is that the calcula- tion of the electric field requires a full FEM simulation and thus forms a major contribution to the complexity and thus computational cost of the system. For example, consider a clamped-clamped beam which is pulled out-of-plane by electrostatic actuation [199–201]. If large displacements are expected, then stress stiffening must be considered [202, 203] and, since a nonlinear simula- tion is required, the number of iterations increases. One solution here is to combine numerical results with analytic descriptions, which allows for developing low-order dynamic models in a more general and automatic way [204–206]; for example, basis functions are extracted from a number of simulation runs; then the capacitance matrix is used for the force calculation in the space spanned by this basis. Another example of an au- tomatic generation of compact models is AutoMM [207], or the use of the differential quadrature method (DQM) [203]. In this way, macro model nonlinearities can be treated already before as- sembly into a the system of equations [208], and even bifurcations can be accounted for [209, 210]. It is thus beneficial to use, when possible, compact macro models at various levels (individual beams versus combined microstruc- tures) for special cases which are often encountered in MEMS [211–213], e.g., the beam element which can be used to model RF switches and filters [214]. In many cases, the setup can be approximated by an array of parallel plate capacitors [215], which still leaves some flexibility in the geometry. In the next sections, we will present such a transducer element. In section 3.8.2 on page 92 and [4, 13], we present a method which is re- lated to the capacitor model, but allows for a wider freedom of geometrical setups and deformations. The big advantage of our method is that all rele- vant nonlinearities are included in the symbolic matrices and thus it allows to formulate the equations in closed form, which is suitable for model order reduction purposes. So in conclusion, we see that there is a wide range of complexity reduction methods developed for this coupling problem. Still, all those methods make either assumptions on the geometry and require some time to develop the model, or result in large systems of equations with many degrees of freedom.

78 ltsaeple oec te ihafreof force a with other each to pulled are plates itiue.Saeso h oe lt rvn httepla the charg that prevent the distance plate that a lower than assume the more and on Spacers fields fringing distributed. and gravity neglect oei h epniua ieto.Telwrpaei fixed is plate lower The mass direction. with perpendicular the in move 8 nMM n stebsso h rndcreeetw iluei use will we element transducer the of variet basis a the for section. is used and be MEMS can in which model compact one-dimensional o h olwn,w s h aaee set parameter the use we following, the For e scnie aaio hc smutdo pig(fig. spring a on mounted is which capacitor a consider Plate us Movable Let with Capacitor Example: 3.7.2. 3.5: Figure nesc steeulbimln.I scre u otenon the to due curved is ( It equation in line. equilibrium line the The is illustration). intersect for inverted (sign force spring the efis osdrtesainr aeadcluaeterelat the calculate position and equilibrium case stationary the consider first We pull-in Static d 2 1 . 0 ∂ 5pF 85 ) h xml eiecnit ftorgdcpctrpae wh plates capacitor rigid two of consists device example The Figure where , εA d V V / = m 2 3.6  r m and /∂d d 0 oad h oe lt u oeeti forces. i electric plate to movable due plate upper, lower The the towards system. mass-capacitor-spring A 3.17 2 ntefloigpg hw lto h lcrsai force electrostatic the of plot a shows page following the on d shl yasrn ihsrn constant spring with spring a by held is steeulbimpsto ftespring. the of position equilibrium the is kd = A d 2 min .Figure ). ( 0 = − εA d 0 1 2 d εA − . d m 1 2 ftesse n h voltage the and system the of d m min d V ) 2 2 . . h pigblne hsfrewith force this balances spring The . hnavoltage a When . 3.7 hw nte iwo hsline. this of view another shows k V V k sapid h w capacitor two the applied, is 0N 20 = F C V ysetting by = k hr h w surfaces two the where / m nadistance a in ∂ osi ewe the between ionship , ..Electrostatics 3.7. ierrelationship linear d 1 2 h pe plate upper the , e prahto approach tes 0 C 3.5 ( fproblems of y mm 1 = saeevenly are es F d F c a only can ich ) S .Ti sa is This ). C V h next the n = + 2  F − pulled s /∂d d S (3.17) k , We . ( 0 = ε and d 79 = − = :

3 3. Modelling and Simulation of MEMS

Figure 3.6: Plot of the forces on the upper plate versus voltage and distance. In this graph, the spring force is positive when is points towards the lower plate; the electrostatic force is positive when it points away from the lower plate; the two forces cancel each other out where the surfaces intersect.

90 0.02 Necessary voltage 80 Total force at 20V Total force at 90V 70 60 50 0 40 Force [N] Voltage [V] 30 20 10 0 -0.02 0.2 0.4 0.6 0.8 1 1.2 Distance [mm]

Figure 3.7: The equilibrium voltage at certain distances and forces at constant volt- age (Forces pointing away from the lower plate). Since the force for 90 V is always below zero, there is no equilibrium position any more.

80 e nrs,last ytmdflcinwihi ag enough poi large equilibrium is unstable which second deflection the system below a displaces to leads system rest, in tem displace of values initial vol the pull-in what the matter t above are). no this is more, when voltage any the happens exist (When pull-in range range; unstable stable the the in circles ity) ftengtv ffcietneta pigcntn) h s the constant), pull-in. spring towards tangential effective negative the of n yvru feuto ( equation of virtue by and h prtn ag fsc natao stu iie to limited thus is actuator an such of range operating The scle the called is agrdsac ssal,a a ese rmtederivative the from seen be can ( as stable, is distance larger tt.I,hwvr h otg slreeog odietes the drive point to equilibrium enough unstable abou large the oscillates is beyond system voltage syste the trajectory the the voltage, however, that small If, assume a us state. apply Let we If case. static rest. the pull- in energy), kinetic than including voltages (i.e., case dynamic the In pull-in Dynamic spacers. the from off valu lifts smaller much plate a upper to the voltage until the voltage decrease to necessary is it laspitn onad silsrtdwt h oc cur force the with illustrated as downwards pointing always agnilsrn constant spring tangential hr sa xrmlvalue extremal an is There The In o otg above voltage a For hss-aldbfrainrslsi ytrss Once hysteresis: a in results bifurcation so-called This eo hsvlae w qiiru onseit,weeon where exists, points equilibrium two voltage, this Below hs space phase V d d uli voltage pull-in pull-in V d = p uli voltage pull-in = 2 dk r εAkd h olna ytmsosdfre det h nonlinear- the to (due deformed shows system nonlinear the , (2 27 8 d kd 0 εA 2 stevlaewih hnsdel ple otesys- the to applied suddenly when which, voltage the is − ( 0 3 d V . 3 pull-in − d ) . ,wihi eaieblwaciia distance critical a below negative is which ), 3.17 d 0 V ) oeulbimeit,snetettlfreis force total the since exists, equilibrium no , pull-in 0 = npage on ) t23o h pigseulbimposition: equilibrium spring’s the of 2/3 at for d 79 2 = : d 0 / 3 d , crit adtu nterange the in thus (and nhpesfrsmaller for happens in pull-in se saccelerated is ystem ..Electrostatics 3.7. d ftefrecurves force the of aetr touches rajectory hntepull-in the than e efr90 for ve h equilibrium the t 0 se’ dynamic ystem’s ytepitwith point the ly t rma in- an From nt. etadspeed and ment / siiilyin initially is m ae ocircles no tage, 3 a happened, has . ota the that so V This . d crit 81 .

3 3. Modelling and Simulation of MEMS

1 3 V=4 0.9 ε 0.8 2 V=5- V=5+ε 0.7 1 V=5.001 0.6 V=5.05 0 d 0.5 v 0.4 -1 0.3 -2 0.2 0.1 -3 0 -4 0 0.5 1 1.5 2 2.5 3 3.5 4 0 0.2 0.4 0.6 0.8 1 Time d

Figure 3.8: The behaviour of an undamped system near pull-in. Left: Transient response. Right: Phase diagram. ε means a very small number.

1 3 V=5 0.8 2 V=5.0906831 V=5.0906832 1 V=5.1 0.6 0 d v 0.4 -1 -2 0.2 -3 0 -4 0 0.5 1 1.5 2 2.5 3 3.5 4 0 0.2 0.4 0.6 0.8 1 Time d

Figure 3.9: The behaviour of a damped system near pull-in. Left: Transient re- sponse. Right: Phase diagram. spection of the conservation of energy, it can be concluded for a system with an initial position of d0 and zero speed, the pull-in voltage is [216]

3 1 kd0 Vcrit,d = . 2sε0A The displacement versus time curve for pull-in is the solution of the differ- ential equation 1 εA md¨ = k(d d ) V 2 − − 0 − 2 d2

82 silto;i h hs iga,ti orsod ospir to corresponds this Figure diagram, phase the in oscillation; − ftems.Teprmtr r hsnsc that such chosen are parameters The mass. the of h rpriso h nerto loih a adulterate num may voltage, algorithm pull-in integration the the to of close properties that the aware be should reader where tion iue3.10: Figure nfigure in n otg nraewl hnla opl-n oeexample Some ( pull-in. 5 to of voltage t lead pull-in towards then a deflection with will small increase Any voltage forever. any long point infinitely critical is the time at pull-in the voltage, pull-in dynamic eghof length c support. pig a oaeaotterspotwt angle with support their about rotate can springs Snap-through where 0 = c hni diinadmigeeeti ple,teadditiona the applied, is element damping a addition in When orsm h icsinaottecasfiaino nonline of classification the about discussion the resume To Figure d ˙ where , 3.2 . F 5 m F . 3.9 S npage on = = 3.10 stems ftemvbepr.I h otg scoe exact chosen is voltage the If part. movable the of mass the is 3.11 l stefreeetdb ahsrn and spring each by exerted force the is 0 − − hw oeeape o ytmwith system a for examples some shows h oc ntems in mass the on force The c 2 2 asi edbtentosrnswt pigconstant spring with springs two between held is mass A . h F k hw lto h pigfrevru h height the versus force spring the of plot a shows a ehnclsse ihsa-hog behaviour. snap-through with system mechanical A sadmigcecet utb osdrd hc ap the damps which considered, be must coefficient, damping a is ( S   d 2 57 sin / ewn odsusaohreapewt ytrssshown hysteresis with example another discuss to want we , cos θ θ = θ − − 2 l k 0 k sin ) d ∆ 100 = F(t) x sin θ, k , θ d 0 h = ieto is direction εA    1 = d r hw nfigure in shown are ) θ stedsac ftespring the of distance the is l hyhv undisplaced a have They . 0 k 0 = 100 = . h ytmremains system the , l nta fcircles. of instead als 8 ..Electrostatics 3.7. slne hnhalf than longer is , h results. the elwrpaeor plate lower he d rte rmsec- from arities rclnieand noise erical 0 o system a for s = force l εA h = ya the as ly 1 = k 3.8 those ; d 2 F tan the ; D and 83 = θ

3 3. Modelling and Simulation of MEMS

0.6 1 0.8 unstable branch 0.4 0.6 stable branch 0.2 0.4 0.2 0 0 -0.2 -0.2 Force [N]

Height [m] -0.4 -0.4 -0.6 -0.8 -0.6 -1 -1 -0.5 0 0.5 1 0 0.2 0.4 0.6 0.8 1 a) Height [m] b) Spring length [m]

Figure 3.11: a) Force on the mass versus the position, exhibiting several changes of its sign; b) equilibrium position for different spring lengths.

of the wall distance d/2 = 0.5, the spring constant is 1. Also here we can see a local maximum and minimum of the force which causes a snap-through be- 2 haviour: There are two stable equilibrium points at h = l2 d = 0.6245 | | 0 − 2 and one unstable equilibrium point at 0. The system may switch between the q  two stable points which are separated by a potential energy barrier. We can note the following differences between the two examples: For the first model, the force diverges as d 0, the force in the second model is always → finite. The first model exhibits one stable point, whereas the second model has two stable points, with the same height h (measured from the support of the springs), but with sign reverted. A bifurcation diagram reveals this property of the system. Figure 3.11b shows a plot of the equilibrium solutions for a number of spring lengths: For small spring lengths, the springs are under tension and thus the mass is held in the middle in a stable equilibrium. With increasing length, this po- sition becomes unstable, as the springs push each other. This is known from beam theory as buckling. The solution branch (the parametrisable line of the equation’s solution) splits and no unique extension of the branch is possible. This is called a bifurcation; mathematically, a bifurcation is a point where in every neighbourhood of the parametrised solution curve other zeros exist. Different classes of bifurcations exist, for example the saddle-node bifurcation (capacitor model) or the pitchfork bifurcation (spring model). In many sys- tems, the different branches have different stability properties; for example, the dashed line in figure 3.11b is unstable, whereas the remaining branches are stable.

84 h eeln oc.Figure force. repelling the incnb vlae rmasnl ereo reo.Tu,a Thus, [ freedom. in of developed degree was single plates a rotation from str evaluated the be that can so plates, tion parallel (almost) are electrodes the obdiehsams ierbhvoroe oerne oth so range, some over is behaviour linear almost has drive comb iia a f0.3, of gap minimal a page ap be can model capacitor plate parallel C a of capacitance The Element TRANS126 ANSYS The 3.7.3. ure case, voltage constant the in that so osblt ocluaetecpctneas capacitance the calculate to possibility ieto fteeeet h oc scluae yequatio by disp calculated nodal is force the The of element. difference the of the direction and displacement initial the iue3.12: Figure rsueo nae,anme fndso hsae sconnecte is the area this modelling elements. of For transducer nodes of by number case. electrode a charge area, an constant on the pressure in analogous and 3 ( h toecpctnerltosi a lob pcfidb specified be also can relationship stroke/capacitance The h rwako hskn feeeti hti slmtdt th to limited is it that is element of kind this of drawback The h xedd4nd eso fteprle lt capacitan plate parallel the of version 4-node extended The h lmn a w oe;tegpdsac scluae st as calculated is distance gap the nodes; two has element The h lmn a locnatcpblte sdsrbdi se in described as capabilities contact also has element The C x = ) 3.14 ( d 73 F C = ) ( ti osbet pcf iia a n pigstiffness spring a and gap minimal a specify to possible is It . C = d npage on = ) 0 − /d C 0 1 2 idj di where , C h NY RN16element. TRANS126 ANSYS The + ∂C d 0 ∂d C + ( 87 1 d d ) C losfrartto fteprle lts osdra Consider plates. parallel the of rotation a for allows ) NY’tasue element transducer ANSYS’ . V d 1 kn 2 + stegpbtentecpctrpae.I otat a contrast, In plates. capacitor the between gap the is = C 40 = 2 V 3.13 d 2 2 + ,  − C C ntenx aesostefrersos for response force the shows page next the on 0 3 C Gap d 194 d V 0 = 2 0 2 + + ]. . 1 C C kn 4 2 and 3 d 2 + 3 . V C 3 1 = x 3 + . table. a y TRANS126 C 4 k/aaiac func- oke/capacitance x 2 ( n  ..Electrostatics 3.7. , tiscapacitance its at 3.16 aeet nthe in lacements otecounter the to d eeeet(fig- element ce xeso for extension n rxmtdas proximated ction a hsthe thus has npage on ) aewhere case e electrostatic esmof sum he 3.6.4 kn 76 for on 85 ,

3 3. Modelling and Simulation of MEMS

2 1.5 1 0.5 0 -0.5 F [a.u.] -1 -1.5 -2 -2.5 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Gap [a.u.]

Figure 3.13: Force vs. gap for a parallel plate transducer element.

parallel plate capacitor with plates of length wx and width wy; the upper plate is rotated by an tilt angle θ, Assume that θ is small such that tan θ θ. Now ≈ partition the setup into slices of width dx. On the left side, the height shall be d1, then at a distance x it is d(x) = d1 + θx, the height on the right side shall be d2. By integration of the capacitance of each slice, we find that the total capacitance is

d2 d1 εw ln(1 + w θ/d ) εwxwy ln(1 + − ) C = y y 1 = d0 . θ d d 2 − 1

3.8. Spatial Discretisation Methods

PDEs are defined for a continuous fields. The analytic solution of those is often not possible when complicated geometries and transient effects are involved. It is thus necessary to numerically approximate those in space and time in such a way that they can be solved on a computer, which is called discretisation. Discretisation means that the continuous field (be it temperature, velocity or displacement) is represented by a finite set of numbers and then interpolated to fill the complete simulation space and time. We will show the basic procedure on the example of a temperature field and then present a structural mechanics discretisation of a beam model which is actuated by electrostatic forces.

86 interval ata ieeta qaina follows: as equation differential partial hr h a en h e lsr.Let closure. set the means bar the where ute,asm httebudr a edcmoe notwo into decomposed be can boundary the that assume Further, ...TeFnt lmn ehd(FEM) The Method Element Finite The 3.8.1. 3.14: Figure to ple oalrenme fpyia rbesadalw for allows and problems [ physical geometries of complex number of large a to applied and olna hra iuainproblems. simulation thermal nonlinear ese h ouino h rbe nthe in problem the of solution the seek We Let ∂ Ω Γ nt lmn method element finite T Γ Γ Γ . h Ω h q q ( admitting Given x τ ∩ ∪ ⊂ × t , [ = Γ Γ R τ div( : ) h h d d 7→ , t xeddvrino h aaio rndcrelement transducer capacitor the of version Extended 1 = = Ω 0 Q d κ t , ∅ ∂ × ( R 1 = grad , 1 Ω x , ] τ t , , ⊂ T , 7→ Ω : ) 2 0 T ( , R x 217 3 + ) R ettase nasldmtra sepesdb a by expressed is material solid a in transfer Heat . : ) uhthat such , ea pnstwt icws mohboundary smooth piecewise with set open an be .Ltu osdramteaia omlto fa of formulation mathematical a consider us Let ]. × Q a on ahmtclfudto n a be can and foundation mathematical sound a has − Ω κ w − τ T 7→ grad x d ρC 7→ ( x t R d p )= 0) = ( R , T ∂T x ∂t , ρ n T ) ( q x = = 0 = ( n ) x ..SailDsrtsto Methods Discretisation Spatial 3.8. C , T h q t , eteui uwr omlvector normal outward unit the be 0 Γ : ) p ( eiedomain device d x 2 ) κ , q ( × x : ) τ V on on in in 7→ Ω Ω Ω Γ Γ 7→ R q h Ω , R n o time a for and h h treatment the ( + pnsets open x (3.18) find , t , : ) ∂ Γ Ω 87 q .

3 3. Modelling and Simulation of MEMS

where κ is the thermal conductivity (isotropic for most bulk materials), Cp is the specific heat capacity, ρ is the mass density, Q is the heat generation rate per volume and T is the unknown temperature distribution. We now want to apply these differential equations to a beam of length L and cross sectional area A, modelled with an 1D approximation. As a challenge, we assume that the heat conductivity κ is represented by a polynomial in temperature T (x,t) of arbitrary degree n:

n κ(T )= a + a T + + a T n = a T i. (3.19) 0 1 · · · n i Xi=0

ρ and Cp are constant and uniform. The right end of the beam (at x = L) is fixed at ambient temperature q. The model features two inputs, a time- dependent uniform heat flux f at the left end (at x = 0) and a time dependent uniform heat source Q along the beam. There are analytical possibilities to handle this problem. When we can assume that as in equation (3.19), κ does only change with temperature and has otherwise no spatial variation, one can apply the Kirchhoff transformation to introduce a new variable θ [218]:

1 T θ = q + κ(T )dT, κ(q) Zq which fully linearises the static part of equation (3.18) on the preceding page. The time-dependent part can be linearised by introduction of a new time variable t˜:

1 t t˜ = κ(θ)dt. κ(q) Z0 Thus equation (3.18) on the previous page becomes fully linearised:

Q ρC ∂θ div grad θ + p = 0. κ(q) − k(q) ∂t˜

However, this approach involves a transformation of the time variable and requires a reverse transformation to get the temperature values. We want to present another approach based on the finite element method integration. In contrast to the usual procedure, we do not linearise the system so that we get a closed-form equation including the full nonlinearity.

88 h ag f20t 0 ,while K, 600 to 200 of range the h etcpct o iio hne rm1.3 from changes silicon for capacity heat The tutr ftemtra.I olw nepnnillaw: exponential an follows It material. the of structure figure of that than smaller yuigteGenGu hoe,w e h ekform weak the get we theorem, Green-Gauß the using By h ih ie obtain side, right the eauerne hsapoiaincnb utfidfo mea dependenc from temperature justified the be that can show which approximation semiconductors, This range. perature where eoti h olwn expression, following the obtain we hc r h aea h etfunctions test the as same the are which aiywt epc oastof set a to respect with nality mt h eprtr rfieb hp functions shape by profile temperature the imate positive a where yicuig( including By olwn h izGlri nt lmn omlto,we formulation, element finite Ritz-Galerkin the Following κ − − − T X i 3.15 =0 ρ n ( = X X i ( div i i x =0 =0 n n and = ) a κ i ntefloigpage). following the on 0 a a Z κ e i i Ω α X ( j Z div C N =1 T ( grad Ω T p grad ) − 3.19 N J U r ohasmdt ecntn o h osdrdtem- considered the for constant be to assumed both are T T k j 0 eoe etflxit n n fteba.W approx- We beam. the of end one into flux heat a denotes N ) N i div . ntedffrnilfr fteha rnfrequation, transfer heat the of form differential the in ) grad j k κ T ( T x hsrpdcag sarsl fteseilband special the of result a is change rapid This . + ) i ) T , grad T i grad ρC  + etfunctions test p T T ρC dΩ ˙ T κ =  p hne rm280 from changes T Ω+ dΩ − ˙ Q, = Z ∂ Q, Ω Z ..SailDsrtsto Methods Discretisation Spatial 3.8. | κ N Ω ( + k T N N × grad ) n,atrmvn l nusto inputs all moving after and, k Z k 10 ρC Ω ( {z J x N 6 ) p , J T k ˙ T / W k ρC Ω= dΩ m · 1 = / ~n p 3 } mK K T N ˙ N , . . . , Ω= dΩ o2 to k Z d Ω o60 to ∂ eur orthogo- require of y N Ω × k Z 10 ueet of surements Q : Ω W C 6 dΩ N J p / k / mK smuch is Q m ∀ dΩ 3 k. K (see , 89 in

3 3. Modelling and Simulation of MEMS

Figure 3.15: Heat capacity (left) and heat conductivity (right) of various materials (Courtesy of M. Rencz [219]).

n N N i ai Uj grad NkT grad NjdΩ+ ρCp U˙ j NkNjdΩ = Ω Ω Xi=0 Xj=1 Z Xj=1 Z

Q NkdΩ + J Nkd∂Ω. (3.20) ZΩ Z∂Ω

U1 Um Um+1 Un

Figure 3.16: Linear shape functions for FEM discretisation

We now need to choose shape and test functions Ni from a Hilbert space. In the simplest case, these are hat functions as shown in figure 3.16. They have a local support, i.e., they are nonzero only on a subset of the simulation domain. The beam is divided in elements with nodes at its corners, and each node is assigned one shape function. For this kind of shape functions, there is a linear rise from the node left of the current node and a linear descent to

90 e length nynneoi h difference the if nonzero only parts, other all On side. right the U omv h olna em n( in terms nonlinear the move To For qain r rte nmti om premti result matrix sparse solve. a to faster form, and matrix easier in written are equations w inputs two xetfrtelfms lmn,where element, leftmost the for except ics olna hp functions. shape nonlinear discuss eitoueavector a introduce We ftedsrtsdequation: discretised the of n h utpiaino h matrix the of multiplication the ing asmatrix mass hnuiglna hp ucin,tegainsaeconsta are gradients reads the then matrix functions, stiffness shape linear using When m otiue w nre otevector the to entries two contributes h eod hr n orhtr n( in term fourth and third second, The n datg flclsaefntosi htteintegrals the that is functions shape local of advantage One − > i U f B M A A l m e 1 linear e 0 e ihnodes with +1 = hsyed olna tffesmti,wiefor while matrix, stiffness nonlinear a yields this , = = = = X i  J X X n utatte rmbt ie fteeuto.Eeyelem Every equation. the of sides both from them subtract and i i =1 U  n =0 =0 n n Al/ A M 0 2 1 and / / a + a a 1 3 2 6 i n cteigmatrix scattering a and i i Al/ A ρC l A l A l 2 Q U ( Z / / m p 2 2 i m i 0 6 3 M  otela etr o ier1 emelement beam 1D linear a For vector. load the to +1 1)( + l +1  f and . U ( i U ( , U ˙ 1 + m U i − +1 m +1 ) = U B m (1 U ntergtsd hc olcsalnnierparts nonlinear all collects which side right the on m m e B − i 1 + − +1 | +1 k = U x/l 3.22 − Q  J m  − i ehv h lmn contributions element the have we , +1 0 0 −  j + ) N U 1 | + otergtsd,w utpyte with them multiply we side, right the to ) 1 A m i ssalro qa hnoe fnwthe now If one. than equal or smaller is  Al/ Al/ f ) szr.I h emeape ewill we example, beam the In zero. is f U . ( with  ( m U B 3.20 2 2 U − ..SailDsrtsto Methods Discretisation Spatial 3.8. +1  1 ) 1 1 ntergtsd odsrbt the distribute to side right the on ) . x/l : r ieradyedaconstant a yield and linear are ) x − h eoiao sconstant. is denominator the , ) 1 i  d . x  − 1 1 1 i − 0 = 1 t h element The nt. hc smuch is which s  fe perform- after n( in 3.20 (3.22) are ) e ent 91 of

3 3. Modelling and Simulation of MEMS

We observe that the nonlinearities are polynomial. We then insert the Dirichlet boundary conditions, denote E = ρCpM and introduce a gather matrix C which returns linear combinations of the degrees of freedom (or more often, selects some single DOFs) as output terminals. In this particular example, C consists of two row vectors, one with 1 at the first position and one with 1 at the entry in the middle ( n/2 ) and 0 everywhere ⌈ ⌉ else. This returns the temperatures at the leftmost end (where the heat flux is applied) and in the middle of the beam. After renaming U to x we end up with the following system of equations:

E˙x = Ax + Bu + Ff (x , u) − y = Cx where F is the identity matrix to comply with the form given in equation (3.1) on page 56.

3.8.2. FEM for a Coupled Analysis To illustrate how coupling can be implemented with finite elements, we modify the approach shown above. There, we derive the FEM from an orthogonality principle. The same results can be achieved by an energy consideration using the Lagrange framework.

System Setup We now model a typical structure whose generic layout corresponds to an RF switch or RF electromechanical filter. Consider a beam supported at both ends (figure 3.17). It is made of a highly conducting material (e.g., a metal) with density ̺ and Young’s modulus of elasticity E. Hence the electric potential is the same everywhere on the beam. This beam forms the first electrode. Below the beam, a counter electrode is placed. Again, the electric potential is the same everywhere on the electrode, but different from the potential on the beam. This lower electrode is fixed along its length, thus it features no spatial degrees of freedom, while the upper beam is free to move in the vertical direction except for its supported ends. A voltage source generates a potential difference between the two electrodes, i.e., the potential on the beam Vbeam and the potential on the bottom Vbot satisfy the equation

V V = V . beam − bot in

92 iue3.17: Figure xa displacements: Axial displacements: Torsional pos [ three observed case, be this can For tions motion. two-dimensional embe a curve yielding one-dimensional a by appr beam further the can of we body large, dimensional rather is dimensions) transverse lxrldisplacements: Flexural ntesse arcst esnbeaon.Teapproxima properties. constra The material discretisation, and non numerical amount. freedom of reasonable parts: number three a in the to divided limit matrices system to the made in been have approximations Some Approximations yiel potentials their of sum the that voltage. such beam the on charges hsalw st moeaohrcntan:w lo nymo only allow we constraint: c another nonlinearities impose geometric to that us so allows small, This is deflection beam lsi eomto rbitefatr.A omni micro in neglected. common be As may fracture. brittle or deformation plastic ieto;pl-ni tl nldd u ocnatmodel. contact no but included, still is pull-in direction; hsptnildffrnei nocdi h oe ydistrib by model the in enforced is difference potential This ic h setrtoo h em(..tertoo h lengt constraine be the also of can motion ratio beam the the reasons, symmetry For (i.e. beam the of ratio aspect the Since ee ewl nycnie eua ipaeet eassume We displacement. flexural consider only will we Here, h aeilue sasmdt eiorpcadielyelas ideally and isotropic be to assumed is used material The ihcutreetoeblw h eomto fteuprb upper e the both of deformation at The exaggerated. supported greatly below. beam electrode conducting counter a with system, considered The z x opeso repninaogtelniuia axis. longitudinal the along expansion or Compression 184 eeto u ftenneomdaxis. nondeformed the of out Deflection s   ]: oainaottelniuia axis. longitudinal the about Rotation         ..SailDsrtsto Methods Discretisation Spatial 3.8.       nso h ere of degrees the on ints xmt h three- the oximate ehnc,gravity mechanics, il emdeflec- beam sible nb neglected. be an sterespective the ds   tn electric uting ddi 3D. in dded ini the in tion oaplane, a to d in a be can tions i ihno with tic     linearities othe to h htthe that V a is eam in nds 93 z

3 3. Modelling and Simulation of MEMS

A further approximation concerns the distribution of electrical charges on the beam. The charge distribution can be a complicated function depending on the current geometrical configuration of the beam. Usual boundary ele- ment approximation schemes would increase the complexity dramatically. We therefore concentrate the charge at distinct points [220]. We finally assume that the energy loss due to electromagnetic radiation is small, so that the problem is purely electrostatic.

Lagrangian Mechanics We use a Lagrangian formulation to determine the equations of motion. The state of the system is expressed by generalised coordinates x Rm and their ∈ velocities ˙x = ∂x /∂t. In this example, those are mq mechanical degrees of freedom q and mQ charge degrees of freedom Q. Due to constraints on these coordinates, e.g., by mechanical obstacles, the state space might occupy only a subset, i.e., x Q Rmq +mQ and x Q Rmq+mQ . We ∈ x ⊆ ∈ x˙ ⊆ consider the system in the time interval τ = [t ,t ] R. The Lagrangian 0 1 ⊂ : Q Q R R for the system is then defined as L x × x˙ × 7→

(x , ˙x , V )= T ∗(x , ˙x) V (x ) W (x , V ), L in − − e in where T : Q Q R is the kinetic coenergy, V : Q R the potential ∗ x × x˙ 7→ x 7→ energy stored in the elastic deformation of the beam and W : Q R R e x × 7→ the potential energy stored in the electrostatic field. The equations of motion are then recovered by evaluating equation (B.5) on page 227 d ∂ ∂ L L =Ξxi , dt ∂x˙ i − ∂xi where t is the time and Ξ : Q Q R, 1 i m are the generalised xi x × x˙ 7→ ≤ ≤ nonconservative forces (i.e. damping and external forces).

Finite Element Method Discretisation of Elastic Beam Let Ω be the device domain of the beam, i.e. the volume in which the beam is contained. We will use the result (3.10) from section 3.6.3 on page 71 to model the displacement in the cross section of a bending beam, that is

du d2v ǫ = du = x = z x dx − dx2 σx = Eǫx

94 h oeta nrycnte ecluae by calculated be then can energy potential The element iieElements: Finite w daeteeet hr h oe nterends; their on nodes the share elements adjacent Two n h nepltddslcmn by displacement interpolated the and Ω rmtedgeso reo n hp ucin falelemen all of read now functions relations shape stress-displacement and and freedom displacement of degrees the from n3 pc [ space 3D in K hp functions shape w vertices two h otiuin fteeeetmatrices element the of contributions the htteba soine ln the along oriented is beam the that hc orsod oartto ntedfrainpaefor plane deformation element the each in For rotation a to corresponds which em ecos emt ui hp ucin ihtodegre two with functions shape cubic q Hermite choose we displacement: beam, flexural to Application e tec etx Deflection vertex: each at n h iei coenergy kinetic the and and [ = V T q x e M ∗ e = ( = x , = r aldtesins n asmti.Te r sebe f assembled are They matrix. mass and stiffness the called are 1 2 e 2 1 z +1 Z x e Z Ω 184 e θ , ] Ω ewe hs etcs h ipaeeti interpolated is displacement the vertices, these Between . ǫ and e ̺ N T z , σ ,teeoetedmninof dimension the therefore ], | e ǫ ˆ u σ ˙ hsyed h ere ffreedom of degrees the yields this , ( ( e e h emi pi no1 nt elements finite 1D into split is beam The ,t x, ,t x, | +1 eoigtesaesaeo h igeeeetby element single the of space state the Denoting . x Ω= dΩ 2 e Ω= dΩ +1 θ , = ) = ) e +1 = 2 1 ) T x q 1 2 T N e ˆ u ∗ z T d E ˙ q . Z i + ( ftedsrbtdms by mass distributed the of T ˆ u ǫ e Ω x, epniua oteba n h slope the and beam the to perpendicular Z L : = Ω B = q Ω ̺ T tised ihdgeso freedom of degrees with ends its at ( B E d e t N x )= )) B E N 7→ ˆ u xs ahba element beam Each axis. T ( ( : R x x N o h eua ipaeeto the of displacement flexural the For ..SailDsrtsto Methods Discretisation Spatial 3.8. Ω dΩ ) ) N K q q e dΩ e ( ( ( × q x t t and ) = ) ) Q ˙ q = q Ω q ( = e t 1 2 M B eue o1 eassume We 1. to reduces ) 7→ q . 2 1 ( e T x ˙ q . q K R ) T q and 3 M q ehv nasingle a in have we , ( , t ˙ q ) . ml deflections. small N Ω s h strain- The ts. r assembled are so freedom of es e e flength of comprises (3.23b) (3.23a) q (3.25) (3.24) e rom Q so , by θ 95 q L i e ,

3 3. Modelling and Simulation of MEMS

q1 q3 q q 2 x 4 L   N  e,1 N = 1     Ne,2 N ′ = 1       Ne,3      N= 1    N ′ = 1  Ne,4       Figure 3.18: Hermite shape functions for one-dimensional finite elements (adapted from [184]).

The Hermite shape functions for a single one-dimensional linear element e with length L are (see figure 3.18)

1 3 2 3 T L3 2˜x 3Lx˜ + L 1 3 − 2 2 L2 x˜ 2Lx˜ + L x˜ N e(˜x)=  1 −3 2   , x˜ = x xe. L3 2˜x + 3Lx˜ − 1 −3 2   2 x˜ Lx˜   L −      d2 With d = z 2 , we obtain − dx

Be = dN e y = 12˜x 6L 6Lx˜ 4L2 12˜x + 6L 6Lx˜ 2L2 . −L3 − − − −   Since the beam is not stressed in the y and z directions and no shearing occurs, the vector of stresses σ can be reduced to its first component σx; the vector of strains ǫ can be simplified to ǫx and E can be simplified to E to yield Eǫx = σx.

96 rnltoa inertia: Translational inertia. translational and rotational considered: h oe r sue ool oei the in move only to com assumed be must are energy nodes kinetic the the to contribution additional an where hc vlae to evaluates which ute wyfo h eta xs(e figure (see axis neutral the from away further y h eteo hsrtto sat is rotation this of centre the nryo oaino emcosscin snticue n( in included not is sections cross beam of rotation of energy Inertia: Rotational eto is section xscue an causes axis o h iei nryo netne oy w contribution two body, extended an of energy kinetic the For nldn hsi ( in this Including netn hsit ( into this Inserting is point that of speed The M M u K M u ˙ I x x flex flex flex flex stegoercmmn fieta( inertia of moment geometric the is = = ,e ,e,r ,e,t ,e,t − − = z zθ = = = d Z d y x Ω Z Z x ̺AL = ˙qN 420 e Ω Ω rnlto fteprin ntecosscino h beam the of cross-section the in portions the of translation B e e − ̺ 3.24 ̺z e T z . N u ote1 prxmto fteba,tekinetic the beam, the of approximation 1D the to Due     3.25 E d 2 d x rm( From B T  ,w e scnrbto o hselement: this for contribution as get we ), − u 22 N 5 22 156 e ,w get we ), d 413 54 13 d Ω= dΩ = N L Ω= dΩ x L −  3.25 z z T − d 4 0 = 2 d  Z 3 x L L EI ,w get we ), L x L L d q N 2 3 d e x 2 the , N e x +1      . − 13 ̺A 156 − 3 3 54 Ω= dΩ 22 ..SailDsrtsto Methods Discretisation Spatial 3.8. 3 6 x L L L 6 L N L rnlto fapiti h cross the in point a of translation z 3.11 3.3 − T 2 ieto,artto bu the about rotation a direction, L − − Z N − 3 L 2 4 x L ). 2 13 22 3 npage on L e x d L e 2 x, +1 L L 2 − − − ̺I 6     3 3 3 6 L L L  72 d − d 2 N .Asmn that Assuming ). 3.26 L x ue.Although puted. 3 L 2 L 2  T .Therefore, ).      utbe must s d d N x (3.26)  d 97 x.

3 3. Modelling and Simulation of MEMS

This finally yields 36 3L 36 3L − ̺I 3L 4L2 3L L2 M =  − −  flex,e,r 30L 36 3L 36 3L − − −  3L L2 3L 4L2   − −    The generalised inertial mass of this element is now found by

Mflex,e = Mflex,e,t + Mflex,e,r.

Electrostatic Actuation As mentioned above, the electric charge distribution over an element is ap- proximated by a point charge at the element interface. The electric potential V : Rn R for a point charge Q R can be calculated by integrating 7→ i ∈ Coulomb’s law, taking a test charge from infinity to a position rij near the charge under consideration. In 3D, this is [221] (see section 3.7 on page 73)

rij Qi Qi Vij = 2 dr = , − 4πεrε0r 4πεrε0rij Z∞ where ε is the permittivity of free space, ε 1 is the relative dielectric 0 r ≈ permittivity of air and rij is the distance between the charge and the evaluation point. This distance depends on the displacement of the beam. So here is the point where the coupling between the electrostatic and structural energy domain is introduced. Another contribution to the energy comes from the self capacitance of the point charge. The charge is in reality distributed over the beam element’s area. We can calculate the voltage for a rectangular area Ai = wh, where w and h are the dimensions of the rectangle, by

Qi 1 V = dA′ ii 4πε ε A r r i r 0 i ZAi − i Q w + √w2 + h2 h + √w2 + h2 = i h ln + w ln 2πεrε0wh h w !

Dividing by Qi yields the reciprocal of the self capacitance Pii. Combining these equations yields the following capacitance matrix expres- sion for all nodal voltages:

V = PQ

98 h apn matrix damping The Damping Rayleigh h nryi then is energy The sn h mode-preserving the using ffedm h vector The freedom. of em n nentry an and beam, tffesadms matrix mass and stiffness n h opeeLgaga sseie by specified is Lagrangian complete the and Ξ h apn ftesrcue h aito fnonconservat of variation a The source, reads voltage structure. the the by of damping system the the into introduced is Energy Work Nonconservative geometry. beam given a irtos eomto u oavbaincnb expresse be modes: can vibration these a of to due deformation A vibrations. q h cuayo h upn nrae ymkn h elements the making by increases lumping the of accuracy The Let and W E with L δW q φ = e = Ξ i = nc = etemd hpso dsrtsd ytm .. h shape the i.e., system, (discretised) a of shapes mode the be Q φ c 1 2 P m i = 1 2 ˙ q r h eeaie ocsfrtemcaia n electrica and mechanical the for forces generalised the are ij Y M T Q i δ = , M q T + T ( V ˙ q c | ( − k − = 2 4 0 K Ξ {z πε πε E 1 2 E o l hre ntelwrbeam. lower the on charges all for q 1 2 r r ˙ q = q 1 V 1 ε ε Q } ) 0 0 T suulycluae yalna obnto fthe of combination linear a by calculated usually is wh r α + in K ij T alihdamping Rayleigh M δ P a nentry an has q  Q Q h − + T ln , β |{z} V 2 1 Ξ K w Q Q in + T √ . P w h ( 2 x + V ..SailDsrtsto Methods Discretisation Spatial 3.8. h ) in Q 2 + o l hrendso h upper the on nodes charge all for . omlto [ formulation w ln h + √ w w 2 + 222 h ssuperposition as d v oktherefore work ive 2  ddsiae by dissipated nd ]. i i = 6= mle for smaller j j degrees l ffree of s . (3.30) (3.28) (3.27) (3.29) 99

3 3. Modelling and Simulation of MEMS

where the Yi are the nodal amplitudes. It can be shown that for an undamped system (a system consisting only of M and K), the modes fulfil orthogonality conditions:

T φi Mφj = 0 T for m = n. φi Kφj = 0 6

We require that this orthogonality condition also holds for the damping matrix. The following condition is sufficient for this:

∞ 1 b E = M ab M− K . b=0 X   Rayleigh damping is a special case where only the first and second term are used. When the damping matrix is proportional to the mass matrix, the damping ratio is inversely proportional to the vibration frequency; thus the high frequency modes have very little damping; In contrast, if the damping matrix is proportional to the stiffness matrix, the damping ratio is proportional to the frequency and the higher modes have very high damping. By using the full formulation, it is possible to tune the damping of each mode by a proper choice of the ab (see [222] for details). This choice of E results in a number of interesting properties:

The modes of the system do not couple through damping • The vibration mode shapes are the same for the damped and undamped • system The essential dynamic response is associated with the lowest few modal • coordinates and thus suitable for reduction.

There are also intuitive interpretations of this form, basically saying that the damping contributions come from internal friction (stiffness matrix) and the surrounding air (mass matrix), and it often happens that the resulting be- haviour is sufficiently accurate for many applications. Still, this is a very heuristic approach which needs fitting to experiments. A physical treatment of the thin film damping of common microsystem struc- tures, namely plates with holes, can be found in [166, 223]. Using these models for the damping matrix, the properties listed above might then be lost, since the damping matrix is not any more a linear combination of mass and stiffness.

100 hr both where h voltage the r nyrlvn o h tffesmti,tu ewl consi will we d thus spatial matrix, of stiffness coupling the Geome the problem for onto relevant nonlinearities. look only geometric are closer in results a which have freedoms to want now We Nonlinearities Geometric 3.8.3. upttria ytegte matrix gather the by terminal output f eueasatrmatrix scatter a use we ( matrix scatter the by provided are terminals Input Terminals mat Output capacitance and Input the of dependency the freedom. to of degrees due structural freedom of grees ( With Motion of Equations ny hsyed h olwn system: following the yields This only. rcdr [ procedure tions X X ujc to subject 3.31b ( j j x h orhtr n( in term fourth The V ,

P ij K M yusing by ) in 3.6 Q ( ) ij q j ntergtsd.Sneti etrcnan eudn zero redundant contains vector this Since side. right the on q ,w a aclt h qain fmto.W hngtteeq the get then We motion. of equations the calculate can we ), ¨ ) = j q 186 q K V + V ( = in t in E and , ute,the Further, . )= 0) = f ,i ij 224 ( q q x ˙ M c j f ) , + , ( = a eedo h displacement the on depend may ¨x 225 K q + 3.31a q ij 0 ]. F E b T q and j ˙x ob bet s vector a use to able be to Q + shgl olna n togyculsalde- all couples strongly and nonlinear highly is ) + z T ˙ q 2 1 ) K b ipaeeto h idend scoe as chosen is node middle the of displacement ( T X t x y l olnaiisaemvdt vector a to moved are nonlinearities All . k )= 0) = Q = = j C ∂P ∂q ecmieeutos( equations combine We . B C ..SailDsrtsto Methods Discretisation Spatial 3.8. ˙ q jk i V x 0 in Q . . k + ! F 0 = f B ( x nti ae hsi only is this case, this in ; q V , f eurn niterative an requiring , with in ihnneoentries nonzero with ) rcnonlinearities tric ∂P e stationary a der ∂θ jk i 0 = 3.31a i nthe on rix gesof egrees entries, (3.31b) (3.31a) (3.33) (3.32) and ) 101 ua-

3 3. Modelling and Simulation of MEMS

In this iterative procedure, new displacements will be calculated incremen- tally, and so are the strains, yielding nonlinear strain-displacement relations for solid elements. The equation is then solved by, e.g., the Newton-Raphson procedure (see section 3.3.4 on page 64). In the linear case, the strain-displacement relationship (3.8) is ǫ = du, the stress-strain relationship (3.9) is σ = Eǫ. The stresses and strains relate to the FEM DOFs by (3.23b)

ǫ(x,t) = dˆu = dN (x) q(t)= B(x) q(t) σ(x,t) = Eǫ = E B(x) q(t)

Now, we assume that the strain-displacement relations depend on the cur- rent deformation. Let us assume that the differential of the strain can be written as

dǫ = B(q)dq. (3.34) which can be rewritten [181] by splitting B(q) into a constant part B0 and a position-dependent part BL(q):

dǫ = [B0 + BL(q)] dq, (3.35) the differential of which is

dB =dBL and in the same way,

dσ = Edǫ = E [B0 + BL(q)] dq. (3.36)

We now combine equation (3.24) on page 95 with (3.33) and (3.35). We define the residual

R = BLσdΩ f . − ZΩ The derivative of the residual with respect to the FEM DOFs is

∂R ∂ = BT σdΩ f ∂q ∂q − ZΩ  ∂BT ∂σ ∂f = σdΩ + BT dΩ ∂q ∂q − ∂q ZΩ ZΩ

102 em;i diin hr sanwsri opnn rmtes the from component strain new a is there addition, in terms; e srtr oeuto ( equation to return us Let sthe is aho rcdr.W o edt n o ocalculate to how find to need now We procedure. Raphson etmatrix ment h agn tffesmti ftela ( load the matrices of these matrix stiffness tangent the steusual the is etro hp ucin un oamatrix. a vect to are turns functions functions shape shape of the vector mechanics, structural general nwih sn qain( equation using which, in stemti hc nldstelredslcmn em (t terms displacement large the includes which matrix the is hswl o eapidt h hp functions shape the to applied be now will This h agn tffesmti ste sda aoinfrteN the for Jacobian as used then is matrix stiffness tangent The γ K K K K γ emti matrix geometric ij L,ij 0 f σ L ======( = = 1 2 Z − Z Z ml ipaeetsins matrix stiffness displacement small Z Ω Ω or Ω 2 1 K  Ω ∂ ∂ B K ∂ ∂ q f ∂x ∂u σ ∂ ag ipaeetmatrix displacement large ∂ B ( B 0 T ∂ T B N + q j 0 T EB q i ∂x L T km L T scle h total the called is EB K + σ σ i 0 0 dΩ q ∂u ∂x Ω+ dΩ dΩ k L + or ) + j i K ∂ 3.34  3.7 nta tesmatrix stress initial ( B L N Z + ∂x L T + Ω lm npage on ) n ( and ) EB 1 2 j [ K B q  l f 0 ) L ∂u ) ∂x + + ∂ ∂ m q q 3.36 agnilsins matrix stiffness tangential B i B L ∂u = 68 ∂x L T ] odcreto matrix load-correction T ), EB ..SailDsrtsto Methods Discretisation Spatial 3.8. ), K m h rttotrsaetelinear the are terms two first The . j ∂ ∂ T  q 0 .  = and , ( , dΩ E γ [ 0 B , N ,ij 0 + fordsrtsto.In discretisation. our of + γ B L,ij L he ] B rvle,s the so valued, or q . L dΩ ) nta displace- initial cn part, econd .Tesmof sum The ). . and − ∂ ∂ ∂ B q f ewton- L /∂ 103 q .

3 3. Modelling and Simulation of MEMS or written out in 3D:

2 T T ∂NT ∂NT ∂NT ∂NT ∂NT ∂x q ∂x q ∂y q ∂x q ∂z q 1  T T T T 2 T T T  γ = ∂N q ∂N q  ∂N q  ∂N q ∂N q L 2 ∂x ∂y ∂y ∂y ∂z  T T 2    ∂NT  ∂NT ∂NT ∂NT  ∂NT   ∂x q ∂z q ∂y q ∂z q ∂x q            1 ∂N ∂NT ∂N ∂NT ∂N ∂NT ǫ = q T q q T q q T q L 2 ∂x ∂x ∂y ∂y ∂z ∂z  T ∂N ∂NT ∂N ∂NT ∂N ∂NT 2q T q 2q T q 2q T q ∂x ∂y ∂y ∂z ∂x ∂z  so that

q T (∂N/∂x)(∂NT /∂x) q T (∂N/∂y)(∂NT /∂y) 1  q T (∂N/∂z)(∂NT /∂z)  B (q)= L 2  2q T (∂N/∂x)(∂NT /∂y)     2q T (∂N/∂y)(∂NT /∂z)     q T T   2 (∂N/∂x)(∂N /∂z)    where

∂N ∂NT ∂N ∂N q T = q km lm , ∂x ∂x k ∂x ∂x  i j l i j from which it is trivial to calculate ∂BL(q)/∂q. In conclusion, we observe that geometric nonlinearities generate terms with polynomial occurrences of the degrees of freedom and are thus a candidate for MOR algorithms for polynomial systems.

3.9. Fluidics

A fluid is a substance which cannot withstand any attempt to change its shape. The shape of a liquid fluid droplet is determined by its internal cohesion forces and interfaces to other surfaces, where the chemistry on the interface determines the properties of wetting.

104 udehbt eitnet ha ae h esr o th for measure The rate. shear a to the resistance is exhibits fluid A iue3.19: Figure figure h oino udcnb ecie ythe by described be can fluid a of motion The Dynamics Fluid 3.9.1. h icst fwtris water of viscosity the en the Define Equations Stokes Navier portional. fluids Newtonian v T density , hra conductivity thermal , • • oetmequation: Momentum r olwn isennotation, Einstein following or, otniyequation: Continuity 3.19 viscosity ,wihrltsserstress shear relates which ), ̺ aeilderivative material ̺ ̺ ̺ 1 ha stress shear , 1   ttoaybudr plate boundary stationary plate boundary moving D D x D  D h icst fafli ed oafiievlct rdet Th gradient. velocity velocity finite a with a moved to is picture leads the in fluid plate a of viscosity The erae ozr sw prahtesainr wall. stationary the approach we as zero to decreases v t ̺ t ∂̺ ∂t mr rcsl,the precisely, (more = div + Fluid r ud hr ha tesadvlct rdetaepro- are gradient velocity and stress shear where fluids are + ̺ f v x − v i ∂ ∂̺ κ grad 10 τ 0 = x pressure , n time and i −  3 p kg + as ∂v/∂x gradient velocity div + / ∂v ∂ ∂̺/∂t ( velocity x ms x i i yai viscosity dynamic t p τ ,tevsoiyo air of viscosity the ), h qain r sfollows: as are equations the , x 0 = τ pcfi nenlenergy internal specific , i := otevlct rdet o example, For gradient. velocity the to = ∂̺/∂t ,y z y, x, v Navier-Stokes + v · v ) h udvlct linearly velocity fluid the , grad µ = ̺ 1 o h velocity the For . . τ 8 xy qain [ equations · e / 10 temperature , ( ..Fluidics 3.9. sresistance is ∂v/∂x − 5 kg upper e / (3.38) (3.37) ) ( 146 ms (see 105 ). ].

3 3. Modelling and Simulation of MEMS

or

∂vxj ∂vxj ∂p ∂τxixj ̺ + vxi = ̺f + x = x,y,z ∂t ∂x xj − ∂x ∂x ∀ j  i  j i Energy equation: • De ̺ + p div v = Φ+div(κ grad T ) Dt or

∂e ∂e ∂vxi ∂ ∂T ̺ + vxi + p =Φ+ κ ∂t ∂x ∂x ∂x ∂x  i  i i  i  The stress tensor τ is

∂v ∂vx 2 τ = µ xi + j δ µ div v xixj ∂x ∂x − ij 3  j i  with the Kronecker delta function δ , and Φ τ grad v is given as ij ≡ · 2 ∂v ∂v ∂v 2 ∂v 2 ∂v 2 ∂v 2 Φ= µ x + y + z + 2 x + 2 y + 2 z + −3 ∂x ∂y ∂z ∂x ∂y ∂z "         ∂v ∂v 2 ∂v ∂v 2 ∂v ∂v 2 x + y + y + z + z + x ∂y ∂x ∂z ∂y ∂x ∂z       # These equations have their limits when model sizes begin to decrease, and when fluids behave not with the ideal assumptions mentioned above, e.g., non-Newtonian fluids as blood or feedstocks for injection moulding.

Incompressible Liquids For incompressible liquids like water, there are a few simplifications, since the density is constant (∂̺/∂t = 0). The continuity equation (3.37) reduces to:

div v = 0.

As a consequence, the stress tensor τ simplifies to

∂v ∂vx τ = µ xi + j . xixj ∂x ∂x  j i 

106 hslae h oetmeuto o nopesbe visc incompressible, for equation momentum the leaves This omteesnilcnrbto,I w ioe neat t interact, dipoles two If contribution, essential the form togrdet h ml ieo h yrgnaosadtheir and prop special atoms a hydrogen therefore the is of and electrone size polarised with small positively interaction become the to The due stronger calculations. Waals der Van hnuulVndrWasfre.Hdoe od r ido e of kind a the are of bonds length Hydrogen the forces. but Waals interaction, der Van usual than h rttr ntergthn ievnse ntesm since sum, the in vanishes therefore and side domain hand simulation right complete the the on on term first The naineffect entation eycnein hscldsrpino etn sgvnb tensions. given interfacial is or wetting tension of surface description physical convenient very A Surfaces on Wetting 3.9.2. lonnplrmlclsaesbett trcindeto due attraction to subject are ( molecules non-polar Also n thus and ioecue hf ntetm vrg fteeeto dens electron the of o average a time field inducing the electric molecule, in shift the a molecule, causes dipole non-polar otherwise an nearby lcrn riigtence asn ml rnin dipo transient small causing nuclei the orbiting electrons rs.Tediigfre o hsbhvoraeintermolec are behaviour this [ for forces hesion forces whic large driving by with The liqui m shapes shape the means arise. non-spherical When a this materials, drops. phase form other spherical single to different to a leading liquid of area, case a surface the of the In desire minimised. the is energy causes It liquid. odnforces London ae oeue trc ahohrby other each attract molecules Water ufc eso ecie h ufc’ emot reene free Helmholtz surface’s the describes tension Surface ̺ ∂τ ∂τ D ∂x ∂x D x x v t i i i i x x j j = = = ̺ 226 f .Dseso ocscm rmteisatnospsto o position instantaneous the from come forces Dispersion ). or µ µ −

.I h aeo nhre molecules, uncharged of case the In ]. esminteraction Keesom ∂x ∂x grad ∂ ∂ j i eprr dipole temporary ∂v ∂v ∂x ∂x p x x + j i i i µ + + i grad div ∂ ∂ ∂x ∂x 2 2 v v x i 2 H x i 2 j j · · · ! ! [ v 227 O . ( nuto effect induction odi mle hnepce from expected than smaller is bond .We ioemlcl splaced is molecule dipole a When ]. yrgnbonds hydrogen ∂ (div emmns[ moments le a e al forces Waals der Van eeeti called is effect he v , ufc ra may areas surface r ) ey interaction Debye lrfre,teco- the forces, ular /∂x hc r stronger are which ryo hydrogen. of erty a nefcsto interfaces has d h ocp fa of concept the y te ftesecond the of ities u flow: ous g e rao a of area per rgy iprinforces dispersion h permanent the f aieaosis atoms gative i nmsto of inimisation lovanishes. also ..Fluidics 3.9. ednyto tendency h total the h lectrostatic div 228 v – 230 0 = ori- 107 ). ]. f

3 3. Modelling and Simulation of MEMS

Figure 3.20: Cohesive forces inside and on the surface. The molecules on the surface interact with fewer nearest neighbours and therefore are subject to a stronger lateral force. The break of symmetry causes an inwards pointing force.

Surface Tension and Surface Energy In the interior of the fluid, the sum of the intermolecular forces of all neigh- bouring molecules vanishes on average for symmetry reasons; further, these neighbouring molecules screen the electrostatic field by reorientation of their charges. On the surface, this symmetry is broken and a force pointing inwards results(see figure 3.20). Furthermore, the number of nearest neighbours is smaller than inside the droplet, which increases the lateral stress and the de- sire of the surface to contract due to the loss of screening. The result of these forces is the surface tension. This result can also expressed in terms of surface energy. When a droplet of liquid is partitioned into two parts, energy must be spent to break Van der Waals interactions. While no energy is needed for the interior of the new droplets, because the molecule and force constitution persists, the molecules on the surface are now exposed to the surrounding medium. In the case of no surrounding, i.e., in vacuum, the reversible work needed to separate two surfaces with unit area from contact to infinity, hence overcoming the interaction energy barrier, is called the work of cohesion. Since the interior is not altered, the total work is only dependent and therefore directly proportional to the increase of surface area. For a balanced partition, each droplet gains half the work of cohesion W11. Assume that every partiton’s area increases by ∆A, then the free energy change per surface area is called surface tension

1 W γ = 11 , [γ]=J/m2. 2 ∆A

108 naon of amount an A ecluae ihtesraeintegral surface the with calculated be ur equation Dupré aeil,i a efvual oices h oa ra d area, total the increase tensions. to interfacial favourable of be difference can it materials, n increase and aoritrae h ieweetetrepae eti cal is meet phases three the where line The interface. vapour rmtreses attoigo ohlqist banthe obtain to liquids both of Partitioning ( steps: three from tension interfacial line nryo hssse is system this of energy interface, ftosurfaces two If Angles Contact and Energy Interface change interact: an energies phase vapour interfacial The different materials: three two Now, with contact in is droplet W L W h reptnileeg Hlhlzfe nry fsc s a such of energy) free (Helmholtz energy potential free The osdradroplet a Consider h osqec fta sta fadolthssvrlinter several has droplet a if that is that of consequence The shift A 11 n h nl ftelqi hs scle the called is phase liquid the of angle the and , W , V γ F δF etersetv nefc ra (figure areas interface respective the be 12 δF 22 = = = = δx n nrygi o rnigte nocnat( contact into them bringing for gain energy and ) γ γ Z a hnb xrse as expressed be then can SL γ SL A 2 1 SL ftedoltradius droplet the of A γ W 2 ∆ A o h usrt/iuditraeand interface substrate/liquid the for 1 12 LS πrδx 2 A 11 SL πrδx and d [ 231 A ytesm amount. same the by + + o h nefc.Ti nefca eso a ededuced be can tension interfacial This interface. the for ′ · . 2 , 1 2 γ cos L − 232 SW r ruh ocnat ecnlkws aclt total a calculate likewise can we contact, to brought are W ∆ γ nasurface a on A 22 θ SW A ] sefigure (see SW − 2 πrδx W ∆ + A 12 γ r L W = + aallto parallel S γ γ 3.21 A 1 LW L W nmedium in + A 2 γ L W ntefloigpg) h energy The page). following the on . πrδx 2 − oee,wl nyices by increase only will however, , S 3.21 W ∆ cos ildecrease will otc angle contact A 12 γ W θ LW . ntenx ae.The page). next the on γ n let and SW o h liquid/vapour the for o h substrate/- the for W pnigo the on epending ae odifferent to faces 12 h substrate. the d A e the led A θ li surfaces plain ,yedn the yielding ), SL SW h surface The . urface ..Fluidics 3.9. , A by SW contact 2 A (3.40) (3.39) πrδx and can 109

3 3. Modelling and Simulation of MEMS

W L θ S δx

Figure 3.21: A droplet sitting on a planar surface. The contact angle to the surface depends on the three interface tensions around the edge.

θ

+ + + + + + + + d δx ------

Figure 3.22: Schematic picture of the virtual displacement of the contact line.

Equilibrium and thus an energy minimum is reached when δF/δA = 0. This leads to the Young equation

γ γ + γ cos θ = 0. (3.41) LS − SW WL For some systems, the value for cos θ is above 1. The result is that the liquid covers the solid S completely and forms a thin film. These results assume a chemically homogeneous and plane surface. On het- erogeneous and rough surfaces [233], we observe effects like contact line pin- ning, that is, because the contact line can slide along a small curved bump, the apparent macroscopic contact angle can take values from a large range, although on a smaller length scale we have the expected contact angle. By using certain special regular surface nanostructures, a surface can macroscop- ically appear to be very hydrophobic although its interfacial tension to water promotes hydrophilicity [234–236]. There is also an effect called contact line tension [237] Γ, i.e., there is an additional energy which is proportional to the length L of the contact line, Vlt =ΓL. A measurable influence of this effect is to be expected in the nanome- tre range, while on larger scales, interfacial tension will dominate [238], which is the case in the setups we will simulate. Still, in the simulation method we present, it is very easy to account for those effects when it becomes necessary.

110 sdsusdi section Equations in discussed Navier-Stokes As the in Surfaces Free 3.9.3. diinlfretr [ term force additional h onay hscnb netdi h oetmeuto ( ( following equation surface, momentum the the over in inserted be can This boundary. the F h iud.Frhr let Further, liquid). the ( osdrnwasalae element area small a now Consider Pressure Laplace easm httesraecrauefrasalpthcnb a be can patch radii small minimum) a and (maximum for principal curvature two by surface the that assume We eutn na rachange area an in resulting patch, and alc pressure Laplace c where hsices fae eut nawork a in results area of increase This = d f δ δ l γ ⇐⇒ ⇐⇒ 2 d d d sft yielding , 12 A l l 1 1 H δ 2 = = (d = d = d = ≈ d and scle the called is A/δr δr d γ Aδr l l 1 1 l d 12 d R 1 d  l l hc utb opnae yapesr difference pressure a by compensated be must which , H 2 l 1 1 + iigteforce the giving ) d 2 + 1 2 A δr ilcag by change will n H, δ d δ d =  ( l R δr 239 1 x R 3.9.2 (d ) 1 1 encurvature mean d l − 1  1 A , γ d + l d x 12 2 240 1 3.42 l δ resraelast nadtoa rsueon pressure additional an to leads surface free a , γ l edsrbdb w epniua r pieces arc perpendicular two by described be 2 0 d δ 2 + R o ml shift small a For . 12 ) 1 d A  .Frielflisadcntn ufc tension surface constant and fluids ideal For ]. 2 A/δr H δ hsis this ) + 1  d of ∆ d l 1 d A F p ) A R δr p − ∆ = 2 = ∆ = ! 2 ∆ = nteitraeo w media two of interface the on d  A γ ftesurface. the of p p − δ 12 d d d p W d H. l d A A 2 c l A 1 = d = l R [ δr δr 2 239 γ 1 12 d R ln h normal the along and l ]: δ 2 2 d A R 2 n hsi force a in thus and wt eteinside centre (with pproximated ..Fluidics 3.9. 3.38 L n and yan by ) (3.42) fthe of 111 W ∆ d l p 1 .

3 3. Modelling and Simulation of MEMS

= (γ div n)nδ(x x ), 12 − 0 where the delta function δ(x x ) localises the surface tension force on the − 0 surface described by the coordinate x 0 and n is the normal vector pointing away from the centre of curvature. The momentum equation then reads:

Dv ̺ = ̺f grad p + div τ + (γ div n)nδ(x x ). Dt − 12 − 0

3.10. Electrowetting

This section presents a very interesting example of a coupled system which shows a behaviour which is not obvious at first sight. We consider a device as shown in figure 1.1 on page 9. An electric voltage V is applied between the electrode and the droplet. Electric charges accumulate as in a capacitor, so electrostatic energy is stored, leading to a change of the contact angle of the droplet [51] as shown in figure 3.23. Let us continue from equation (3.40) on page 109,

δF = γ 2πrδx γ 2πrδx + γ 2πrδx cos θ SL − SW LW Due to the additional electrostatic energy, the variation of free energy now reads [241–244]:

V=0 V=0 V L

S + + + + + - - - - -

Figure 3.23: Droplet changing its contact angle due to electrowetting.

δF = γ 2πrδx γ 2πrδx + γ 2πrδx cos θ + δW δW (3.43) SL − SW LW e − B

(see also figure 3.22 on page 110) where We is the energy stored in the electric field in the dielectric layer, WB = VQ the work done by the voltage source to build up the potential between droplet and electrode and Q the transported charge.

112 ntoeprso h otc raweei vraswt h r the with then overlaps applied, it is where voltage area AC contact If the trode. of parts those on δW where hscnb oelda neuvln nefca eso of tension interfacial equivalent an i.e., as substrate, modelled be can This δW ntenx aesoseprmna eut o 10 a for results experimental shows page next the on h diinleeg sfdit h ytmb h otg so voltage the by system the into fed is energy additional The isle napopaebffrdsln PS ouin The solution. (PBS) saline peroxid buffered of phosphate amounts a different in admixture, dissolved protein of effect the fmvmn ftecnatln.Te h nryo h electr the of energy the Then line. by contact the of movement of n eaiedeeti constant dielectric relative and sgvn(e qain( equation (see given is o easm httedoltcagsisae by area its changes droplet the that assume we Now h nrysoe nacpctrwt ag area large with capacitor a in stored energy The h on qain( equation Young The B e /δA /δA γ γ W cos δF δW δW δA ε δA EW SL δA 0 e θ e B and − ( stedeeti osato vacuum. of constant dielectric the is = = V = = = δW = 1 2 = ) γ SL CV γ 1 2 1 2 δW ε e SW ε ε r /δA d γ − ε r r d d 2 SL B ε 0 ε 0 0 − γ V /δA = V hruo rm( from whereupon , V (0) SW 2 γ 1 2 2 . 2 SL γ . . ε 3.15 − LW + a ecmie oa lcrwtigterm electrowetting an to combined be can r 3.41 + ε d 1 2 γ 0 LW npage on ) A ε 1 2 hnbecomes then ) r d ε V ε r d 0 ε cos 2 0 V ε , V r 2 θ 2 ftemtra nbtenfravoltage a for between in material the of . − 75 V γ 3.43 EW as ) utb elcdby replaced be must follows ) A ml lt distance plate small , µ δA .0 Electrowetting 3.10. l rpe.T study To droplet. 2 = h iudt the to liquid the V s PD were (POD) ase re othat so urce, cfil changes field ic eff ilcrclayer dielectric setv elec- espective πrδx Figure . because γ EW (3.44) 3.24 113 V = d

3 3. Modelling and Simulation of MEMS

120

110

100

90

80 1 g/l POD 0.1 g/l POD 70

Contact angle [deg] 0.01 g/l POD 0.001 g/l POD 60 0.0001 g/l POD 0.00001 g/l POD 50 0 50 100 150 200 Voltage[V]

Figure 3.24: Experimental results for electrowetting. Data courtesy of Miguel Mar- tinez. is composed of 3 µm of Teflon and another 3 µm of Probimid 114. The results were obtained with a Krüss drop shape analysis system DSA10-Mk2 (Sessile Drop method, tangent1). The contact angle saturates at a certain point, i.e., though the voltage is increased further, the contact angle remains above a critical value. The reason for this behaviour is unclear; a number of different explanations exist, ranging from trapped charges [243], the polarisation of the dielectric layer [245], or the ionisation of the air in the vicinity of the contact line [33]. Further, the model in equation equation (3.44) on the preceding page does not include all possible effects coming from impurities, ion motion inside the liquid or double layers. For example, it was observed that due to the double layer there is a dependence on the polarity of the voltage applied, which is not resolved in the V 2 term [72].

Chapter summary

Physical laws are described by ODEs or PDEs, which, after discretisa- • tion, can be expressed in a general equation format. The finite element method is the standard method for spatial discreti- • sation of PDEs. The application to nonlinear material properties and geometric nonlinearities was presented.

114 • • • • • • • nefca nrisuigacpctrmodel. capacitor a using energies interfacial hsmdlcnesl xeddt include to extended easily can model This h ouino olna ytm feutoswt the with equations of systems nonlinear of solution The nefca energies. interfacial arninmechanics Lagrangian Wetting numerical for Also, eueteerro h rnin response. transient the of error the reduce olnaiyo h lcrsai force. electrostatic to due the and of domain nonlinearity simulation electrostatic the of formation coupling Electrostatic-structural for models Compact bifurcations. treat efficiently also can and stable more procedure a eraetecmlxt ftemdlfo h start. the from model the of complexity the MEMS. decrease for can suited ideally therefore is and way natural very a fsrae a edsrbdb h iiiaino ufc a surface of minimisation the by described be can surfaces of a o converge; not may beams ieintegration time sapwru rmwr hc rasculn in coupling treats which framework powerful a is or oooymethods homotopy aallpaecpctrtasue elements transducer capacitor plate parallel ie iet olnaiydet h de- the to due nonlinearity to rise gives dacdagrtm significantly algorithms advanced , electrowetting ihpt olwn are following path with .0 Electrowetting 3.10. etnRaphson Newton ymodifying by h inherent the 115 nd

3 116 rnfrfnto osntdpn ntm n hr r nyl variables only are state there and the time of on depend not does function transfer page i constant with further terms, in nonlinear the without moment F e scnie ytmo h oma neuto ( equation in as form the of system a consider us Let Theory System 4.1. e of system trai the of good a structure traj requires special a but Using a general exploiting proceed: very approaches imp can is is which one way approach which a unified on approach, paths a main systems two possible are of there Krylov range theoret on wide less based the are there methods to reduction, the order being model now nonlinear to For up promising most the .MdlOdrReduction Order Model 4. ftemtie r osat h ytmis system the constant, are matrices the If seilyueu smn O ehd edsusaedfie f defined are discuss we methods MOR systems many as useful especially B okaalbe ewl eiwsm ftems motn app important most alre the is of there some systems, review will linear We of reduction available. work order model the or u 60 snteult o vnfrvr ag auso 2. of values large very for even not - 3 to equal not is 2 E M : x y ti osbet rnfr hssse oafis re syste order first a to system this transform to possible is it , ˙ x ¨ ( ( t t ( = ) = ) t + ) C A E x x x ˙ ( ( ( t t t + ) + ) + ) x D B K n hi eiaie.A hw nsection in shown As derivatives. their and u u x y ( ( ( ( t t t t ) ) = ) = ) . B C u x ( ( t t + ) ) iertm invariant time linear D u ( t ) . 3.2 npage on ) clrsls n due and results, ical rblsLaw Grabel’s quations. na occurrences inear igipt and input, ning pt included nputs oal.Still, robable. LI,ie,its i.e., (LTI), 57 rfis order first or coybased ectory d o of lot a ady u tthe at but , subspaces. .Ti is This m. 3.3.1 roaches, (4.1) 117 on

4 4. Model Order Reduction

Another representation is in the state space form

x˙ (t)= A′x(t)+ B′u(t) y(t)= Cx(t)+ Du(t); (4.2)

1 1 in this case, A′ = E− A and B′ = E− B. This implies that E is invertible; on the other hand, if it is singular, we do not have a system of ODEs, but rather a system of algebraic-differential equations (ADE), i.e., a system where equations with time derivatives and stationary equations are mixed. Different authors use different representations of those systems. In order to remain close to the original ideas, if we review a model order reduction method, we will use the representation which is also used in the literature. Although this means that the representation will change for the different methods, we think it is better to follow the original papers to facilitate further extended reading of the available literature. It is formally easy to write the inverse of matrices; in practical use, the large size (possibly with millions of rows and columns) of these matrices inhibits the explicit calculation of the inverse. But fortunately in many cases we find that the operation is equivalent to solving a system of linear equations for which fast solvers exist, or for which it is possible to decompose the system. One example is the LU decomposition, where a matrix E is decomposed into a lower triangular matrix L and an upper triangular matrix U such that LU = E by using a modified Gaussian elimination. Depending on the properties of E, it may be necessary to apply a permutation to E, in which case a PLU decomposition results. The main advantage of this decomposition is that due to the special form of L and U it is easy to solve the system of linear equations Ex = f for an arbitrary right-hand-side vector f by doing a back substitution to solve Uy = f and another back substitution Lx = y which are computationally cheap.

4.1.1. Transfer functions

We now Laplace transform the system (4.1) to yield the transfer function in frequency space. The Laplace transform is defined by

∞ st L f(t) = f(t)e− dt { } Z0

118 atfrtemdlodrrdcinadtu o mlydi th in employed not of thus components and The reduction here. order discussed model the for tant etr fe,the Often, vector. Since and olw htteLpaetasomi lolna.Applying linear. also is transform ( Laplace the that follows n finally, and enwrwieteequations the rewrite now We hc ntegnrlcs samti eaiga nu vector input an relating matrix a is case general the in which 3.2 ihcmlxfrequency complex with h rnfrfnto scalled is function transfer The ,w get we ), tityproper strictly H H M ⇔ H ⇔ D s ij ( ( 2 s s s M a ocneto oteitra tts ti o considere not is it states, internal the to connection no has ( 2 = ) = ) s X = ) + ( Y Y X s C U Y s + ) E b ( ( ( 0 ( ( s s s + 1 s s s + = ) = ) = ) + 2 E ) ) D M iff K s b = a 1 X C szr,adw have we and zero, is C 1  s + lim s C s Y X X ( 2 + s + s M  s s + ) E ( ( ( · · · 2 →∞ s s s s · · · M s = ) = ) + + ) + 2 = K + M + s + K H E Y X σ D b B C  a ij s + n − + n U E i + U X − ( ( ( proper s s 1 s s s 1 = ) = ) K + 0 = ) n E H ( ( ( s B ω s s s n  + ) ) . ) ( K + rmtelnaiyo h nerlit integral the of linearity the From . . − s B C )  1 K . − U X B D r ainlfntoso h form the of functions rational are fffrall for iff  1 U − U ( ( B s s 1 + ) U ) ( ( B s s ) ) ( + , s D + ) D U i ,  D ( j , s : ) U . lim ..Sse Theory System 4.1. ( s ) s h rnfr to transform the , →∞ oa output an to algorithms e H ij impor- d ( s ) < 119 ∞

4 4. Model Order Reduction

4.1.2. Observability, Controllability Minimal Representation

Depending on the number of rows nr and columns nc of H, the system is called Single-input-single-output system (SISO) if n = n = 1, • r c Single-input-multiple-output system (SIMO) if n = 1 and n > 1, • c r Multiple-input-single-output system (MISO) if n = 1 and n > 1, and • r c Multiple-input-multiple-output system (MIMO) if n > 1 and n > 1. • r c A system with transfer function H(s) is called a realization of H. In case that the dimension of the system matrix A′ in equation (4.2) on page 118 is as small as possible, the realization is called a minimal realization. The size of the system matrix of such a realization is called the McMillan degree of H(s). Then, and only then, it is controllable and observable, i.e., there are no states which cannot be controlled or observed. A system is said to be controllable if for any initial state x 0 and any final state x 1 there exists an input u(t) that transfers x 0 to x 1 in finite time. Then, n 1 the controllability matrix of the system [B′ A′B′ . . . A′ − B′] has full row rank. The system is observable if from any final state x 1 there exists a finite t1 > 0 such that from the input history u(t) and the output history over the interval [0,t1] the initial state can be calculated. Then, the observability T T T T n 1 T T matrix [C A C . . . (A ) − C ] has full column rank (see also [96]). Two minimal state space realizations of the same transfer function can be converted to each other by a transformation ˜x = Sx.

4.1.3. Passivity and Stability Let us compute the transfer function of the system

Ex˙ (t)= Ax (t)+ Bu(t) y(t)= Cx(t)+ Du(t) (4.3) which turns out to be

1 H(s)= D + C(sE A)− B − 1 1 1 = D C(I sA− E)− A− B. − − 1 Assuming that A− E is nonsingular, we diagonalise the matrix into [246]

1 T A− E = ΦΛΦ .

120 rnfrfnto ihtefloigtheorem: following the with function transfer tt,amxmmtesse tt a reach. dependi can is, state there system the input, maximum additional a without state, words, other In Stability: svldol o ytm ihtesm ubro nusadou and inputs of number same defin the The with a systems stability. for sources than only current property valid or stronger is voltage even like an sys is sources the sivity energy that no calculation any if for energy vital is it circuits, tronic ncs famnmlraiain ti lopsil operfo to possible also is it of realization, poles the minimal with a of case In ytm n motn rpryis property important One system. r oe,snezrsi h ueao a occur. may numerator the that in possible zeros is since it representation, poles, non-minimal are a of case In rnfrfunction, transfer o iia ersnain h oe of poles the representation, minimal a For hc h eoiao of denominator the which Λ where then h term The sfrnwspoethat suppose now for us ihteegnausaalbe ti osbet ettest the test to possible is it available, eigenvalues the With once ihsaiiyis stability with Connected h oe n ievle ar nomto ntegnrlb general the on information carry eigenvalues and poles The diag( = for H s H Φ ∞ .Alfinite All 2. .Alfiieeigenvalues finite All 1. ( ( system A ,i s s steotoomlmti fegnetr adthus (and eigenvectors of matrix orthonormal the is u = ) = ) I ytmi aldsal ftefe epne .. h solu the i.e., response, free the if stable called is system A = λ = 0 = 1 − λ , . . . , λ 1 D D D s i . Λ n n nta state initial any and − − − H sdaoa,tu ecnrwietetase ucin Let function. transfer the rewrite can we thus diagonal, is ( X C CΦ i 4.3 =1 n n . λ ( ) I i ) ∈ ( ( − I of CΦ ssal iff stable is − C C s A ΦΛΦ n H s ) × and − i 1 Λ ( n eoe eo htis that zero, becomes 1 Φ − ) E − r h ievle.Atrsbtttn nthe in substituting After eigenvalues. the are passivity T T sλ B 1 λ with A Φ ) i − i r igerwadsnl ounmatrices, column single and row single are − T of 1 stability 1 A A x B Re( A (0) − − ) seilywe eln ihelec- with dealing when Especially . 1 1 − i B B . ean one as bounded remains , 1 s E H 0 = ) . . satisfy r enda h ausof values the as defined are r simple. are Re( e osntgenerate not does tem s ) ..Sse Theory System 4.1. ≤ o l eigenvalues all not mtesm test same the rm to euehere use we ition go h initial the on ng 0 epeet Pas- present. re Φ hvoro the of ehaviour − t blt fthe of ability tputs. 1 ∞ → = tion Φ . T and ) s x 121 for ( t )

4 4. Model Order Reduction

Passivity: A system is called passive if t u(τ)T y(τ)dτ 0 t, u. −∞ ≥ ∀ ∀ Passivity can be checked with the followingR conditions: A system (4.3) is passive iff

1. All poles s ,i of H satisfy Re(s) 0 ∞ ≤ 2. H(s)= H(s) 3. H˜ = H(s)+ H∗(s) is Hermitian positive semi-definite, i.e., for all z Cn, z Hz˜ 0. ∈ ∗ ≥ Item 2 is always true since the matrices are real. Passive systems are also stable. Since those properties are essential for the successful application of a system, model order reduction methods should retain them also for the reduced system; otherwise, the system’s behaviour is not represented correctly, and problems for the solution of the equations may arise.

4.2. MOR for Linear Systems

For linear systems, there is already a vast knowledge of methods; the prob- lem of reducing such a system is solved for a large range of applications. The methods exist and are well tested, some have error estimates which give math- ematically sound limits on their accuracy [4, 79, 81, 247]. In this section, we will review some of the most important methods. They build the basis for the reduction of polynomial systems we use in this thesis. Using the system Mx¨(t)+ Ex˙ (t)+ Kx(t)= Bu(t) (4.4) y(t)= Cx (t)+ Du(t). as above with dimension n, let us assume that we can represent the solution in a low-dimensional subspace such that

x = Vx r + ε where ε Rn is an approximation error we seek to minimise, V is a square ∈ n n matrix and the vector of reduced states x Rnr . × r r ∈ We insert this projection into equation (4.4) to get

MVx¨ r(t)+ EVx˙ r(t)+ KVx r(t)= Bu(t) y(t)= CVx(t)+ Du(t).

122 nw as known aihsi h pc pne by spanned space the in vanishes opeev h asvt [ passivity the preserve to enwcnueteShrcmlmn [ complement Schur the use can now We and ntecs ffis re ytm h nlgu ruetcnb can argument analogous the system, order the first removing of simply case the In and The Method Guyan 4.2.1. methods. remaining the imp on are touch they only since and truncation, thesis, balanced the and method Guyan ytmsc hti a ewritten be can it that such system notu,o oepr hr o fmto sepce.Call expected. is motion of surroun lot its a to where or part those som some others in or to is output, interfaces which an device nodes the computational of where set e.g., a is there Usually, r ytm.Tk h system the Take systems. ary n or, with R qain( equation r n h andffrneo h olwn euto ehd sthe is methods reduction following the of difference main The ofiihtepoeto otesbpc,aohrmatrix another subspace, the to projection the finish To r × × x V ua method Guyan n n M x  K e r atrnodes master W M ntefloigoeve,w ilfcso h rod meth Arnoldi the on focus will we overview, following the In . : , slf-utpidt h system: the to left-multiplied is e x r K K r B = T x ¨ = ogunetransformation congruence = ie ee 3.2 MV r r K ( f = W t npage on ) ee − + ) . K K 1 x ¨ W T f ii ei r MV e ( E T t   − + ) r B saetnino the of extension a is x x ˙ K M e r ∈ ∈ h eann ere ffreedom of degrees remaining the , W ( x x ee − 57 t 1 i e + ) matrix. R R K T This . 246 n  n EV ei × r K × = m x , n r i x 248 r ˙  x and , y r aekncondition Galerkin r ( E ( ( f f t ]. t t + ) r i e = ) = ) W C =  ntecs of case the in r hstp ftasomto salso is transformation of type This . W C B . W = cu complement Schur 116 r r T u x T CV KV r ( EV , t ( ) 249 t + ) x ∈ ..MRfrLna Systems Linear for MOR 4.2. y r ∈ :Sleteeutosfor equations the Solve ]: ( ( R D t t = ) = ) W R p nocsta h residual the that enforces u × n ( r n = t × CV W r ) ihnmesa in as numbers with , n . V r W T , x x B ple ostation- to applied n a eshown be can and ,b ta input, an it be d, K i T ( u t n ere the reorder and r + ) ihdimension with a exposed, way e ( ratfrthis for ortant t = ) h etrof vector the hieof choice D aeby made e W u T ( d the od, t KV ) (4.7a) , (4.6) (4.5) 123 W x ∈ i

4 4. Model Order Reduction

1 1 x = K− f K− K x . (4.7b) i ii i − ii ie e By inserting equation (4.7b) into the first row of (4.6), we get

1 1 K K K− K x = f K K− f ee − ei ii ie e e − ei ii i and so the reduced load and stiffness matrix are

1 K = K K K− K r ee − ei ii ie 1 f = f K K− f r e − ei ii i The projection matrices of the Guyan method are thus

I I W = 1 V = 1 K K− K− K  − ei ii   − ii ie  Up to now, this so called matrix condensation is exact, as no approximations have been made. Note than since Kie and Kei are rectangular and since the set of master nodes is usually small, there is no need to calculate the inverse explicitely [250]; it is more advantageous to factorise them, by, e.g., a LU decomposition and perform the back substitution. To handle non-stationary problems, we have a closer look at the resulting energies. With the coordinate transformation

˜x = Vx r, we write the approximate kinetic coenergy and potential energy,

1 T T = ˜x˙ M˜x˙ = ˙x T VT MV˙x 2 r r 1 V = x˜ T Kx˜ = x T VT KVx 2 r r If we assume symmetric M and K, then by comparison of coefficients if follows that the same transformation is applied to the stiffness and mass matrix, and in the case of Rayleigh damping also to the damping matrix:

1 1 1 1 E = E K K− E E K− K + K K− E K− K r ee − ei ii ie − ei ii ie ei ii ii ii ie 1 1 1 1 M = M K K− M M K− K + K K− M K− K r ee − ei ii ie − ei ii ie ei ii ii ii ie A treatment of damped structures can be found in [251, 252].

124 aacdraiainmethod realization balanced ytmi representation in system the is example prominent A called (so methods approximation based ihasrn ahmtclbcgon.W ildsustef the discuss will We family a background. to mathematical rise give strong which a results of with lot a has theory Control Methods Approximation Based SVD 4.2.2. rshorter or where iiso h ttswihcnb otoldtruha input an through output. controlled the be from can o observed T which knowledge be states application. some the the is for on interesting there limits are that which outputs assuming the system, and the of output datgsDisadvantages Advantages ehv sdmatrices used have We ⇒ • •  A x y ˆ ˙ elsie o oeswith structuring called models (also nodes terminal for suited Well innee o atrnodes master for needed transforma- tion physical back no their mas- meaning, keep of nodes freedom ter of Degrees problem stationary for Exact ( ( = x y t t ˙ = ) = ) ntrl nain norms invariant unitarily   A A C D C = ′ x ′ A x ˆ ( ( B t )  t + ) + ) ′ u x  D B  u ′ u ( t ( B ); t ) ′ [ hi anavnaei hti eti norms certain in that is advantage main Their . aacdtucto approximation truncation balanced 253 and , sub- 254 ,te r h pia prxmto [ approximation optimal the are they ), C .Ltu aealna time-invariant linear a take us Let ]. hc euetesaeo nusand inputs of space the reduce which ⇒ ⇒ • • e snteatypreserved exactly not is lem prob- Eigenvalue-eigenvector noacutfrtetransfor- the mation for and account taken into not mass are matrix the damping of Properties needed subspaces Large te methods other to compared performance Poor ..MRfrLna Systems Linear for MOR 4.2. i lopt some puts also his fMRmethods MOR of n hc can which and ml of amily or h inputs the f truncated SVD 81 125 ].

4 4. Model Order Reduction

If the system matrix is stable, a measure for the controllability and observ- ability of these systems are the controllability gramian

′ ′ ∞ A t T A T t P = e B′B′ e dt Z0 and the observability gramian

′T ′ Q = ∞ eA tCT CeA tdt. Z0 To calculate the gramians, the Lyapunov equations

T T A′P + PA′ + B′B′ = 0 T T A′ Q + QA′ + C C = 0 can be solved when A′ is Hurwitz [255–257]. An efficient method of solving those is the Vector ADI method [258], however, there are reports of non- convergence of the iterative process employed [246]. The gramians depend strongly on the realization, but the eigenvalues of PQ are invariant under a state space transformation. The concept of controllability and observability provides means to select states that are least controllable and observable, and so are not important for the given inputs and outputs. The Hankel singular values (HSVs) of a stable system are defined as

σi = λi, p where λi are the eigenvalues of PQ. The HSVs are computed by a singular value decomposition; they are also the basis of error estimation approaches for other methods [259], see, e.g., the rules for stop criteria for iterative model order reduction algorithms as the Arnoldi method [250]. In control theory, eigenvalues define a system stability, whereas Hankel sin- gular values define the “energy” of each state in the system. Keeping larger energy states of a system preserves most of its characteristics in terms of sta- bility, frequency, and time responses [260]. The other states are removed from the system. At the end of the calculation, projection matrices W and V arise, which are used to truncate the system. After applying the reduction, both the new controllability gramian P′ and the new observability gramian Q′ are equal and diagonal, thus the name bal- anced truncation approximation (BTA).

126 eae methods: Related nti u,rpae aklsnua ausaeol counte only are values singular Hankel repeated sum, this In n hnteagrtmetmtsteerrbudfrteredu the for bound error th the of estimates dimension w algorithm required the algorithm then the the sat and specifies then which user and system reduced a bound, the Alternatively, error of an dimension set possible smallest to has merely user siae tcnb rvnta [ that proven be can It estimate. datgsDisadvantages Advantages oe euto ae nteemtoscnb made be can methods these on based reduction Model n ftebgavnae fti ehdi h xsec fag a of existence the is method this of advantages big the of One • • • ⇒ • • • The The ytm hsapoc a lob ple o olna syst nonlinear for equations applied be the e also into neglected can integrated then approach is is This c system which system. dominant fast state the The stationary system; where slow system, its the “fast” in a is partitions and nent method system “slow” This a frequencies. into zero at match function om(aia S ftesse) t ro on shl fth mism gain of state half steady is of bound problem error the Its has again system). which the but BTA, of HSV (maximal norm k a lob ple onniersses ewl ics tl it discuss will we systems, nonlinear to tion applied be also can of method The H eet ttswt maximum energy with states Selects ahmtclypoe error bound proven Mathematically xeln prxmto of behaviour system approximation Excellent n uptmatrices output input and including relevant parts, all system of Consideration ( s aklNr Approximation Norm Hankel iglrprubto approximation perturbation singular 4.3.1 ) − H npage on r ( s ) k rprotooa decomposition orthogonal proper ∞ ≤ 145 ( 2 . σ n r +1 261 + ] · · · sotmlwt epc oteHankel the to respect with optimal is + σ • • • n ) tt zr frequency) steady (zero the in state error an in may result which occurs frequencies low at error approximation An opttoal expensive ( Computationally e ehd sVco ADI issues Vector convergence have as methods New . O [ ..MRfrLna Systems Linear for MOR 4.2. 262 ( n 3 nue httetransfer the that ensures ] ) ) PD.Sneti method this Since (POD). ul automatic fully eue system reduced e se htbound. that isfies once. d e system. ced fteslow the of tri sec- in ater h system the l n the find ill ems. oa error lobal cp for xcept ompo- atch. tof at A . 127

4 4. Model Order Reduction

Extensions and recent developments: There have been considerable efforts to find computationally effective strategies in order to apply methods based on Hankel singular values to large-scale systems, the so-called SVD-Krylov methods based on low-rank gramian approximants [99–102]. Another al- ternative is the matrix sign function method [103], or the use of the cross gramian [263, 264]. Further, methods for efficient approximation of the singu- lar subspaces exist [265]. These methods promise a computational complexity of less than (n2) and have a global error estimate. However, some of them are O currently under development and engineers will have to wait for the experience of mathematicians to grow in this field.

4.2.3. Krylov Subspace Methods and Padé Approximants The following methods belong to the families of Krylov subspace methods and Padé approximants. Let us consider the system in the representation

Ex˙ (t)= Ax (t)+ Bu(t) y(t)= Cx(t)+ Du(t).

The transfer function of this system is

1 H(s)= D + C[sE A]− B. (4.8) −

We now wish to expand this into a Taylor series around s0 (assuming that 1 s is not a pole of H(s), so that (I sA− E) is nonsingular). We therefore 0 − rewrite equation (4.8) as follows [266]:

1 H(s)= D + C[(s s )E + s E A]− B − 0 0 − 1 1 = D + C[(s E A)[(s s )(s E A)− E + I]]− B 0 − − 0 0 − 1 1 1 = D + C[(s s )(s E A)− E + I]− (s E A)− B − 0 0 − 0 − 1 1 1 = D C[ (s s )(A s E)− E + I]− (A s E)− B − − − 0 − 0 − 0 1 1 1 = D C[ (s s )A˜ − E + I]− A˜ − B (4.9) − − − 0 with A˜ = A s E, which can be expanded to a Taylor series around s − 0 0 (assuming that s is not a pole of H(s), so that A s E is nonsingular), 0 − 0

∞ 1 1 H(s)= D (s s )i C(A˜ − E)iA˜ − B − − 0 Xi=0 h i

128 with ao n eoiao l em bv eti ereof degree certain a above terms all denominator and nator hc o h osdrdlna ytm so h om(IOc tran (SISO the form the of of truncation is direct systems a linear the for considered is appropriate the method not for thus which related is A It poles. tra cedure. eight the to about due from to unstable limited model numerically the ill-conditioned, calculating extremely is of procedure the However, alrepnino h rnfrfunction transfer the of expansion Taylor nthe In n ytmsaiiya hw o L re n[ nume in of trees RLC terms for in shown both as approximation, stability system stable and more a in results il of sible h rcdr scalled is procedure the ae alrsre n h ovrigtenwtase func transfer new the called ca converting is explicitely the system) (by and condition series Taylor this cated of application direct The evaluation sub waveform individual Asymptotic the of union the loca as generated only expansion subspace multiple function however, the series; and transfer the the of point approximates expansion this that Note ro on xetfralmtdnme fseilcss[ cases special of number limited a t for is except share is bound all moments they error disadvantage matched A of matching. number moment explicit the but stability, is advantage enwlo o nagrtmwihgnrtsardcdodrm order reduced a generates which algorithm an for look now We ihnme finputs of number With ewl hsdsusaohrvrat the variant, another discuss thus will We M H q ˜ iettruncation direct ≥ H ( = s = ) j pt certain a to up A ˜ = n m r − D k + 1 1 + 1 E + − and smttcwvfr vlainmethod evaluation waveform asymptotic a  X i b 1 ∞ =0 n 1 p s s r + ( r  + s oetmatching moment = a − ftetase ucinmto DT,i h nomi- the in (DTT), method function transfer the of b 2 2 q m s A ˜ s s ecall We . 2 2 0 − ) + n ubro outputs of number and + i 1 B C | · · · · · · hr ecl the call we where , m M {z ˜ + i + i r } a b H m n s r s n m nnt aéapoiatif approximant Padé n-th an . H . r aétp approximants Padé-type ace smn em spos- as terms many as matches ..MRfrLna Systems Linear for MOR 4.2. 269 m ]. p n a hwthat show can one , i the on-fferr and errors round-off s 270 cltn h trun- the lculating onscnb used be can points A E [ (AWE) r eoe.This removed. are moments elc faglobal a of lack he uoai pro- automatic n ]. int nODE an to tion ase) spaces. l rudthe around lly esta with than less se function nsfer ia stability rical frfunction, sfer dlwhose odel 267 . Their . q , (4.10) 2 = 268 129 n ]. ,

4 4. Model Order Reduction

Padé via Lanczos The Padé via Lanczos method (PVL) is mathematically equivalent, yet nu- merically more stable than AWE. Let us for simplicity assume that D = 0 (it can later easily be added to the reduced transfer function H(s)). Let us describe the SISO case, i.e., C is a row vector and r a column vector. Then let us compute the 2q moments in a slightly different manner as before. First, instead of

i mi = C M˜ r we use

j T T T j m2j = M˜ C M˜ r      (4.11) j T T T j m2j+1 = M˜ C M˜ M˜ r .      We observe that those moments are composed by the vectors of the Krylov l ˜ T r ˜ subspaces Krq(M, C ) and Krq(M, r). The Krylov vectors quickly converge and thus become linearly dependent, so that they are an unsuitable basis for the projection space. We thus look for a set of vectors V = [v 1,..., v q] and W = [w 1,..., w q], which span the same Krylov subspaces, but are computed in a more stable way. Once found, the explicit moment computation is avoided, and the modified moments

T T ˜ ˜m2j = w j v j ˜m2j+1 = w j Mv j (4.12) are used [271–273]. The basic difference between (4.11) and (4.12) is that the algorithm returns bi-orthogonal vectors, i.e.,

h if i = j w T v = j i j 0 otherwise.  T The v i and w i are built from the products Av i 1 and A w i 1, but are − − normalised, and the biorthogonality is ensured through the Lanczos process by subtracting multiples of the previous vectors accordingly [94]. The matrices V = [v 1,..., v q] and W = [w 1,..., w q] can now be used for projecting the system, which matches the maximal number of moments, 2q, therefore leading to a unique reduced transfer function Hr. A drawback

130 u hsapoc a arfietedfiieeso h system the of definiteness the [ sacrifice cations may approach this but rahi h osbels fsaiiy u oteolqep if oblique sta hand, rig the the the other retains to and system the resulting left Due the the that guaranteed from stability. always matrices of different loss with possible multiplying the is developments: proach recent and Extensions removed. are dependency linear procedure deflation-check [ eg shwt rce hnbekonocr nsm vectors some in occurs breakdown chosen when proc freely proceed Schmidt to Gram how modified is a lenge by bi-orthogonalises and spaces diinlcmuainlcs involved. cost computational additional iotoomlt rpry h eeyi ola vriter over leap to [ difficult is place is remedy takes it breakdown The thus and other property. each bi-orthonormality to perpendicular are vector fti loih sta radw if breakdown a that is algorithm this of 274 datgsDisadvantages Advantages netnint nuelna neednyo h e ai i basis new the of independency linear ensure to extension An h xeso oMM ytm,called systems, MIMO to extension The , • • • • • 275 opttoal ha ( cheap Computationally trix ma- output account into Takes algorithm: Iterative unique function Transfer of Matching terminals n r 96 ) ylstruhalvcosof vectors all through cycles ]), ) – – , o prematrices) sparse for ⇒ oniigo reduced easy models of Downsizing and vectors any restarted of at stopped number be Can 276 ne vectors inner piie o output for Optimises aehwvrsonwy oaodti rbe,btwith but problem, this avoid to ways shown however have ] M 2 ˜ q moments ssmerc h yMPLagrtmcnb used, be can algorithm Sy(M)PVL the symmetric, is 274 l etr ntetoKyo pcswihshow which spaces Krylov two the in vectors All : ]. r de ocmlt h rlvspace. Krylov the complete to added are O ( n × w C n ao rbe ihti ap- this with problem major One ⇒ i T • • • • arxPd i Lanczos via Padé matrix v and ogoa ro estimate error global No xaso,ie,uigseveral using s i.e., (multi-point expansion, point expansion the from away accuracy of Loss te/radw necessary ities/breakdown instabil- numerical of Handling otrcsignee to real positive part with poles needed delete Postprocessing urneddet oblique to not projection due preservation guaranteed Stability i 0 ..MRfrLna Systems Linear for MOR 4.2. ,i 0 = a ov hsproblem) this solve may r hc en htthose that means which , ognrt lc Krylov block generate to iiypoet.On property. bility tsd,i snot is it side, ht omiti the maintain to tosweea where ations oepubli- Some . oeto,i.e., rojection, s.Techal- The ess. nti case, this In . alda called s (MPVL 131

4 4. Model Order Reduction

Arnoldi Method A related Krylov subspace method is the Arnoldi method, which uses slightly different Krylov subspaces for the new basis. The result are Padé-type reduced models, but with fewer moments matched. Starting again from equation (4.10) on page 129

1 1 1 H(s)= D C[ (s s )A˜ − E + I]− A˜ − B − − − 0 1 = D C[I (s s )M˜ ]− r − − − 0 i 1 1 m = CM˜ r with M˜ = A˜ − E and r = A˜ − B. i − We now generate only one (block) Krylov subspace for the input matrix,

q 1 r ˜ ˜ ˜ − Krq(M, r)= span(r, Mr,..., M r).

Each new vector is orthonormalised against the other vectors. The projection matrices for the system are chosen equal, i.e.,

W = V = [v 1 . . . v 2].

This orthogonalisation step makes the Arnoldi algorithm more expensive than the Lanczos process. However, it is problem dependent which algorithm is faster, since the Lanczos method needs to evaluate M˜ v twice, and thus two back substitutions are necessary. The algorithm for single input is [277]:

1. Set v = r/ r 1 | | 2. For i = 1, 2,...,q do:

a) Set v := M˜ v i b) For j = 1, 2,...,i 1 do: − i. Set v := v (v T v )v − j j c) If v > 0 | | i. Set v := v/ v i+1 | | otherwise, the Krylov subspace is exhausted.

132 elzto n ersnaino h ytm [ system. the of representation and realization page as known (also erpouetepoffrtesnl nu ae( case input single the for proof the reproduce [ We in presented algorithm the be would alternative An step in place takes normalisation sasm htfrbidn h rlvsbpc Kr subspace Krylov the building for that assume us inocr o vector for occurs tion etrfralrmiigKyo trtos hc scalled is which cancell iterations, is this Krylov with remaining deal fo all to still for way vectors vector one previously, remaining seen the As oc while tors. dependency vectors, linear Arnoldi that the happen of may it process, Lanczos the edny Item pendency. [ tolerance h rlvsbpc Kr subspace Krylov the nteagrtmi ls ozr,ie,its i.e., zero, t to of close one least is (at algorithm dependency the linear in to close are that vectors etrws,ti ae h eeto n aclaino u of cancellation and detection easier. the makes this vector-wise, r 1 h ieec ewe h oie n lsia rmSchmid Gram classical and modified the between difference The 1 tcnb hw htatrti rjcina least at projection this after that shown be can It h rlvsbpc scalled is subspace Krylov The o h xeso to extension the For nsmay h lc rod loih ihieatdeflati inexact with algorithm Arnoldi block the summary, In ic ewr ihfiiepeiincmues ti loind also is it computers, finite-precision with work we Since .For 2. .Set 1. etr hra h lsia loih ssteoiia v original the uses algorithm classical the whereas vectors ntelo oyo step of body loop the in , . . . , 230 Set )If a) r ute,tesbpc sivratt hneo ai n t and basis of change a to invariant is subspace the Further, . k m V ǫ n ˆ − d i.For iii. c ,wihi called is which ), 1 = 1 i If ii. [ = .Set i. , | := ˆ v r n k ˆ v , .Set A. PRIMA m | +1 2 1 m . . . , ǫ < 2b , . . . , , . . . , m i c = 0 = d c stemodified the is hndeflate: then , do: r := ˆ v ˆ v 2b ,n n, lc Arnoldi block k r rsre h asvt fteoiia ytm[ system original the of passivity the preserves ) q r i m set , hntepoescniuswt h eae matrix deflated the with continues process the then , ( m h oie rmShitpoeueue h orthogonalised the uses procedure Schmidt Gram modified the m := M := ] ˜ ] 1 + sal,tebokKyo usaei ul up built is subspace Krylov block the Usually, . c , ˆ v − [ n nxc deflation inexact r i [ n , . . . , + r 1 1 := , . . . , 1 1 exhausted , . . . , n 2c − lc rlvsbpcsaeue.A in As used. are subspaces Krylov block , hsvrato h rod algorithm Arnoldi the of variant This . 1 r + r 1 m rmShitotooaiain the orthogonalisation, Schmidt Gram m m ]) n stop. and ] L c ed [ reads − 2 hntevcosso ierde- linear show vectors the when omi eo ie deflation given a below is norm 1 . 80 do: ..MRfrLna Systems Linear for MOR 4.2. 80 ] q B ]: ectors. oet ac [ match moments q r 279 ( = M ˜ rhgnlsto sthat is orthogonalisation t , b , 280 nsection in ) [ xc deflation exact mvldbssvec- basis valid rm r n hsparticular this ing einrproducts inner he sial vectors nsuitable 1 ]. , . . . , ctdt deflate to icated usa subset a at curs nfrbuilding for on r m 80 ]) B.3 , defla- the o 281 278 let : 133 on ]. ].

4 4. Model Order Reduction

Return to step 2a

b) Set v n := ˆv n/ ˆv n |˜ | c) Set ˆv n+mc := Mv n d) For i = 1, 2,...,n do: i. ˆv := ˆv v T ˆv v n+mc n+mc − i n+mc i e) For i = n m + 1,n m + 1,...,n 1 do: − c − c − i. ˆv := ˆv v T ˆv v i+mc i+mc − n i+mc n 

Related methods: The two-sided Arnoldi algorithm or dual rational Arnoldi algorithm [281] • 1 1 r ˜ − ˜ − ˜ first builds the usual right Krylov subspace Krq(A E, A B) for the T T T l ˜ − T ˜ − ˜ input matrix. Then, it computes the subspace Krq(A E , A C ) for the output matrix and uses it as matrix W for the projection of the system, leading to an oblique projection. This enhancement does not suffer from breakdown as the Lanczos algorithm, but still takes into account the output matrix and thus can optimise for the given output terminals. Further, it matches more moments, and the resulting transfer function is unique. However, it suffers from the same stability issues as the Lanczos algorithm, but the system can be stabilised [271, 282–285] at the cost of the number of matching moments. The ENOR algorithm [286] is another Arnoldi-like approach; the main • focus is on reciprocity and the use of Cholesky factorisation due to the use of a symmetric formulation using the nodal equations. Knockaert and Zutter [287] show that there is a relationship of Arnoldi- • Krylov methods to the SVD-Laguerre algorithm. The Laguerre functions

t n α αt e d t n φ (t)= √2αe− (e− t ), n n! dtn where α is a scaling parameter, are used to expand the impulse response 1 matrix h(t)= L − H(s). It can be shown that an m-th order Laguerre approximation in the s-domain is equivalent to an Padé approximation in terms of u where u is a bilinear transform of s. Further, the paper dis- cusses an alternative to the Gram-Schmidt orthogonalisation by means of the singular value decomposition.

134 rlvmtosmnindhr sebetable assemble here mentioned methods Krylov xesosadrcn developments: recent and Extensions datgsDisadvantages Advantages pca xesosfrscn re ytm r icse i discussed are systems order second for extensions Special oi ocuinw a o h ua ehd V ae method based SVD method, Guyan the for can we conclusion in So • • • • • • • matrix tr rmtecretvleof value current the from start atrsn ih .. nL eopsto.Btsince But decomposition. LU an e.g., with, factorising prxmt rlvsbpcsaeawyt elwt hsprob this with deal to way a ex are each subspaces for Krylov necessary Approximate be would factorisation expensive this o ahepninpit u h opi step in be loop would the but process point, Arnoldi expansion the each for points, expansion multiple For h e rqece r peddt h xsigmatrix existing the to appended are frequencies new the lootoomlsdb h rmShitpoes drawbac A step in process. that Schmidt is approach Gram the by orthonormalised also odapoiaino zero gain of frequency approximation Good odapoiaino step of response approximation Good algorithm: Iterative acigof Matching novdta ihLanczos with than involved less Arnoldi block to Extension ity passiv- and stability Preserves – – – oniigo reduced easy models of Downsizing restarted be Can any at vectors of stopped number be Can M ˜ otisi atamti nes.Ti rbe ssle by solved is problem This inverse. matrix a fact in contains q moments 2a o h culapiaino h loih the algorithm the of application actual the for i nta f1 ota h etr for vectors the that so 1, of instead • • • • • ieta aco ( Lanczos than sive expen- more Computationally ogoa ro estimate error global No xaso,ie,uigseveral using s i.e., (multi-point expansion, point expansion the from away accuracy of Loss e hnmn oto theory control methods many than bet- ter still but matrices), sparse rnfrfnto eed on realization depends function Transfer osntotms o output for matrix optimise not Does 0 ..MRfrLna Systems Linear for MOR 4.2. ,i 4.5 a ov hsproblem) this solve may ntenx page. next the on 2 npage on h etsection. next the n M ˜ 132 eed on depends aso point. pansion V O ol then would ( e [ lem n then and n repeated fthis of k r 2 n and s ) 288 for 135 s 0 ]. ,

4 4. Model Order Reduction

Table 4.5: Methods for model order reduction of linear dynamic systems (after [81, 289]). Name Advantages Disadvantages SVD-based (Truncated Have a global error esti- Computational complex- Balanced Approximation, mate, can be used in a ity of conventional imple- Singular Perturbation fully automatic manner. mentations is (N 3), can Approximation, Hankel- be used for systemsO with Norm Approximation). a few thousand unknowns only. SVD-Krylov (Low-rank Have a global error esti- Currently under develop- gramian approximants mate and the computa- ment. and matrix sign function tional complexity is less method). than (N 2). O Padé approximants Very advantageous Does not have a global er- (moment matching) computationally, can ror estimate. It is neces- via Krylov subspaces be applied to very sary to select the order of by means of either the high-dimensional linear the reduced system man- Arnoldi or Lanczos systems. ually. process. Guyan-based methods Preserve physical nodes Require large reduced models for good accuracy

4.2.4. Krylov Subspace Methods for Second Order Systems In general, since a second order system can be transformed to a first order system, all methods mentioned above can be used for model order reduction. However, it is often advantageous to preserve the second order structure. We will thus discuss structure preserving extensions of the Krylov subspace meth- ods, in particular of the Arnoldi algorithm.

Undamped Systems In [290, 291], a modified Arnoldi algorithm is suggested which preserves the second order of the model and works for undamped systems. Let the system be written as

Mx¨ + Kx = Bu y = Cx + Du.

136 eLpaetasomtesse ogttetase function transfer the get to system the transform Laplace We ttrsotta o ymtyraoseeyodmmn ( moment odd every reasons symmetry for that out turns It ishes. h anie o st nrdc e variable new a introduce to is now idea main The matrix r o nte xaso point, expansion another for or, euto loihscnb ple ogi h rjcinm i systems, projection order the first gain to the applied for be as can form algorithms same reduction the formally is This V ntecs fadme ytm h aclto un u obe to out expans turns the calculation for the function transfer system, the damped Consider a complicated. of case the In Systems Damped hn h ytmi rjce yusing by projected is system the Then, . ale,tetase ucinread function transfer the Earlier, With H H H H m M H B K ( ( (˜ (˜ ( ˆ i D r r s s s s s = = ) = ) = ) = ) = ) = = = 0 = = = = C V V − C C C ( D D D D D T T K h oet are moments the , − ([ ( ([ VK MV C B s + + + + + [ ota h rnfrfnto reads: function transfer the that so , s s s 2 2 2 M 0 2 C C C C C M − − ( ( (˜ ((˜ ((˜ + s s s s s + s s M E 2 0 2 0 2 s M ] ] − − G M K E − − s s − ˜ ˜ ] + + A − [ + 0 0 1 K ˆ ) )[˜ 1 M K K ) M M s ) − s − ) 0 ) 1 [ + − − M − ) 1 ˜ + B i 1 B 1 [ s B . s B s s + . 0 0 2 0 . ] − M M E K s [ + + 0 ] + − ] G | 1 K K s M 0 2 − ] ) M r r − 1 − + E 1 = = 1 B + B ..MRfrLna Systems Linear for MOR 4.2. + I V CV . {z ) G s − s ˜ I T 0 ) 1 E KV := − [˜ s 1 + 0 G s M 2 K − n s h stiffness the use and } + 1 ] ) B o at ion − m K 1 B ] 1 atrices − , 1 m e,tesame the .e., B s uhmore much 3 0 . . . . , : W van- ) and 137

4 4. Model Order Reduction

1 =CP F(s) G− B where  1 P(F) = ( F + I)− − 2 2 1 1 F(s)= [s s ]G− M [s s ]G− E. − − 0 − − 0 The derivatives of F(s) are

dF(s) 1 1 = 2sG− M G− E ds − − 2 d F(s) 1 = 2G− M ds2 − dnF(s) = 0 for n> 2. (4.13) dsn Derivatives of P with respect to s will be of the form

n i 2 j d P F(s) k dF d F n = a˜ijk( F + I)− 2 , ds  − ds ds Xijk     where k n and k > i + j. ≤ Further, at the expansion point s0 = 0:

n i 2 j d P F(s0) dF d F = a . dsn ij ds ds2  ij X     where aij = k a˜ijk. P Rayleigh damping: In many cases, the damping matrix is a linear combi- nation of mass and stiffness, E = ckK + cmM. Let us first assume that the expansion point s0 = 0. 1 Since dF(0)/ds = G− E: − n d P(F(0)) 1 i 1 j = a ( K− E) ( 2K− M) . (4.14) dsn ij − − Xij For pure stiffness damping (cm = 0), the first term in equation (4.14) turns into a multiple of the identity matrix, thus each derivative of P w.r.t. s is a 1 linear combination of the powers of K− M: n d P(F(0)) i 1 j = a ( 1) ( 2K− M) dsn ij − − Xij

138 h rtdrvtv of derivative first The where usaei ieetadtevldt ftesml approach simple the of validity the and different is subspace h ihrdrvtvsrmi h aea o xaso poin expansion for as same the remain derivatives higher the o obnddmig h aei re .. l etr ar vectors all i.e., true, is same the ( damping, combined a For ytm h osqec sta alihdmigat damping Rayleigh that is consequence The system. everified. be eodtr,adw aeaan(fe rhgnlsto)th orthogonalisation) (after again have we subspace: and term, second h apn o h optto fteKyo usaeadre and t subspace in as Krylov combination the linear same of the by computation matrix damping the reduced appro for our thus damping subspace, Krylov the the for information more any h e etri iercmiaino l etr n h n subspa the Krylov and the damping. vectors normalisation without old After as of combination damping. linear without a had is vector new The K h matrix The o ieetepninpit h rnfrfnto is function transfer the point, expansion different a For o uems apn ( damping mass pure For − 1 M F H E d d F n ( r d ( ) s P s i ( s = = ) d = ) s where ( s ) F = = c n m = = (0)) C C C − M G ˜ ( ([ ([ − − [ [( s | [( − s s − r n < i 2 s (2 G ˜ s = 2 2 F 1 + − 0 2 − s − − − ( snwdffrn rmbfr.Ti en htteKrylov the that means This before. from different now is + X s 1 c + s s ij + ) ((2 s s k 0 2 0 n hsfr h aesbpc sfrteundamped the for as subspace same the form thus and , s 0 2 0 2 K F c ) ( + ( 0 c m ( + ( + − s c ( r k I s m ) . ] + 2) ) ) G s G ˜ ˜ − ) s s M sthen is j − c c 1 − − − − a k m G ˜ {z 1 1 G ij ˜ s M ) ( + 0 = K s s 0 − ( M 0 0 ) K 1 ) ) c + B − c s c m ,tefis emtrst utpeo the of multiple a to turns term first the ), − + m m 0 I ] 1 c c G ] ] ) ˜ M c k k G M − ˜ k G ˜ − 1) + 1 K − ) 1 G − [( + i ˜ 1 + M ); 1 M − j K K . 1 − s + B } ] ) − ( B s ..MRfrLna Systems Linear for MOR 4.2. s − 0 ) c s k 0 ] ) K c s k 0 + G ˜ 0 = − 1 K t osntprovide not does c st neglect to is ach ( aeKrylov same e utpeof multiple a e s ei h same the is ce efl system, full he 4.15 0 wvco we vector ew 0 = ed to needs ) oe the cover . (4.15) 139

4 4. Model Order Reduction

Alternatives:

Su and Craig proposed a second order Krylov-subspace based method • which preserves the second order and takes the damping matrix into ac- count. A similar approach (the two-sided second order Arnoldi, see [281, 292], later also called the second order Arnoldi method (SOAR) [293]) uses the concept of second order Krylov subspaces

2 Krq(A1, A2, G) = span(P0, P1,..., Pq 1) − where

P0 = G, P1 = A1P0

Pt = A1Pt 1 + A2Pt 2. − − Then, the subspaces

2 1 1 1 Kr ( K− E, K− M, K− B) and q1 − − − 2 T T T T T T Kr ( K− E , K− M , K− C ) q1 − − − are used for projection. The generalisation to higher orders is also dis- cussed. However, there are reports [294, 295] that the second Krylov subspace methods do not perform as good as other methods. Freund [296] discusses extensions to special classes of second order sys- • tems, the Hermitian second order systems coming from integro-differential- algebraic equations: the SPRIM algorithm promises to match twice as many moments as the standard Arnoldi procedure and yields an excel- lent performance for the test models. As non-Krylov method, the second order balanced truncation (SOBT) • technique [294] should be mentioned, which works with two pairs of gramians (the second order gramians), one which corresponds to an en- ergy optimisation problem depending only on the states, and a second one which corresponds to an energy optimisation problem depending only on the velocities. The balancing step now returns two diagonal ma- trices which allow to identify the relevant states and velocities. However, unlike the first order case, no a priori global error bound exists, and the stability of the reduced system is not guaranteed.

Bai [104, 297] gives an overview of further methods for second order systems.

140 ytm hr hs ubr r o ag,the large, too [ developed are been numbers these where systems aco ehd scnieal ml [ small considerably is method) Lanczos r nyeceta oga h ubro inputs of number the as long as efficient only are Interpolation Tangential reduction. mod for of considered choice the not by mea is limited physical matrix is have accuracy variables the reduced but amplitudes), The response. pulse the agnilinterpolation tangential h oecnrbto atr,aslcino oe sdn [ b done and is load modes test of a selection apply which a to and factors, is modes contribution remedy dominant mode One the the excited. are be which to for expected ta feeling a a remains choice needs cho the who often are However, eigenvalues solution. smallest the control the to belonging eigenvectors vectors H h otiprat hsi aldthe called is this important; most the at in Let tion: hc sdffrn rmzr at zero from different is which ...OhrMRMtosfrLna Systems Method: Linear Rayleigh-Ritz for Methods MOR Other 4.2.5. function oss feigenvectors, of consist where function oetmodes ponent ubro hsnitroainpit ntecomplex the in points interpolation chosen of number rjcinmatrices projection hspolmare problem this ˆ ( hsmto sas called also is method This rmti e fmds oems eslce o h reductio the for selected be must some modes, of set this From hsi the is This hsodrrdcinmto sbsdo nepltoso th of interpolations on based is method reduction order This ie o rnfrfunction transfer a now Given s s 0 ) = interpolates l i l ( Φ i ∞ s ( H H ) s ˆ H T . obidtesbpc yslcigteoe hc r assumed are which ones the selecting by subspace the build to ) ˆ ( ( ( s s ( savco ihlength with vector a is H ) ) s ettneta interpolation tangential left ) ( nyaogacrandrcini h ope frequency. complex the in direction certain a along only hc interpolates which or s and ) 295 eeaie rlvsubspaces Krylov generalised sue modes assumed − H W , H(s) ( H ˆ s 301 ) ( T s V at n the and ) , and ) The = 302 esrcl rprtase ucin.W a that say We functions. transfer proper strictly be sin is nteMM ae h rlvsbpc methods subspace Krylov the case, MIMO the In s W 0 oa truncation modal V .Tebscie st prxmt h transfer the approximate to is idea basic The ]. , s alihRt method Rayleigh-Ritz l 0 . i O = ti lopsil odfieteinterpolation the define to possible also is It . ( w-ie agnilinterpolation tangential two-sided s ( H [ )  s H 298  φ ( − p s ( i if 1 s ) opnn-oematrix component-mode , fplnmasin polynomials of s ) φ o ie e fdirections of set given a for 0 300 h oli ocntutatransfer a construct to is goal the , 299 h aecnb endfrthe for defined be can same the , ) i 2 β , . . . .Frlna ieivratMIMO invariant time linear For ]. .Tu ohpoeto matrices projection both Thus ].  hc hnrtr appropriate return then which , . n ilsa prxmto of approximation an yields and ..MRfrLna Systems Linear for MOR 4.2. agnilinterpolation tangential m s sstemti feigen- of matrix the uses ln.Oewyt solve to way One plane. adoutputs (and s kfrtedesigner, the for sk e,bcuethose because sen, ihdegree with 126 s n h input the and es, ig(h modal (the ning rnfrfunc- transfer e .Uulythe Usually n. ihthe with ]. examining y . oe are modes l p i ( o the for s ) β obe to com- right ta at − 141 has 1

4 4. Model Order Reduction

Moment matching here is thus performed along a certain direction, which lacks some generality of the reduced system but allows for a lower number of vectors. Second order structure preserving tangential interpolation was also demonstrated.

Hierarchical model order reduction The [ hierarchical MOR]hierarchical model order reduction method is a symbolic method developed for the reduction of interconnected circuit models. It is especially valuable for systems which can easily divided into separate small systems connected by a small number of ports. Each of the small systems can be reduced individually by performing the Schur complement on symbolic matrices, which returns a order-limited rational transfer function in s, a + a s + + a s H (s)= 0 1 · · · q q ; ij b + b s + + b s 0 1 · · · p p this transfer function can be truncated, i.e., higher powers of s omitted to reduce the order of the model. After finding a realization for this transfer function, the reduced realization can be used as reduced part of the system. It turns out [303] that this approach is related to implicit moment matching and thus numerically stable.

4.3. MOR for Nonlinear Systems

We first classify the different types of nonlinear systems, whereby a system may fit into more than one of the classes:

Parametric systems are systems where one or more of the system matrices are a function of parameters p:

M(p)x¨(t)+ E(p)x˙ (t)+ K(p)x (t)= B(p)u(t) y(t)= C(p)x (t)+ D(p)u(t).

Note that p is independent of x . Sometimes it is difficult to distinguish between inputs and parameters. There are mathematical reasons to do so (in particular, saying that a parameter is part of the homogeneous system as opposed to an input), but in practice this distinction is often unclear; as example, consider the flow speed of a liquid. Sometimes, the timescale can give hints whether a parameter should be given as input (rapidly varying) or as parameter (slowly varying).

142 h aeo rlvsbpc ehd,tremtoshv prov have methods three methods, [ subspace results Krylov successful of bi case or se nonlinearity, reducti the and model a moment-matching in multivariate itself parameters techniques, handle in polation to is approaches The parameters of cases. use the since etro parameters of vector ntesae uhas such states the a on nonlinearities which few voltages with input Systems the for trivial approach is model. redu this the system and use entering the system will before the and We fr from linearity separated transformation part. true be input a can the not transformation isolating is this this Since system, whi states. applied is the function nonlinear on a system, the in processed ytm ihnnierinputs nonlinear with Systems method. projection nominal the apply and aee n hnwitni iierform: bilinear r in with written linearised then be and can rameter matrices parametrised addition, In h ramn fprmtr srltdt h ramn fno of treatment the to related is parameters of treatment The • • • yblioain h yblcpr sioae rmtesys the from isolated is part symbolic The isolation: Symbol is-re prxmto ehd:Temtie r writt are matrices The methods: approximation First-order n h oe srbs nuhsc htteesalperturba subspace. small the these in that changes such major enough cause robust s not is are parameter model the a in the changes for and that calculated assumed is is it subspace set; The rameter method: projection Nominal ∆ yiptadotu ot.W ilueti prahfrtreat for approach intr below. this detail use is in will part described We symbolic as nonlinearity ports. the contact output and and reduced input is by system remaining the sum ue ler rga ofr yblc letntorthono not albeit symbolic, a form subspace. to program algebra puter M M ( x M sasmdt esal ihti ehd ti osbefrac a for possible is it method, this With small. be to assumed is ) x ¨ 0 ( t ∆ + + ) 158 M E ( p x ]: where ewl s uhafr ihvlae sparameters as voltages with form a such use will We . ) x ˙ ( t + ) M K 0 stemti o eti oia ausand values nominal certain for matrix the is ( aeipt owih eoete r further are they before which, to inputs have x aemti nre ript htdepend that inputs or entries matrix have ) x y ( ( t t = ) = ) B C ..MRfrNnierSystems Nonlinear for MOR 4.3. M ( ( x x ij ) ) ( u x p ( ( = ) t x + ) t , ) M D ij (0) ( isepnin For expansion. ries hde o depend not does ch x sett h pa- the to espect ) iert nmost in linearity + nicueinter- include on u igtelinear the cing M ( mteactual the om x ordc by reduce to ngv very give en ij (1) t , alenough mall nlinearities, k esquared re ) e,then tem, na the as en p . ie pa- given k rmalised in do tions n the ing oduced iha with (4.16) om- 143

4 4. Model Order Reduction

However, we assume that this concerns only a limited number of matrix entries. The solution is to isolate the nonlinearities by moving them into a vector f such that the system reads

Mx¨(t)+ Ex˙ (t)+ Kx(t)= Bu(t)+ Ff (x,t) y(t)= Cx (t)+ Du + Gg(x,t).

Then, the components of f (x ,t) and g(x ,t) are defined as new inputs to the system; the arguments of f and g are recovered by the projection x = Vx r; then the system is reduced without considering f and g, and the two vectors are reinserted in the input vector, so that the reduced system reads

Mrx¨r(t)+ Erx˙r(t)+ Krx r(t)= B˜ r˜u(Vx r,t)

y(t)= Crx r(t)+ D˜ ˆu(Vx r,t). with u B˜ = WT [BF] ˜u = r f   u D˜ = [D G] ˆu = . g   If model order reduction is to be performed to save evaluation time, it is crucial that the functions f (Vx r,t) and g(Vx r,t) do not use the full vectors Vx r or V˙x r; in this case, it is not necessary to calculate the full matrix vector product, but to condense V to the rows which are necessary for the evaluation. Otherwise, calculating every row of Vx r and evaluating f and g is still very time consuming even for the reduced model, and techniques as discussed under “Systems with many nonlinearities” are more adequate. In [304], the cost of solving such a reduced system with a Newton iteration is estimated to be of the order pk(nn + nα + n3) , where n is the size of the original system, n O r r r is the size of the reduced system, p is the number of time steps and k is the  average number of Newton iterations. We will use this approach for the contact element at the tip of our device, where only one row of Vx r is to consider.

Systems with many nonlinearities have so many nonlinearities that it is not feasible to treat all of them as inputs, e.g., because their number is in the same order of magnitude as the number of degrees of freedom of the model. In this case, among the possibilities to proceed are the following:

144 needn,ohrieclmsaedltdutlti assu this until deleted are columns otherwise independent, ofr h oun ftematrix the of columns the form to erae accordingly. decreased hs ehd sta xeietldt a eue o h sn the for ar used approaches be modelling can experimental-numerical data hybrid experimental so that is methods these cu,tesmln rqec sa su [ issue an is beca freq frequency high crucial, sampling if thus the further, is effects; occur, snapshots system significant of all choice represe contain which The subspace a states. find system to try methods these snapshots, ftesse tdffrn ieses hc ecall we which o steps, operate time methods different based t at require system trajectory not the contrast, do of In and matrices system system. the the on directly work they h ehd ehv icse ni eeaecalled are here until discussed have we methods The (POD) Decomposition Orthogonal Proper 4.3.1. approximation. optimisa polynomial and nonlinear balancing the optimisation, matrix system the ecmiethe combine We ewl ntefloig ewl ou u icsino h P the on discussion our focus will we following, the in will We • • • • • • • • • erlntok [ networks Neural [ derivatives using transformations Congruent integrators Exponential sy the if system; the ( of snapshots properties mathematical system special taking Use identification by system optimisation, approach and based balancing method, trajectory point a operating Use certain a at system the Linearise loihsbsdo otranniertase functions transfer nonlinear Volterra on based Algorithms s itr ftoemtos .. ihataetr based trajectory a with e.g., methods, reduction those linear of mixture a Use prxmto)o iiersto.Ti sageneralisati po a list. nonlinear is this (weakly in This a expansion item to Taylor bilinearisation. possible a or be by approximation) may e.g., it system, properties, the special these exhibit not aeknapoiain[ approximation Galerkin k npht fdsrtsdsolutions discretised of snapshots 211 , 308 247 ] ] X easm htteclmsaelinear are columns the that assume We . 305 ..MRfrNnierSystems Nonlinear for MOR 4.3. .A neetn rpryof property interesting An ]. 307 snapshots ] iuainfree simulation x ec eaiu can behaviour uency ( t pini true; is mption inadteweakly the and tion possible. e 1 ) , s hyshould they use t h different the nts x no h first the of on h solution the n ( rmthose From . pht [ apshots eslto of solution he t [ [ Dmethod, OD 2 306 305 pproximate ) , . . . , tmdoes stem piecewise ] lynomial ]) since , x POD 309 ( k 145 t k is ], )

4 4. Model Order Reduction

The proper orthogonal decomposition method, also known as Karhunen- Loève decomposition or principal component analysis [168, 204, 310–316], seeks an orthonormal basis Ψ with basis vectors ψ1, ψ2,..., ψl which minimises the mean square error between the vectors of X and their approximation in the subspace:

k l 2 T min x j x j ψi ψi Ψ − j=1 i=1 X X 

The purpose of this is to identify the dependent structure behind a multi- variate stochastic observation in order to obtain a compact description [308], or in other words a feature extraction. This opens the field for many more applications than model order reduction, examples are image and signal pro- cessing [317]. Another geometric interpretation is that, when we view the snapshot matrix as a list of coordinates, we seek a subspace for which the mean square distance of the points to the subspace is minimised. The solution is called POD basis of rank l. Since Ψ is orthonormal, we obtain that the minimisation problem is equivalent to the maximisation problem [318]

k l T 2 max x j ψi . Ψ j=1 i=1 X X

Let the singular value decomposition of X be

X = UΣTT then it can be shown that choosing Ψ = U solves the optimisation problem and is thus the optimal projection matrix, W = V = U. The POD modes Ψ by construction capture more energy than the other modes. It is even possible to show that for any nonsingular square matrix Q with the correct T 1 size, W = Q− U and V = UQ are a solution, but for POD simply Q = I. As the subspace is taken from the snapshots only, these snapshots need to be chosen such that they represent the essential behaviour of the system. For a linear system, it is also possible to use the harmonic response for certain frequencies as input.

Extensions and recent developments: Since this method focuses on the out- put of the system (and such the observability of the system), it is useful to

146 oaheego efrac.I [ In var state performance. reduced good of achieve number to lower a that indicate results The n h ulsystem dual the and eopsto a iehnswihsae r h otimpor most the are states which t [ hints sider Also, give can requirements. terminal decomposition and impression engineering h rmlsse lnaie model) PO by (linearised efficiently system controllab very primal approximated the the both truncation; are balanced gramians with ability extensio method An this properties. bines controllability the also consider aeasse fteform the of system a Take Optimisation Matrix System 4.3.2. iglrprubto approach. perturbation singular datgsDisadvantages Advantages iia oteGynmto,asto oiatsae sselec is states dominant of set a method, Guyan the to Similar • • • y x y y ˙x ˙x 320 ehd sesection (see methods projection polynomial for than stronger be may Nonlinearities aait h model experimental the into data integrate Can special a system the require of structure not Does page ˙z d ( ( d = = t t = = = = ) = ) .Ti ie rjcinmatrix projection a gives This ]. C A B A P x 150 ′ x C A x T ′ T x x x + z ) . ( ( + t B t ) + ) . ′ C u ′ T B u u d ( t + ) 4.3.4 F f 319 ( x on , ,tePDmto scmie ihthe with combined is method POD the ], u ) • • • ..MRfrNnierSystems Nonlinear for MOR 4.3. t ect hnue ncon- in [ design used troller when deficits ity stabilisabil- and Controllability etdb h riigtrajec- repre- training tory the are by which sented system of the aspects those only Captures before run simulation a Needs oPD[ POD to n alsi necessary is iables esnua value singular he 156 lt n observ- and ility npht of snapshots D ] e ae on based ted att con- to tant 106 com- ] (4.18) (4.17) 147

4 4. Model Order Reduction which projects the full state vector to the dominant states. Again, let X be a matrix of system snapshots, Xd = PX those results corresponding to the dominant states, X˙ the velocity snapshots (i.e., the time derivatives at the corresponding times), X˙ d = PX˙ the dominant states’ veloci- ties, U the inputs and Φ the nonlinear parts f i. Then, we can find an optimal inverse mapping V of the dominant states to the full vector by solving the optimisation problem

2 min X VXd V k − kfro where is the Frobenius norm of a matrix. k · kfro We now want to find new system matrices of reduced size such that the equalities in equation (4.18) on the preceding page are fulfilled as much as possible for the dominant states [321, 322], i.e.,

2 min X˙ d (ArXd + BrU + FrΦ) Ar,Br,Fr − fro

The result is a system

˙x d(t)= Arx d(t)+ Bru(t)+ Frf (Vx d, u)

y(t)= Crx r(t).

The optimisation problems can be solved by standard least squares minimi- sation techniques. The number of snapshots must be large enough to provide enough information for determining all components of Ar, Br and Fr. This approach can also be extended by a weighting of the dominant states [322], so that the resulting system can be optimised to a better approximation of the “important” states.

Advantages Disadvantages No constraints on the Only practicable if f has not too many • • type of nonlinearity vectors, i.e., few nonlinearities Fast Need to choose dominant states • • Optimal for given Needs a simulation run before • • snapshots Captures only those aspects of the sys- • Nonlinearities fully tem which are represented by the train- • maintained ing trajectory

148 e arxotmsto method. optimisation matrix tem piiainpolm hstm sn h eiaie fth of derivatives the using time this problem, optimisation aklsnua auswihsa h oiatsbpc.Th subspace. dominant matrix the transformation span which values singular Hankel The Optimisation and Balancing 4.3.3. h ulvco sfudb notmsto problem optimisation an by found is vector full the ute,i ae ierapoiaino h system, the of approximation linear a takes it Further, derivatives hn h aacn loih fsection of algorithm balancing the Then, h oiatsbpc [ subspace dominant the h datgsaddsdatgsaebsclytesm tha same the basically are disadvantages and advantages The ial,tenwsse switnas: written is system new the Finally, si h rvosscin h nes apn ftedomina the of mapping inverse the section, previous the in As h rnfrainmatrix transformation The aacn n piiainmethod optimisation and balancing min min x y y ˙x ˙x ˙x W y V d ( ( ( d ( t t t t ( ( = = ) = ) = ) = ) t t

k = ) = ) X X Q X ˙ ˙ f A g C d x h inputs the , − W g − x ′ x x x VX ( V T W ( ( ( t t t t ) f x ) ) + ) ,  T d d V u k ( X ˙ t fro 2 ( B x ) Q t

 ) d ′ fro 2 .  u : 324 ( U t ( ) t , ) n h outputs the and n rnaei otesae ihtelargest the with states the to it truncate and ] u W ( t ujc to subject )  snwsuh gi sslto oanother to solution as again sought now is sas ae ntesnapshots the on based also is 4.2.2 W ..MRfrNnierSystems Nonlinear for MOR 4.3. T Y V npage on [ 10 = , I 323 . .Lttesse be system the Let ]. 125 states: e sapidt find to applied is srslsi the in results is o h Sys- the for n tsae to states nt X their , 149

4 4. Model Order Reduction

4.3.4. Polynomial Projection This approach works for system which are represented by polynomials in the state vector [111, 325–327]. Let us for simplicity assume that only the stiffness matrix is nonlinear, then in Einstein notation, a second order model reads:

(1) (1) (1) (2) (3) (1) M x¨j + E x˙ j + K xj + K xjxk + K xjxkxl + = B uj ij ij ij ijk ijkl · · · ij (1) (1) yi = Cij xj + Dij uj

In case the system is not in this form, a Taylor expansion of the nonlinearities at a certain operation point is performed. Now, projection matrices W and V are found. For the matrices M(1), E(1), K(1), B(1) and C(1) we proceed as in equation (4.5) on page 123. For the (p) matrices Kijk..., we can write:

(p) (p) Wj K xkxl Wj K (Vkmx m) (Vlnx n) i jkl... ···≈ i jkl... r, r, · · · (p) = K Wj VkmVln x mx n jkl... i · · · r, r, · · ·  (p)  = K x mx n . r,imn... r, r, · · · The projected system then reads

(1) (1) Mr,ijx¨r,j + Er,ijx˙ r,j+ (1) (2) (3) (1) K x j + K x jx k + K x jx kx l + = B uj r,ij r, r,ijk r, r, r,ijkl r, r, r, · · · r,ij (1) (1) yi = Cr,ijxr,j + Dij uj

Again, there are different methods to find W and V. In the system we sim- ulated with this method, one can assume that the main information of the system is in the matrix K(1), so that the reduced solution almost lies in a subspace that is spanned by reducing the linear system, i.e., by finding the projection matrices for the system [104]

(1) (1) (1) (1) Mij x¨j + Eij x˙ j + Kij xj = Bij uj (1) (1) yi = Cij xj + Dij uj and then projecting the nonlinear system. As proposed in [327], we will use the Arnoldi method for this purpose, which turns out to yield excellent results.

150 xesosadrcn developments: recent and Extensions • • • n rbe ihti ehdi htmtie a eoerath become can matrices that is method this with problem One hsyed a yields This yapyn h ut-iesoa alc rnfr,i ca it transform, the Laplace that multi-dimensional the applying By ai o rlvsbpc.T obn hs oacmo spac common a to those i.e.: combine nested, To are subspace. spaces Krylov a for basis h ytmi rtCrea iiersd o h represent the for bilinearised; Carleman first is only. subs system case Krylov The SISO the the into here show matrices we degree simplicity, higher the incorporate steipt fanwKyo usae e.g., Arnold subspace, the Krylov use new to a is of tested inputs further the not as was which idea Another hsrslsi h system the in results this olwn h aho h rlvsbpc ehd discussed the methods subspace define Krylov can the of path the Following ( eae dais idea related A r motn o h ecito ftemodel. couplings the mode of the description only the maintain for so important a and are crop number to certain possible an is a very couple it than not that Thus do nonlinearity. means which the co This to ) contribute the . . (triples,. behaviour. for pairs nonlinear measure represent eleme the values a small to are delete due basically to “modes” matrices or those matrices of degree elements higher the truncate ruigteAnlivcosa xaso on o e line new a for point expansion as vectors Arnoldi the using or K ( p ) m Kr H ˙x ˙x y y ∈ k l = = = = 1 q r ( R k ,l ( s hdge rnfrfnto fteblna ytmi [ is system bilinear the of function transfer degree th 2 K x C x C A f n 1 ,...,l r p s , . . . , ( − x n r es.Teiespooe nti hssaeto are thesis this in proposed ideas The dense. are and ) x 1 + ) k k . , + M multi-moments dmninlmti fmmns hc a eue as used be can which moments, of matrix -dimensional ( = N , B bilinearisation k [ x K = ) − u u 1) − + 1 C n V B C B ( s A u k ]) I − − l k fti rnfrfnto as function transfer this of N A [ 328 · · · ) − 1 A .Teie fblnaiaini to is bilinearisation of idea The ]. N ..MRfrNnierSystems Nonlinear for MOR 4.3. − · · · l 2 NA ( s 2 I − l − 1 B A . ) − 1 N ( s lvle lower values ll hsd not do thus d 1 ation I eshown be n − eoe we before, ae For pace. arisation. t.The nts. pigof upling 104 vectors i A rlarge er ) (4.19) which ,the e, , − small 325 1 151 B . ]

4 4. Model Order Reduction

r 1 1 1. Generate the first Krylov subspace Krq1 (A− , A− B) by, e.g., the Arnoldi process or Lanczos process. This results in a basis which is written as column vectors in a matrix P1. r 1 1 2. Use P1 for generating the next Krylov subspace Krq(A− , A− NP1) 3. Repeat step 2 up to Pk This process results in a matrix V which can then be used as projection matrix for the bilinearised system. Again, we see a rapid growth in the power series (4.19), so that although the matrices are extremely sparse, the number of terms is limited in practical applications.

Advantages Disadvantages Structure preserving Expansion is local, i.e., for tra- • • Can capture a large part of the jectories far away from the ex- • weakly nonlinear behaviour pansion point, the error be- comes larger Computational complexity of • Matrices can become rather calculation of nonlinear loads p • (p) n T large (K R r ) and are W f (Vx r, V˙x r,t) is reduced ∈ and independent of the order dense of the original model In practice Taylor expansion or- ⇒ der limited to 3

4.3.5. Other MOR Methods for Nonlinear Systems

Trajectory Piecewise-Linear (TPWL): The trajectory piecewise-linear me- thod represents the reduced nonlinear system as a weighted combination of linearised models with different operating points [112] which need to be cho- sen before the reduction process. Usually, they are taken from the (possibly approximate) trajectory of the full system for a particular training input. Let the system be

˙x (t)= f x (t) + Bu(t) y(t)= Cx(t)  and assume we have generated s linearised models with expansions around the

152 o eedo h eoiis h rcdr sa olw [ follows as is procedure The th velocities. that the given on trajectory, given depend a not along energy potential the hr h egt r hsnsc that such chosen are weights the where nryMethod Energy than adva larger As is the reasonable. and system preserving. considered, are be reduced structure can nonlinearities the not strong of is of variety algorithm size b must the the subspace Further, thus same the and that models, is disadvantage Another model. h eue oe ste ersne sawihe combinat weighted a as represented then is models, model reduced The states oec te.Terdcdvrino qain( equation of version th reduced is The individua which the other. of subspace, each states same to the the that such onto subspaces, projected individual are method. Arnoldi systems the e.g., all reduction, order model linear for or h niiullnaie ytm r hnrdcdwt one with reduced then are systems linearised individual The h hieo h riigtaetr scuilfrteaccu the for crucial is trajectory training the of choice The • • s us-ttcsmlto rvnoe einrnetha range design a over driven simulation quasi-static system a the Use of part linear the Reduce yasproiino h oun ftepoeto matrix projection the of columns the of superposition a by te otedt odsrb h oeta nryi em of terms in energy potential the describe coordinates. to par reduced) data (i.e., whose the expression to analytic fitted polynomial) (e.g, nonlinear ˙x y ˙x ˙x ˙x x y y ( ( ( r r 1 t t t ( ( ( ( = ) , . . . , = ) = ) t t t t = ) = ) = ) = ) X i f C =1 x s C W W CV ( x x s r w : ( T T ˜ x i t + ) x i nrymtostyt xrs olnaiisb calculatin by nonlinearities express to try methods Energy f f ) r . ( r ( ( x t x x ( ) A ( t . i i ) t + ) + ) ) . i  ( x f W A ( t ( ) x r,i T − i x A + ) x r i ( V i t + ) A ) x − i r ( ( B x W t ( ) P u t − T ) ( i t A − ) w W ˜ ..MRfrNnierSystems Nonlinear for MOR 4.3. i i x x ( T x i i ) A + 1 = )  4.20 ∀ i + i x B 1 = i B r reads ) + u . u ( s , . . . , W ( t t ) trg requirements storage ) oescorrespond models l ti motn that important is It T 329 ayo h reduced the of racy olnaiisdo nonlinearities e B u ]: tgs large a ntages, ftemethods the of ( no fall of union e scomputed is t t sdfrall for used e ) o fthose of ion mtr are ameters V necessary. s a Use . modal (4.21) (4.20) (4.22) 153 g

4 4. Model Order Reduction

Replace the nonlinear terms by the suitable derivatives of that potential • energy function.

This only doubles the amount of equations; they are still nonlinear, but the number of nonlinearities is strongly reduced and is only dependent on the size of the reduced system, but not on the size of the original system. A large variety of nonlinearities can be approximated, but they must be well approxi- matable by the analytic energy function. Modal approaches in general have the problem that they fail with large geometric nonlinearities (e.g., contact over a large area) or for problems without normal modes (e.g., fluid dynamics). This is also true for other methods.

Chapter summary

For linear model order reduction, many research results exist, and the • theory and implementation is highly developed.

– Control theory methods have error bounds, but are at the moment only applicable to small systems. – Padé approximants are computationally fast enough to use for large systems, but lack a good error estimate. – For our work we have chosen the Arnoldi method, a Krylov subspace- based Padé-type approximation, which combines computational ef- ficiency with the preservation of stability. Usually, the method only requires very few vectors for low-frequency problems.

For nonlinear model order reduction, there are also a number of ap- • proaches.

– The vast amount of possible expressions of nonlinearity however makes a general approch difficult. – Most algorithms are based on training trajectory. – Polynomial projection algorithms do not require a trajectory, but require a special (polynomial) form of the system. – We have chosen to base our model order reduction on the poly- nomial projection, since it does not require a backprojection to evaluate the nonlinear terms as in other approaches. – The drawback of this method is that the system has to be expressed in polynomial form before.

154 Implementation atIII. Part 155

4

.EE olfrSmlto and Simulation for Tool a – EDEW 5. I prpit nrytrs h ffc fnnuiomsurface non-uniform of effect the integrated. terms, energy appropriate r o osbet nwrwt ipie supin.I th In ( software assumptions. EDEW our simplified of with details implementation answer the to present possible not are sgoa nerlcntanslk xdvlm [ volume fixed a like spatia constraints introduce integral to global possible ot as is desc and It gradient a effects by minimisation. minimum tension gradients energy an surface to from surface the arising “evolves” shapes surface of rga o h ueia oeln fmnmlsurfaces. minimal of modelling numerical the Ev for Surface the program with model electrowetting the implemented We Model Evolver Surface 5.1. effects. e lectro h ufc vle yK .Bak sa neatv program interactive an is Brakke A. K. by Evolver Surface The einrpast raeadvc,soe rltrsewill she later or sooner quest and device, limits their a to create come models to analytical where plans point designer a f nw ob asdb oehn else. something by caused be to known r wc smn ’ sO’s. as H’s many as twice are piiaino Electrowetting of Optimisation w lcrct stepwrta assalntrlpeoean phenomena natural all causes that power the is Electricity nloiga rpo ae ne irsoe efidthere find we microscope, a under water of drop a at looking In tig,poiiganmrclto o h iuaino el of simulation the for tool numerical a providing etting), mrs ire(8211?,TeDvlsDictionary Devil’s The (1842–1914?), Bierce Ambrose nnw idesho student school middle Unknown 77 , 78 osrit swell as constraints l .B formulating By ]. E volve le,apowerful a olver, e nris It energies. her n rconjugate or ent eso a be can tension osaiethat arise ions scatr we chapter, is o h study the for ectrowetting d olt by roplets e oa to get ot 157

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

5.1.1. Numerical Representation In the Surface Evolver, the droplet is represented by its bounding facets, which are flat triangles defined by three vertices (points in the Euclidean R3 space) and three connecting edges. The basic operation for the evolution of the surface is the iteration step which moves the vertices along the energy gradient. The actual displacement is the product of the energy gradient of the respective degree of freedom and a global scale factor, which can be specified by the user or optimised by the Surface Evolver. An additional quantity correcting motion enforces global quantity constraints. For a facet with edges s0 and s1, the facet energy due to surface tension γ can be calculated by γ E = s s . (5.1) 2 | 0 × 1|

The gradient gi = ∂E/∂xi for the first edge s0 is then γ s (s s ) g = 1 × 0 × 1 . (5.2) s0 2 s s | 0 × 1| Summing up all gradient parts of the adjacent faces yields the total free energy gradient of the vector motion [77].

5.1.2. Substrate-Liquid Interfaces The interface of the droplet to air is modelled by a triangle mesh as described. For the interface to the substrate, a mesh is inappropriate for a number of rea- sons: First, on those parts of the interface with constant interfacial tension, there is no gradient for the vertices sitting on the interface; this could lead to numerical problems and mesh degradation. Second, to model a varying inter- facial tension as needed for electrowetting, the surface energy of the triangles would have to be updated whenever the triangle changes its position. Finally, it would be a waste of resources since there is a very elegant way to solve this problem: Instead of an explicit representation of the interface between droplet and substrate, the energy is added to the total energy by transforming the surface integral (equation (3.39) on page 109) into a line integral over the surface boundary [78, 330, 331]. This boundary is represented by the edges of the triangles at the contact line. With the Green-Gauss theorem, we have

γSLndA′ = gdl (5.3) ZA Z∂A

158 piiigissae ti osbet con o different for account ini to the possible of is character it the shape, thus, its and in optimising these force, of drag shape The the ther Thus, force. determines driving electrode. a adjacent in the resulting on gradient, droplet a with touch in osnttuhteatae a,adtu nazr oc.I re In force. zero a finite. in is thus force and the pad, fields actuated fringing the touch not does lcrwtigeet r oeldb sn qain( equation using by modelled are effects Electrowetting Model Electrowetting 5.1.3. ihnra vector normal with npage on o utas emnal nertdi h ouecalculati volume in the removed in the integrated droplet, manually [ confined be a also For must top Evolver. Surface the to ntetp(ofie rpe ae,tesg sinverted. is sign the case), droplet (confined top the On eget we lcrd,adtu,b h rgfreo h otc ie Fo (figure fla boundary line. approximately contact pad likewise the the is on tension curve mot interfacial force energy the drag droplet potential the edge, the the by of thus, of and start shape electrode, re the the at The by determined dynamics tially electrode. the adjacent that the is into rangement reaching spikes feature aaees h otg a ecagddrn runtime. during changed be can voltage the parameters, etn up setting ssice n n slf eoohrie utpeelectro Multiple otherwise. analogously. zero treated left be is can voltages and on, switched is f the qain( equation 78 z ihtejge a de nedgtlsrcue r possi are structures interdigital edge, pad jagged the With fol h nefc ntebto srpae,tevlm ca volume the replaced, is bottom the on interface the only If ic ntebto surface bottom the on Since yial,teegso h lcrdsfrti ido elect of kind this for electrodes the of edges the Typically, d = , z 330 g ieto,w eur a require we direction, g y , = / 109 332 d 5.4   γ x SL hsrslsi a oeta uv sln stecnatl contact the as long as curve potential flat a in results this , − ]. n rte oteSraeEovrsrp l.Wt h s o use the With file. script Evolver Surface the to written and ) R ( d x ,y x, g γ x SL / ) d ( 0 0 n uhta tteeetoetescn eetoetn)term (electrowetting) second the electrode the at that such y ,y x, seult h nefca tension interfacial the to equal is , and )d 5.1 x γ SL   g ntenx ae.Acrigt qain( equation to According page). next the on n . uhta h hr opnn fisrotation, its of component third the that such d = A × ∇ ′ γ = SL g k ( . ,y x, d A ′ ) where , ste nertdacrigto according integrated then is ..SraeEovrModel Evolver Surface 5.1. k 3.44 γ ihatasto at transition a with t SL steui etrin vector unit the is edgtlstructures terdigital Choosing . npage on ) e ihdifferent with des l hc r also are which ble xssa energy an exists e rpe ie and sizes droplet snfrti ar- this for ason a electrode flat a r oetn pump rowetting ilmto.By motion. tial n ssonin shown as on, tteadjacent the at clto sleft is lculation efc tthe at terface o sessen- is ion lt,deto due ality, 113 g x 3.39 (5.4) 0 = and 159 ine ) f ,

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

γ γ

Figure 5.1: Droplet on a square electrode (left) and on an electrode with a jagged edge (right). chemical contaminations on the substrate. Those contaminations can lead to a contact angle hysteresis [237] and even inhibit the motion of the droplet. These shapes are not implemented in detail, because to resolve a jagged electrode shape in all its complexity would require a very fine mesh resolution of the contact line; further mesh degeneracy and instabilities were observed in numerical experiments. Instead, we assume that a spikes’ size is small enough so that its effect can be averaged along the edge direction [5]:

y2 γ(x,y)dy γ(x)= (5.5) (y y ) Zy1 2 − 1 5.2. EDEW

To implement a simulation, some experience in writing of Surface Evolver script files is required to specify the model along with constraints and sur- face energies. Writing new models can so slow down the design process where ready-made solutions for standard problems could be used. We therefore pro- vide a tool, EDEW (Evolve droplets by electrowetting), to simplify this pro- cess: A script template library is provided along with a user friendly graphical user interface (GUI) for all relevant model parameters. For experienced users, direct interaction with the Surface Evolver remains still possible. The frontend is written in Java for portability reasons. Figure 5.2 shows the main com- ponents of the program: The panel on the left allows entering parameters for the template library. Then, after starting the simulation, the control window (top right) opens, which allows interactive control of the simulation process. Each template set provides its own parameter and control panel. Currently, three models are implemented; extending the library is easily done by extend- ing the Simulation Java class. Details of the available models and the Java class are provided in the user manuals [15, 16].

160 iue5.2: Figure n o-ofie rpes(figure droplets non-confined and utb oeutltedces fvlm ffcsteresults. the affects volume fas of how decrease and the evaporated, practica until is solve done droplet the to be until must helps takes This it long curvature. how local the th on explore pendent to useful also is model This shape. by rem detected output. droplet is graphical the merging mov of the and like splitting topology array simulation, the the electrowetting Since during an splitting. of and operations merging basic test to rpe sue satao,ie,tefrecue ntedro [ the on In caused force element. the structural i.e., a actuator, as used is droplet h rtmdl( model first The ute,tefreo h rpe ntesrcuei finter of is structure the on droplet the of force the Further, [ evaporation is question interesting very Another DWue nefc.Lf:Smlto aaees o:Sur Top: (provided parameters; window Graphics Simulation Evolver). Surface Bottom: Left: window; control Evolver interface. user EDEW 1DPath 67 rvdsaln feetoepd ohfrconfined for both pads electrode of line a provides ) ,ti sue ocag h nl fapae in plate; a of angle the change to used is this ], 5.3 ntefloigpg) talw one allows It page). following the on 333 ,wihi ihyde- highly is which ], lti sdt pull to used is plet h einrusing designer the usin,e.g., questions, l n,dispensing, ing, measurements t isunchanged ains xc droplet exact e s hnthe when est ..EDEW 5.2. ythe by face 161

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

Number of pads

y Start ... position Pad size y x Pad size x Spike length Pad gap

Figure 5.3: The 1DPath model and the adjustable geometry parameters. addition, this is a nice example of an optical element: The liquid serves both as electrowetting actuator and as optical element. The electrode edge structure is averaged as described above but still indi- cated in the graphical output for visualisation. To give the designer the possibility to optimise these interdigital structures, an extended version of the 1DPath model is provided. The SpikeShape model allows to either select from a number of predefined spike shapes (sinusoidal, triangular, rectangular and rectangular with user definable pulse width, see figure 5.4) or define additional shapes.

1

0.8 a) b) 0.6 c) 10% a) 0.4 c) 25% b)

[arbitrary units] d) γ 0.2 c) d) 0 −1 −0.5 0 0.5 1 x [arbitrary units]

Figure 5.4: Variation of the interfacial tension γ(x) at the pad edge for different shapes.

For the latter, two steps are necessary:

1. Find the function for γEW (x) and normalise its support to the interval [0, 1] such that the normalised new function f(x) fulfils f(0) = 0 and f(1) = 1. x 2. According to (5.4), find the integral F (x)= 0 f(x′)dx′. R

162 where ( oino h ehvrie.Termto spootoa to force proportional resulting is the motion c i.e., Their Evolver Surface gradient, vertices. the energy mesh way the the i of cl to included a motion due nevertheless not simulation is are complete there effects more assumptions, de damping w some the simulation and under dynamic of inertia However, fully that trajectory a means path The the also necessarily energy. not potential is minimal doms of point a damping: and Inertia conse approa the model. discuss chosen presented we the the section, this of introduction, In the limitations. of in number indicated already As Limits 5.3. ch be com should the voltages the wetting problems, for steps. numerical voltage avoid minimum To the wall. electrodes i estimating placing interesting by for be structure can e.g., fluidic model a priming This for specified. serves be wal can channel voltages four is all and For volume liquid constraints. the and area; integrals meniscus surface the mo of only dynamic consists effect a mesh inertial resulting curve, of position, this estimation the given on allows Based a also that to curve. extracted forced centroid is over optimisation: droplet energy co shape the spike a of for in mode or troid recommended forces, the electrowetting is the which to according only moves uigruntime. during osrit [ constraints x n ti osbet prt h oe nafe oinmd,wher mode, motion free a in model the operate to possible is It hr oe iuae iudmnsu narcaglrch rectangular a in meniscus liquid a simulates model third A hs ucin a lofaueprmtr,wihcneven can which parameters, feature also can functions These +1 x x ˙ − d n + +1 x stesaefco hsnb h ufc vle.B using By Evolver. Surface the by chosen factor scale the is n Kx ) = /d 77 x = iersn n reordering, and linearising , ]: n f + ext f d . ( x ) h oe vle h rpe hp n oiinto position and shape droplet the evolves model The , f cigo h etx ujc to subject vertex, the on acting sdffrn properties different ls s. noeo h walls, the of one on une o h use the for quences oeldthrough modelled s eaint a to relation ose srie mode, nstrained electrowetting f udtk.This take. ould na potential an in hrslsi a in results ch ne nsmall in anged h (negative) the ee h cen- the Here, lt channel plete re ffree- of grees h model. the n luae the alculates h droplet the e ne.The annel. echanged be ..Limits 5.3. e a be can del (5.6) (5.7) x ˙ 163 ≈

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

Now let us have a look at a mass/damper/spring system subject to an external force:

fI + fD + fS = fext, (5.8) where fI = Mx¨ is the reaction force of the inertial mass M subject to ac- celeration, fD = Cx˙ is the damping force of the system, fS = Kx is the reaction force of the stiffness K, and fext is the external force. x may also be vector-valued; M, C and K then turn into matrices. The external force is balanced by the inertial, damping, and stiffness force. The work applied by the external force is converted into kinetic energy, poten- tial energy and dissipation by the damping. At the beginning of the motion, energy mainly goes into the acceleration of the mass (kinetic energy), which can drive the system beyond the equilibrium point where Kx = fext, leading to an oscillation [334–338]. This is true if the ratio of the damping force over the inertia force is small enough. For a massless or strongly damped system where the damping force is much higher than the inertial force, f 0 and the remaining ordinary differential I ≈ equation (ODE) reads

fD + fS = fext, (5.9) or,

Cx˙ + Kx = fext. (5.10)

With C = 1, this is the same formula as for the Surface Evolver evolution step except for the provision of constraints [77] and the timestep. The result is a damped motion [339] – similar to what can be seen from movies of droplets moved by electrowetting [340], which is indicated by the scale effects discussed in the introduction. Damping was also found to be important in the context of droplet vibrations [341–344] and motion in mi- crochannels [345]. This damping should not considered to be the real damping of the physical system, which is influenced by the fluid motion and other friction effects; yet the equilibrium position after long time is the same. The main trait of such a damped system is the absence of overshooting effects which can push the system to a state which is not reachable in the quasi-static limit. One example is a droplet which is accelerated and moved to an electrode which is much larger than the droplet. In a full dynamic model, the droplet may end up further

164 sueaptnilo 1 of potential a assume rd.Tedeeti ae iharltv emtiiyof permittivity relative a with layer dielectric The trode. eihrlfil nietedeeti ae swl si the tension. in interfacial investigate modified as to the well simulation of element as calculation finite cont layer a the dielectric performed from have the both We line, inside contact field the dimensions near peripheral millimetre region in ra the are micrometer from lines the come flux in aerial is of layer lengths t remains dielectric the small and difference the 3) is potential of or strength (the thickness 2 lines the field of field the factor electric that a the by fact of (usually the constant to contributio dielectric the lower due However, small considered. is not are energy air the in electr field Peripheral interface. droplet/substrate the below field: electric Peripheral/fringing equilibrium the reach so to voltage needed the proc energy that the damped assume all strongly We delivering a of simulation. for this needed by energy calculated the Therefore, ing. an dissipation: effect, Energy wanted circumsta the these still actua for slow is rule a design there conservative to expected, a due as gives if where system high case Even as first not lies: the is simulation is It quasi-static a apply occurs. can switching of one no voltage that maximum parasiti the such by find curve to distorted wants be one may another, which inerti in curve from voltage independent lik applied close would the will one switch att case, the are one where which In voltage beams inc actuation: two electrostatic to of by necessary consisting other switch is RF it a numeric if to The checked anoth analogous carefully motion. is be droplet splitting augmented therefore Droplet an must to electrode. lead may the inertia of interior the in eut(figure result fteeetottceeg r bevd eetees th nevertheless, observed; are energy electrostatic the of rudtecnatln,teeeti nrydniyi clos 8.85 and is interface density the energy from away electric 0 the of line, values contact the around h iuainsostergo ls otecnatln fa of line contact the to close region the shows simulation The ertecnatln iglrt,teei ml einwh region small a is there singularity, line contact the Near 5.5 ntefloigpg)sosta,ecp o ml region small a for except that, shows page) following the on hr sn nomto neeg ispto ydamp- by dissipation energy on information no is There V ttedoltbudr n 0 and boundary droplet the at h lcrsai nryi acltdonly calculated is energy electrostatic The J / m 3 utblwit. below just cfilsadteelectric the and fields ic srgo ssalcom- small is region is V s1 is 2 osat.Whereas constant). oeerr could errors More . ofidaminimal a find to e ttebto elec- bottom the at nces. g ree below, even or nge leet n from and effects al reape where example, er uesc ffcs– effects such lude nteart the to air the in n oteassumed the to e state. iecapacities; line c urudn air. surrounding elne length longer he h ffc nthe on effect the inteinertia the tion r ag values large ere rei capable is urce h anvalue main the h massless the d µ iuino the of ribution atdt each to racted s antbe cannot ess lexperiment al rdet the to due er m rpe.We droplet. ihagiven a with ..Limits 5.3. hc.The thick. 165

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

ANSYS 8.0 PLOT NO. 1 ELEMENT SOLUTION

SENE SMN =.249E−19 SMX =.249E−12 0 .500E−14 .100E−13 .150E−13 .200E−13 .250E−13 .300E−13 .350E−13 .400E−13 .450E−13 .500E−13 .550E−13 .600E−13 .650E−13 .700E−13 .750E−13 .800E−13 .850E−13 .900E−13 .950E−13 1.0 V .100E−12 MX 1 µ m 0.0 V

Figure 5.5: FEM solution for electrostatic energy near contact line. The indicated values must be multiplied by 1014 J/m3 to obtain the energy density. pared to the remainder of the droplet. In conclusion, we observe a distortion of the electric field only at a region in the order of the size of the layer thickness, which is small compared to the droplet dimensions. Further, since only the energy difference of two systems (or the energy gradi- ent) is of interest, we expect an influence of these distortions only if the length of the contact line or its curvature experience a large change. This happens, e.g., on that point where it intersects with the electrode boundary; still, the change of effective diameter is small for a small dielectric layer thickness and a large droplet. Effects of very high voltages as, for example, satellite droplets [346], exter- nal field influences [347] or the elastic deformation of the substrate are not resolved. Further, the droplet may deform near the contact line due to the peripheral field.

Charge trapping: If the dielectric layer is penetrable by charged particles and the voltage is applied for a certain time, charges may be trapped inside [243]. This is often seen as one reason for the so called contact angle saturation; further, it impedes the reversibility of the interfacial tension change, leading to contact angle hysteresis. This could be modelled by an additional voltage contribution, such that instead of turning the voltage “off”, it is set to a finite value which models the trapped charges.

Charged biomolecules: A further distortion of the process can come from large charged molecules – or molecules with a nonuniform charge distribution,

166 ieadtesraercntuto smr icl,wihi which difficult, more [ determination is applications the optical reconstruction e.g., surface methods, these the in and However, ap line fluid issue. of volume minor d or a is levelset This a necessary. with representation; remains surface inspection manual model, the in modi a changes: Topological by and above experim further discussed need as approaches ca voltage these This However, “off” substrate. thickness. the additional to an attached conta by remain a molecules cause also the t could when modify This and system. droplet droplet/electrode the of the layer Helmholtz the distort which hpe summary Chapter slo a since effects. energy, pinning kinetic by of e affected effect An the introduce data. experimental also tens to could interfacial matched is the spectrum on and noise amplitude introducing tension cou by interfacial effect or different The pinning a line two. with the stripes between introducing difference by no is there surface, ieec fsvrldgesbtenteavnigadrece and advancing the the between degrees several of difference hysteresis: angle Contact p numerical implem no the poses to singularity Due colla this parts calculations, occurs. two energy di interpenetration the more and connecting w is overlap bridge splitting even operation liquid Droplet the the whether when touch. occurs output droplets graphical the the whether and from see to easy • • • • otc nl hysteresis angle contact aaGIsmlfistehnln ftemodels. the of handling the simplifies GUI Java A oue o ubro ieeteetoetn eusaei are setups electrowetting different of number a for Modules h rpe srpeetdb a by represented is droplet The The yln integrals. line by feetoetn effects electrowetting of EDEW oli ufc-vle ae rga o h simulation the for program based surface-evolver a is tool rpe pitn n egn sntflyimplemented fully not is merging and splitting Droplet 348 ic hssmlto sue efcl flat perfectly a assumes simulation this Since . otmnto n ufc ogns assa causes roughness surface and Contamination .Frdoltmrig nteohrhn,i is it hand, other the on merging, droplet For ]. ufc mesh surface , interfaces roblems. o,weetenoise the where ion, oalwfrcontact for allow to e rpe smore is droplet wer rah hsi only is this proach, igcnatangle, contact ding tagehysteresis angle ct na validation. ental ecpctneof capacitance he et h explicit the to ue sst ieor line a to pses motn for, important s cl ose it see, to fficult r represented are naino the of entation db emulated be ld tne model xtended emodelled be n ftecontact the of ssuccessful as ..Limits 5.3. ncluded. e layer fied 167

5 5. EDEW–Tool for Simulation and Optimisation of Electrowetting

The model does not consider internal fluid flow and thus there is no real • transient response; on the other hand, the energy configuration of the setup is easily recorded. Possible applications are the simulation of droplet handling and optimi- • sation of the setup and operating parameters.

168 .Plnma oe re Reduction Order Model Polynomial 6. xrse ntehrwr ecito language description hardware the in expressed lsto n iuain tcvr ayiprataesas areas important many covers p It and in comp simulation. jumps for and supplement packages alisation simu Mathematica Mathematica a IMTEK IMTEK-developed of the additional life everyday’s where in is needed This are which functions level toolbox source open computer the symbolic of Supplement part the and in Mathematica implemented age is chain tool complete W ofidwy oitrc ihti otae ic tcnb con be can it Since software. this with the interact language, o an script our to industry in ways thus at find applications, used design to is of variety software a The for versities mod method). and Guyan the optimisation (using topology prop deformation, m material plastic thermal, non-linear tacts, non-linearities, structural, like geometric dyna also domains fluid computational of and range calculations electromagnetic wide A devices. ino yblcsligcnb efre [ s performed be like can operations solving complex tas symbolic primitives, or other tion basic many of and number graphical small numerical, algebraic, volving il opromms aki natmtcmne n oretri to and manner automatic macros. an APDL in by task most perform to sible sdi ubro ytmsmltr sefigure (see simulators system of number a in used ANSYS Mathematica o emlile eodnecessity). beyond multiplied (entiti be necessitatem praeter not multiplicanda sunt non Entia Framework aeipeetdato hi trigfo h ulmdli program model element full the finite from starting mercial chain tool a implemented have e sapwru otaepcaefrtesmlto fmultiph of simulation the for package software powerful a is is [ (ims) saflyitgae niomn o ehia optn i computing technical for environment integrated fully a is 349 NY aaercDsg Language Design Parametric ANSYS ]. ila fOka (1285–1347?) Ockham of William ANSYS 350 .Hwvr tlcssm high some lacks it However, ]. eutn nardcdmodel reduced a in resulting Verilog-A 6.1 ntenx ae.The page). next the on ME Mathematica IMTEK ris opig con- coupling, erties, iini sbeneficial is it pinion isaesupported, are mics hc hncnbe can then which AD) ti pos- is it (APDL), lodrreduction order el aindeveloper. lation moi integra- ymbolic s ae na on Based ks. Computational v sfldata useful eve sshould es caia and echanical tto,visu- utation, ler pack- algebra ayuni- many d rle ya by trolled h com- the n rovides ysics 169 n-

6 6. Polynomial Model Order Reduction Framework

Prepare ANSYS data

Read linear element matrices (delete nonlinear element contributions)

Read TRANS126 element data

Assemble system, include series expansion and contact element

Reduce linear part

Use projection matrices for complete system

Postprocess matrices (truncation)

Export to VerilogA Solve system

Figure 6.1: Flow chart for reduction of the nonlinear cantilever model.

Geometry, useful data structures, differential equation systems and game the- ory, and it provides interfaces to external programs and file formats. The first step of this tool chain is the conversion of the ANSYS model to a Mathematica model. ANSYS stores its information in binary files in a somewhat documented format; unfortunately, extracting all information we need is hard. In particular, it is possible to read out the linearised system matrices in a straightforward way; on closer inspection, it turned out that there are inconsistencies if the files are used on their own due to old simulation runs. We use the .emat and .full files for this purpose. The nonlinear parts are not so easily accessible, therefore we decided to use a small ANSYS macro to extract the necessary data of the transducer elements (see section D.3 on page 244).

170 oyoil–satn rmtegoerclnonlinearities geometrical the from starting – polynomial iei needn ftesz fteoiia system. original the of size the of independent is size ilpoeto ehd(section method projection mial edt eetti at ewl eciei ealltrhow later detail in describe will model. useful We coup a polynomial into fact. constraints the this those thus reflect and f to inputs, nonlinear need and the necess states that is on is procedure a dependent complication Thus, Another v system. the the vectors. to whereas input input import parts own into more an is divided one part has are with nodes dealing computational are The we system cantilever The page (section method sr b h bevto htteei ubro ytm wh systems of number a is there that observation might the trajectory (b) actual user, the because generality, provide to eiecnit facniee aigaseilsaeta i that shape special a type element in having (ANSYS cantilever created elements was a shell of model device consists storage device data scanning-probe Model The Device Storage Data Scanning-Probe 6.1. nonl the of simplification a model, final performed. the is in terms of number e sasm httedsac rmcniee otecounte the fo to compensate cantilever to from adapted distance is the size that d gap assume the thus us Let air, of dielectric its that and is than electrode polymer counter storage the The and cantilever transducer. the own domain; its electrostatic assigned the gets to top model mechanical the couple to oe a 41dgeso freedom. of degrees 9441 has model oye is polymer ae.Tetpi oeldwt igepyramidal single a with modelled is tip The page). h rao h lts h oa aaiac ftocapacito two of capacitance total The plates. the of area the t h oye’ hcns is thickness polymer’s the , h ovre oe ste rte u noaVrlgAfile. Verilog-A a into out written then is model converted The hn h oe re euto spromd edcddt u to decided We performed. is reduction order model the Then, fe edn ntenniermdl ti ovre oapol a to converted is it model, nonlinear the in reading After nteetr otmo h em RN16tasue elemen transducer TRANS126 beam, the of bottom entire the On 101 C 1 pt h airSoe qain,ad()ta h resultin the that (c) and equations, Stokes Navier the to up ) = C ε 1 r 1 h aaiac ftoprle ltsis plates parallel two of capacitance The . + 4.2.3 C 1 2 . npage on ..Sann-rb aaSoaeDvc Model Device Storage Data Scanning-Probe 6.1. d p 132 n h eaiedeeti emtiiyo the of permittivity dielectric relative the and 4.3.4 .Ti eiini ae n()tewish the (a) on based is decision This ). SHELL181 npage on 150 e figure see , oehrwt h Arnoldi the with together ) SOLID95 emtiiyi higher is permittivity ε sesection (see 6.2 r eukont the to unknown be ε vr oeo the on node every retr sboth is term orce 0 iutdbetween situated si eisis series in rs A/d oeldwith modelled s nma system. ynomial ntefollowing the on r ogenerate to ary laeo each on oltage na matrices inear lmn.The element. c are ich lcrd is electrode r n property: ant NY.The ANSYS. igmatrices ling otransform to hseffect: this r where , olmtthe limit To eapolyno- a se saeused are ts system g 3.8.3 e se per A 171 on is

6 6. Polynomial Model Order Reduction Framework

Figure 6.2: The scanning-probe data storage device ANSYS model. Left: The FEM mesh. Right: Close-up of the tip with transducer elements.

We can now split the capacitor with two materials into two capacitors in series, so that we have 1 1 1 = + C C1 C2 d d d = t − p + p ε0A ε0εrA (d d )+ d /ε = t − p p r ε0A d + (1/ε 1)d = t r − p ε0A d = eq . ε0A We thus set the equivalent gap length to d + (1/ε 1)d . t r − p The transducer elements can also serve as 1D contact elements, which can be used to study the touchdown of the cantilever onto the polymer. The minimal gap, which represents the point of contact, must then likewise be adapted because we are now using its equivalent (“dielectric”) length, so that is must be multiplied by 1/εr. The capacitance of the transducer elements is calculated with the formula

C C(d)= 0 , d i.e., the polynomial terms are left zero.

172 h i,too h esadoeo h aaiiepafr (fig platform capacitive the on one and legs the on two tip, the twsdcddntt mlmn tit h eue model. reduced the into exchang it to implement able to be not should decided designers was ele the it the and that is complex, reason quite The is input. separate as treated is group a ofidago ersnainfreutoswt higher-r with equations for ( representation reduction products good tor order a model find polynomial to was of implementation the For Systems Polynomial of Representation 6.2. 6.3: Figure e arcscudb ersne ymti lmnswt st with elements matrix by represented be e.g., could matrices tem ilb h oe euefrpotn h iuainrslsa results simulation the plotting for errors. use reduction we nodes the be will ial,fu oio oe r enda ytmotus on outputs; system as defined are nodes monitor four Finally, h oe r hnmnal attoe into partitioned manually then are nodes The  x 1 2 x 1 1  x oiino h ormntrnds(akdwt circles). with (marked nodes monitor four the of Position oee,frteproeo oe re euto ihthe with reduction order model of purpose the for However, . oyoilmatrices polynomial ..Rpeetto fPlnma Systems Polynomial of Representation 6.2. .I eea,asse ihnniersys- nonlinear with system a general, In ). eeaegroups leverage toeitv model ctroresistive dcluaigthe calculating nd n arxvec- matrix ank tesl;thus easily; it e ure ahleverage Each . h rttask first the , t variables, ate spae at placed is e 6.3 .Those ). 173

6 6. Polynomial Model Order Reduction Framework polynomial projection method it is better to have an explicit polynomial rep- resentation like ˙x + Ax + x T Wx = Bu, where A and B would be matrices (tensor rank 2), W = Wijk a tensor with tensor rank 3, x the state variables (unknown quantities), ˙x their time deriva- tives and u the inputs to the system. We call this system polynomial because n1 n2 the states only occur in the form xi1 xi2 with natural numbered nj. T · · · In Einstein notation, x Wx reads Wijkxixjxk. If in addition matrices are used which couple inputs and states and in addition are parametric, a data structure for polynomial matrices should be able to represent something like pi(Wi)jklx¨kul, where the pi are parameters for the system. The index j represents the rows, i.e., the equations of the system. In the case of non- parametric matrices, this is the first index. The main work on this topic was done in Mathematica, therefore we now present a Mathematica implementation a framework to treat polynomial sys- tems. The implementation is done as an extension of Oliver Rübenkönig’s System package in the IMTEK Mathematica Supplement framework, whose purpose is to give a datastructure for systems of the form Mx¨ + Ex˙ + Kx = Bu. Let us call the matrices in this equation (i.e., the stiffness, damping, mass and load scattering matrices) the “common” matrices. We now represent the sequence of variables, inputs, parameters and pos- sibly further symbols by a list of lists. Every sublist stands for one symbol. The components of the sublist are for the time derivatives. For example, the sequence pixjxkx¨lumu˙ n would be denoted as {{1}, {2,0,1}, {1,1}}, telling us that p occurs one time, x occurs two times, its second time derivative one time, u occurs one time and ˙u also one time. Usually we use the order parameter, state, input. Trailing zeros and trailing empty lists are omitted for uniqueness: pixjxkx¨l would be {{1}, {2,0,1}} instead of {{1}, {2,0,1}, {0,0}} or {{1}, {2,0,1}, {}} (without the trailing empty list). The stiffness matrix can be described with {{}, {1}}, the parametric stiffness matrices by {{1}, {1}}. In the same way, we have {{}, {0,1}} and {{1}, {0,1}} for the damping and {{}, {0,0,1}} and {{1}, {0,0,1}} for the inertia matrix. The load scattering matrix is {{}, {}, {1}} but with a sign change, since all matri- ces are put to the left hand side. We call this the canonical form form the exponents.

174 famti,tetno akof rank tensor the matrix, a of oapytepoeto o .. l ntne ftestates the of instances all functions the e.g., imsPolynomMatrixContractAll purpose, to, this For projection derivatives. the apply to eeso h esruigapoeto matrix projection a using tensor the of levels igemti n l arcso system. a of matrices all and matrix single h omnmtie,wihnwhv o-osatetis T entries. non-constant have now which imsPolynomMatrixToMatrix matrices, common the xoet s2 hntesse sscn re ntime). in order second sec is the e. system of the is, for length then system checking the 2, by a if is example, if matrices exponents (for check quadratic signatures to features exponent easy or of also time is in it order t framework, retrieve to this conve functions for With special employed; exist be there can matrices exponents common the on matrices matching pattern those available, sy a is to a exponents added multiplying is same matrix the a with if i.e., matrix expected, as behave to loaded those et n h cultno,wihw call we which ] tensor, values actual the and nents eso fasse.Tefunction The system. a of version esmti ota h ytmcnb rte nteform the in written be can system the that i the so with matrix system ness the derivat left-multiplies which time implemented mixed was of case the in ca even m It as possible, maintain as to state. tries behaviour this function The at linearisation entries. matrix a constant returns and vector point h uldcmnaini vial ntersetv epB Help respective the [ in ims available the is documentation full The sdsrbdi section in described As h etipratpoeuefrmdlodrrdcini to is reduction order model for procedure important next The oeie,i sbte orvr hsrpeetto oare a to representation this revert to better is it Sometimes, oehrwt h tensor the with Together ial,frteepr oVrlgA h function the Verilog-A, to export the for Finally, x imsPolynomMatrices = 349 o example, for , f ( ]. t, imsPolynomMatrix x , x ˙ , x ¨ . . . , mPlnmarx {,{,,},W] W {0,0,1}}, {{}, imsPolynomMatrix[ ) 4.3.4 . W ilsan yields and npage on ecncet nojc owa hs expo- these wrap to object an create can we , W a eused. be can yasaa spsil.T ereeamatrix, a retrieve To possible. is scalar a by ..Rpeetto fPlnma Systems Polynomial of Representation 6.2. imsToSimpleSystem ildces yoe ute,i suseful is it Further, one. by decrease will imsLinearizeSystem imsSystem 150 ti eesr ohv linearised a have to necessary is it , mPlnmarx exponents, imsPolynomMatrix[ imsPolynomMatrixContract V favector a If . the ; imsStiffnessToIdentity Plus oeatyti o a for this exactly do e rmasystem. a from hem ae noperating an takes lohnl non- handle also n c ftedynamic the of uch vreo h stiff- the of nverse n uls fthe of sublist ond rsnainwith presentation tmadanother and stem v prtri over- is operator osretisof entries rowser ives. r de.Also added. are n hi time their and h occurrence the sue instead used is rjc certain project ine o the for nience, . fsecond of g., efunctions he u of sum A . and 175

6 6. Polynomial Model Order Reduction Framework

6.3. ANSYS Interface

The millipede model was set up in ANSYS and discretised by the IBM Re- search Labs. This means that a system of ordinary differential equations is already present in the program and can be transfered to our simulation en- vironment. There is already software available to extract this information and perform linear model order reduction, namely the mor4ansys package by Evgenii Rudnyi [351] written in C++. It turns out that the time for generat- ing a linear reduced model is the the order of twice the time for a stationary solution in ANSYS. The computational cost for the solution of the reduced system is actually almost negligible. In Mathematica, these excellent perfor- mance figures are not possible, but due to its powerful language it is excellent as prototyping tool for later application in a compilable language. The challenge is that ANSYS stores the system’s matrices in a linearised form, which is unsuitable for our purpose of nonlinear model order reduction. We thus use a hybrid approach. The ANSYS binary files store information on the system matrices. The WRFULL command in combination with SOLVE ensures that the .full file is written (see section D.2 on page 244, available from ANSYS version 8). Binary files always start with a header with basic information on the file. The fields are listed in table D.1 on page 241. Then, the data part follows which in stored in records. The format of the records can be retrieved from the ANSYS documentation, especially from the file format descriptions in the include/ subdirectory. They start with a 32bit integer word describing the length of the record and then the data. Unfortunately, the file format has partly changed in version 10 of ANSYS, so that the following statements are only true up to version 9. The .full file stores information about the assembled system, for example, the assembled stiffness matrix, nodal boundary conditions as nodal forces or Dirichlet boundary conditions and degrees of freedoms per node. Further, there are pointers which serve as an index to speed up the reading of the file. The data which are available through the interface are listed in table D.2 on page 242 in the appendix (we leave out the indices/pointers in the table). The items which are of special interest for our application are emphasised. Constraint equations are not yet considered, and the interface is limited to the symbolic .full file format. The load vector is taken from the .full file, therefore if multiple loads are to be considered, different files must be specified. The mor4ansys manual [352] has some remarks about generating multiple .full files.

176 setable (see rmtelnaiaini h tffesmti.A ienote side variable a the As on depending matrix. that of stiffness stated is deletion the it the in mentation, for linearisation crucial the is from possi conc which the assembly, difference implemented from effectual we element Further, elements not the matrices). but from the or little, in file numbers a full is the there from elements, matrix condition stiffness boundary Dirichlet the include take to whether choose can in ora h iayfie n sebete oa to them assemble and files binary the read to tions arcsadetatbscdt bu h oe.Wa smiss is What elements. transducer model. the the case our about in data elements, basic nonlinear extract and matrices ele each for so repeated is Newton-Raphson section and data element matrix The stiffening available. stress the Further, ubro culetis h omtcnb determined. form be triangular can lower format di the and for entries, symmetry format actual for of a matr keys number is symmetric the there wise); finally, on and (column Depending form, form triangular full lower in in stored are trices re n sacneunevr iar eut a occur. may results t bizarre that very observed consequence we a real; as or and complex is true, data the type, analysis elements the nonlinear use therefore of c We Furt contribution linear avoid. analysis. a linearised previous is the the matrix on include the depending mass, sometimes and but stiffness written, always not are oc nec oei acltda icse nsection in discussed as gap calculated and is capacitance node the each on and force settings, orientation its about file. the in order actual enumeration the their between their converters and from as expected serve tables than equivalence different element is files the in npage on ar nissrpiglnug PL h ml citprint script small The APDL. language scripting its in macro The h ais osblt st rt u h eurddt wit data required the out write to is possibility easiest The h ahmtc akg hc mlmnsti nefc fe interface this implements which package Mathematica The ihtoeotos ehv h osblt ora h linea the read to possibility the have we options, those With arcsmyb trdi ubro ieetfras Asymm formats: different of number a in stored be may b Matrices may matrix mass and damping stiffness, the element, each For h l otisteasmldsins arx asaddam and mass matrix; stiffness assembled the contains file The F .emat = 244 D.3 − 2 C0 rtsotteeeetnmesadnd nie,informatio indices, node and numbers element the out writes x npage on l trsifrainaotteidvda lmn matric element individual the about information stores file 2 V 2 , 244 nteapni) h re feeet n nodes and elements of order The appendix). the in .emat l oetatteeeetmatrices. element the extract to file kan imsSystem ..ASSInterface ANSYS 6.3. 3.7.1 lmn numbering element hc pcfisthe specifies which hc ewn to want we which ment. rigvr small very erning ,adwehrto whether and s, e,tematrices the her, di section in ed h oa and nodal The . i sntalways not is his gnlmatrices. agonal aa hn the Then, data. npage on iiyt exclude to bility prosentries spurious csaestored are ices ntedocu- the in , uindt is data lution miainof ombination l frlinear (for file nANSYS an h igmatrices ping at fall of parts r trsfunc- atures n r the are ing n nthe on and h user The . ti ma- etric stored. e 76 : D.3 177 es n

6 6. Polynomial Model Order Reduction Framework where C0 is the value as given in the ANSYS model and V the input voltage. These nonlinearities are then assembled to the B matrix; x is replaced by the appropriate gap, which is calculated from the initial gap and the degree of freedom of z displacement such that the force points to the right direction. By assembling to different columns, we can specify multiple inputs. In this case, the inputs are the squared values of V , which is an easy way to reduce the nonlinearity of the system. Still, the system is nonlinear due to the 1/x2 terms in B.

6.4. Series Expansion of Nonlinearities

To apply the polynomial model order approach, the transducer capacities are now approximated by a Taylor expansion: C0 C0 C0 C0 C0 2 3 4 C(x)= 2 (x x0)+ 3 (x x0) 4 (x x0) + (x x0) x ≈ x0 − x0 − x0 − − x0 − O −  The force for node i with applied voltage Vj is then approximated (leaving out the rest term) by C0 C0 C0 C0 1 2 i 2 i 2 i 2 2 Fi(xi)= 2 Vj 2 Vj + 2 3 (xi x0,i)Vj 3 4 (xi x0,i) Vj −2 xi ≈−x0,i x0,i − − x0,i − C0 C0 C0 i 2 i 2 i 2 2 = 6 2 Vj +8 3 xiVj 3 4 xi Vj , − x0,i x0,i − x0,i

Bij Ktrans,jii Wjiii where Bij is the ith row of| the{z }jth load| {z scattering} | {z vector,} Ktrans,jii can be seen as the jth parametric stiffness matrix’ diagonal element at position (i, i), and Wjiii the jth parametric quadratic stiffness matrix’ diagonal element at 2 position (i, i, i). It is thus a bit unclear whether Vj should be called an input or a parameter, at least if we use the nomenclature for the linear case. Since Vj 2 always occurs squared, we should use Vj as input instead of Vj to not further complicate the model. As a result, we have two matrices Ktrans and W which we may include into our model as parametric and nonlinear terms. The model is thus a quadratic approximation of the full model. The question is now how to choose the x0,i. The typical gap is in the range from 0 to 1.75 (this is where contact would occur), so a good expansion point is somewhere in between. We found that 1.25 gives quite a good approximation to the curve (see figure 6.4).

178 eurdt oc h i oazr a.Frsmlct,ltus str let remaining simplicity, the For by tip the gap. on zero force a the which to in tip case, the static force to required fteudme ytmfrgnrtn h rlvsbpc.W the in subspace. implemented Krylov functions the new generating the for u of can system we undamped 0 the point p expansion of operating frequency the the at at system damping, linearised Rayleigh the straightforward to a applied in is performed method is Integration reduction order Time model The and Reduction Order Model 6.5. t (in structure. apply the of should force element the contact balance the to which rection) force the is this xenlcnatmdl h qaino h otc oe is model contact the of equation The model. contact external iue6.4: Figure f1a the at 1 of ti lopsil ofidan-eerto oe ycalculat by model no-penetration a find to possible also is It nadto oteela etr hr saohrla vecto load another is there vectors load these to addition In f u tip = C/C0 0.4 0.6 0.8 1.2 1.4 1.6 1.8 ( = − 1 z max 0.6 oyoilapoiaino h capacitance. the of approximation Polynomial K ereo reo ftetp ihti od ecncnetan connect can we load, this with tip; the of freedom of degree x ) 0 tip , 100( ; 0.8 − 0 ..MdlOdrRdcinadTm Integration Time and Reduction Order Model 6.5. . 8 1 − x tip ) 1.2  Gap . imsSystem 1.4 Difference Expansion 1.6 1/x akg,teprojection the package, 1.8 it ic euse we Since oint. a.TeArnoldi The way. cueis ucture eopst di- opposite he etematrices the se ihavalue a with r aclt the calculate n h force the ing 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0 t h help the ith

Difference 179

6 6. Polynomial Model Order Reduction Framework matrix V is applied to all matrices at those levels which either stand for the rows of the equation (this is the WT part) and to all those matrix levels which are multiplied by x or its time derivatives. For better comparability with the ANSYS results, we use a Newmark algo- rithm with nonlinear Newton equation solver as described above. The advan- tage over Mathematica’s built-in NDSolve is that (a) it can handle second-order systems, and (b) that we have full control over the algorithm. This is necessary because transient responses are always difficult to compare since integration errors accumulate over time. This is easier with harmonic simulations, but unfortunately only possible for the linear case. 3 The problem with this approach is that the Wr matrix is of size nrm, where nr is the size of the reduced system and m is the number of parameters, in our case the number of lever groups. So if we assign nv Arnoldi vectors to 3 4 each input, the size of the final matrix is nvm . Since in the current model there are 14 lever groups and 1 tip input, we have a size of n3 154 = 50625n3. v · v This matrix is dense. We experimented with two novel approaches to reduce the number of entries: discarding of small couplings and non-uniform block Arnoldi.

Discarding of small couplings deletes all entries of W which are lower than a certain threshold. This is based on the assumption that this matrix stores the coupling of Arnoldi “modes”; a lower number means that the coupling between two modes is low. The limit of this approach is that it assumes that the modal amplitudes are of the same magnitude. A better enhancement would therefore be to give the entries at lower indices a higher weight and start the deletion at the part of the matrix with higher indices; the reason is that the vectors with higher indices are, because of the Gram-Schmidt orthogonalisation, only corrections to the vectors with lower indices, and therefore the expected model amplitude is smaller.

Non-uniform block Arnoldi assumes that – for the same reason – after a few block Arnoldi iterations only small corrections are necessary, and that there are inputs which have a lower importance. Therefore, the number of Arnoldi vectors for those inputs is chosen lower than for the “important” inputs, since we assume that (a) the modal amplitudes are low, and (b) these modes are already contained quite well in the previous subspace. One enhancement of this method then would be to check for the norm of the Arnoldi vector before orthonormalisation and then decide when to stop for this particular input.

180 onswihsol ecniee hnuigti approach. modelling: this Transducer using when ident considered have We be limits. should several which has points chosen have we approach The Limits 6.7. equation f the special order, a first variable matrix. to for defined, output checking conversion are type the of domains from kind assignments a energy as the serves that Then, Verilog-A grounds. mon htw a rt h qain nteform ide the the in is equations matrix the stiffness write the firs can that the we to such that only converted converted with is then it i.e., and Then, system, matrices, descrip “simple” non-constant) a hardware possibly to the converted to is exported system is file Section Verilog-A. the reduction, After Export Verilog-A 6.6. very a showed they experiments numerical mance. in but foundation, oyoilmatrices: Polynomial approximation: Polynomial h edro h eio- ucinhlsalipt n out and inputs all holds function Verilog-A the of header The ohapoce r ersi n urnl akasudmat sound a lack currently and heuristic are approaches Both oriaesse sntpsil,btti sn rnia p principal no is this but possible, not th is especially system Mathem problem, coordinate no to particular t the import do Currently, to ANSYS which constrained current elements, quite The lost. TRANS126 fields. is of stray account generality use into the where on part relies first mentation the is pling rgnlsse,btaedpneto h ubro nus A reduc the inputs. or of interpolation number tangential the be freed on could of dependent degrees remedy are of sible number but the system, on original independent are they Still, i otatt oc ono h i ny sntadequately not is only) tip electrostat the the of of down touch down a touch to nonlineariti possible contrast weak (in a for Thus, possible contact). only for and point expansion the D.4 h eutn arcstr u obcm ahrbig. rather become to out turn matrices resulting The npage on h prxmto fteeetottcsrcua cou- electrostatic-structural the of approximation The h prxmto sol ai ntevcnt of vicinity the in valid only is approximation The 245 hw neapeo uhafie is,the First, file. a such of example an shows x i = . . . ..VrlgAExport Verilog-A 6.6. .Nx olwthe follow Next s. n nlythe finally and s re ntime. in order t tt arx so matrix, ntity s frotated of use e fidfu main four ified omn(now common usadcom- and puts inlanguage tion odperfor- good roblem. s(.. not (e.g., es cplatform ic ino the of tion i imple- his mo the of om hematical modelled. aueof eature tc is atica take t pos- 181

6 6. Polynomial Model Order Reduction Framework

number of inputs. Since in our case, the lever group voltages are not completely independent, it may be possible to use some sort of reduced basis for these inputs and adapt the resistor model in such a way that it reproduces the amplitudes of those basis vectors.

Model order reduction: All limits concerning the Arnoldi algorithm and poly- nomial reduction still apply, especially the lack of a priori error estima- tors. Thus, a trial and error approach is still required to estimate the quality of the reduction.

However, for our particular problem these limits had been acceptable, and there are a lot of applications where some of them do not apply. One example are systems which are per se polynomial, e.g., geometrical nonlinearities as described in section 3.8.3 on page 101 or Navier-Stokes simulations. The polynomial model order reduction has the advantage that it is not based on a specific trajectory and thus more general.

Chapter summary

The model order reduction framework provides, together with other • modules from the Imtek Mathematica Supplement, means to import, model order reduce and simulate discretised – possibly nonlinear – mod- els. The IBM scanning-probe data storage device is taken as example. • The model is implemented in the commercial tool ANSYS and read into • Mathematica using binary files. Transducer and contact elements are added; they introduce the nonlin- • earities. Symbol isolation and polynomial model order reduction are applied. • Finally, different approaches can be used to reduce the number of terms • in the reduced equations.

182 Results atIV. Part 183

6

iue7.1: Figure EDEW 7. I ..DoltMotion Droplet 7.1. inp s command-line pinch-off manual the give tool; to EDEW possibility the the with of performed use makes be can model tube u oe.Alo hmwt h xeto ftecre channe curved the of exception the with them of simulations of All number a model. of results our the show we section, this n h rae h rcso hc hudb utdi re og to order in quoted conclu authenticity. be one’s should data base which precision to the which greater upon the available data the weaker The c) b) a) )mvdt eodpd lcrd cutd )rlxdafter relaxed c) o actuated; actuation electrode pad, after electrode. second a) to moved droplet: b) moving for results Simulation omnR uutn br 1935) (born Augustine R. Norman ut. efre with performed v the ive sion, electrode; f imulation n the and l grounding 185

7 7. EDEW

Figure 7.1 on the preceding page shows the simulation of a non-confined droplet moved by electrowetting with the material and operation data of ta- ble 7.1. There is no other external force to the droplet except for the change of interfacial energy.

Table 7.1: Parameters for the simulation in figure 7.1 on the previous page. Surface tension 72 J/m2 Contact angle bottom 110 ◦ Droplet volume 1 nl Actuation voltage 40 V Layer thickness 1 µm Relative dielectric constant 3

At the beginning of the motion (a), the change of the hydrophobic to hy- drophilic behaviour of the pad is clearly visible at the contact line on the actuated electrode. The droplet then moves, only because of the change in interfacial energy, to the next pad. After turning off the voltage, the droplet relaxes to its initial state. Another simulation, where the droplet was not overlapping the adjacent electrode in the start, showed no motion. We used the commercial CFD program CFDRC [353] to simulate the flow inside the droplet which is moving at a speed of 1 (speed boundary condition at the bottom) and calculated the heat generation. It turned out that the energy dissipation is proportional to the square of the droplet motion. Figure 7.2 shows the resulting flow.

Figure 7.2: Fluid flow in a moving droplet.

186 h xeieti [ in experiment the al 7.2: Table resul shown) (not droplet centred a partition. s with sometimes is simulation as Another occurs splitting unbalanced that so centre iue7.3: Figure Figure Splitting Droplet 7.2. eaiedeeti osat2 constant dielectric Relative ae hcns 0.1 25 62.8 thickness Layer voltage Actuation volume Droplet otc nl 120 80 substrates between spacing Vertical angle Contact ufc eso 72 tension Surface h rcdr o pitn sa follows: as is splitting for procedure The .Sra h rpe vranme feetoe eg )b a by 3) (e.g. electrodes of number a over droplet the Spread 1. fthem. of 7.3 hw h ucsflsltigo ofie rpe.W repe We droplet. confined a of splitting successful the shows aaeesfrtesmlto nfigure in simulation the for Parameters pitn fadoltb lcrwtig h akelectrod 25 dark of The voltage a electrowetting. with by ated droplet a of Splitting 50 sn h ausi table in values the using ] V . V µ J µ ◦ / m m pl m 2 7.3 7.2 . epaetedoltoff droplet the place We . ..DoltSplitting Droplet 7.2. e nexperiments. in een e na even an in ted tvtn all ctivating saeactu- are es 187 at

7 7. EDEW

2. Switch off electrodes in the centre of the droplet. While the outer active electrodes still attract the droplet, the central inactive electrode repels the droplet due to its natural hydrophobicity. If the parameters are well chosen, the droplet splits and two single droplets, each with half the volume, remain. We stop the simulation just before topological changes occur due to pinch- off, resulting in the shape shown in figure 7.3. The computation time for this simulation was about 3.5 minutes on an AMD Athlon 64 3000+ (1.8 GHz), the surface is discretised using about 1000 vertices.

7.3. Rising Fluid in Tube

Figure 7.4 shows a liquid column rising in a vertical cylindrical tube due to capillary action. The capillary forces are balanced by gravity:

Fc = Fg 2πrγ = πr2̺gh 2γ h = , ⇒ r̺g where Fc, Fg are capillary and gravitational force, r is the tube radius, γ = γ γ (V ) the effective interfacial tension to the wall of the tube, ̺ the SW − SL fluid density, g the gravity constant and h the height of the meniscus. The interfacial tension to the wall of the tube can be varied by electrowet- ting. Since an analytical solution is available, we can use this example as a verification for our approach. Figure 7.4 shows a comparison between the analytical result and the Surface Evolver result (height average of meniscus vertices), yielding a very close match between the two.

7.4. Pinch-Off in Confined Setup

In figure 7.5 , the case of a confined droplet losing volume, e.g., by evapora- tion, is considered. A failure of a confined setup can occur because of two geometrical effects. The first danger is that the droplet becomes smaller than the electrode size. If it is then sitting in the interior of the electrode, with no overlap with an adjacent electrode, it is not possible any more to move the droplet away from this spot (see figure 1.3 on page 12 and figure 5.1 on

188 iue7.5: Figure 7.4: Figure

Volume[10-12m3] Meniscus height [mm] 0.5 1.5 2.5 10 3 0 1 2 5 6 7 8 9 iinfrapaedsac f100 of stru distance sandwich transp plate sufficient a a to in for compared droplet dition volume minimal a Simulated of Left: volume transportable Minimal electrowetting to subject tube a in column liquid a of Height 110 raigvolume. creasing 70 0 ◦ 5 80 noepae ih:Dvlpeto h rpe hp ihde with shape droplet the of Development Right: plate. one on Simulation Difference Analytical 10 90 Contact angle[degrees] 15 Sufficient volume 100 Surface Evolver Voltage [V] 20 25 110 30 120 35 130 40 140 45 µ ..PnhO nCnndSetup Confined in Pinch-Off 7.4. 50 150 m 0 5 10 15 20 25 30 n osatcnatageof angle contact constant a and

Difference [µm] h . r con- ort cture. 189 -

7 7. EDEW page 160). This problem can be easily tackled by making the electrodes smaller than the considered “worst case” droplet volume. The second issue is that the confined setup only works properly as long as the droplet is in contact with both substrates. Figure 7.6 shows two isochore possible droplet morphologies;

a) b)

Figure 7.6: Droplet morphologies with same volume in confined setup. electrowetting in the considered setup is only possible with morphology b), so pinch-off must be avoided at all circumstances. Assuming a contact angle θ at the substrate and a distance of h between top and bottom covers, we can calculate that the sufficient volume, where contact is always guaranteed, is:

1 1 v πh3 . ≥ 1 cos θ − 3  −  At that volume, even morphology a) touches the upper electrode and thus contact is ensured. If the contact angles on the substrates differ, the smaller of the two must be used.

Table 7.3: Parameters for the simulation in figure 7.5 on the previous page. Surface tension 72 J/m2 Contact angle at top 110 ◦ Contact angle at bottom 70 ◦ Substrate distance 100 µm

Fortunately, there is a safety margin between the theoretical value and the actual pinch-off. As can be seen in figure 7.5 on the preceding page (using the values in table 7.3), the shape of the evaporating droplet just before pinch-off is almost cylindrical near the hydrophobic part. This corresponds to a local energy minimum, which traps the surface in this shape. A further decrease in droplet volume finally results in the system leaving the local minimum. However, once the droplet has detached, recovery is impossible. This margin is clearly visible in figure 7.5, with minimal transportable vol- ume where the contact angles of both substrates are equal. The curve shows

190 rpe hp n hsteblneo ufc eso n int and tension surface of balance heav the walls [ channel thus gies the and of surfaces shape The droplet motion: ad an droplet is the there to channels, in performed is electrowetting When Channels 7.5. falqi eicsi uhacanlwt ayn rs sec integral cross surface varying by a included ( with but equation channel discretised, a not such is in itself meniscus liquid a of ln sso stecnatageo h oe lt ass11 passes plate lower the t of at angle flipped contact the are as morphologies soon the as plane because symmetry, certain a orgt u tl h eicssosa eti on,and point, certain a at shift. stops further a meniscus for the needed still but right, to al 7.4: Table 7.7: Figure n ute,sc htcmlt etn ae lc.Figure place. takes wetting complete positi its that l channel; such the a in get further, line we and contact voltage the certain of a proceeding at the that observed we library, model oc sncsayt oiytesrae Figure surface. stuc the get modify might fluid to The necessary reservoir: is larger force a at ends or section ieetsae ftemnsu o h ytmgvni table in given system the for meniscus the of states different eaiedeeti osat3 constant dielectric Relative ae hcns 1 thickness Layer otc nl 110 100 height and width Channel angle Contact ufc eso 72 tension Surface nteohrhn,frasrih hne sipeetdi th in implemented as channel straight a for hand, other the On 354 .Ti eoe seilyiprati h hne changes channel the if important especially becomes This ]. 5.4 aaeesfrtesmlto nfigure in simulation the for Parameters iudmnsu nacre hne o nraigvoltage. increasing for channel curved a in meniscus Liquid npage on ) 159 h otg ntemnsu sicesdfo left from increased is meniscus the on voltage The . µ m J µ ◦ / m m 2 7.8 7.7 ntenx page. next the on hw eiso pictures of series a shows rnfrain sin as transformations nicessfurther increases on iinlconstraint ditional ,bcuealarge a because k, l nunethe influence ily reices in increase arge oevlaeis voltage more 0 in h fluid The tion. 7.8 ..Channels 7.5. 7.4 ◦ ehorizontal he railener- erfacial . h first The : hw the shows EDEW e t cross its 191

7 7. EDEW

a) b)

c)

Figure 7.8: Meniscus in a rectangular channel. a) Meniscus at low voltage. b) and c) Meniscus at higher voltage; a contact angle of 0 ◦ occurs. graph shows the equilibrium state for zero voltage. The other two graphs show the meniscus for a voltage of 86 V. This is not the equilibrium state; since complete wetting occurs for this value, the contact line proceeds further and further into the channel, until the finite resolution of the mesh leads to numerical instabilities.

7.6. Optimisation of Electrode Fine Structure

We calculated the free energy of a droplet being moved over actuated electrodes with different shapes of interdigital structures [5]. We studied the shapes shown in figure 5.4 on page 162 for a structure length of 100 µm and 400 µm. The parameters of the model are shown in table 7.5.

Table 7.5: Parameters for the electrode fine structure optimisation. Surface tension 72 J/m2 Contact angle bottom 110 ◦ Droplet volume 2 µl Actuation voltage 33 V Layer thickness 1 µm Relative dielectric constant 2.1

Initially, the droplet resides next to the pad to which the voltage is applied

192 upin aebe made: been posit have locat centroid sumptions the increasing versus a plotted at is fixed and is evaluated droplet wi is the calculated, energy of is centroid surface droplet the the that for minimum adiab energy movement the the fluidic as follows the further shape than We fluid scale the time time. i.e., larger a much droplet, at actua a the actuated the on is of happens pad structure motion edge one the pad only the that touch assume not We does it that such iue7.9: Figure where tutr n hr tarvso h ukpad. bulk the the at on arrives arrives line it contact where and the structure where indicate circles White n volume and ftecnatln ice h aiso h rpe aefor base droplet the of radius The circle. line contact the of h eut fteSraeEovrmdlaesoni figures in shown are model Evolver Surface the of results The ecmaeterslst emti oe,frwihtefol the which for model, geometric a to results the compare We sim every For pad. the onto manually moved then is droplet The h oeta nrycag a hnb acltdb evalua by calculated be then can change energy potential The • • • h iudaritraede o otiuet h nryc energy the to contribute not does interface liquid-air The h otc ieawy om ice(e figure (see circle a change forms not always does line line contact contact The the of radius base The ∆ t rai prxmtl constant approximately is area its r r B E B sin = ( sterdu ftecnatln and line contact the of radius the is x c v = ) ceai rwn ftegoercmodel. geometric the of drawing Schematic a ecluae with calculated be can θ · r Z B s γ 3 − 0 r    r        B    π B                            (1 2    q    −     r   cos B 2 length Spike γ 0 − − θ γ 3 ξ ) EW v ..Otmsto fEetoeFn Structure Fine Electrode of Optimisation 7.6. 2 2 2+cos + (2 γ ( ξ + x θ c ) d ) . ξ, x c stepsto ftecentre the of position the is 7.9 ) ion. atically. otc angle contact a hteconstraint the th o.Tesurface The ion. nedgtledge interdigital e a tall. at pad ted ting eaainof relaxation lto step, ulation ag,i.e., hange, oigas- lowing uethat sume 7.10 – 7.12 (7.1) (7.2) 193 θ .

7 7. EDEW

7.6.1. Influence of the Spike Shape The difference of the potential energy for the different shapes is clearly visible. The rectangular shape shows a very steep energy descent from the beginning, which indicates rapid acceleration. The triangular shape shows a very shallow decrease and thus a vanishing energy gradient at the beginning, even the 10% spike shape performs better. However, the curve recovers very fast, and in the long run, the curves of b) and d) coincide. The sinusoidal shape lies in between. The energy gradient is larger than for the triangular shape at the beginning, but lower after passing its first half. The thin rectangular shapes show a very low energy gradient. We also see that the energy curve is shifted to the right, because the structure does not cover half of the area as for the other examples, but only 10% and 25%, respectively. A thick rectangular shape seems to be optimal with respect to the acceler- ation of the droplet; however, since the adjacent interdigital edge structures would touch at this pulse ratio of 50%, the fabrication of this ideal case is challenging and expensive. The limit here is the distance where sparkover or capacitive coupling becomes relevant. Since a small pulse ratio would impair the performance of the structure – as is visible for the c) shapes – either the sinusoidal shape or a mix of the triangular and the rectangular shape should be preferred.

0.5 Length: 100 µm

−10 0

−0.5

−1

−1.5 a) b) c) 10% −2 c) 25% d)

Potential energy difference [10 J] −2.5 −8.6 −8.4 −8.2 −8 −7.8 −7.6 Centroid x position [10 −4 m]

Figure 7.10: Potential energy for different pad edge shapes with a spike length of 100 µm.

194 h eghde o ffc h hp fteeeg uv deform curve energy the of shape the (figure affect extent f not congruence does good show length lengths the spike different for Length curves The Spike the of Influence 7.6.2. iue7.11: Figure Figure Model Geometric with Comparison an drops 7.6.3. small reach to size gradient. large large a a between for tradeoff a is there efrac fasto ie deshapes. edge in given to of possibility set repla the a cannot al in of it lies not performance that strength does Its so model completely. shape, model this droplet r however, deformed shapes taken, the curve assumptions retrieve overall the slightly the to to however, found Due were curves; curves Evolver the pad, Surface the on further droplet sho shapes ener c) overall the The Again, length. structure. positive true towards spike the the the thus than of droplet, smaller independent the is of part length remaining ture the than faster moves uae ihtegoercmdl h uvsaei excellen in are curves The model. figure geometric with the with culated ic h nta nrygain eoe oe h agrt larger the lower becomes gradient energy initial the Since 7.13 Potential energy difference [10 −10 J] −14 −12 −10 −8 −6 −4 −2 7.11 0 ntefloigpg hw h oeta nrydffrnec difference energy potential the shows page following the on oeta nryfrdffrn a desae ihasiele spike a with shapes edge pad 400 different for energy Potential 1 95− 85− 75− 65− −5.5 −6 −6.5 −7 −7.5 −8 −8.5 −9 −9.5 −10 7.12 hwn h aefaue o h ieetsae.Frthe For shapes. different the for features same the showing , x µ m values. ntenx ae.Tecnatln bv h structure the above line contact The page). next the on h hp ftecre ssmlrt figure to similar is curves the of shape The . d) c) c) b) a) Centroid xposition[10m] 25% 10% ..Otmsto fEetoeFn Structure Fine Electrode of Optimisation 7.6. E=−18.87 x=6.44 Length: 400 −4 µ m tnl siaethe estimate stantly rdffrn sizes; different or etenumerical the ce ieg rmthe from diverge mi identical. emain 7.10 ag shift large a w ffciestruc- effective ydces is decrease gy to,ol its only ation, esie are, spikes he ml size small a d agreement t o o e.g., to, low . ghof ngth 195 al-

7 7. EDEW

0 −10 −5

−10

−15

−20 a), 100 µm a), 400 µm −25 c) 10%, 100 µm c) 10%, 400 µm

Potential energy difference [10 J] −30 −10 −9 −8 −7 −6 −5 −4 Centroid x position [10 −4 m]

Figure 7.12: Potential energy for different spike lengths. The curves for sinusoidal shapes coincide after an initial energy difference; the curves for the c) shapes show a clear shift to the right.

0 µ −10 Length: 400 m a) −2 −4 b) −6 c) 10% −8 c) 25% −10 d) −12 −14 −10 −9 −8 −7 −6 −5 Potential energy difference [10 J] Centroid x position [10 −4 m]

Figure 7.13: Potential energy for different pad edge shapes with length 400 µm, calculated with the geometric model.

196 nsmay epeettefloigrslsfrteelectro the for results following the present we summary, In Summary Results 7.7. • • • • • • eeomn famdlbsdo nrymnmsto o h s the for minimisation energy on based model a of Development eeomn fagahclue nefc o h ufc Ev Surface the for interface user graphical a of Development aclto fteptnileeg adcp uigteel the during landscape energy process. potential the of Calculation fdolt ujc oelectrowetting-on-dielectrics to subject droplets of ramn feetoeeg n tutr n hi optimis their and structure fine edge electrode geometries of Treatment typical of variety a to Application verification for models analytical of Derivation h epaelibrary template the ..RslsSummary Results 7.7. etn simulation: wetting ectrowetting ation imulation le and olver 197

7 198 I .MdlOdrReduction Order Model 8. ihatmtcslcino atrnds otrcsigw Postprocessing nodes. master Mathematica. of selection automatic with rod oe re euto a enpromduigEvge using performed been [ has mor4ansys reduction tool order model [ Arnoldi model wire bond Case Order Second [ – In Guyan vs. Arnoldi 8.1. approache reduction order model milliped nonlinear the other of of t reduction results demonstrate and also approximation we polynomial (section the chapter, damping this on in results method; w Arnoldi start we the community, engineering to the in used commonly still ua ehnc.W sdtrebnhak:teIeobutter Imego the benchmarks: three used We mechanics. tural ehdmyhwvrcag hspicture. this freedom interfac change however of of preservation may degrees the method of o like number match properties same better other the a system; for that yielded sense dist a the is is in function there methods that subspace out Krylov turned It the presented. been has systems efudtesm ob refrscn re ytm oigfr coming systems order second for true be to same the found We hscatr epeetrslso ueia experiments (section numerical method of Guyan the results Since present methods. we reduction chapter, this n 250 civd o hnteei ohn oet d,btwe ther when away). but take add, (perfect to to retrancher left more à more nothing rien nothing is plus pl there a a when n’y n’y not il il achieved, quand quand non mais atteinte ajouter, soit à perfection la que semble Il ,acmaio ewe rod n ua euto o first for reduction Guyan and Arnoldi between comparison a ], non eSitEuéy(9014) er e oms II Hommes, des Terre (1900-1944), Saint-Exupéry de Antoine 351 8 ,teGynrdcinhsbe efre nANSYS in performed been has reduction Guyan the ], n nR wth[ switch RF an and ] 4.2.4 npage on 6 137 .Almdl r ier The linear. are models All ]. .Te,w hwrslsfor results show we Then, ). oe nteGuyan the in nodes e 4.2.1 r presented. are s oe.Finally, model. e ntavnaeof advantage inct t comparison a ith spromdin performed as npage on ftereduced the of etheoretical he L’Avion h transfer the f srien us i Rudnyi’s nii o different for o is ion y[ fly mstruc- om is e I: 7 123 ,the ], order 199 is )

8 8. Model Order Reduction

Butterfly Gyro Results: We start with comparing the model order results against the results of a simulation of the full model which we assume is the “true” solution. The left column of figure 8.1 shows the results of a transient solution for the full model and some reduced models. With iterative algorithms like the Arnoldi process is that it is enough to generate the “large” model with 40 degrees of freedom; all “smaller” models can then easily be recovered by simple truncation of the reduced matrices. As input, a step load is applied to one of the wings, and the response at that position is plotted.

40 1e-04 ANSYS Order 5 1e-05 30 Order 10 m]

µ 1e-06 20 1e-07 10 1e-08 Amplitude [m] Amplitude [ 0 1e-09 -10 1e-10 0 0.5 1 1.5 2 2.5 3 1e+04 1e+05 1e+06 1.5 1e-04 Ord. 10-ANS. ANSYS 1 Order 15 1e-05

m] Order 20 µ 0.5 Order 40 1e-06 0 1e-07 -0.5 1e-08 Amplitude [m]

Amplit. diff. [ -1 1e-09 -1.5 1e-10 0 0.5 1 1.5 2 2.5 3 1e+04 1e+05 1e+06 Time [ms] Frequency [Hz] a) Transient behaviour. b) Transfer function.

Figure 8.1: Comparison of full and reduced model for butterfly.

We see that while order 5 is not good enough (figure 8.1a) for this excita- tion, the reduced model of order 10 is already very close to the true solution (figures 8.1b,c). The right column of figure 8.1 shows a comparison of the transfer functions.

200 o tpiptwt t ag oto flwfeunis an frequencies, low of portion large gyrosco clo its figure the even with in for is considered input important step model so a 40 not for order is this The though excitation. frequencies, i considered pe 20 are the a and eigenfrequencies lower 15 shows for the between of 20 improvement considerable some order large that show indicates with The which 15 model extend. to larger the up a range, for 5 frequency order low of the for models reduced the While n arxa rpsdi section in proposed as matrix ing results: Bondwire )Srn damping, Strong a) iue8.2: Figure tffeswt h aeRyeg apn offiinsa o th for combinatio as linear coefficients a damping Rayleigh as same model the reduced with the stiffness in later reinserted Amplitude [µm] Amplitude [µm] -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0 0 oprsno uladrdcdbnwr oe,tasetsi transient model, bondwire reduced and full of Comparison 100 Arnoldi order3 Arnoldi order2 Arnoldi order1 Guyan order20 Guyan order15 Guyan order7 β Time [ 1 = 200 o hsmdl eivsiae h ramn ftedamp- the of treatment the investigated we model, this For 8.1 ANSYS ANSYS µ re 0yed led eystsyn results. satisfying very already yields 10 order , s µ . α s] α 300 =0, =0, β β =1 =1 400 ..Anliv.Gyn–Scn re Case Order Second – Guyan vs. Arnoldi 8.1. µ µ s s 4.2.4 npage on )Lwdamping, Low b) 100 Arnoldi order5 Arnoldi order3 Arnoldi order1 137 Time [ 200 h apn arxis matrix damping The : ANSYS α α µ =0, =0, β s] 300 flwrimportance lower of 0 = β β =0.01 =0.01 e o example For pe. . 01 h timescale the d fms and mass of n e o higher for ser ulmodel, full e 400 remarkable, s µ µ s µ fc match rfect . s s deviations mulation -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0 201 Amplitude [µm] Amplitude [µm]

8 8. Model Order Reduction

Er = αMr + βKr. It is not included in the reduction step, since it does not contain any other information. We investigated different settings for β; α was set to zero for all simulations. Figure 8.2 on the preceding page shows the transient response at the output node (marked with an arrow in figure 2.13 on page 47) to a step load for the ANSYS model and two reduced models. The damping is set to α = 0 and β = 1 µs (figure 8.2a) or β = 0.01 µs (figure 8.2b). It is remarkable that even a model with three (higher damping) or five (lower damping) degrees of freedom is able to catch the transient behaviour almost perfectly. The

1e+01 1e+02 α β µ α β µ =0, =0.01 s =0, =1 s 1e+01 1e+00

m] 1e+00 m] µ µ

1e-01 1e-01

1e-02

Amplitude [ ANSYS ANSYS Amplitude [ 1e-02 Guyan, order 5 Guyan, order 5 Guyan, order 10 Guyan, order 10 1e-03 Arnoldi, order 3 Arnoldi, order 5 1e-03 1e-04 0.001 0.01 0.1 0.001 0.01 0.1 Frequency [MHz] Frequency [MHz] 2.5 3 Arnoldi, order 5 Arnoldi, order 5 2.5 2 Guyan, order 5 Guyan, order 5 2 1.5 1.5 |H| |H|

10 1 1 10 0.5 |-log |-log

r 0.5 r 0 |H 0 |H 10 -0.5 10

log -0.5 -1 log -1.5 α β µ α β µ -1 =0, =1 s =0, =0.01 s -2 -1.5 -2.5 0.001 0.01 0.1 1 10 0.001 0.01 0.1 1 10 Frequency [MHz] Frequency [MHz] a) Strong damping, β = 1 µs. b) Low damping, β = 0.01 µs.

Figure 8.3: Comparison of full and reduced bondwire model, harmonic simula- tion. The lower graphs show the logarithmic difference log Hr(s) 10 | |− log10 H(s) between the transfer functions of the reduced and full model.| |

202 1 efrac ftedvc,te5dgeso reo oe doe model enough. freedom of good degrees device 5 the the no device, do the and a of negligible in performance are approximated displacements are xy-plane states small those Since even that remarkable very a ftesic.Atog h ac o the for match the Although switch. the of bar hap device the of freed motion of main degrees the on example, attention out-of-plane this our turn In to want amplitude. we Now, switch. results: switch RF model the ma of a accuracy that the so to more, res contribute any point not physical some freq does not at thus high frequencies are and of frequencies mesh decay high element slower very finite see hand, the be other of can the usu because On behaviour are damping same deviations stronger the The match damping, for lower 3 frequencies. for order However, of of range damping. model Arnoldi wide arr the a figure the peak, for in at second 10 the beam order near the of model of model sho excitation Guyan figures harmonic the The While for visible. node clearly output also the is approaches two the of considera be also method. to and needs Arnoldi DOFs, system the master reduced for 20 reproduc the of for to order even unable the model damping was damped method strongly Guyan the the of contrast, indistinguishab In are models curves. reduced order higher for curves aclto ftefl Emdlrqie o n timestep. one comput for The requires for FE-model magnitud software. enough full of design order the far same system of is the a calculation roughly freedom takes in of model used order degrees be reduced of to number model the order kHz, of tens rp ssvrlodr fmgiue mle hnfrthe for than smaller magnitudes of orders several is graph ietoshpes(e section (see happens directions eue oe nrae.Cnieigta h displacemen the that number Considering the as close increases. very model becomes it reduced directions, other the for oigpg n figure and page lowing MHz h eut o h amncaayi loso odagreem good a show also analysis harmonic the for results The Figure epromdtasetadhroi iuain;Figure simulations; harmonic and transient performed We ic h prtn rqec ftesic si h ag o range the in is switch the of frequency operating the Since . 8.3 z hw h eut fahroi iuain hr h differe the where simulation, harmonic a of results the shows ieto;truhPisnsrto opigt te in- other to coupling a ratio, Poisson’s through direction; eas efre h aeeprmnsfrteRF the for experiments same the performed also We 8.5 npage on 3.6 ..Anliv.Gyn–Scn re Case Order Second – Guyan vs. Arnoldi 8.1. npage on 205 hwterslsfrand nthe on node a for results the show 67 8.3 ). y evstecreo h full the of curve the leaves a ieto snta odas good as not is direction efo h ANSYS the from le otiuet the to contribute t z h epneof response the w . fvcosi the in vectors of yfrdescribe far by s cl fthe of scale t rp,i sstill is it graph, lylre than larger ally l ihrthan higher bly ftm sthe as time of e eygo way. good very 8.4 nne fthe of onances ec modes. uency h output the e mwt low with om wlocation. ow stecurve the es c fthose of tch esi the in pens o lower for n o h low the for ntefol- the on to fa of ation reduced a n pto up ent several f plane 203 nce y

8 8. Model Order Reduction

0

-5e-11 x-displacement [m]

1e-10

5e-11

y-displacement [m] 0 1e-05

5e-06

0 z-displacement [m] 0 0.05 0.1 0.15 0 0.05 0.1 0.15 Time [ms] Time [ms]

Figure 8.4: Step response of the RF switch for a force applied to one node at the centre of the switch. The displacements in plane (x- and y-direction, upper two viewgraphs) are shown together with the displacement per- pendicular to the switch’s plane. The first column shows the results for 5 degrees of freedom (dashed) compared to the full model (solid) while the second column shows the comparison with 30 degrees of freedom.

204 h otc ftetpt h usrt rdcsavr strong very nonline Mathematica. a highly in produces performed also substrate was is the reduction actuation to electrostatic tip the finally, the pa nonl of geometrical in contact nonli introduce models, the may of sources model nonlinear different mechanical for has purely model the results This our device. present storage IBM to want we Now Approximation Polynomial 8.2. iue8.5: Figure ipaeetcluainwstre n ttre u htt that out turned It on. turned was calculation displacement u eut eecmae orslsotie ihASS wh ANSYS, with obtained results to compared were results Our

z-displacement [m] y-displacement [m] x-displacement 1e-08 1e-06 1e-04 1e-12 1e-10 1e-08 1e-12 1e-10 1e-08 oprdt nF oe,wietescn ounsosrtoo ratio re shows FEM mode column full DOF the second over 30 the model the order while shows reduced model, column the n first of FE one displacements The an to applied to switch. force the compared a of for switch centre RF the the of response Harmonic e0 1e+06 1e+05 Frequency [Hz] ..Plnma Approximation Polynomial 8.2. e0 1e+06 1e+05 Frequency [Hz] r h oe order model The ar. naiis Then, inearities. ert:Already nearity: egeometrical he nonlinearity; tclrthe rticular r large ere sults. d at ode 0 5 1.0 1.5 0 5 10 15 205 ratio ratio ratio f l

8 8. Model Order Reduction nonlinearities are negligible, even though the tip experiences a large deforma- tion; but since the structure is only clamped on one side, axial stresses and strain are small and thus a linear structural model is justified. This is very different for the clamped-clamped beam case [143]; in that case, geometrical nonlinearities must be included. The contact nonlinearity is treated outside of the reduced model. What remains are the transducer elements with their 1/x2 nonlinearity in the force. Our reduction procedure requires the model to be polynomial, so we expand the nonlinear terms into a Taylor series of degree 2 as described in section 6.4 on page 178. Then, we perform a simulation to compare the trajectory of the polynomial approximation to the trajectory of the full model. The excitation voltage is 7.45 V. Figure 8.6 shows a comparison of the original nonlinear model to the poly- nomial approximation for the four monitor nodes. This process is one of the major sources of error in this simulation; there are some noticable deviations after the touchdown of the tip at 3.5 µs; the approximation works in general and the timing of the operation is maintained. Further, other effects which are not included in the original model (like thin film damping, etc.) are expected to overweigh the error introduced here. The solution in Mathematica of the full system for 200 timesteps took 5128 seconds, the solution of the polynomial system took about the same time.

8.3. Polynomial Reduction

Now, the polynomial model order reduction is applied. For the tip, we use five Arnoldi vectors, and for each voltage input one additional vector. This number is quite low, but the subspace already contains sufficient information so that the voltage input vectors merely serve as a small correction. The model order reduction turns out to be still quite fast: After about 3 sec1, the process is finished, resulting in a system with 19 degrees of freedom (instead of 9441 of the full model) and 15 inputs. We then perform the same simulation as above. Figure 8.7 shows a comparison between the model-order- reduced system and the polynomial system. It is remarkable that the curves of the reduced and full polynomial model match so closely that they are in- distinguishable in the graph (there are indeed two lines lying on top of each other). The maximum deviation of the two models is at 3.65 µs and is about

1We found that the CPU times Mathematica reports can vary substantially, but still can give a clear trend showing the benefits of model order reduction

206 asaddmigmti,teiptsatrmti,oebilin one matrix, inputs, scatter and input states pling the matrix, damping and mass naslt ubr,hwvr h eito svr o.I c In introdu example. and low. particular successful this very very ex in is is the itself from deviation reduction far order the is re model however, gap the the numbers, contrast, where absolute In motion, in the negligible. of beginning is the error at relative the that so 1 8.6: Figure

nm µ

ietefl oyoilsse,terdcdsse feature system reduced the system, polynomial full the Like Error [nm] Displacement [ m] -30 -20 -10 10 20 30 40 -0.9 -0.8 -0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 nmgiue h mltd tti iei 650 is time this at amplitude the magnitude; in 0 0 0 0 h ro rps,mntrnd ntecpctv ltom( platform capacitive (red/solid). tip the on on node node violet/ monitor and and monitor dashed), (blue/dotted graphs), c supports the error side bottom, the the to on top From nodes approximatio monitor error. polynomial bottom: and solution; model transient nonlinear of Comparison 2 4 2 W 6 i (1) jl x j 8 u l n ordmninlmti opigthe coupling matrix four-dimensional a and , 4 ie[ Time 10 0 µ s ] Polynomial solution 2 6 ..Plnma Reduction Polynomial 8.3. Real solution 4 nm 6 e lotn errors no almost ces o hsdt point, data this for aieerri large is error lative 8 a arxcou- matrix ear aso point; pansion nlso,the onclusion, 8 stiffness, a s re are: urves 10 otdin dotted 10 .Top: n. green/- -6 -4 -2 0 2 4 6 8 207 Relative error [%]

8 8. Model Order Reduction

0 Polynomial solution -0.1 Reduced solution -0.2 m]

µ -0.3 -0.4 -0.5 -0.6

Displacement [ -0.7 -0.8 -0.9 0 2 4 6 8 10 1.2 1 8 0.8 6 0.6 0.4 4 0.2 0 5 10 2

Error [nm] 0 -0.2 0 -0.4 -0.6 Relative displ. error [%] 0 2 4 6 8 10 0 0.5 Time [µs]

Figure 8.7: Comparison of reduced system and polynomial system.

(2) products of two state variables and one input, Wijklxjxkul:

(1) (2) Mijx¨j + Eijx˙ j + Kijxj + Wijl xjul + Wijklxjxkul = Bikuk.

The largest matrix W(2), is of dimension 19 19 19 15 with 96026 × × × nonzeros (no entries for the tip force input, thus the 6859 zeros). However, as the histogram of absolute matrix component values (figure 8.8 ) shows, there a only few entries that are significant; the median is at 3.12 10 10, which × − means that half of the entries is at least three orders of magnitude lower than the maximum at 4.82 10 7. Thus, we experimented with deleting all × − elements having an absolute value below 3 10 9, which reduced the number × − of nonzeros to exactly 16000. We call this the “simplified” system.

208 h s ncrutsmltr.Det h ag nraeo no of increase large the to time, Due simulation lower simulators. considerably circuit a in am of use small the benefit at the errors obse with were (ignoring 6%, amplitude error and relative timing significant in imum errors both system; linear eoetedlto tto 9 e.Figure sec. 290 took it deletion the before iue8.8: Figure ean;frti eut twscoe auly u ode good a but manually, chosen was valuable. very it be result, could this for remains; httefl ouini orc yacmaio ihteout the with comparison sec) a 5100 by (about correct system is full solution a full the the of roughly that solution in the abo achieved is for was which required system polynomialisation), and reduced b reduction have the (including couplings for small which solution in The system reduced the and system hc ssilqieacpal oprdwt h ro intr error maximu the the red numbers, with absolute the 45 compared In with acceptable compared approximation. quite polynomial system still simplified is the which of error relative h anerri hsrsl sdet h polynomialisation the to due is result this in error main The sacnlso,figure conclusion, a As ovn h eue ytmwt h simplified the with system reduced the Solving nm h usinhwt hoeteaeut hehl au for value threshold adequate the choose to how question The . Occurances 10000 15000 20000 25000 30000 35000 5000 itiuino arxetisin entries matrix of Distribution onsi oaihi scale. logarithmic in points 0 1e-16 1e-14 8.10 npage on Matrix entrysize 1e-12 211 1e-10 ie oprsnbtentefull the between comparison a gives W (2) 8.9 h asaei iersae the scale, linear in are bars The . ntenx aesosthe shows page next the on 1e-08 ..Plnma Reduction Polynomial 8.3. W (2) ok6. e while sec 68.8 took t15 ftetime the of 1.5% ut 1e-06 ltd)i about is plitude) twsverified was It . u fANSYS. of put vd h max- The rved. zrsin nzeros 10 100 1000 10000 100000 1 dcdb the by oduced ftefl non- full the of eito is deviation m e discarded. een hc enables which rrestimate rror cdsystem, uced ot8 sec 80 bout deletion W 209 ( i ) ,

8 8. Model Order Reduction

0 Reduced solution -0.1 Reduced, simplified solution -0.2 m]

µ -0.3 -0.4 -0.5 -0.6

Displacement [ -0.7 -0.8 -0.9 0 2 4 6 8 10 20 1.5 15 1 10 0.5 0 5 -0.5

Error [nm] 0 -1

-5 -1.5 Relative error [%] -10 -2 0 2 4 6 8 10 0 2 4 6 8 10 Time [µs]

Figure 8.9: Comparison of the reduced, simplified solution and the reduced solution. expanding the Taylor series further will improve the error but largely increase the number of terms.

8.4. Results with Other Reduction Approaches

We also conducted a study of different other reduction approaches for the nonlinear heat transfer in a 1D beam using 400 elements so that 400 degrees of freedom need to be considered (see section 3.8.1 on page 87). This study was joint work with Amirhossein Yousefi [10], whom we like to thank for providing the graphs for the reduced model. Figure 8.11a shows the steady state temperature at node 10 (that is, the node at 1/40 of the beam length) for different inputs of heat flux. The node was chosen to avoid numerical problems due to inconsistent initial conditions (tem-

210 etrstreitrsigproperties: interesting three features ihaipii akadElrsle o niptof input an for solver Euler backward implicit a with h ihript h olna rfiei lal visible. clearly is profile nonlinear the inputs higher the u oha u) l eprtrsaerltv oteambien the to relative are temperatures All 300 (temp flux). conditions heat boundary to and due everywhere) zero is perature 8.10: Figure µ

Figure Error [nm] Displacement [ m] • • K -30 -20 -10 20 30 40 50 10 -0.9 -0.8 -0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 h orsodn eprtr rfie r hw nFig. in shown are profiles temperature corresponding The . nepnniltmeauers ttebgnigo h curv the of beginning the at rise temperature exponential An ueetnd snta h n fteba,weeteha inpu until heat node the this to where transported beam, be the to observable. because of first is is end has This heat the The rise. at placed. temperature not the is of node start surement the for delay A 0 0 0 0 8.12 2 eue,smlfidslto essfl solution. full versus solution simplified Reduced, ntefloigpg hw w iw fatasetsimulati transient a of views two shows page following the on 4 2 6 ..Rslswt te euto Approaches Reduction Other with Results 8.4. 8 4 ie[ Time 10 Reduced, simplifiedsolution 0 µ s ] 2 6 Real solution 4 5 · 10 6 4 8 W rtr gradient erature / m temperature t 8 2 h curve The . 8.11 e. h mea- the neffect an 10 10 .For b. -8 -6 -4 -2 0 2 4 6 8 211 is t on Relative error [%]

8 8. Model Order Reduction

700 Node 10 600 700 Input heat flux: 500 600 5⋅10 4 - 3.5⋅10 5 W/m 2 400 500 300 400 200 300 200 100 Temperature-300 [K] 100 0 Temperature-300 [K] 0 0.5 1 1.5 2 2.5 3 3.5 0.02 0.04 0.06 0.08 0.1 Input heat flux [105 W/m2 ] Position on beam [m]

Figure 8.11: Results from the simulation of the full model. Left: steady state tem- perature for different inputs. Right: temperature profile along the beam for different inputs.

1 30 0.8 25 0.6 20 0.4 15 10 0.2 5 Temperature-300 [K] Temperature-300 [K] 0.05 0.1 0.15 0.2 0.25 50 100 150 200 250 300 Time [s] Time [s]

Figure 8.12: Transient simulation for an input of 5 104 W/m2. The pictures show the same curve on different time scales.·

Saturation after a longer time. The temperature approaches the steady • state temperature profile shown in Fig. 8.11b.

We now apply different nonlinear reduction methods: Proper orthogonal decomposition, system matrix optimisation and balancing and optimisation. The simulation results (plotted for the node at 1/40 of the beam length and a heat flux of 5 104 W/m2) show that reduced order systems of order eight lie in · the acceptable range of accuracy. Figure 8.13(a, b and c) show the results of reduced order systems of order eight. Fig. 8.13d shows the transient response of the original model and the three reduced order systems in one plot. The simulations show that all reduced models have good performance while modelling just with eight elements was found to have poor quality. According to the simulations with different inputs the balancing & optimisation reduction method resulted in the best performance in comparison with the other applied

212 )Blnig&Optimisation & Balancing c) Decomposition Orthogonal Proper a) ffr eddfreautn hspart. this reduci evaluating and for length whic needed and time size effort in simulation part the nonlinear keeping reducing the for simplifying is step effec further methods major One has reduction that time. hundred) all four order of (of vector disadvantage nonlinear A methods. iue8.13: Figure Temperature error [K] Temperature error [K] – 6 – 4 – 2 – 6 – 4 – 2 0 2 4 6 8 0 2 4 6 8 0 0 x 10 x 10 -4 -4 0.05 0.05 ain(o-ahd,adBlnig&Otmsto (dashed systems Optimisation order O & Balancing reduced Matrix and System the (dot-dashed), (dotted), r and sation transient Decomposition (solid) the Orthogonal shows system d) Proper original 400. order the of of model original and 8 der h error The 0.1 0.1 Time [s] Time [s] 0.15 0.15 y original 0.2 0.2 ..Rslswt te euto Approaches Reduction Other with Results 8.4. − y 0.25 0.25 reduced ewe h eue re oeso or- of models order reduced the between )Tasetrsos foiia system original of response Transient d) Temperature - 300 [K] Optimisation Matrix System b) Temperature error [K] – 6 – 4 – 2 12 16 0 4 8 0 2 4 6 8 0 0 x 10 x 10 -3 - -4 2 0.05 Time [ms] 4 0.1 Time [s] gtecomputation the ng ntesimulation the on t a etknis taken be can h 6 0.15 h original the 8 0.2 ) 10 esponse ptimi- using 213 0.25 12

8 8. Model Order Reduction

8.5. Combined Approaches

In section 4.3 on page 144, we discussed what can be done in the case of a sys- tem with few nonlinearities which can be represented as new (state-dependent) inputs of the otherwise linear system. This approach is now applied to the electrostatically actuated beam as discussed in section 3.8.2 on page 92. The results presented here are joint work with Behnam Salimbahrami [9], whom we like to thank for providing the graphs of the reduced models. The beam model is special in two ways: It features a large number of non- linearities since there is a lot of coupling between the individual degrees of freedom, and due to the calculation of charges it is a differential-algebraic equation (DAE), i.e., the mass and damping matrices are singular since the lower half is completely zero. The reason is that, although also the elec- trostatic part of the system is dynamic in its nature, the timescales are so different that the electrostatic part changes almost instantaneous compared to the remaining system. It was observed that not all nonlinearities are really important for the over- all behaviour of the system; while some are larger in magnitude, others re- main small enough so that it is possible to replace them by their steady state 4 2 1/2 value. For example, the nonlinearity 1.235 10− + x1 − x20 is replaced by 1/2 · 1.235 10 4 + x2 − x where x is the steady state value of x for a · − 1,ss 20 1,ss  1 typical input.  To perform the order reduction, the following steps are performed:

Convert the ODE part to first order • Replace the non-dominant nonlinearities by their steady-state value lin- • earisation and move them to the system matrices Model order reduce the now linear system, e.g., with Arnoldi • Project the original nonlinear system. • For results presented here, a model with 20 differential equations and 18 algebraic equations was reduced to 15 differential equations and one algebraic equation. Already for the very low dimension of the original system, the num- ber of nonlinearities is immense since it grows quadratically with the number of nodes. Figure 8.14, left graph, shows a comparison of the step response (amplitude 100 V) of the original model, the reduced model and the reduced model with simplified nonlinear function.

214 ae h iigi uhcoe oteoiia model. original the to observ closer is much behaviour is same timing the the Again, case, amplitude. same the with iue8.14: Figure nsmay epeettefloigrslsfrtemdlor model the for results following the present we summary, In Summary Results 8.6. Displacement [m] -1.5 -0.5 n[ In h ih rp ffigure of graph right The -1 • • • 0 0 x 10 raino ieradnnier rtadscn re benc order second and first nonlinear, and linear of Creation eso qain nMteaiacnitn of consisting non Mathematica large in of equations treatment of the tems for framework a of Implementation mlmnaino nitraet NY iayfie o h e the equations for of files system binary the ANSYS of to interface an of Implementation oe re euto n plcto fdffrn reductio different of application and reduction order model 281 – – – – – – -4 ,sm ute eut sn h emmdlaepresented. are model beam the using results further some ], 0.05 eio- export Verilog-A integrators systems Time nonlinear for reduction order Model systems polynomial of Projection Linearisation systems nonlinear for Container n eue oes ih:Rsos oa5 beam a to actuated Response electrostatically Right: the models. of reduced and response Step Left: 0.1 Time [s] 0.15 Reduced Approximated Original 0.2 8.14 0.25 hw h epnet rqec f5 of frequency a to response the shows 0.3

Displacement [m] - 0. - 0. - 0. - 0. 0 - 1 8 6 4 2 0 x 10 -4 0.04 Hz ..RslsSummary Results 8.6. amncexcitation. harmonic 0.08 Time [s] e reduction: der d u nthis in but ed, methods n 0.12 mrsfor hmarks Reduced Approximated Original iersys- linear xtraction original , 0.16 215 Hz

8 8. Model Order Reduction

– More utilities like unstructured grid field plotters, PoVRAY export etc.

Definition of a system description file format for nonlinear systems • Treatment of model order reduction with Rayleigh damping • Application of Arnoldi reduction for polynomial systems to the IBM • scanning-probe data storage device, including source-out of the contact nonlinearity

216 I hrb rsial euetenme funknowns. a of by number model the f the o reduce represent all terms drastically to thereby possible droplet; in it expressed the makes This are of integrals. constraints) surface volume the (like c considering The straints only made. be by can s reduced behaviour fluidic transient free-surface the of about simulation assumptions the for useful is proach .CnlsosadOutlook and Conclusions 9. omk h lcrwtigpoesmr eibe h compa The curves. energy resulting reliable. the more stru confirms process edge model electrowetting analytic interdigital the for make shapes pres was spike to electrodes of of structure optimisation applicatio fine the The the for merger. curves or energy splitter impl of config mover, are a a we it unit of be functional and is electrode, the back of landscape go behaviour can energy controlled we lows obtained way, this the speci In a until for droplet. electrodes landscape moving energy a e potential with This the together biochips. of of picture layout clear and a dimensioning the calcula for electrow the of useful configuration is is energy method a the into the insight possibilities and of the shapes potential explore main The to Var integrals. implemented methodology. line been by have modelled was setups field electric the of ence h ehdwsapidt h iuaino electrowetting, of simulation the to applied was method The opeiyo h optrsmlto fMM cutr.Th actuators. t MEMS approaches of different simulation two computer investigated the have of we complexity thesis this n fIhv o ena a sohr,i sbcuegat eest were giants because is it others, shoulders. as my far as on seen not have I If hera that funny...” one “That’s the but science, “Eureka!” in not hear is discoveries, to new phrase exciting most The sa smv(1920–1992) Asimov Isaac sesweecertain where ystems tigary,which arrays, etting otecalculation the to n oselectrowetting ious trs hc help which ctures, ne n sdfor used and ented ceetoesetup electrode fic mnigwt the with ementing a Abelson Hal al st obtain to us nable ufc n line and surface f ufc ehand mesh surface dlmt fthe of limits nd rto htal- that uration hr h influ- the where re n con- and orces ino droplet of tion mlxt was omplexity io ihan with rison euethe reduce o anding ehp the reshape rtap- first e lds 217

9 9. Conclusions and Outlook

The implementation is based on the Surface Evolver program for which a script template library was created. Parametrised scripts for various geome- tries and applications in the field of electrowetting are available. A graphical user interface allows for easy operation of the code. Finally, the limits of the approach were identified and ways to work around those limits were proposed. The second approach works on the already discretised ordinary differential equation system. The Arnoldi process is used to extract the most important features of the transfer function by finding a representation where the first mo- ments of its Taylor expansion match. The system of equations is projected to a subspace resulting in a much smaller number of degrees of freedom while the transient behaviour of the resulting system is close to that of the full system. We applied this approach to a number of MEMS devices and investigated how Rayleigh damping parameters can be preserved. It is possible to extend this projection approach to nonlinear systems in a number of ways. During the work on this thesis, we created linear and nonlinear, first and second order benchmark models to test MOR algorithms, for example, a model of an electromechanical beam actuator and a model for nonlinear heat conduction which both can be represented in closed form. In cooperation with the IBM Research GmbH in Rüschlikon, Switzerland, we implemented a method to transfer an electromechanical model of a scanning- probe data-storage system from the commercial FEM simulator ANSYS to a nonlinear symbolic model. This model was transfered to a polynomial repre- sentation, and this representation was successfully order reduced from 9441 degrees of freedom to 19 degrees of freedom. It turned out that the main error source is the polynomial approximation, whereas the error introduced by the order reduction itself is marginal. Since the polynomial model order reduction approach returns dense matrices and thus the number of terms per equation is rather large, we investigated the effect of dropping small matrix entries. During this task, a number of useful Mathematica packages were created which extend the IMTEK Mathematica Supplement by functions for the han- dling of polynomial systems, linearisation of nonlinear systems, their model order reduction and auxiliary functions for time integration and Verilog-A ex- port. For the representation of nonlinear systems in computer readable form, a new file format was developed.

218 ayrslsaeaalbeadteeitn loihsturn algorithms existing the str and low-frequency available and are thermal results for many reduction order model For Outlook 9.2. thei requirements) of time CPU because or process memory simulation example, (for the consumption to facilitation mous ytm hr uoai opc oeln sntpsil a n equations. possible are the not however of is complexity methods modelling the These compact automatic simulated. limited where is be systems range can operating which c the a effects only needs of not models limits; its handmade of of abou of neglection gation statements kind the exact This example, makes impossible. For model behaviour electrowetting reduced. the also in orflow are devi change, model may a simulation the of a of of behaviour outcome the the removed, to are contribution parts major a applicat oper carry certain of plexity a range to certain limited often a are for models acceptable nonlinear system is reduced nonlinear output weakly the for exi reduction that results order mathematical me model few some those very that exhibit since seen of systems difficult use more real even the all is almost inhibits (and cost systems computational nonlinear moment the at n eoeteeprsi natmtco eiuoai way. semiautomatic try or methods automatic an reduction mo global in a with order parts from model removed these remove The be and can parts deg outcome. usuall Those or the is observable, interest. changing which not of device not are a are which which of modes modes only; of frequencies frequency low modes” high “mesh very the or example, vis grid, for be never artificial, will completely equations complexity even mathematical of purely sources of Some of level use the possibilities. the at t through ending during model and levels physical ods different the ac many from Starting on be and process. can ways, simulation different MEMS of advanced number for reduction Complexity Conclusions 9.1. n a ob aeu hr ordc opeiy oesource Some complexity. reduce to where careful be to has One ihtoelmt nmn,cmlxt eue oescnbri can models reduced complexity mind, in limits those With priori a ro siae hsi eycnein o h sr but user, the for convenient very is this estimate, error bei aueo are or nature in ible u loterange the also but , tn range. ating spsil,and possible, is s t tl,w have we Still, st. ..Conclusions 9.1. oe resource lower r hr r many are there , ueia meth- numerical u owr ex- work to out olnaiy,it nonlinearity), e hnthose When ce. cua devices, uctural nt element finite a os However, ions. ymr u to due more ny eso freedom of rees h capabilities the h transient the t prtdat operated y rflinvesti- areful . esimulation he nenlfluid internal o methods For csayfor ecessary ga enor- an ng e without del hd.For thods. ivdi a in hieved oidentify to fcom- of s 219

9 9. Conclusions and Outlook tremely well. There are on the other hand many other systems where it is still necessary to research on the best way to perform model order reduction, for example fluidic systems, high-frequency structural systems like surface acous- tic wave devices, systems with many parameters and systems incorporating strong nonlinearities. The methods we used are lacking some important features such as global error estimates, especially in the nonlinear case; to widen their reliable oper- ating range and to be able to trust their result without double checking with the full model, attention from mathematicians is required. Further, there are reduction methods which we did not try with our models; a comparison to our approach would be interesting. The possibilities are numerous as all computer based simulations profit from the possibilities of fast solvers. Especially when the number of simulation steps is large, for example for transient or harmonic simulations or optimisation, the time to solve the full system is much longer than for the reduction and subsequent solution of the reduced system. Reduced models can be used for real-time controlling or visualisation applications, for extremely large models where the simulation of the full model would be impossible in finite time, and optimisation purposes; for the latter one, the inclusion of parameters is very valuable. For the electrowetting model, a comparison to a full computational fluid dynamics simulation of the same setup should be performed to have a clearer picture of the differences of the two approaches, especially concerning the timing behaviour. The model can be applied to more setups than the ones implemented. For example, a 2D grid could be implemented to demonstrate the motion of two or more droplets. The next step would be the inclusion of internal fluid flow, for example with a boundary element method. However, this would require a rewrite of the underlying Surface Evolver code. Finally, we considered only a small number of possibilities of complexity reduction; many more possibilities exist, and they all are worth an evaluation.

220 Appendices

221

al A.2: Table A.1: Table Numerical of Data Model A. est 2329 Density ha oui(80 0.06) 0.28, (0.36, moduli Shear ratio Poisson’s Major lsi modulus Elastic est 2000 Density Thickness io oso’ ratio Poisson’s Minor lmn yeSHELL181 9462 freedom of Degrees type Element modulus Elastic SOLID187 17361 freedom of Degrees type Element (169 moduli Elastic Thickness Damping io oso’ ratio Poisson’s Minor xmlsfrMEMS for Examples t t rpriso h RTR wthmodel. switch RF IRST the of Properties model. gyro butterfly Imego the of Properties 1 1 E E 1 1 ν ν 1 1 0.13 180 1 80 0.2 0.42 β GPa µ GPa 10 = kg GPa m GPa µ / kg 80 , m m 130 , − / 3 5 m GPa 3 GPa 51 , 169 , GPa GPa ) ) 223

A A. Model Data of Numerical Examples for MEMS

Table A.3: Properties of the bondwire model. Young’s modulus 78 GPa Minor Poisson’s ratio 0.44 Density 19300 kg/m3 Element type SOLID187 Degrees of freedom 32877

Table A.4: Geometry and material properties for the heat transfer model. The polynomial approximation is valid for a temperature range from 300 K to 700 K. Length L 0.1 m 4 2 Cross-sectional area A 10− m Density ρ 2330 kg/m2 Heat capacity cp 720 J/kgK Heat conductivity a0 144.495 W/mK 2 a1 -0.54534 W/mK a 9.27496 10 4 W/mK3 2 · − a 8.28691 10 7 W/mK4 3 − · − a 3.18727 10 10 W/mK5 4 · −

224 called h rtse st choose to is step first The Coordinates B.1.1. nti eto,w ecieteoiisaddrvto fth of derivation and section in origins given the formalism describe chanics we section, this In Mechanics Lagrangian B.1. Theory B. ftesse)adidpnet hn h ere ffreedom of constraints: by degrees the restricted of the be motions Then, infinitesimal can a possible describe the to independent. i.e., possible and determined, be system) must a the it may (i.e., of they complete coordinates, be Cartesian must the they be to need necessarily ftenme fDF seult h ubro oriae,th coordinates, of number the to equal is DOFs of number the If • • ndffrnilfr,btne ofllitgaiiycondit w integrability be fulfil also to can need constraints but holonomic form, r differential that but in Note coordinates, of DOFs. number the of reduce number not general in do They oooi osrit opetecodnts(n possibl (and coordinates the couple constraints Holonomic ld nqaiisadcntanswihcnol egvni given be only i.e., can form, which constraints abo and form inequalities the clude in described not are constraints Non-holonomic hyrdc ohtenme fidpnetcodntsadt freedom. and of coordinates degrees independent of of number ber the both reduce They r fteform the of are holonomic a f i i j ( d q 1 q q , . . . , j + n a etetdwt h rmwr ssonhere. shown as framework the with treated be can and a t,i n d t , t 0 = ) 0 = n right for 3.5 for eeaie coordinates generalised i npage on i 1 = 1 = k. . . . k. . . . 65 . ytm h motion The system. arninme- Lagrangian e q s elcl but local, be lso j lconfigurations ll hyd not do They . ions. ie;they time); y differential n e hyin- They ve. edt be to need ytmis system e dc the educe enum- he ritten 225

B B. Theory

B.1.2. Virtual Displacements Let us now consider the equations of motion of a many body system with N particles with mass mi and position r i subject to external forces F i and constr constraints which respond with the forces F i :

constr mir¨i = F i + F i for i = 1 ...N.

Virtual displacements δr i are displacements with the following properties: They fulfil all constraints. • They are infinitesimal. • They are instantaneous, thus completed in zero time. This is important • when constraints vary in time; they are treated as constant.

Generalised coordinates qj are related to (virtual) displacements r i by the following relation:

r i = r i(q1,...,qn,t) for i = 1 ...N.

Then,

n ∂r i δr i = δqj. (B.1) ∂qj Xj=1 For the time derivative of the position, we have

n dr i ∂r i ∂r i r˙i = = q˙j + dt ∂qj ∂t Xj=1 from which we can conclude that ∂r˙ ∂r i = i . (B.2) ∂q˙j ∂qj

B.1.3. Equations of Motion constr D’Alembert’s principle [355] states that the constraint forces F i do not contribute any virtual work:

N F constr δr = 0. i · i Xi=1

226 edn,ec ftesmad utvanish: must summands disp the virtual of the each thus pendent, and coordinates generalised the Since eci) o h ita ok hsrslsin results thi this Newton’s work, from virtual motivated the be For can which reactio). postulate, a is This ota ( that so ( The n sn ( using and B.2 ecnwiefrtefis emi ( in term first the for write can we ) Ξ ˆ X X X X j i i i d q d =1 =1 =1 N N N =1 n j t ∂T B.3 r the are ∂ ( m  F q m ˙ d d j B.1 i i ∗ oehrwt ( with together ) r t ¨ i · r ¨ − δ ∂T i ∂ i r · ), q ˙ δ − ∂T i j ∂q eeaie forces generalised ∗ r = F i − j ∗ = = = = ihthe with X j i ) − =1 ∂T n ∂q X X X X j j j j · =1 =1 =1 =1 Ξ n n n n ˆ δ " j ∗ r q X i j − =1 " "  " N i 0 = X X d 0 = i i d d d =1 =1 N N Ξ ˆ t F t iei coenergy kinetic B.4 q ∂T

∂ j . i m d  d q · ˙ ∂ t yields ) j i δq ∗ ∂ ∂q ∂ q r ¨  fe oeagbacrodrn n using and reordering algebraic some After . ˙ r j − i j j m i · X i 0 = # =1 N ∂T ∂ ∂q ∂q i r δq ˙ r i j j 1 2 . i ∗ j · B.3 #  m ∂ ∂q = δq δq r i ): r j X ˙ j i j j =1 i 2 n  T ! ∗ − Ξ ˆ − = q ..Lgaga Mechanics Lagrangian B.1. m j ∂q δq 1 2 i ∂ r m ˙ j j i . i X · i r =1 N ˙ d d i 2 t . aeet r inde- are lacements ∂ 1 2 ∂q r m j i dlw(ci = (actio law rd i # r ˙ δq i 2 # j δq j (B.5) (B.3) (B.4) 227

B B. Theory

Often, the acting forces are due to a potential V , e.g., gravity or an electric field. This potential can be position dependent, or, in the case of electromag- netic fields, also be a function of the velocities, such that

∂V (r 1,..., r 2, ˙r 1,..., ˙r 2,t) d ∂V (r 1,..., r 2, ˙r 1,..., ˙r 2,t) F i = + − ∂r i dt ∂˙r i and thus

N ˆ ∂V d ∂V ∂r i Ξqj = + −∂r i dt ∂˙r i ∂qi Xi=1   ˆ Let Ξqi be those generalised forces from Ξqi which are not from such a poten- tial. We observe that if, instead of using T ∗, we use the Lagrange function = T V in (B.5), we can write L ∗ − d ∂ ∂ L L Ξqj = 0 j = 1 ...n. (B.6) dt ∂q˙j − ∂qj − ∀ In conclusion, to obtain the equations of motion, we have to: 1. Choose a set of generalised, independent and complete coordinates. 2. Find the kinetic coenergy and potential energy of the system. 3. Transform the nonconservative forces which cannot be formulated as gradient of the potential energy to generalised forces using (B.4). 4. Insert all of that into (B.6). Another derivation of the same equation is done in the framework of the variational calculus: The integral of over time is called the action S; the L path of natural motion in coordinate space minimises the Lagrange function, or in the words of Hamilton’s principle: The system follows the trajectory whose action has a stationary value: δS = 0.

B.2. Structural Continuum Mechanics

In this section, we derive the components of the Green-Saint Venant strain tensor. In the following, we will use Einstein notation and assume coordinates in the Euclidian R3.

228 uaiiyo h o product, dot the of mutativity The with where n hsw n pwt the with up end we thus and ( and aelns o e sapyti rnfraint h defor the to transformation this apply us element: let Now lines. nate raeeett h neomdsse,w a write: can we system, undeformed the to element area tlatoeo hs snneoi h oyi nasaeo stra of state a in is body the iff nonzero is those of one least At with h ieeta ftedslcmn a eepesdas expressed be can displacement the of differential The G B.8 d γ d d G G ij e ij R s s ij i 2 2 i ,w n that find we ), r h opnnsof components the are = = − r h ntvcos The vectors. unit the are = = = = e 1 2 d G G G ∂ ∂ γ i S  x R ij ij i i + 2 d i d · ∂x ∂u d = x = 2 = ( = G x ∂x ∂ x i i j i u d · j i G 1 2 i G G x + γ = = ( ij ij j = G ij . d j ∂u d G ∂x δ d ij x −  ij x x i i i j d i − j δ x δ d + i x ij = i + j x δ j )d + j ∂x ∂u ij − G ∂u tandslcmn relations strain-displacement ∂x ) x ∂u ∂x . j i d i i G re’ eomto tensor deformation Green’s m d · i x + x G j i ij i ∂u  d j ∂x ∂u ∂x j x = G d e m j j x j δ j i i , G ij i  ..Srcua otnu Mechanics Continuum Structural B.2. + d r agnso h eomdcoordi- deformed the on tangents are ji x . o,tkn h ieec fthe of difference the taking Now, . ∂u j ∂x m i ∂u ∂x m j u otecom- the to Due . e nnt line infinite med n y( By in. (B.7) (B.9) (B.8) B.7 229 )

B B. Theory

B.3. Arnoldi method

Here, we reproduce the proof that the Arnoldi method matches at least q moments. We consider the vector

1 ˜ − T ˜ 1 T VAr br = V(W AV)− W b. where A˜ = A s E = WT AV˜ is the reduced system matrix, the left r r − 0 r projection matrix W is such that A˜ r is nonsingular and the columns of V 1 1 r ˜ − ˜ − form a basis for the Krylov subspace Krq(A E, A b). 1 By construction, the vector A˜ − b is in this subspace, i.e., there is a (unique) linear combination

1 A˜ − b = Va0, and thus

1 ˜ − T ˜ 1 T Ar br = (W AV)− W b T 1 T 1 = (W AV˜ )− W (A˜ A˜ − )b T 1 T = (W AV˜ )− W AV˜ a0

= a0 and consequently

1 1 ˜ − ˜ − VAr br = Va 0 = A b.

With this statement, we can prove by induction that

1 1 1 1 ˜ − i ˜ − ˜ − i ˜ − V(Ar Er) Ar br = (A E) A b : (B.10)

Assume that the above statement is true for all i = 0, 1,...,k. Then for i = k + 1,

1 1 1 1 ˜ − i+1 ˜ − ˜ − ˜ − i 1 V(Ar Er) Ar br = VAr Er(Ar Er) Ar− br 1 T ˜ 1 T ˜ − i 1 = V(W AV)− W EV(Ar Er) Ar− br (B.10) T 1 T 1 i 1 = V(W AV˜ )− W E(A˜ − E) A˜ − b T 1 T 1 1 i 1 = V(W AV˜ )− W A˜ A˜ − E(A˜ − E) A˜ − b T 1 T 1 i+1 1 = V(W AV˜ )− W A˜ (A˜ − E) A˜ − b. (B.11)

230 qain( equation hspofi ai o all for valid is proof This netn hsit qain( equation into this Inserting from o all For usaeadcntu ewitnas written be thus can and subspace rgnladfrterdcdsse n a ocueta the that conclude can and system reduced the for and original m ( V A ˜ 0 ( i − A ˜ pto up 1 ≤ B.10 r − E 1 ) E q i +1 r − with ) m ) A i ˜ +1 2 q − − h vector the , A 1 ˜ 1 b r − match. 1 = C b ercvrtedfiiino h oet o the for moments the of definition the recover we , r V = = = i a 0 = B.11 i V V V +1 ( ( a . ( W W , A i ˜ eget we , ) +1 1 − T T q , . . . , 1 AV AV ( = ˜ ˜ E ) i A ˜ ) ) +1 − − − − 1 1 A ˜ 1 W W E 1 − ymliligbt ie of sides both multiplying By . ) 1 T T i b +1 AV A ˜ ˜ scnandi h Krylov the in contained is ( A ˜ A ˜ a − − 1 i +1 1 b E . ..Anlimethod Arnoldi B.3. ) i +1 A ˜ − 1 b q moments 231

B 232 iebreaks: line “ ttmnsaeeddb ieraso y“ by or linebreaks by ended are Statements t n nt h edn whitespace): leading the (note end its on parentheses: round 9 8 7 % 6 5 4 % 3 2 1 % be will a % 9] 8 6 7 5 ... 4 2 3; [1, = a “ a is there If 3 be will a % ... 1 = a 1 be will a % 1 = comment a a is This % real or integers (e.g., are numbers notation All exponential scientific text. or ASCII point plain floating a is file The General C.1. Format DSI C. loi h ideo ie hyso ttenx iebreak: line next the at stop They line. a of middle the in also omnssatwt “ a with start Comments 2 + , arcsaeecoe y“ by enclosed are Matrices ucin r rte nlwrcs etr,wt hi argu their with letters, case lower in 1. written is are dimension one Functions where matrices are Vectors line a continue to breaks; line to sensitive is format file The rwiepc saeo a) arxrw r eaae ye by separated are rows Matrix tab). or (space whitespace or ” 2 + % % nteln eoetecniuto,telte ilb igno be will latter the continuation, the before line the on ” % hrce;te r loe vrweei h file, the in everywhere allowed are they character; ” ] [ .Eeet narwaesprtdb either by separated are row a in Elements ”. ; ”. 5 , 0.1 s “ use , , etbetween ment 8.8542e-12 te “ ither ubr in numbers ... ; at ” or ” red. 233 ).

C C. DSI Format

a = sin(3.14159265) a = sin(x(3)+u(1))

We recommend the use of the functions in table C.1; the list is essentially based on the ISO C99 standard [356]. If necessary, own functions may be introduced, but their implementation and properties must be documented elsewhere. Only functions from Rn R or subsets thereof are possible. 7→ All identifiers are case sensitive.

Table C.1: Recommended mathematical functions for the DSI format

a+b a + b (addition) a-b a b (subtraction; missing a means negation) − a*b a b (multiplication) × a/b a b (division) ÷ aˆb or a**b ab (power) cond?a:b If cond is true, return a else b abs(a) a (absolute value) | | 1 acos(a) cos− a [0,π] (inverse cosine) 1 ∈ acosh(a) cosh− a [0, ] (inverse hyperbolic cosine) 1 ∈ ∞ asin(a) sin− a [ π/2, π/2] (inverse sine) 1 ∈ − asinh(a) sinh− a (inverse hyperbolic cosine) atan(a) tan 1 a [ π/2, π/2] (inverse tangent) − ∈ − atan2(y,x) tan 1(y/x) [ π,π] (inverse tangent: returns the angle − ∈ − whose tangent is y/x. Full angular range) cbrt(a) √3 a [ , ] (real cubic root) ∈ −∞ ∞ ceil(a) a (smallest integer a) ⌈ ⌉ ≥ cos(a) cos a (cosine) cosh(a) cosh a (hyperbolic cosine) erf(a) erf a (error function) erfc(a) erfc a (complementary error function) exp(a) ea (exponential) floor(a) a (largest integer a) ⌊ ⌋ ≤ lgamma(a) ln Γ(a) (natural logarithm of the absolute value of the | | gamma function) log(a) ln a (natural logarithm) log10(a) log10 a (base-10 logarithm) log2(a) log2 a (base-2 logarithm) max(a,b,...) the largest of a, b, etc.

234 h aaeeshv h olwn meaning: following the have parameters The 2 = o 3 = q 1 = s 2 = r 1 = p 2 = m 3 = n DSIF_version= iedrvtvs(velocities) derivatives time h rtln ftefiei eso tigt itnus bet distinguish to development: string the version during a is occured file having the version of line first The Header File C.2. i trunc(a) tgamma(a) tanh(a) tan(a) mod(a,b) pow(a,b) round(a,b) min(a,b,...) sign(a) sqrt(a) sin(a) sinh(a) h ne fteelement. the of index the h ucin a aetetime the take may functions The hsi olwdb e ie eciigtedmnin ft of dimensions the describing lines few a by followed is This ’0.1.0’ ers nee o agri antd twrszero) (towards magnitude in larger not integer nearest Γ( nbtentoitgr,i.e., integers, two between in tanh tan a a ers nee,o au ihlre antd if magnitude larger with value or integer, nearest h mletof smallest the inof sign √ sin sinh b ⌊ − a a (power) a ) a sur root) (square a a a/b (sine) gmafunction) (gamma (tangent) hproi sine) (hyperbolic hproi tangent) (hyperbolic a ⌋ r0if 0 or b v( termidro h integer the of remainder (the i ) n h nu vector input the and a a , 0 = b t etc. , lmnso h tt vector state the of elements , n 0 + . 5 u( n , i ) ∈ iiino yb) by a of division sagmn,with argument, as entedifferent the ween Z ..Fl Header File C.2. esystem: he a x( sexactly is i ) the , 235

C C. DSI Format

n State space size (number of components of state vector x) m Number of control input signals (number of components of input vector u) p Number of output variables (number of components of output vector y) r Number of state nonlinearities (number of components of vector f) s Number of output nonlinearities (number of components of vector g) q Number of equations (most times q=n) o Maximum order of time derivatives; 1 for a system of form of (3.1), 2 for a system of form of (3.2), 0 if no time derivative occurs at all.

C.3. System matrices and vectors

Following the header, the actual system data is given. Depending on the order of the system the nomenclature and number of matrices to be given changes. Matrices and vectors not given take default values; matrices with zero size in one dimension should also not be specified. The matrices required for equation (3.1) on page 56 and equation 3.2 and the default values are shown in table C.2 on page 238. E, A, B, b, F, C, D, d, G, M, K, x0 and v0 should be constant, i.e. with explicitly given values. f and g can contain functions of time, states, velocities and input. They should not contain any linear part to simplify linearization. A number of macros can be used to facilitate entering some special matrices. The macros are described in table C.3.

Table C.3: Macros for entering matrices in a DSIF file. All forms with both N and M in their arguments return a possibly rectangular matrix with N rows and M columns; with N only, a square matrix is returned. In the following, N, M and D are scalars, V, R and C are row vectors, and A is matrix eye(N,M) Returns the identity matrix eye(N) veye(D,N,M) Returns a matrix with D on the diagonal and 0 elsewhere veye(D,N)

236 ndiag(A,V) ndiag(A,V,N) ndiag(A,V,N,M) V’ A’ sparse(R,C,V) sparse(R,C,V,N) sparse(R,C,V,N,M) diag(V) diag(V,D) diag(V,D,N) diag(V,D,N,M) suew aetefloigsse fequations: of system following the have we Assume Example C.4. repmat(A,N) repmat(A,N,M) rep(D,N) rep(D,N,M) ones(N) ones(N,M) zeros(N) zeros(N,M)   0 0 1 0 0 . 0 1 2 . . 0 2 1 2 . 2 n ignlrpeetdb h nr nvector in entry the by represented diagonal ing m and ihec ftevcosi matrix in matrix vectors a the Returns of them. spec- each include elements The with to whose diagonal which vector, zeros. at row with ify a matrix. filled is final row be argument the of second must to matrix places diagonals a unused as is Trailing included function be this to of vectors argument first The n ozr au uhta h eutn matrix resulting the that such value nonzero ing V rnps famti rvector or matrix a of Transpose contain ( hsfnto lost pcf prematrix. sparse a specify contain to to allows size function minimal This the is diagonals. it the omitted, is size matrix eun ignlmti ihvector with matrix diagonal a Returns element. eun lc arxwt oyo matrix of copy a with matrix block a Returns eun arxwoeeeet r all are elements whose matrix a Returns 1 all are elements whose matrix a Returns 0 all are elements whose matrix a Returns D   itterwadclm ubr n h correspond- the and numbers column and row the list R ( ¨x > k = M ) + , 0 C   r mte,temti iei h iia ieto size minimal the is size matrix the omitted, are ( k   saoetemi diagonal, main the above is V ) 0 0 1 0 0 1 If . = 0 0 0 0 0 0 . 0 0 1 V D k   . soitd ti sue ob 0. be to assumed is it omitted, is u . 0 1 +   . 1 1 0 0 1 0 1   ˙x +      − sin( exp( 0 0 1 0 0 2 1 A D u ttecorrespond- the at − u 1 < 2 + 2 1 V /x D 0 x ndiagonal on ..Example C.4. 1 2 eo) If below). ) )    A x V R seach as fthe If . , C m (C.1) and 237 is N D

C C. DSI Format

Table C.2: Matrices required to describe a system of first order in time (left) and second order in time (right)

Matrix Dimensions Default Matrix Dimensions Default M q n eye(q,n) × E q n eye(q,n) E q n eye(q,n) × × A q n eye(q,n) K q n eye(q,n) × × B q m eye(q,m) B q m eye(q,m) × × b q 1 zeros(q,1) b q 1 zeros(q,1) × × F q r eye(q,r) F q r eye(q,r) × × C p n eye(p,n) C p n eye(p,n) × × D p m zeros(p,m) D p m zeros(p,m) × × d p 1 zeros(p,1) d p 1 zeros(p,1) × × G p s eye(p,s) G p s eye(p,s) × × f r 1 zeros(r,1) f r 1 zeros(r,1) × × g s 1 zeros(s,1) g s 1 zeros(s,1) × × x0 n 1 zeros(n,1) x0 n 1 zeros(n,1) × × v0 n 1 zeros(n,1) ×

y = 0 1 0 x + ( exp(x t)u ) . (C.2) ⌊ 3 1⌋ A possible file describing this system could look like the following: DSIF_version=’0.1.0’ n=3 m=2 p=1 r=2 s=1 q=3 o=2 M = [ 1 0.2 0; 2e-1 1 2E-1; 0 0.2 1 ] E = veye( 0.1, 3 ) % could also be E = diag( [0.1 0.1 0.1] ) K = ndiag( [-1 -1 0; 1 2 2], [-1 0] ) B = eye( 3, 2 ) F = sparse([123],[112],[111]) C = sparse([1],[2],[1],1,3) D=[1]

238 0=[000]’ 0 0 0 [ = v0 = x0 [ = g [ = f zeros floor sin ()+x2 ); x(2) + u(1) ( ,1) 1 3, ( ( exp ()*t)*u1 ] ) u(1) * ) t * x(3) ( exp ()/x1 ] ) x(1) / u(2) ( ..Example C.4. 239

C 240 al D.2: Table D.1: Table Fields File Binary ANSYS D.1. Interface ANSYS D. u0 ntnumber unit Name Long fun04 name Short processors of number Nr record max length file size max record system username subtitle title noproc label version recmax special ANSYS flenmax jobname name identifier product machine ANSYS sysrec user measurement of units ANSYSsverl release date ANSYS release ANSYSpnam ANSYS jobname machid format file ANSYSreldate ANSYSrel units date Name Long time fformat fnum name Short otnso the of Contents header. binary the of Contents l number file .full l hc h nefc a process. can interface the which file 241

D D. ANSYS Interface

Assembly neqn number of equations on file nmrow number of rows in matrices nmatrx number of matrices on file kan analysis type wfmax maximum wavefront lenbac number of nodes numdof number of DOFs per node lumpm lumped mass key nmrow2 number of rows in matrices ntrmStif number of terms in K matrix keyuns unsymmetric key extopt mode extraction method keyse superelement key sclstf scale factor for matrices nxrows maximum rank for this solution ncefull number of constraint equations CE+CP on full file nNodes number of internal Nodes ntrmMass number of terms in Mass matrix ntrmDamp number of terms in Damping matrix DOF degrees of freedom per node BAC nodal equivalence table mmat mass matrix dmat damping matrix stmat stiffness matrix lvec load vector nextvec Number of DOFs at nodes dofvec DOF vector dofimp DOFs with imposed value (Dirichlet) impval Imposed values

Table D.3: Contents of the .emat file which the interface can process.

Short name Long Name fun02 unit number nume number of elements

242 D lmn ne table index table Element DOF at element table last DOF at element first analysis the IDX for time analysis end the for LST time start frequency FST current ELM increment time BAC time current DOF CE internal any key of calculate timend CEs length vector internal maximum force of restoring number global timbeg key calculate frqval matrix stiffening stress global timinc timval maxLeng numCE kygrf referenced nodes of kygaf number actual number node kygss maximum model kygd of DOFs total kygm kygst lumpm nodref maxn lenbac lenu numdof kyiaiayla etrkey vector load imaginary dmat key vector load mmat Newton-Raphson stmat key matrix stiffening stress dofidx lowtri nmrow ikey nrkey akey sskey dkey mkey stkey lmn qiaec table equivalence element table equivalence node nodal per freedom of degrees key calculate vector force applied global key calculate matrix damping key global calculate matrix mass key global calculate matrix stiffness global key mass lumped nodes of number node per DOFs of number apn matrix damping matrix mass matrix stiffness table index DOF form triangular matrices lower in rows of number key vector load applied key matrix damping key matrix mass key matrix stiffness ..ASSBnr ieFields File Binary ANSYS D.1. 243

D D. ANSYS Interface

ssmat stress stiffening matrix lvec load vector nrvec Newton-Raphson load vector ilvec imaginary load vector

D.2. Binary File Write-Out Script

This script is taken from [352].

/solu ! Enter solution module allsel ! Select everything antype,static ! Choose static analysis eqslv,sparse ! Choose sparse solver nsubst,1 ! 1 substep wrfull,1 ! Stops solve after assembling global matrices ematwrite,yes ! Write .emat file solve !Performwrite finish ! Exit solution processor

D.3. TRANS126 Export Script

ESEL,ALL enum=-1 *CFOPEN,trans126data,dat *GET,enum,ELEM,enum,NXTH *DOWHILE,enum *GET,eltyp,ELEM,enum,ATTR,TYPE *GET,enam,ETYP,eltyp,ATTR,ENAM *IF,enam,EQ,126,THEN *GET,rcset,ELEM,enum,ATTR,REAL *GET,rgap,RCON,rcset,CONST,3 *GET,rgapmin,RCON,rcset,CONST,4 *GET,rkn,RCON,rcset,CONST,5 *GET,rc0,RCON,rcset,CONST,7 *GET,rc1,RCON,rcset,CONST,8 *GET,rc2,RCON,rcset,CONST,9 *GET,rc3,RCON,rcset,CONST,10

244 module ‘include ‘include Example Export Verilog-A D.4. I% I% I% I% G% G% G% %G %G %G %G %G %G %G %G %I %I %I %I %I %I %I * * * * GET CFCLOS ENDDO * * * nlgbegin analog xvel2; xvel1, kinematic_v kinematic kinematic kinematic kinematic kinematic inout output input * lmn etns ubr%,nd I oe2%,DFSt%i, Set DOF %I, 2 node %I, 1 node Capacitance %I, ! Number settings: Element ! rkop4,rkop6,rgap,rgapmin,rkn,rc0,rc1,rc2,rc3,rc4 lmn aaees a G amn%,rn%,c G 1%,c %G, c1 %G, c0 %G, %G rkn c4 %G, %G, gapmin c3 %G, ! gap parameters: Element ! %I method Stiffness ! * * ENDIF GET GET GET GET GET VWRITE @(initial_step) Vel Vel ,enum, ,rkop2, ,rc4, ,rkop3, ,rkop4, ,rkop6, gndkinematic; in1; mSse(n,ot,ot,gndkinematic); out2, out1, imsSystem(in1, "disciplines.vams" "constants.vams" xe2 +0; <+ (xvel2) 0; <+ (xvel1) u1 out2; out1, ELEM 1 x2; x1, gndkinematic; out2; out1; in1; ,enum, RCON − a p i Cvlaedo p %i, opt drop voltage DC %i, opt gap ETYP ETYP ETYP ETYP ,enum, NELEM ,rcset, begin ,eltyp, ,eltyp, ,eltyp, ,eltyp, NXTH CONST (enum,1), ATTR ATTR ATTR ATTR ,11 ,KOP2 ,KOP3 ,KOP4 ,KOP6 NELEM ..VrlgAEpr Example Export Verilog-A D.4. (enum,2),rkop2,rkop3,\ %G, 2 245

D D. ANSYS Interface

Pos(x1) <+ 0; Pos(x2) <+ 0; end Vel(xvel1) <+ ddt(Pos(x1)); Vel(xvel2) <+ ddt(Pos(x2)); Pos(x1) <+ -1.0989010989010988*ddt(Vel(xvel1)) + 0.3296703296703296*ddt(Vel(xvel2)) + 1.0989010989010988*F(in1,gndkinematic); Pos(x2) <+ 0.3296703296703296*ddt(Vel(xvel1)) - 1.0989010989010988*ddt(Vel(xvel2)) - 0.3296703296703296*F(in1,gndkinematic); Pos(out1,gndkinematic) <+ Pos(x1); Pos(out2,gndkinematic) <+ Pos(x2); end endmodule

246 Bibliography

[1] J. Lienemann, A. Greiner, J. G. Korvink, Modeling, simulation and optimization of electrowetting, IEEE T Comput Aid D, Special Issue on Design Automation Methods and Tools for Microfluidics-Based Biochips 25 (2) (2006) 234–247.

[2] J. Lienemann, B. Salimbahrami, B. Lohmann, J. G. Korvink, A file for- mat for the exchange of nonlinear dynamical ODE systems, in: P. Ben- ner, G. Golub, V. Mehrmann, D. Sorensen (Eds.), Dimension Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer-Verlag, Berlin/Hei- delberg, 2005, pp. 317–326.

[3] J. Lienemann, A. Yousefi, J. G. Korvink, Nonlinear heat transfer mod- elling, in: P. Benner, G. Golub, V. Mehrmann, D. Sorensen (Eds.), Di- mension Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer- Verlag, Berlin/Heidelberg, 2005, pp. 327–331.

[4] J. Lienemann, E. B. Rudnyi, J. G. Korvink, MST MEMS model or- der reduction: Requirements and benchmarks, Linear Algebra and its Applications 415 (2–3) (2006) 469–498.

[5] J. Lienemann, A. Greiner, J. G. Korvink, Electrode shapes for elec- trowetting arrays, in: Proc. nanotech, Vol. 1, San Francisco, CA, USA, 2003, pp. 94–97.

[6] J. Lienemann, A. Greiner, E. B. Rudnyi, J. G. Korvink, L. Ferrario, M. Zen, Automatic order reduction for finite element models, in: Proc. AISEM 2004, Vol. 9, World Scientific, Ferrara, Italy, 2005, p. 94.

[7] J. Lienemann, D. Billger, E. B. Rudnyi, A. Greiner, J. G. Korvink, MEMS compact modeling meets model order reduction: Examples of the application of Arnoldi methods to microsystem devices, in: Proc. nanotech, Vol. 2, Bosten, MA, USA, 2004, pp. 303–306.

247 Bibliography

[8] E. B. Rudnyi, J. Lienemann, A. Greiner, J. G. Korvink, mor4ansys: Generating compact models directly from ANSYS models, in: Proc. nanotech, Vol. 2, Bosten, MA, USA, 2004, pp. 279–282.

[9] B. Salimbahrami, J. Lienemann, B. Lohmann, J. G. Korvink, A simu- lation free reduction scheme and nonlinear modelling of an electrostatic beam, in: Proc. IFAC LSS, IFAC, Osaka International Convention Cen- ter, Osaka, Japan, 2004, pp. 747–752.

[10] A. Yousefi, B. Lohmann, J. Lienemann, J. G. Korvink, Nonlinear heat transfer modelling and reduction, in: Proc. MED, Mediterranean Con- ference on Control and Automation, Kusadasi, Aydin, Turkey, 2004.

[11] C. Hagleitner, T. Bonaccio, H. Rothuizen, D. Wiesmann, J. Lienemann, J. G. Korvink, G. Cherubini, E. Eleftheriou, Modeling, design, and ver- ification for the analog front-end of a MEMS-based parallel scanning- probe storage device, in: Proc. IEEE CICC, IEEE, San Jose, CA, USA, 2006, accepted for publication.

[12] J. Lienemann, A. Greiner, J. G. Korvink, Linear 1D beam model, Tech. rep., IMTEK, University of Freiburg, available online at http:// www.imtek.de/simulation/benchmark/?li=30&nr=38861 (September 2004).

[13] J. Lienemann, A. Greiner, J. G. Korvink, Beam actuated by elec- trostatic force, Tech. rep., IMTEK, University of Freiburg, avail- able online at http://www.imtek.de/simulation/benchmark/?li=30& nr=38882 (January 2005).

[14] J. Lienemann, A. Yousefi, J. G. Korvink, Nonlinear heat trans- fer modelling, Tech. rep., IMTEK, University of Freiburg, avail- able online at http://www.imtek.de/simulation/benchmark/?li=30& nr=38883 (January 2005).

[15] J. Lienemann, A. Greiner, J. G. Korvink, EDEW Version 1.0, A simula- tion tool for fluid handling by electrowetting effects, IMTEK, University of Freiburg, Freiburg, Germany (January 2004).

[16] J. Lienemann, A. Greiner, J. G. Korvink, EDEW Version 2.0, A simu- lation and optimization tool for fluid handling by electrowetting effects, IMTEK, University of Freiburg, Freiburg, Germany (April 2004).

248 Bibliography

[17] S. Middlehoek, S. A. Audet, Silicon Sensors, Delft University Press, 1994.

[18] Proc. IEEE MEMS 2006.

[19] D. J. Laser, J. G. Santiago, A review of micropumps, J Micromech Microeng 14 (6) (2004) R35–R64.

[20] A. Ramos, A. González, A. Castellanos, N. G. Green, H. Morgan, Pump- ing of liquids with AC voltages applied to asymmetric pairs of micro- electrodes, Phys Rev E 67 (2003) 056302.

[21] Y. Nakamura, K. Kamada, Y. Katoh, A. Watanabe, Studies on sec- ondary electrocapillary effects: I. The confirmation of the Young-Duprè equation, J Colloid Interf Sci 44 (3) (1973) 517–524.

[22] Y. Nakamura, M. Matsumoto, K. Nishizawa, K. Kamada, A. Watanabe, Studies on secondary electrocapillary effects: II. The electrocapillary phenomena in thin liquid film, J Colloid Interf Sci 59 (2) (1977) 201– 210.

[23] N. G. Green, A. Ramos, A. González, A. Castellanos, H. Morgan, Elec- tric filed induced fluid flow on microelectrodes: the effect of illumination, J Phys D Appl Phys 33 (2000) L13–L17.

[24] N. G. Green, A. Ramos, A. González, A. Castellanos, H. Morgan, Elec- trothermally induced fluid flow on microelectrodes, Journal of Electro- statics 53 (2001) 71–87.

[25] T. B. Jones, On the relationship of dielectrophoresis and electrowetting, Langmuir 18 (11) (2002) 4437–4443.

[26] J. Zeng, Electrohydrodynamic modeling and simulation and its applica- tion to digital microfluidics, in: Lab-on-a-Chip, Vol. 5591 of Proc. SPIE, 2004, pp. 125–142.

[27] B. Berge, Electrocapillarity and wetting of insulator films by water, C. R. Acad. Sci., Ser. II: Mec., Phys., Chim., Sci. Terre Univers 317 (1993) 157–163.

[28] B. Janocha, H. Bauser, C. Oehr, H. Brunner, W. Göpel, Competitive electrowetting of polymer surfaces by water and decane, Langmuir 16 (7) (2000) 3349–3354.

249 Bibliography

[29] J. Lee, C.-J. Kim, Surface tension driven microactuation based on con- tinuous electrowetting (CEW), J Microelectromech S 9 (2) (2000) 171– 180.

[30] C.-J. Kim, Micropumping by electrowetting, in: Proc. ASME IMECE, no. IMECE2001/HTD-24200, New York, NY, 2001.

[31] J. Lee, H. Moon, J. Fowler, T. Schoellhammer, C.-J. Kim, Electrowetting and electrowetting-on-dielectric for microscale liquid handling, Sensor Actuat A–phys 95 (2–3) (2002) 259–268.

[32] P. Dimitrakopoulos, J. J. L. Higdon, Displacement of fluid droplets from solid surfaces in low-Reynolds-number shear flows, J Fluid Mech 336 (1997) 351–378.

[33] M. Vallet, M. Vallade, B. Berge, Limiting phenomena for the spreading of water on polymer films by electrowetting, Eur Phys J B 11 (4) (1999) 583–591.

[34] C. Decamps, J. D. Coninck, Dynamics of spontaneous spreading under electrowetting conditions, Langmuir 16 (26) (2000) 10150–10153.

[35] M. Schneemilch, W. J. J. Welters, R. A. Hayes, J. Ralston, Electrically induced changes in dynamic wettability, Langmuir 16 (6) (2000) 2924– 2927.

[36] J. A. Moriarty, L. W. Schwartz, E. O. Tuck, Unsteady spreading of thin liquid films with small surface tension, Phys Fluids A–Fluid 3 (5) (1991) 733–742.

[37] R. Golestanian, E. Raphaël, Relaxation of a moving contact line and the landau-levich effect, Europhys Lett 55 (2) (2001) 228–234.

[38] N. Ivošević, V. Žutić, Spreading and detachment of organic droplets at an electrified interface, Langmuir 14 (7) (1998) 231–234.

[39] A. I. Bykhovskii, Effects of external influences on the spreading of a liq- uid phase on a crystal surface – a review, translated from Poroshkovaya Metallurgiya 133 (1) (1974) 50–62.

[40] T. S. Sammarco, M. A. Burns, Thermocapillary pumping of discrete drops in microfabricated analysis devices, AIChE J 45 (2) (1999) 350– 366.

250 Bibliography

[41] T. S. Sammarco, M. A. Burns, Heat-transfer analysis of microfabricated thermocapillary pumping and reaction devices, J Micromech Microeng 10 (1) (2000) 42–55.

[42] S. P. Gurrum, S. Murthy, Y. K. Joshi, Numerical simulation of thermo- capillary pumping using level set method, in: Proc. 5th ISHMT/ASME HMTC, Kolkota, India, 2002.

[43] R. Karlsson, M. Karlsson, A. Karlsson, A.-S. Cans, J. Bergenholtz, B. Åkerman, A. G. Ewing, M. Voinova, O. Orwar, Moving-wall-driven flows in nanofluidic systems, Langmuir 18 (11) (2002) 4186–4190.

[44] P. Y. Chiou, H. Moon, H. Toshiyoshi, C.-J. Kim, M. C. Wu, Light ac- tuation of liquid by optoelectrowetting, Sensor Actuat A–phys A104 (3) (2003) 222–228.

[45] B. J. Feenstra, R. A. Hayes, R. van Dijk, R. G. H. Boom, M. M. H. Wagemans, I. G. J. Camps, A. Giraldo, B. v.d. Heijden, Electrowetting- based displays: Bringing microfluidics alive on-screen, in: Proc. IEEE MEMS, Istanbul, Turkey, 2006, pp. 48–53.

[46] K.-C. Chuang, S.-K. Fan, Direct handwriting manipulation of droplets by self-aligned mirror-EWOD across a dielectric sheet, in: Proc. IEEE MEMS, Istanbul, Turkey, 2006, pp. 178–181.

[47] T. B. Jones, An electromechanical interpretation of electrowetting, J Micromech Microeng 15 (6) (2005) 1184–1187.

[48] J. Lee, C.-J. Kim, Theory and modeling of continuous electrowetting microactuation, in: Proc. MEMS (MEMS-Vol.1), ASME IMECE, Vol. 1, Nashville, TN, 1999, pp. 397–403.

[49] S. K. Cho, H. Moon, J. Fowler, C.-J. Kim, Splitting a liquid droplet for electrowetting-based microfluidics, in: Proc. ASME IMECE, no. IMECE2001/MEMS-23831, New York, NY, 2001.

[50] S. K. Cho, H. Moon, C.-J. Kim, Creating, transporting, cutting, and merging liquid droplets by electrowetting-based actuation for digital mi- crofluidic circuits, J Microelectromech S 12 (1) (2003) 70–80.

[51] M. G. Lippmann, Relations entre les phénomènes électriques et capil- laires, Ann Chim Phys 5 (11) (1875) 494–549.

251 Bibliography

[52] Y. O. Popov, T. A. Witten, Characteristic angles in the wetting of an angular region: Surface shape, Eur Phys J E 6 (3) (2001) 211–220.

[53] D. R. Reyes, D. Iossifidis, P.-A. Auroux, A. Manz, Micro total analysis systems. 1. introduction, theory, and technology, Anal Chem 74 (12) (2002) 2623–2636.

[54] P.-A. Auroux, D. Iossifidis, D. R. Reyes, A. Manz, Micro total analysis systems. 2. analytical standard operations and applications, Anal Chem 74 (12) (2002) 2637–2652.

[55] M. G. Pollack, R. B. Fair, A. D. Shenderov, Electrowetting-based ac- tuation of liquid droplets for microfluidic applications, Appl Phys Lett 77 (11) (2000) 1725–1726.

[56] J. Ding, K. Chakrabarty, R. B. Fair, Reconfigurable microfluidic system architecture based on two-dimensional electrowetting arrays, in: Proc. MSM, Hilton Head Island, South Carolina, USA, 2001, pp. 181–185.

[57] J. Ding, K. Chakrabarty, R. B. Fair, Scheduling of microfluidic opera- tions for reconfigurable two-dimensional electrowetting arrays, IEEE T Circuits Syst 20 (12) (2002) 1463–1468.

[58] J. Lee, H. Moon, J. Fowler, C.-J. Kim, T. Schoellhammer, Addressable micro liquid handling by electric control of surface tension, in: Proc. IEEE MEMS, Interlaken, Switzerland, 2001, pp. 499–502.

[59] S. K. Cho, S.-K. Fan, H. Moon, C.-J. Kim, Toward digital microfluidic circuits: Creating, transporting, cutting and merging liquid droplets by electrowetting-based actuation, in: Proc. IEEE MEMS, Las Vegas, NV, 2002, pp. 32–52.

[60] A. H. Tkaczyk, D. Huh, J. H. Bahng, Y. Chang, H.-H. Wei, K. Kurabayashi, J. B. Grotberg, C.-J. Kim, S. Takayama, Fluidic switch- ing of high-speed air-liquid two-phase flows using electrowetting-on- dielectric, in: Proc. µTAS, Squaw Valley, California, USA, 2003, pp. 461–464.

[61] J. Lee, C.-J. Kim, Microactuation by continuous electrowetting phe- nomenon and silicon deep RIE process, in: Proc. ASME IMECE, Ana- heim, CA, 1998, pp. 475–480.

252 Bibliography

[62] J. Lee, C.-J. Kim, Liquid micromotor driven by continuous electrowet- ting, in: Proc. IEEE MEMS, Heidelberg, Germany, 1998, pp. 538–543.

[63] K.-S. Yun, I.-J. Cho, J.-U. Bu, G.-H. Kim, Y.-S. Jeon, C.-J. Kim, E. Yoon, A micropump driven by continuous electrowetting actuation for low voltage and low power operations, in: Proc. IEEE MEMS, In- terlaken, Switzerland, 2001, pp. 487–490.

[64] J. Ni, C.-J. Zhong, S. J. Coldiron, M. D. Porter, Electrochemically actu- ated mercury pump for fluid flow and delivery, Anal Chem 73 (1) (2001) 103–110.

[65] J. Fowler, H. Moon, C.-J. Kim, Enhancement of mixing by droplet-based microfluidics, in: Proc. IEEE MEMS, Las Vegas, NV, 2002, pp. 97–100.

[66] A. Bernard, B. Michel, E. Delamarche, Micromosaic immunoassays, Anal Chem 73 (1) (2001) 8–12.

[67] A. Takei, E. Iwase, K. Hoshino, K. Matsumoto, I. Shimoyama, A tunable liquid wedge prism driven by electro-wetting, in: Proc. IEEE MEMS, Istanbul, Turkey, 2006, pp. 36–39.

[68] R. A. Hayes, B. J. Feenstra, Video-speed electronic paper based on elec- trowetting, Nature 425 (6956) (2003) 383–385.

[69] B. Berge, J. Peseux, Variable focal lens controlled by an external voltage: An application of electrowetting, Eur Phys J E 3 (2) (2000) 159–163.

[70] M. W. J. Prins, W. J. J. Welters, J. W. Weekamp, Fluid control in multichannel structures by electrocapillary pressure, Science 291 (5502) (2001) 277–280.

[71] T.-H. Chen, Y.-J. Chuang, F.-G. Tseng, A wettability switchable sur- face driven by electrostatic induced surface morphology change without energy interference on reagents in droplets, in: Proc. IEEE MEMS, Is- tanbul, Turkey, 2006, pp. 178–181.

[72] T.-T. Wang, P.-W. Huang, S.-K. Fan, Droplets oscillation and contin- uous pumping by asymmetric electrowetting, in: Proc. IEEE MEMS, Istanbul, Turkey, 2006, pp. 174–177.

[73] T. K. Jun, C.-J. Kim, Valveless pumping using traversing vapor bubbles in microchannels, J Appl Phys 83 (11) (1998) 5658–5664.

253 Bibliography

[74] F. Saeki, J. Baum, H. Moon, J.-Y. Yoon, C.-J. Kim, R. L. Garrell, Electrowetting on dielectrics (EWOD): Reducing voltage requirements for microfluidics, in: Proc. ACS National Meeting, Vol. 85 of Polymeric Materials: Science and Engineering, Chicago, USA, 2001, pp. 12–13.

[75] S. W. Walker, B. Shapiro, Modeling the fluid dynamics of electro-wetting on dielectric (EWOD), J Microelectromech S In press.

[76] V. Bahadur, S. V. Garimella, An energy-based model for electrowetting- induced droplet actuation, J Micromech Microeng 16 (8) (2006) 1494– 1503.

[77] K. A. Brakke, The Surface Evolver, Exp Math 1 (2) (1992) 141–165.

[78] K. A. Brakke, Surface Evolver Manual, Version 2.26a, Susquehanna Uni- versity, Selinsgrove, PA 17870 (August 2005).

[79] E. B. Rudnyi, J. G. Korvink, Review: Automatic model reduction for transient simulation of MEMS-based devices, Sensors Update 11 (1) (2002) 3–33.

[80] R. W. Freund, Krylov-subspace methods for reduced-order modeling in circuit simulation, J Comput Appl Math 123 (2000) 395–421.

[81] A. C. Antoulas, D. C. Sorensen, Approximation of large-scale dynamical systems: An overview, Int J Appl Math Comput Sci 11 (5) (2001) 1093– 1121.

[82] R. M. Kielkowski, SPICE: practical device modeling, McGraw-Hill, New York, 1995.

[83] G. Kron, Equivalent circuits of electric machinery, Dover Publications, New York, 1967.

[84] G. Kron, Electric circuit models of the Schrödinger equation, Phys Rev 67 (1) (1945) 39–43.

[85] G. K. Carter, G. Kron, A.C. network analyzer study of the Schrödinger equation, Phys Rev 67 (1) (1945) 44–49.

[86] A. E. Ruehli, Circuit oriented electromagnetic solutions in the time and frequency domain, IEICE Trans Commun E80–B (11) (1997) 1594–1603.

254 Bibliography

[87] C. C. Enz, Y. H. Cheng, MOS transistor modeling for RF IC design, IEEE J Solid-St Circ 35 (2000) 186–201.

[88] A. A. Ati, M. Napieralska, A. Napieralski, Z. Ciota, A new compact physical submicron MOSFET model for circuit simulation, Microelec- tron Eng 51-2 (2000) 373–392.

[89] S. M. Sze, Semiconductor Devices – Physics and Technology, John Wiley & Sons, New York, 1985.

[90] B. Brooks, Standardizing compact models for IC simulation, IEEE Cir- cuits Device 15 (4) (1999) 10–13. URL http://www.eigroup.org/CMC

[91] Z. Cui, Standardization of microsystem design and modelling, standard- ization for microsystems: The way forward, in: Proc. MEMSTAND, NPL, UK, Barcelona, 2003, pp. 55–61.

[92] T. Grasser, S. Selberherr, Mixed-mode device simulation, Microelectron J 31 (2000) 873–881.

[93] M. Celik, Simulation of multiconductor transmission lines using Krylov subspace order-reduction techniques, IEEE T Comput Aid D 16 (5) (1997) 485–496.

[94] R. W. Freund, Reduced-order modeling techniques based on Krylov sub- spaces and their use in circuit simulation, in: B. Datta (Ed.), Applied and Computational Control, Signals, and Circuits, Vol. 1, Birkhauser, Boston, MA, 1999, Ch. 9, pp. 435–498.

[95] H. Li, A. Woodbury, P. Aitchison, Application of the unsymmetric Lanc- zos method to radionuclide decay-chain transport in porous media, Int J Numer Meth Eng 44 (1999) 355–372.

[96] R. W. Freund, Model reduction methods based on Krylov subspaces, Acta Numerica 12 (2003) 267–319.

[97] T. Mukherjee, G. K. Fedder, D. Ramaswany, J. White, Emerging sim- ulation approaches for micromachined devices, IEEE T Comput Aid D 19 (2000) 1572–1589.

[98] C.-K. Cheng, J. Lillis, S. Lin, N. Chang, Interconnect Analysis and Synthesis, John Wiley & Sons, Inc, 2000.

255 Bibliography

[99] T. Penzl, A cyclic low-rank Smith method for large sparse Lyapunov equations, SIAM J Sci Comput 21 (2000) 1401–1418.

[100] J. R. Li, J. White, Low rank solution of Lyapunov equations, SIAM J Matrix Anal A 24 (1) (2002) 260–280.

[101] J. M. Badía, P. Benner, R. Mayo, E. S. Quintana-Ortí, Solving large sparse Lyapunov equations on parallel computers, in: Proc. Euro-Par, LNCS, Springer, 2002, pp. 687–690.

[102] J. M. Badía, P. Benner, R. Mayo, E. S. Quintana-Ortí, Parallel algo- rithms for balanced truncation model reduction of sparse systems, in: Proc. PARA 2004, Vol. 3732 of LNCS, Springer, 2006, pp. 267–275.

[103] P. Benner, E. S. Quintana-Ortí, G. Quintana-Ortí, State-space trunca- tion methods for parallel model reduction of large-scale systems, Parallel Comput 29 (2003) 1701–1722.

[104] Z. J. Bai, Krylov subspace techniques for reduced-order modeling of large-scale dynamical systems, Appl Numer Math 43 (2002) 9–44.

[105] Z. J. Bai, R. D. Slone, W. T. Smith, Error bound for reduced system model by Padé approximation via the Lanczos process, IEEE T Comput Aid D 18 (2) (1999) 133–141.

[106] K. E. Willcox, J. Peraire, Balanced model reduction via the proper or- thogonal decomposition, AIAA J 40 (11) (2002) 2323.

[107] K. E. Willcox, J. Peraire, J. White, An Arnoldi approach for genera- tion of reduced-order models for turbomachinery, Computers and Fluids 31 (3) (2002) 369–389.

[108] P. E. Barbone, D. Givoli, I. Patlashenko, Optimal modal reduction of vibrating substructures, Int J Numer Meth Eng 57 (2003) 341–369.

[109] T. Bechtold, E. B. Rudnyi, J. G. Korvink, Automatic generation of compact electro-thermal models for semiconductor devices, IEICE T Electron E86C (2003) 459–465.

[110] L. Codecasa, D. D’Amore, P. Maffezzoni, Compact modeling of electrical devices for electrothermal analysis, IEEE T Circuits-I 50 (2003) 465–476.

256 Bibliography

[111] J. R. Phillips, Projection-based approaches for model reduction of weakly nonlinear, time-varying systems, IEEE T Comput Aid D 22 (2003) 171–187. [112] M. Rewienski, J. White, A trajectory piecewise-linear approach to model order reduction and fast simulation of nonlinear circuits and microma- chined devices, IEEE T Comput Aid D 22 (2003) 155–170. [113] S. Sidi-Ali-Cherif, K. M. Grigoriadis, Efficient model reduction of large scale systems using Krylov-subspace iterative methods, Int J Eng Sci 41 (2003) 507–520. [114] T. Watanabe, H. Asai, A framework for macromodeling and mixed-mode simulation of circuits/interconnects and electromagnetic radiations, IE- ICE T Fund Electr 41 (2003) 507–520. [115] J. G. Korvink, E. B. Rudnyi, A. Greiner, Z. Liu, MEMS: A Practical Guide to Design, Analysis, and Applications, William Andrew Publish- ing, 2005, Ch. MEMS and NEMS Simulation, pp. 93–186. [116] Z.-Q. Qu, Model Order Reduction Techniques: with Applications in Finite Element Analysis, Springer, London, New York, 2004. [117] A. C. Antoulas, Approximation of Large-Scale Dynamical Systems, no. 6 in Advances in Design and Control, SIAM, 2005. [118] L. Daniel, O. C. Siong, L. S. Chay, K. H. Lee, J. White, A multiparame- ter moment-matching model-reduction approach for generating geomet- rically parametrized interconnect performance models, IEEE T Comput Aid D 23 (5) (2004) 678–693. [119] P. K. Gunupudi, R. Khazaka, M. S. Nakhla, T. Smy, D. Celo, Passive parameterized time-domain macromodels for high-speed transmission- line networks, IEEE T Microw Theory 51 (12) (2003) 2347–2354. [120] Yole Développement, “UMA”: ultimate MEMS market analysis (April 2005). [121] Texas Instruments, Digital light processing (DLP). URL http://www.dlp.com [122] L. Hornbeck, W. Nelson, Bistable deformable mirror device, OSA Tech- nical Digest Series, Spatial Light Modulators and Applications 8 (1998) 107.

257 Bibliography

[123] V. Pratt, Anatomy of the Pentium bug, in: Proc. TAPSOFT, Vol. 915 of LNCS, Aarhus, Denmark, 1995, pp. 97–107. URL http://boole.stanford.edu/pub/anapent.pdf

[124] S. D. Senturia, CAD challenges for microsensors, microactuators, and microsystems, P IEEE 86 (8) (1998) 1611–1626.

[125] M. P. Bendsøe, O. Sigmund, Topology Optimization: Theory, Meth- ods and Applications, 2nd Edition, Springer Verlag, Berlin, Heidelberg, 2003.

[126] Ansys, Inc., Ansys theory reference. URL http://www.ansys.com

[127] IntelliSense Software Corp., http://www.intellisense.com.

[128] J. M. Funk, J. G. Korvink, J. Bühler, M. Bächtold, H. Baltes, SO- LIDIS: a tool for microactuator simulation in 3-D, J Microelectromech S 6 (1997) 70–82.

[129] Synopsys, Inc., http://www.synopsys.com.

[130] Coventor, Inc., http://www.coventor.com.

[131] W. Menz, J. Mohr, O. Paul, Microsystem Technology, Wiley-VCH, Weinheim, 2001.

[132] M. Gad-el-Hak (Ed.), The MEMS handbook, CRC Press, Boca Raton, 2002.

[133] A. Nathan, H. Baltes, Microtransducer CAD, Springer, New York, 1999.

[134] S. D. Senturia, Microsystem design, Kluwer, Boston, 2001.

[135] G. Wachutka, Tailored modeling of miniaturized electrothermomechan- ical systems using thermodynamic methods, Micromechanical Systems, DSC 40 (1992) 183–198.

[136] G. Wachutka, Tailored modeling: a way to the ‘virtual microtransducer fab’?, Sensor Actuat A–phys 46–47 (1995) 603–612.

[137] E.-R. König, Modellierung und Simulation elektromechanischer Instabi- litäten bei Mikrobauteilen, Ph.D. thesis, Munich University of Technol- ogy (2005).

258 Bibliography

[138] M. Stehr, S. Messner, H. Sandmaier, R. Zengerle, The VAMP – a new de- vice for handling liquids or gases, Sensor Actuat A–phys A57 (2) (1996) 153–157.

[139] C. Hagleitner, A. Hierlemann, O. Brand, H. Baltes, CMOS single chip gas detection systems – Part I, Sensors Update 11 (2003) 101–155.

[140] C. Hagleitner, A. Hierlemann, H. Baltes, CMOS single chip gas detection systems – Part II, Sensors Update 12 (2003) 51–120.

[141] M. Wautelet, Scaling laws in the macro-, micro- and nanoworlds, Eur J Phys 22 (2001) 601–611.

[142] L. Sedov, Similarity and Dimensional Methods in Mechanics, Academic Press Inc., 1959.

[143] V. Rochus, Finite element modelling of strong electro-mechanical cou- pling in MEMS, Ph.D. thesis, Université de Liège (2006).

[144] S. A. Schaaf, P. L. Chambre, Flow of Rarefied Gases, Princeton Univer- sity Press, 1961.

[145] R. W. Barber, D. R. Emerson, Advances in Fluid Mechanics IV, WIT Press, Southampton, UK, 2002, Ch. The influence of Knudsen number on the hydrodynamic development length within parallel plate micro- channels, pp. 207–216.

[146] C. A. J. Fletcher, Computational Techniques for Fluid Dynamics, Vol. 1&2, Springer-Verlag Telos, 1991.

[147] M. Gad-el-Hak, The fluid mechanics of microdevices — the Freeman scholar lecture, J Fluid Eng 121 (5) (1999) 5–33.

[148] H. Sagi, Y. Zhao, S. T. Wereley, Wide range flow sensor–vacuum through viscous flow conditions, J Vac Sci Technol 22 (5) (2004) 1992–1999.

[149] S. F. Burlatsky, J. G. Berberian, J. Shore, W. P. Reinhardt, Spreading of a macroscopic lattice gas, Phys Rev E 54 (2) (1996) 1489–1496.

[150] T. Belytschko, Y. Krongauz, D. Organ, M. Fleming, P. Krysl, Meshless methods: An overview and recent developments, Comput Method Appl M 139 (1996) 3–47.

259 Bibliography

[151] J. J. Monaghan, Smoothed particle hydrodynamics, Rep Prog Phys 68 (8) (2005) 1703–1759.

[152] L. Pastewka, Additional degrees of freedom in modeling microfluidics with dissipative particle dynamics, Diplomarbeit, Faculty of Applied Sciences, University of Freiburg (July 2005).

[153] G. Pini, G. Gambolati, Arnoldi and Crank-Nicolson methods for inte- gration in time of the transport equation, Int J Numer Meth Fl 35 (2001) 25–38.

[154] G. Dost, G. Herrman, Taschenbuch Mikroprozessortechnik, Fach- buchverlag Leipzig, München, Wien, 1999, Ch. Entwurf und Technologie von Mikroprozessoren, pp. 357–359.

[155] J. A. Atwell, B. B. King, Proper orthogonal decomposition for reduced basis feedback controllers for parabolic equations, Mathematical and Computer Modelling 33 (2001) 1–19.

[156] H. T. Banks, R. C. H. del Rosario, R. C. Smith, Reduced-order model feedback control design: Numerical implementation in a thin shell model, IEEE T Automat Contr 45 (7) (2000) 1312–1324.

[157] G. Zhou, K. K. L. Cheo, F. E. Tay, F. S. Chau, Neural network approach for linearization of the electrostatically actuated double-gimballed mi- cromirror, Analog Integr Circ S 40 (2) (2004) 141–153.

[158] G. Shi, B. Hu, C.-J. R. Shi, On symbolic model order reduction, IEEE T Comput Aid D 25 (7) (2006) 1257–1272.

[159] J. Müller, J. G. Korvink, Adaptive error control in multi-physical thin-stucture MEMS FE-simulation, Journal of Computational Physics 196 (1) (2004) 145–172.

[160] A. J. Buckler, S. V. Fogel, Method and associated apparatus for min- imizing the effects of motion in the recording of an image, US Patent No. 5,030,984, Eastman Kodak Company (Rochester, NY, USA) (July 19, 1990).

[161] IBM Research Zürich, http://www.zurich.ibm.com/st/storage/ millipede.html.

260 Bibliography

[162] H. Pozidis, W. Häberle, D. Wiesmann, U. Drechsler, M. Despont, T. R. Albrecht, E. Eleftheriou, Demonstration of thermomechanical recording at 641 Gbit/in2, IEEE T Magn 40 (4) (2004) 2531–2536. [163] M. H. Kryder, Magnetic recording beyond the superparamagnetic limit, in: Proc. INTERMAG, 2005, p. 575. [164] P. Vettiger, M. Despont, U. Drechsler, U. Dürig, W. Häberle, M. I. Lutwyche, H. E. Rothuizen, R. Stutz, R. Widmer, G. K. Binnig, The “millipede”—more than one thousand tips for future AFM data storage, IBM J Res Develop 44 (3) (2000) 323–340. [165] P.-C. Yen, Y.-J. Yang, Guidelines of creating Krylov-subspace macro- models for lateral viscous damping effects, in: Proc. nanotech, Vol. 2, Boston, MA, USA, 2004, pp. 307–310. [166] R. Sattler, G. Wachutka, Compact models for squeeze-film damping in the slip flow regime, in: Proc. nanotech, Boston, MA, USA, 2004, pp. 243–246. [167] L. Lorenzelli, K. J. Rangra, C. Collini, F. Giacomozzi, B. Margesin, F. Pianegiani, On-wafer electro-mechanical characterization of silicon mems switches, in: Proc. DTIP, 2003, pp. 281–285. [168] A. Chatterjee, An introduction to the proper orthogonal decomposition, Curr Sci India 78 (7) (2000) 808–817. [169] The MathWorks, Inc., Matlab 7.2, http://www.mathworks.com. [170] Octave 2.9.6, http://www.octave.org. [171] E. L. Allgower, K. Georg, Continuation and path following, Acta Nu- merica 2 (1993) 1–64. [172] M. A. Crisfield, Non-Linear Finite Element Analysis of Solids and Struc- tures, John Wiley & Sons, 1991. [173] E. L. Allgower, K. Georg, Handbook of Numerical Analysis, Vol. 5, North-Holland, Amsterdam, 1997, Ch. Numerical Path Following, pp. 3–207. [174] T. J. R. Hughes, Finite Element Method – Linear Static and Dynamic Finite Element Analysis, Prentice-Hall, Inc., Englewood Cliffs, NJ, USA, 1987.

261 Bibliography

[175] J. C. Butcher, The Numerical Analysis of Ordinary Differential Equa- tions: Runge-Kutta and general linear methods, Wiley-Interscience, New York, NY, USA, 1987.

[176] L. Verlet, Computer “experiments” on classical fluids. I. thermodynam- ical properties of Lennard-Jones molecules, Phys Rev 159 (1) (1967) 98–103.

[177] L. Verlet, Computer “experiments” on classical fluids. II. equilibrium correlation functions, Phys Rev 165 (1) (1968) 201–214.

[178] P. F. Batcho, T. Schlick, Special stability advantages of position-Verlet over velocity-Verlet in multiple-time step integration, J Chem Phys 115 (9) (2001) 4019–4029.

[179] M. Dixon, S. Reich, Symplectic time-stepping for particle methods, GAMM 27 (1) (2004) 9–24.

[180] K. J. Bathe, Finite Element Procedures, Prentice-Hall, Englewood Cliffs, 1996.

[181] O. C. Zienkiewicz, The Finite Element Method, McGraw-Hill Company, London, 1977.

[182] J. H. Williams, Jr., Fundamentals of Applied Dynamics, John Wiley & Sons, Inc., New York, 1996.

[183] F. Kuypers, Klassische Mechanik, 4th Edition, VCH, Weinheim, Ger- many, 1993.

[184] W. Weaver, Jr, S. P. Timoshenko, D. H. Young, Vibration problems in engineering, 5th Edition, Wiley Interscience, 1990.

[185] J. T. Oden, Finite Elements of Nonlinear Continua, Advanced Engineer- ing Series, McGraw-Hill Book Company, 1972.

[186] A. Kristensen, Lectures notes in nonlinear FEM (February 15, 2005). URL http://www.aaue.dk/bm/ansys/

[187] S. Cescotto, R. Charilier, Frictional contact finite elements based on mixed variational principles, Int J Numer Meth Eng 36 (1992) 1681– 1701.

262 Bibliography

[188] S. Cescotto, Y. Y. Zhu, Large strain dynamic analysis using solid and contact finite elements based on a mixed formulation - application to metalforming, J Mater Process Tech 45 (657–663) (1994) 657–663.

[189] E. Brusa, F. de Bona, A. Gugliotta, A. Som, Modeling and prediction of the dynamic behaviour of microbeams under electrostatic load, Analog Integr Circ S 40 (2) (2004) 155–164.

[190] J. Gaspar, V. Chu, J. P. Conde, Electrostatic actuation of thin-film microelectromechanical structures, J Appl Phys 93 (12) (2003) 10018– 10029.

[191] G. K. Fedder, Q. Jing, A hierarchical circuit-level design methodology for microelectromechanical systems, IEEE T Circuits-II 46 (10) (1999) 1309–1315.

[192] F. Delfino, M. Rossi, A new FEM approach for field and torque simula- tion of electrostatic microactuators, J Microelectromech S 11 (4) (2002) 362–371.

[193] M. Gyimesi, D. Ostergaard, I. Avdeev, Triangle transducer for micro electro mechanical systems (MEMS) simulation in ANSYS finite element program, in: Proc. nanotech, Vol. 1, San Juan, Puerto Rico, 2002, pp. 380–383.

[194] I. Avdeev, New formulation for finite element modeling electrostatically driven microelectromechanical systems, Ph.D. thesis, University of Pitts- burg, USA (2003).

[195] M. Bächtold, J. G. Korvink, H. Baltes, The adaptive, multipole- accelerated BEM for the computation of electrostatic forces, in: Proc. CAD for MEMS, Zürich, Switzerland, 1997, p. 14.

[196] M. Bächtold, J. G. Korvink, J. Funk, H. Baltes, New convergence scheme for self-consistent electromechanical analysis of iMEMS, in: Proc. IEEE IEDM, Washington DC, USA, 1995, pp. 605–608.

[197] M. Gyimesi, I. Tsukerman, D. Lavers, T. Pawlak, D. Ostergaard, Hybrid finite element-Trefftz method for open boundary analysis, IEEE T Magn 32 (3) (1996) 671–674.

263 Bibliography

[198] K. Zeng, Automatic generation of compact models for the efficient calcu- lation of MEMS structures, Ph.D. thesis, Department of Microsystems Engineering, University of Freiburg (2005). [199] H. Rong, Q.-A. Huang, M. Nie, W. Li, An analytical model for pull-in voltage of clamped–clamped multilayer beams, Sensor Actuat A–phys 116 (1) (2004) 15–21. [200] M. I. Younis, A. H. Nayfeh, A study of the nonlinear response of a resonant microbeam to an electric actuation, Nonlinear Dynam 31 (1) (2003) 91–117. [201] C. T.-C. Nguyen, Micromechanical circuits for communication transceivers, in: Proc. IEEE BCTM, Vol. 8.2, 2000, pp. 142–149. [202] W. Zhang, R. Baskaran, K. L. Turner, Effect of cubic nonlinearity on auto-parametrically amplified resonant MEMS mass sensor, Sensor Ac- tuat A–phys 102 (2) (2002) 139–150. [203] F. Najar, S. Choura, S. El-Borgi, E. M. Abdel-Rahman, A. H. Nayfeh, Modeling and design of variable-geometry electrostatic microactuators, J Micromech Microeng 15 (2005) 419–429. [204] E. S. Hung, S. D. Senturia, Generating efficient dynamical models for mi- croelectromechanical systems from a few finite-element simulation runs, J Microelectromech S 8 (3) (1999) 1999. [205] B. Affour, P. Nachtergaele, S. Spirkovitch, D. Ostergaard, M. Gyimesi, Efficient reduced order modeling for system simulation of micro electro mechanical systems (MEMS) from FEM models, in: Proc. SPIE DTIP, Vol. 4019, Paris, France, 2000, pp. 50–54. [206] A. H. Nayfeh, E. M. Abdel-Rahman, M. I. Younis, Reduced-order models for MEMS applications, Nonlinear Dynamics 41 (2005) 211–236. [207] N. R. Swart, S. F. Bart, M. H. Zaman, M. Mariappan, J. R. Gilbert, D. Murphy, AutoMM: automatic generation of dynamic macromodels for MEMS devices, in: Proc. IEEE MEMS, Heidelberg, Germany, 1998, pp. 178–183. [208] E. M. Abdel-Rahman, M. I. Younis, A. H. Nayfeh, Characterization of the mechanical behavior of an electrically actuated microbeam, J Micromech Microeng 12 (6) (2002) 759–766.

264 Bibliography

[209] E. M. Abdel-Rahman, A. H. Nayfeh, Secondary resonances of electrically actuated resonant microsensors, J Micromech Microeng 13 (3) (2003) 491–501. [210] S. A. Emam, A. H. Nayfeh, Nonlinear responses of buckled beams to subharmonic-resonance excitations, Nonlinear Dynam 35 (2) (2004) 105– 122. [211] F. E. H. Tay, A. Ongkodjojo, Y. C. Liang, Backpropagation approxima- tion approach based generation of macromodels for static and dynamic simulations, Microsyst Technol 7 (3) (2001) 120–136. [212] T. Mukherjee, G. K. Fedder, Hierarchical mixed-domain circuit simu- lation, synthesis and extraction methodology for MEMS, J VLSI Sig Process S 21 (3) (1999) 233–249. [213] G. Zhou, F. E. H. Tay, F. S. Chau, Macro-modelling of a double- gimballed electrostatic torsional micromirror, J Micromech Microeng 13 (5) (2003) 532–547. [214] M. I. Younis, E. M. Abdel-Rahman, A. H. Nayfeh, A reduced-order model for electrically actuated microbeam-based MEMS, J Microelec- tromech S 12 (5) (2003) 672–680. [215] M. Gyimesi, D. Ostergaard, Electro-mechanical capacitor element for MEMS analysis in ANSYS, in: Proc. MSM, Puerto Rico, 1999, pp. 270– 273. [216] V. Rochus, G. Kerschen, J.-C. Golinval, Dynamic analysis of the nonlin- ear behavior of capacitive MEMS using the finite element formulation, in: Proc. ASME IDETC, 2005. [217] H. H. Huang, A. S. Usmani, Finite Element Analysis for Heat Transfer, Springer, London, 1994. [218] W. Batty, C. E. Christoffersen, A. J. Panks, S. David, C. M. Snowden, M. B. Steer, Electrothermal CAD of power devices and circuits with fully physical time-dependent compact thermal modeling of complex nonlin- ear 3-D systems, IEEE T Compon Pack T 24 (4) (2001) 566–590. [219] M. Rencz, V. Székely, Studies on the nonlinearity effects in dynamic compact model generation of packages, IEEE T Compon Pack T 27 (1) (2004) 124–130.

265 Bibliography

[220] L. Silverberg, L. Weaver, Jr, Dynamics and control of electrostatic struc- tures, J Appl Mech 63 (1996) 383–391.

[221] J. D. Jackson, Classical electrodynamics, 3rd Edition, Wiley, New York, 1999.

[222] R. W. Clough, J. Penzien, Dynamics of Structures, 2nd Edition, McGraw-Hill, New York, 1993.

[223] G. Schrag, Modellierung gekoppelter Effekte in Mikrosystemen auf kon- tinuierlicher Feldebene und Systemebene, Ph.D. thesis, Munich Univer- sity of Technology (2003).

[224] R. D. Cook, Concepts and applications of finite element analysis, John Wiley & Sons, New York, 2002.

[225] A. H. Nayfeh, H. N. Arafat, C.-M. Chin, W. Lacarbonara, Multimode interactions in suspended cables, J Vib Control 8 (3) (2002) 337–387.

[226] J. Israelachvili, Intermolecular and Surface Forces, 2nd Edition, Aca- demic Press, 1991.

[227] B. Widom, Some topics in the theory of fluids, J Chem Phys 39 (1963) 2808–2812.

[228] F. London, The general theory of molecular forces, Trans Faraday Soc 33 (1937) 8–26.

[229] L. D. Landau, E. M. Lifschitz, Quantum Mechanics, 3rd Edition, Vol. 3 of Course of Theoretical Physics, Pergamon Press, Oxford, 1977.

[230] A. D. McLachlan, Three-body dispersion forces, Mol Phys 6 (4) (1963) 423–427.

[231] F. F. Adamson, Physical Chemistry of Surfaces, 3rd Edition, Wiley, New York and London, 1976, Ch. 3 and 7.

[232] F. M. Fowkes, Attractive forces at interfaces, Ind Eng Chem 56 (12) (1964) 40–52.

[233] P. S. Swain, R. Lipowsky, Contact angles on heterogeneous surfaces: A new look at Cassie’s and Wenzel’s laws, Langmuir 14 (23) (1998) 6772– 6780.

266 Bibliography

[234] S. Herminghaus, Roughness-induced non-wetting, Europhys Lett 52 (2) (2000) 165–170.

[235] J. Kim, C.-J. Kim, Nanostructured surfaces for dramatic reduction of flow resistance in droplet-based microfluidics, in: Proc. IEEE MEMS, Las Vegas, NV, 2002, pp. 479–482.

[236] A. Shastry, S. Goyal, A. Epilepsia, M. J. Case, S. Abbasi, B. D. Ratner, K. F. Böhringer, Engineering surface micro-structure to control fouling and hysteresis in droplet based microfluidic bioanalytical systems, in: Proc. Hilton Head 2006, Hilton Head Island, NC, 2006.

[237] P. G. de Gennes, Wetting: Statics and dynamics, Rev Mod Phys 57 (3) (1985) 827–863.

[238] T. Pompe, S. Herminghaus, Three-phase contact line energetics from nanoscale liquid surface topographies, Phys Rev Lett 85 (9) (2000) 1930– 1933.

[239] L. D. Landau, E. M. Lifschitz, Fluid Mechanics, 2nd Edition, Vol. 6 of Course of Theoretical Physics, Pergamon Press, Oxford, 1982.

[240] J. U. Brackbill, D. B. Kothe, C. Zemach, A continuum method for mod- eling surface tension, J Comput Phys 100 (2) (1992) 335–354.

[241] M. Vallet, B. Berge, L. Vovelle, Electrowetting of water and aqueous so- lutions on poly(ethylene terephthalate) insulating films, Polymer 37 (12) (1996) 2465–2470.

[242] W. J. J. Welters, L. G. J. Fokkink, Fast electrically switchable capillary effects, Langmuir 14 (7) (1998) 1535–1538.

[243] H. J. J. Verheijen, M. W. J. Prins, Reversible electrowetting and trapping of charge: model and experiments, Langmuir 15 (20) (1999) 6616–6620.

[244] H. Dobbs, The modified Young’s equation for the contact angle of a small sessile drop from an interface displacement model, Int J Mod Phys B 13 (27) (1999) 3255–3259.

[245] V. Peykov, A. Quinn, J. Ralston, Electrowetting: a model for contact- angle saturation, Colloid & Polymer Science 278 (8) (2000) 789–793.

267 Bibliography

[246] P. J. Heres, Robust and effïcient Krylov subspace methods for model order reduction, Ph.D. thesis, Technische Universiteit Eindhoven (De- cember 2005).

[247] I. Troch, P. C. Müller, K.-H. Fasol, Modellreduktion für Simulation und Reglerentwurf, at–Automatisierungstechnik 40 (2/3/4) (1992) 45– 53/93–99/132–141.

[248] K. J. Kerns, A. T. Yang, Stable and efficient reduction of large, multiport RC networks by pole analysis via congruence transformations, IEEE T Comput Aid D 16 (7) (1997) 734–744.

[249] R. J. Guyan, Reduction of stiffness and mass matrices, AIAA J 3 (2) (1965) 138.

[250] T. Bechtold, Model order reduction of electro-thermal MEMS, Ph.D. thesis, University of Freiburg (2005). URL http://www.freidok.uni-freiburg.de/volltexte/1914

[251] Z. Q. Qu, Y. Shi, H. Hua, A reduced-order modeling technique for tall buildings with active tuned mass damper, Earthquake Eng Struc 30 (2001) 349–362.

[252] G. C. Archer, A technique for reduction of dynamic degrees of freedom, Earthquake Eng Struc 30 (2001) 127–145.

[253] K. Glover, All optimal hankel-norm approximations of linear multivari- able systems and their L∞-error bounds, Int J Control 39 (6) (1984) 1115–1193.

[254] S. Gugercin, A. C. Antoulas, A survey of model reduction by balanced truncation and some new results, Int J Control 77 (8) (2004) 748–766.

[255] B. C. Moore, Principal component analysis in linear systems: Control- lability, observability, and model reduction, IEEE T Automat Contr AC-26 (1) (1981) 17–32.

[256] P. Benner, E. S. Quintana-Ortí, G. Quintana-Ortí, Efficient numerical algorithms for balanced stochastic truncation, Int J Appl Math Comput Sci 11 (5) (2001) 1123—-1150.

[257] V. Mehrmann, T. Stykel, Balanced truncation model reduction for large- scale systems in descriptor form, Vol. 45 of LNCSE, Springer, Berlin,

268 Bibliography

Heidelberg, 2005, Ch. Padé-Type Model Reduction of Second-Order and Higher-Order Linear Dynamical Systems, pp. 83–116.

[258] J.-R. Li, F. Wang, , J. White, An efficient Lyapunov equation-based approach for generating reduced-order models of interconnect., in: Proc IEEE/ACM DAC, New Orleans, Louisiana, 1999, pp. 1–6.

[259] A. C. Antoulas, D. C. Sorensen, Y. Zhou, On the decay rate of Han- kel singular values and related issues, Systems and Control Lett 46 (5) (2002) 323–342.

[260] MathWorks, Robust control box, http://www.mathworks.com.

[261] G. Obinata, B. D. O. Anderson, Model Reduction for Control System Design, Springer, London, 2004.

[262] Y. Liu, B. D. O. Anderson, Singular perturbation approximation of bal- anced systems, in: Proc. IEEE CDC, Tampa, Florida, 1989, pp. 1355– 1360.

[263] D. C. Sorensen, A. C. Antoulas, Projection methods for balanced model reduction, Tech. Rep. 01-03, Electrical and Computer Engineering, Rice University (March 2001).

[264] A. C. Antoulas, D. C. Sorensen, The Sylvester equation and approximate balanced reduction, Linear Algebra Appl, Fourth Special Issue on Linear Systems and Control (2002) 351–352, 671–700. URL http://www-ece.rice.edu/~ca/crossgram.pdf

[265] Y. Chahlaoui, K. A. Gallivan, P. Van Dooren, Recursive calculation of dominant singular subspaces, SIAM J Matrix Anal A 25 (2) (2003) 445–463.

[266] R. W. Freund, Passive reduced-order modeling via Krylov-subspace methods, in: Proc. MTNS, Perpignan, France, 2000.

[267] L. T. Pillage, R. A. Rohrer, Asymptotic waveform evaluation for timing analysis, IEEE T Comput Aid D 9 (4) (1990) 352–366.

[268] J. E. Bracken, D.-K. Sun, Z. Cendes, Characterization of electromagnetic devices via reduced-order models, Comput Methods Appl Mech Engrg 169 (1999) 311–330.

269 Bibliography

[269] Y. I. Ismail, E. G. Friedman, DTT: direct truncation of the transfer function—an alternative to moment matching for tree structured inter- connect, IEEE T Comput Aid D 21 (2) (2002) 131–144. [270] Q. Su, V. L. Syrmos, D. Y. Y. Yun, A numerical algorithm for the diffusion equation using 3D FEM and the Arnoldi method, Circ Syst Signal Pr 18 (3) (1999) 291–314. [271] P. Feldmann, R. W. Freund, Efficient linear circuit analysis by Padé approximation via the Lanczos process, IEEE T Comput Aid D 14 (5) (1995) 639–649. [272] P. Feldmann, R. W. Freund, Efficient linear circuit analysis by Padé approximation via the Lanczos process, in: Proc. EURO-DAC ’94 with EURO-VHDL ’94, 1994, pp. 170–175. [273] D. Boley, M. Yeung, Transpose-free matrix Padé via Lanczos method, Tech. Rep. TR-98-024, Computer Science and Engineering Department, University of Minnesota (1998). [274] P. Feldmann, R. W. Freund, Reduced-order modeling of large linear subcircuits via a block Lanczos algorithm, in: Proc. ACM/IEEE DAC, San Francisco, CA, USA, 1995, pp. 474–479. [275] R. D. Slone, R. Lee, Applying Padé via Lanczos to the finite element method for electromagnetic radiation problems, Radio Sci 35 (2) (2000) 331–340. [276] H. Li, A. Woodbury, P. Aitchison, Eigenvalue translation method for sta- bilizing an unsymmetric Lanczos reduction process, Int J Numer Meth Eng 43 (2) (1998) 221–239. [277] L. M. Silveira, M. Kamon, J. White, Efficient reduced-order modeling of frequency-dependent coupling inductances associated with 3-D inter- connect structures, IEEE T Compon Pack B 19 (2) (1996) 283–288. [278] A. Odabasioglu, M. Celik, L. T. Pileggi, PRIMA: Passive reduced-order interconnect macromodeling algorithm, IEEE T Comput Aid D 17 (8) (1998) 645–654. [279] I. M. Elfadel, D. D. Ling, Zeros and passivity of Arnoldi-reduced-order models for interconnect networks, in: Proc. IEEE/ACM DAC, ACM Press, New York, NY, USA, 1997, pp. 28–33.

270 Bibliography

[280] I. M. Elfadel, D. D. Ling, A block rational Arnoldi algorithm for mul- tipoint passive model-order reduction of multiport RLC networks, in: Proc. IEEE/ACM ICCAD, IEEE Computer Society, Washington, DC, USA, 1997, pp. 66–71.

[281] B. Salimbahrami, Structure preserving order reduction of large scale second order models, Ph.D. thesis, Munich University of Technology (2005).

[282] K. H. A. Olsson, Model order reduction in FEMLAB by dual rational Arnoldi, Licentiate thesis in industrial mathematics, Chalmers, Göte- borg (2002).

[283] E. J. Grimme, D. C. Sorensen, P. Van Dooren, Model reduction of state space systems via an implicitly restarted Lanczos method, Numerical Algorithms 12 (1–2) (1995) 1–31.

[284] I. M. Jaimoukha, E. M. Kasenally, Implicitly restarted Krylov subspace methods for stable partial realizations, SIAM J Matrix Anal A 18 (3) (1997) 633–652.

[285] Z. J. Bai, R. W. Freund, A partial Padé-via-Lanczos method for reduced- order modeling, Linear Algebra Appl 332–334 (2001) 139–164.

[286] B. N. Sheehan, ENOR: Model order reduction of RLC circuits using nodal equations for efficient factorization, in: Proc. DAC, New Orleans, LA, USA, 1999, pp. 17–21.

[287] L. Knockaert, D. D. Zutter, Passive reduced order multiport model- ing: The Padé-Laguerre, Krylov-Arnoldi-SVD connection, Int J Electron Commun 53 (5) (1999) 254–260.

[288] J. R. Phillips, Model reduction of time-varying linear systems using ap- proximate multipoint Krylov-subspace projectors, in: Proc. IEEE/ACM ICCAD, San Jose, California, 1998, pp. 96–102.

[289] A. C. Antoulas, D. C. Sorensen, S. Gugercin, A survey of model reduc- tion methods for large-scale systems, Cont Math 280 (2001) 193–219.

[290] T.-J. Su, J. Craig, Roy R., Model reduction and control of flexible struc- tures using Krylov vectors, J Guidance 14 (2) (1991) 260–267.

271 Bibliography

[291] B. Häggblad, L. Eriksson, Model reduction methods for dynamic analy- ses of large structures, Comput Struct 47 (1993) 4/5.

[292] B. Lohmann, B. Salimbahrami, Reduction of second order systems using second order Krylov subspaces, in: Proc. IFAC World Congress, Prag, Czech Republic, 2005.

[293] Z. J. Bai, Y. Su, SOAR: A second-order Arnoldi method for the solution of the quadratic eigenvalue problem, SIAM J Matrix Anal A 26 (3) (2005) 640–659.

[294] Y. Chahlaoui, K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Second- order balanced truncation, Linear Algebra Appl 415 (2–3) (2006) 373– 384.

[295] A. Vandendorpe, Model reduction of linear systems, an interpolation point of view, Ph.D. thesis, Université Catholique de Louvain (December 2, 2004).

[296] R. W. Freund, Dimension Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer, Berlin, Heidelberg, 2005, Ch. Padé-Type Model Re- duction of Second-Order and Higher-Order Linear Dynamical Systems, pp. 192–223.

[297] Z. J. Bai, K. Meerbergen, Y. Su, Dimension Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer, Berlin, Heidelberg, 2005, Ch. Arnoldi Methods for Second-Order Systems, pp. 173–189.

[298] R. R. Craig, Jr., A brief tutorial on substructure analysis and testing, in: Proc. IMAC, San Antonio, TX, 2000, p. 899ff.

[299] A. K. Noor, J. M. Peters, Convergence of the classical Rayleigh-Ritz method and the finite element method, AIAA Journal 18 (4) (1980) 455–462.

[300] Y. Chahlaoui, K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Dimen- sion Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer, Berlin, Heidelberg, 2005, Ch. Model Reduction of Second-Order Sys- tems, pp. 149–172.

[301] K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Model reduction via tangential interpolation, in: Proc. MTNS, South Bend, IN, USA, 2002.

272 Bibliography

[302] K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Model reduction of MIMO systems via tangential interpolation, SIAM J Matrix Anal A 26 (2) (2004) 328–349.

[303] Z. Qi, H. Yu, P. Liu, S. X.-D. Tan, L. He, Wideband passive multi- port model order reduction and realization of RLCM circuits, IEEE T Comput Aid D 25 (8) (2006) 1496–1509.

[304] M. Rewieński, A trajectory piecewise-linear approach to model order reduction of nonlinear dynamical systems, Ph.D. thesis, Massachusetts Insitute of Technology (June 2003).

[305] G. Kerschen, J.-C. Golinval, K. Worden, Theoretical and experimen- tal identification of a non-linear beam, Journal of Sound and Vibration 244 (4) (2001) 597–613.

[306] P. Li, L. T. Pileggi, NORM: compact model order reduction of weakly nonlinear systems, in: Proc. IEEE/ACM DAC, San Diego, CA, 2003, pp. 472–477.

[307] P. K. Gunupudi, M. S. Nakhla, Nonlinear circuit-reduction of high-speed interconnect networks using congruent transformation techniques, IEEE Trans Adv Pack 24 (3) (2001) 317–325.

[308] Y. C. Liang, W. Z. Lin, H. P. Lee, S. P. Lim, K. H. Lee, D. P. Feng, A neural-network-based method of model reduction for the dynamic sim- ulation of MEMS, J Micromech Microeng 11 (3) (2001) 226–233.

[309] H. V. Ly, H. T. Tran, Modeling and control of physical processes using proper orthogonal decomposition, Math Comput Model 33 (1–3) (2001) 223–236.

[310] J. L. Lumley, Transition and turbulence, Academic Press, New York, 1981, Ch. Coherent Structures and Turbulence, pp. 215–242.

[311] P. Holmes, J. L. Lumley, G. Berkooz, Turbulence, Coherent Structures, Dynamical Systems and Symmetry, Cambridge Monographs on Mechan- ics, Cambridge University Press, 1998.

[312] S. Banerjee, J. V. Cole, K. F. Jensen, Nonlinear model reduction strate- gies for rapid thermal processing systems, IEEE T Semiconduct M 11 (2) (1998) 266–275.

273 Bibliography

[313] S. Volkwein, Proper orthogonal decomposition and singular value de- composition, SFB-Preprint No. 153 (1999). [314] S. Raimondeau, D. G. Vlachos, Low-dimensional approximations of mul- tiscale epitaxial growth models for microstructure control of materials, Journal of Computational Physics 160 (2000) 564–576. [315] M. Fahl, Computation of POD basis functions for fluid flows with Lanc- zos methods, Math Comput Model 34 (2001) 91–107. [316] W. Z. Lin, K. H. Lee, S. P. Lim, Y. C. Liang, Proper orthogonal de- composition and component mode synthesis in macromodel generation for the dynamic simulation of a complex MEMS device, J Micromech Microeng 13 (5) (2003) 646–654. [317] U. Feldmann, E. Kreuzer, F. Finto, Dynamic diagnosis of railway tracks by means of the Karhunen-Loéve transformation, Nonlinear Dynamics 22 (2000) 193–203. [318] S. Volkwein, Optimal control of a phase-field model using proper orthog- onal decomposition, ZAMM–Z Angew Math Me 81 (2) (2001) 83–97. [319] I. T. Georgiou, I. B. Schwartz, Dynamics of large scale coupled struc- tural/mechanical systems: a singular perturbation/proper orthogonal decomposition approach, Siam J Appl Math 59 (4) (1999) 1178––1207. [320] B. Lohmann, Application of model order reduction to a hydropneumatic vehicle suspension, IEEE T Contr Syst T 3 (1) (1995) 102–109. [321] E. Eitelberg, Model reduction by minimizing the weighted equation er- ror, Int J Control 34 (1981) 1113–1123. [322] B. Lohmann, Order reduction and determination of dominant state vari- ables of nonlinear systems, Mathematical Modelling of Systems 1 (2) (1995) 77–90. [323] S. Lall, J. E. Marsden, S. Glavaški, A subspace approach to balanced truncation for model reduction of nonlinear control systems, Int J Ro- bust Nonlin 12 (2002) 519–535. [324] A. J. Laub, M. T. Heath, C. C. Paige, R. C. Ward, Computation of system balancing transformations and other applications of simultaneous diagonalization algorithms, IEEE T Automat Contr AC-32 (2) (1987) 115–122.

274 Bibliography

[325] J. R. Phillips, Projection framewords for model reduction of weakly non- linear systems, in: Proc. IEEE/ACM DAC, 2, 2000, pp. 184–189.

[326] Y. Chen, J. White, A quadratic method for nonlinear model order re- duction, in: Proc. MSM, San Diego, 2000, pp. 477–480.

[327] J. Chen, S.-M. S. Kang, J. Zou, C. Liu, J. E. Schutt-Ainé, Reduced- order modeling of weakly nonlinear MEMS devices with Taylor-series expansion and Arnoldi approach, J Microelectromech S 13 (3) (2004) 441–451.

[328] S. Sastry, Nonlinear Systems: Analysis, Stability and Control, Springer, New York, 1999.

[329] L. D. Gabbay, J. E. Mehner, , S. D. Senturia, Computer-aided generation of nonlinear reduced-order dynamic macromodels I: Non-stress-stiffened case, J Microelectromech S 9 (2) (2000) 262–269.

[330] J. Lienemann, Modeling and simulation of the fluidic controlled self- assembly of micro parts, Diplomarbeit, IMTEK, University of Freiburg, Freiburg, Germany (May 26, 2002).

[331] J. Lienemann, A. Greiner, J. G. Korvink, X. Xiong, Y. Hanein, K. F. Böhringer, Modelling, simulation and experimentation of a promising new packaging technology – parallel fluidic self-assembly of micro de- vices, Sensors Update 13 (2004) 3–43.

[332] J. Lienemann, A. Greiner, J. G. Korvink, Surface tension defects in micro-fluidic self-alignment, in: Proc. DTIP, Cannes-Mandelieu, France, 2002, pp. 55–63.

[333] L. R. van den Doel, L. J. van Vliet, K. T. Hjelt, M. J. Vellekoop, F. Gromball, J. G. Korvink, I. T. Young, Nanometer-scale height mea- surements in micromachined picoliter vials based on interference fringe analysis, in: Proc. ICPR, Vol. 3 of Image, Speech, and Signal Processing, IEEE Computer Society Press, Barcelona, Spain, 2000, pp. 57–62.

[334] E. Beaugnon, D. Fabregue, D. Billy, J. Nappa, R. Tournier, Dynamics of magnetically levitated droplets, Physica B 294–295 (1–4) (2001) 715– 720.

275 Bibliography

[335] K. Nomura, S. Koshizuka, Y. Oka, H. Obata, Numerical analysis of droplet breakup behavior using particle method, J Nucl Sci Technol 38 (12) (2001) 1057–1064.

[336] R. W. Smithwick III, J. A. M. Boulet, Vibrations of microscopic mercury droplets on glass, J Colloid Interf Sci 130 (2) (1989) 588–596.

[337] M. Strani, F. Sabetta, Free vibrations of a drop in partial contact with a solid support, J Fluid Mech 141 (1984) 233–247.

[338] M. Strani, F. Sabetta, Viscous oscillations of a supported drop in an immiscible fluid, J Fluid Mech 189 (1988) 397–421.

[339] H. Ren, R. B. Fair, M. G. Pollack, E. J. Shaughnessy, Dynamics of electro-wetting droplet transport, Sensor Actuat B–chem 87 (1) (2002) 201–206.

[340] Duke University Digital Microfluidics Research Group, Digital mi- crofluidics by electrowetting, http://www.ee.duke.edu/research/ (2004).

[341] A. Prosperetti, Free oscillations of drops and bubbles: the initial-value problem, J Fluid Mech 100 (2) (1980) 333–347.

[342] V. Lisy, B. Brutovsky, A. V. Zatovsky, Vibrations of microemulsion droplets and vesicles with compressible surface layer, Phys Rev E 58 (6) (1998) 7598–7605.

[343] S. Yamakita, Y. Matsui, S. Shiokawa, New method for measurement of contact angle (droplet free vibration frequency method), Jpn J Appl Phys 38 (5B) (1999) 3127–3130.

[344] A. Prosperetti, Normal-mode analysis for the oscillations of a viscous liquid drop in an immiscible liquid, J Mécanique 19 (1) (1980) 149–182.

[345] B. Xu, K. T. Ooi, C. Mavriplis, M. E. Zaghloul, Evaluation of viscous dissipation in liquid flow in microchannels, J Micromech Microeng 13 (1) (2003) 53–57.

[346] F. Mugele, S. Herminghaus, Electrostatic stabilization of fluid mi- crostructures, Appl Phys Lett 81 (12) (2002) 2303–2305.

276 Bibliography

[347] T. Yamada, T. Sugimoto, Y. Higashiyama, M. Takeishi, T. Aoki, Res- onance phenomena of a single water droplet located on a hydrophobic sheet under ac electric field, in: Proc. IEEE IAS, Chicago, IL, USA, 2001, pp. 1530–1535.

[348] T. Glatzel, C. Cupelli, T. Lindemann, C. Litterst, C. Moosmann, R. Niekrawietz, W. Streule, R. Zengerle, P. Koltay, Computational fluid dynamics (CFD) software tools for microfluidic applications – a bench- mark study, Lab-On-A-Chip. Submitted.

[349] O. Rübenkönig, J. G. Korvink, IMTEK Mathematica Supple- ment (IMS), http://www.imtek.uni-freiburg.de/simulation/ mathematica/IMSweb.

[350] S. Wolfram, The Mathematica Book, 4th Edition, Wolfram Media/Cam- bridge University Press, 1999, http://documents.wolfram.com/v4.

[351] E. B. Rudnyi, J. G. Korvink, Model order reduction for large scale en- gineering models developed in ANSYS, in: J. Dongarra, K. Madsen, J. Wasniewski (Eds.), Proc. PARA State-of-the-Art in Scientific Com- puting, Vol. 3732 of LNCS, Springer, Lyngby, Denmark, 2004, pp. 349– 356.

[352] E. B. Rudnyi, J. G. Korvink, mor4ansys (version 1.8): Compact Be- havioral Models from ANSYS by Means of Model Order Reduction, De- partment of Microsystems Engineering, University of Freiburg, available online: http://www.imtek.uni-freiburg.de/simulation/mor4ansys (February 2005).

[353] CFD Research Corp., http://www.cfdrc.com.

[354] B. Zhao, J. S. Moore, D. J. Beebe, Principles of surface-directed liquid flow in microfluidic channels, Anal Chem ASAP 74 (16) (2002) 4259 –4268.

[355] J.-l.-R. D’Alembert, Traité de dynamique, Chez David, Libraire, Paris, 1743.

[356] ISO/IEC: ISO/IEC 9899:1999(E) Programming languages – C.

277 278 Acknowledgements

Many people have supported me during the four years of writing this the- sis. On this page, I want to express my gratitude to those people who have supported me carrying out this work. First of all, I would like to thank my supervisor Prof. Dr. Jan G. Korvink, who gave me the possibility to work in his group at IMTEK in a friendly and encouraging atmosphere, for his support and motivation, his numerous hints and ideas and his guidance. I also wish to thank Prof. Dr. Ulrike Wallrabe for co-examining this thesis. I am very grateful to my second supervisors Dr. Andreas Greiner and Dr. Evgenii Rudnyi for their advice, inspiration, ideas and support. I further wish to thank the current and former members of the group, Oliver Rübenkönig, Dr. Tamara Bechtold, Laura del Tin, Martin Geier, David Kau- zlaric, and in particular Christian Moosmann and Dr. Zhenyu Liu who proof- read parts of this thesis, and all others not mentioned here for intensive dis- cussions and productive cooperation. Further, I want to thank Anne Rottler, Alice Müller, Gaby Bachschmidt, Yvonne Haller and Bruno Welsch for their help and support. I had a lot of fun while working here and always had the feeling to be at home. Thanks, guys! Then, I would like to thank my project partners at IMTEK, Uwe Herberth, Miguel Martinez, Dr. Isabella Moser and Dr. Gerhard Jobst for the success- ful work and friendly cooperation. Also my thanks to all other department members for the friendly atmosphere! My gratitude goes to our external project partners, Prof. Dr. Boris Lohmann, Behnam Salimbahrami (also my acknowledgments for creating the graphs in figure 8.14 on page 215) and Amirhossein Yousefi (also acknowledged for cre- ating the graphs in figure 8.13 on page 213), the IBM Research GmbH in Rüschlikon, in particular Dr. Christoph Hagleitner and Hugo Rothuizen, Dag Billger from the Imego institute in Gothenburg and all members of the Micro- protein project. Furthermore, I wish to thank Lorenza Ferrario and Prof. Dr. Giovanni Soncini from the ITC IRST and Prof. Dr. Gian-Franco Dalla Betta

279 Acknowledgements and Dr. Giorgio Fontana from the University of Trento, Italy, for giving me the possiblity to spend a summer working in Trentino. I acknowledge the Provincia Autonoma di Trento (PAT), the Deutsche Forschungsgemeinschaft (DFG), the European Community (EU), the Bun- desministerium für Bildung und Forschung (BMBF) and the University of Freiburg for funding my research. Finally, my deepest feelings of thankfulness go to my parents and my friends for their support, patience and love.

280 Curriculum Vitae

Jan Lienemann Mülhauser Str. 4 79110 Freiburg [email protected]

Born July 20th, 1977 in Kenzingen, Germany

1997 Abitur at Scheffel-Gymnasium Bad Säckingen 2002 Diploma in Microsystem Technology, University of Freiburg since 2002 Working in the Lab of Simulation, Department of Microsys- tems Engineering, Faculty of Applied Sciences, University of Freiburg pursuing the doctoral degree under supervision of Prof. Dr. Jan G. Korvink

281 282 Nomenclature

Abbreviations

1D one-dimensional

2D two-dimensional

3D three-dimensional

AFM Atomic Force Microscope

APDL ANSYS Parametric Design Language

AWE Asymptotic Waveform Evaluation

BTA Balanced Truncation Approximation

CFD Computational Fluid Dynamics

CMOS Complementary Metal Oxide Semiconductor

DAE differential-algebraic equation

DOF degree of freedom

EDEW Evolve Droplets by ElectroWetting

EWOD ElectroWetting-On-Dielectrics

FEM Finite Element Method

GUI Graphical User Interface

HSV Hankel singular value ims IMTEK Mathematica Supplement

LTI Linear Time Invariant

MEMS MicroElectroMechanical System

283 Nomenclature

MIMO Multiple-Input-Multiple-Output

MISO Multiple-Input-Single-Output

MOR Model Order Reduction

MST MicroSystem Technology

ODE Ordinary Differential Equation

PDE Partial Differential Equation

POD Proper Orthogonal Decomposition

PVL Padé via Lanczos

QS quasi-static

RF Radio Frequency

SIMO Single-Input-Multiple-Output

SISO Single-Input-Single-Output

SOAR Second Order ARnoldi

SOBT Second Order Balanced Truncation

SVD Singular Value Decomposition

TPWL Trajectory PieceWise Linear

VLSI very large scale integration

Variables a acceleration a0 acceleration of the origin arel relative acceleration A system or stiffness matrix

A˜ A s E − 0 A area

284 b constant part of equation

B load matrix, DOF-strain relationship

B magnetic induction

Bo Bond number c elasticity tensor c damping coefficient ck Rayleigh damping parameter, stiffness matrix cm Rayleigh damping parameter, mass matrix C output matrix

C capacitance

Cp specific heat capacity d strain-displacement relationship differential d constant part of equation d distance, gap d0 equilibrium position of the spring D straight-way matrix

D electric displacement

E mass or damping matrix

E electric field, elasticity tensor (vector form)

E elastic modulus f nonlinear equation parts, function, body force f function, frequency, heat flux

F nonlinear equation parts mapping g nonlinear equation parts

285 Nomenclature g gravitational acceleration

2 G nonlinear equation parts mapping, s0M + s0E + K H transfer function

H magnetic field

H mean curvature, transfer function

I geometrical moment of inertia j prescribed current density j S surface current due to free charges J Jacobian k spring constant, Boltzmann constant

K stiffness matrix

r l Krq, Krq right, left Krylov subspace Kn Knudsen number

Lagrange function (Lagrangian) L L Laplace transform

L length, liquid mi moment of a system m mass m number of control input signals

M mass matrix 1 M˜ A˜ − E n normal unit vector n system order, polynomial degree n state space size

286 Nk shape function, test function o maximum order of time derivatives p pressure pi, p parameters p number of output variables

P capacitance matrix, controllability gramian

P point q vector of generalised coordinates, mechanical degrees of freedom q charge, unit charge qj generalised coordinate q number of equations

Q observability gramian

Q charge degrees of freedom

Q heat generation rate (heat source), charge, constrained state space

1 r A˜ − B r position r number of state nonlinearities

R position

Re Reynolds number s scale factor, complex frequency σ + iω s number of output nonlinearities

S surface, substrate

∆t timestep t time, thickness

287 Nomenclature

T temperature

T ∗ kinetic coenergy u Input of a system u displacement v velocity v rel relative velocity v volume, beam bending

V projection matrix

V voltage, potential energy

Vpull-in pull-in voltage δW nc variation of nonconservative work

W projection matrix, rank 3 tensor, voltage/displacement coupling matrix

W vapor phase, outer medium

WB work by voltage source

We, We∗ electric energy, electric coenergy

Wm, Wm∗ magnetic energy, magnetic coenergy X matrix of snapshots x (t), x states, position

˙x (t) velocities

¨x (t) accelerations y Output of a system

Greek letters

α Rayleigh damping parameter, mass matrix

β Rayleigh damping parameter, stiffness matrix

288 ǫ Cauchy strain tensor

ε dielectric permittivity

ε0 dielectric permittivity of free space

εr relative dielectric permittivity of the material γ surface tension

γ Green-Saint Venant strain tensor

κ heat conductivity

λi eigenvalue ν Poisson’s ratio

ω angular frequency

Ω angular velocity

Ω simulation domain

∂Ω boundary (of simulation domain)

Φ orthonormal matrix of eigenvectors

Ψ potential

ρ density (charge or mass)

σ stress tensor

σ electric conductivity

τ time interval

τxy, τyz, τxz shear stresses θ contact angle

Ξqj generalised force

289 290 Index

Symbols bifurcation, 59, 78, 81, 84 [, 142 bilinearisation, 151 1DPath, 161 binary files, 170, 176, 241 black-box solvers, 77 A block accuracy, 35 – Arnoldi, 133 action, 228 – Gauss Seidel method, 77 actuators, 37 – Krylov subspace, 133 AFM, 38 Bond number, 30 air damping, 44 bondwire, 46, 201, 224 algebraic-differential equations, 118 boundary conditions, 55 ANSYS, 169, 176, 241 boundary elements method, 77 – Parametric Design Language (APDL), breakdown, 131 169, 177 buckling, 84 Arnoldi method, 22, 24, 132, 150, butterfly, 42, 200 153, 179, 199 – dual rational, 134 C – two-sided, 134 canonical form, 174 assumed modes, 141 cantilever, 36, 38, 71, 171 asymptotic waveform evaluation method, capacitance, 75, 78 129 capacitive contacts, 11 capillary forces, 23, 109 B capillary lift, 188 backward Euler method, 60 Carleman bilinearisation, 151 balanced truncation approximation, Cauchy, 55 22, 125 – strain tensor, 68 balancing and optimisation, 149, 212 – stress tensor, 68 basis, 53, 78 centripetal acceleration, 43 beam, 35, 71, 88, 92 CFD, 15 benchmarks, 38 channels, 12, 23, 191

291 Index charge, 73, 93, 98, 112, 166 – matrix, 57 cohesion Debye interaction, 107 – force, 108 deflation-check procedure, 131 – work of, 108 detachment, 23 commercial software, 28, 77 determinant, 53 compact modelling, 19 dielectric, 171 complexity, 34 – layer, 9, 11 component-mode matrix, 141 direct coupling, 77 congruence transformation, 123 direct truncation, 129 conservative, 15 Dirichlet, 55 constant average acceleration method, discarding of small couplings, 180, 63 208 contact, 24, 73, 172, 205 discretisation, 86 contact angle, 8, 109 dispersion forces, 107 – advancing/receding, 167 droplet – saturation, 114 – processing, 13 contact angle hysteresis, 167 – splitting, 11 contact line, 8, 11, 109 droplet velocity, 8 – pinning, 110 DSIF, 57, 233 – tension, 110 dual rational Arnoldi algorithm, 134 continuation methods, 58 Dupré equation, 109 continuous systems, 66 dynamic viscosity, 105 continuum, 32 dynamics, 15 control theory, 22 controllability, 120 E – gramian, 126 EDEW, 15, 23, 157, 160, 185 controller, 34 effective parameters, 20 Coriolis acceleration, 43 eigenmodes, 65 Coulomb, 98 eigenvalues, 53 Coulomb force, 74 eigenvectors, 53, 141 coupling, 29, 36, 65, 76, 92, 151 Einstein notation, 51 CPU time, 16 electric Crank-Nicholson method, 60 – potential, 75 cross gramian, 128 electric field, 11, 75 cross section, 71, 94, 97 electrocapillarity, 8 electrode, 8, 76, 159, 192 D electrohydrodynamic forces, 8 D’Alembert, 226 electrostatic, 73, 98, 165 damping, 99, 137, 163 – energy, 9, 112

292 Index electrowetting, 7, 23, 112, 159, 186 – coordinates, 65, 94, 225 electrowetting-on-dielectrics, 8, 23 – forces, 66, 227 elliptic, 55 – Krylov subspaces, 141 energy, 75, 192 geometric – domains, 28, 36, 76 – matrix, 103 – method, 153 – model, 193 ENOR algorithm, 134 – moment of inertia, 72 equations of motion, 65 – nonlinearity, 36, 101 equilibrium, 58 Gram Schmidt process, 131, 133 equivalent circuit, 18 gramian, 126 error estimator, 18, 22, 127 – approximants, 22 Euler-Bernoulli beam, 72 Green’s deformation tensor, 229 evaporation, 23, 188 Green-Saint Venant strain tensor, exact deflation, 133 67, 228 expansion point, 129, 135 grid, 17 experiments, 14 GUI, 23 explicit integrator, 62 Guyan method, 123, 199 explicit moment computation, 130 gyrator matrix, 57 exponents, 175 gyroscope, 42, 200, 223 gyroscopic coupling, 44 F fast solvers, 34 H FEM, 17, 87, 95 Hamilton’s principle, 228 fine structure, 15, 159, 192 Hankel finite element method, 87 – Norm Approximation, 127 first order, 46, 55, 63 – norm approximation, 22 flexural displacement, 95 – singular values, 126 fluid processing, 13 hardware-in-the-loop, 34 fluidics, 104 harmonic response, 64 free surfaces, 111 hat functions, 90 fringing field, 165 heat transfer, 46, 87, 210, 224 full rank, 53 Helmholtz, 107, 167 fully automatic, 127 Hermite, 95 hermitian, 53 G hierarchy levels, 33 Gajski-Kuhn chart, 33 holonomic, 65, 225 Galerkin condition, 123 homotopy method, 58 gather matrix, 56 Hurwitz, 54, 126 generalised hydrogen bond, 107

293 Index hyperbolic, 55 intermolecular interactions, 107 hysteresis, 81 inverse, 118 inverted EWOD, 11 I IRST RF switch, 44, 203, 223 IBM scanning-probe data storage de- vice, 24, 38, 171, 205 J image, 53 Jacobian, 58 implicit integrator, 62 Java, 23 impulse response matrix, 134 K imsLinearizeSystem, 175 Karhunen-Loève decomposition, 146 imsPolynomMatrix, 175 Keesom interaction, 107 imsPolynomMatrixContract, 175 kinetic coenergy, 65, 95, 227 imsPolynomMatrixContractAll, 175 kinetic energy, 164 imsPolynomMatrixToMatrix, 175 Kirchhoff, 71, 88 imsToSimpleSystem, 175 Kirchhoffian laws, 18 IMTEK Mathematica Supplement, Knudsen number, 32 169, 174 Krylov subspace, 22, 54, 130, 199 incompressible liquids, 106 – exhausted, 133 induction effect, 107 – methods, 128 inertia, 97, 163 inertial L – forces, 15 L2 norm, 17 – frame, 44 Lagrange function, 65, 228 – load, 44 Lagrangian Mechanics, 65, 94, 225 – stiffness, 44 Lagrangian mesh, 15 inexact deflation, 133 Laguerre functions, 134 infinity norm, 18 Lanczos method, 22 initial displacement matrix, 103 Landau notation, 53 initial stress matrix, 103 Laplace pressure, 8, 11, 111 inner vectors, 131 Laplace transform, 118 input, 17, 56, 101, 142 large displacement matrix, 103 – matrix, 56 left eigenvectors, 54 interconnect problem, 21 left tangential interpolation, 141 interface leverage groups, 173 – energy, 109 linear dependency, 53 – tension, 109 linear time invariant, 117 interfacial energy, 186 linearisation, 175 interfacial tension, 9, 107, 109, 158, load matrix, 56 188 load-correction matrix, 103

294 Index local minimum, 15 N London forces, 107 Navier-Stokes, 105 London interaction, 107 nearest neighbours, 108 low-dimensional subspace, 122 netlist, 18 LU decomposition, 118 Neumann, 55 Newmark integrator, 62 M Newton Raphson, 57 magnetic actuators, 31 – modified, 58 mass matrix, 57 Newtonian fluids, 105 master nodes, 123 non-uniform block Arnoldi, 180 material derivative, 105 nonlinearity, 23, 24, 35, 46, 57, 64, Mathematica, 169, 174 70, 73, 81, 91, 142, 171, 205 Matlab, 57 norm, 17 matrix condensation, 124 normal stresses, 68 matrix Padé via Lanczos, 131 notation, 51 Maxwell, 74 O McMillan degree, 120 O notation, 51 mean curvature, 111 oblique projection, 131 mesh, 17 observability, 120 micropumps, 8 – gramian, 126 microsystem technology, 28 operating range, 35 millipede, 38 optical applications, 11 minimal realization, 120 optimisation, 15, 23, 192 modal analysis, 64 order, 55 modal truncation, 141 ordinary differential equations, 54 mode shape, 65 orientation effect, 107 model order reduction, 17, 21, 24, orthogonalisation, 132 76, 117, 171, 179 orthogonality, 100 modelling, 28 output, 17, 101 modified moments, 130 – matrix, 56 moment matching, 129 overshoot, 164 moments, 129 monitor nodes, 173 P monolithic scheme, 77 Padé mor4ansys, 176 – approximants, 22, 128 motion, 186 – type approximants, 129 moving structures, 29 – via Lanczos method, 130 multi-moments, 151 parabolic, 55

295 Index parallel plate capacitor, 78 relaxation method, 77 parameter extraction, 20 reliability, 13 parameters, 23, 35, 142 Reynolds number, 15 partial differential equations, 54 RF switch, 29, 44, 92, 203, 223 particle methods, 32 right eigenvectors, 53 passivity, 18, 121 right tangential interpolation, 141 path following, 58, 59 rigid body modes, 65 peripheral field, 165 rigid body motion, 67 phase space, 81 Riks-Crisfield technique, 58 pinch-off, 188, 190 Ritz-Galerkin, 89 PLU decomposition, 118 Robin, 55 polarity, 11 rotation, 43 polynomial, 92, 104, 171, 205 Runge-Kutta methods, 60 – approximation, 24 – matrices, 173 S – projection, 150 SABER, 18 potential, 92, 112 scaling, 30 – energy, 15, 65, 95, 153, 159, 194 scatter matrix, 56 predictor corrector schemes, 58 Schur complement, 123, 142 PRIMA, 133 script template library, 23 principal component analysis, 146 second order, 55, 136 projection, 122, 175 – Arnoldi, 140 proper, 119 – balanced truncation, 140 proper orthogonal decomposition, 127, – gramians, 140 145, 146, 212 self capacitance, 98 prototype, 27 semi-empirical, 20 pull-in voltage, 81 sensors, 37 shape function, 90, 103 Q shear, 105 quasi-static, 15 signal domains, 29 similarity, 17 R simulation, 14, 28 rank, 53 – free, 145 Rayleigh damping, 24, 99, 138, 179, singular perturbation approximation, 201 22, 127 Rayleigh-Ritz method, 141 singular value decomposition, 54 realization, 120 small displacement stiffness matrix, realtime, 34 103 reconfiguration at runtime, 10 snap-through, 84

296 Index snapshots, 145 symmetric, 53 solution branch, 84 system matrices, 56 SPICE, 18 system matrix optimisation, 147, 212 SpikeShape, 162 System package, 174 splitting, 167, 187 system simulation, 32, 76 stability, 18, 121, 131 system theory, 117 staggered scheme, 77 standardisation, 21 T starting point, 58 tangential state vector, 56 – acceleration, 43 stationary, 55 – interpolation, 141 stiffness, 101 – two-sided, 141 – matrix, 57 – spring constant, 81 straight-way matrix, 56 – stiffness matrix, 103 strain, 67 Taylor series, 128 – tensor, 68 temporary dipole, 107 strain-displacement relations, 68, 71, test functions, 89 95, 102, 229 thermal conductivity, 88 stress stiffening, 37, 78 thin film damping, 44, 100 stress tensor, 68 time derivative, 55 stress-strain relationship, 102 time integration, 60 strictly proper, 119 Timoshenko beam, 72 structural mechanics, 67 tip, 38, 144 structure preserving, 136 training trajectory, 152 subspace, 122 trajectory piecewise-linear method, substructuring, 125 152 successive loading method, 58 TRANS109, 77 supremum norm, 18 TRANS126, 85, 170, 171, 244 surface, 15, 30, 157, 167 transducer, 28, 65, 76, 170, 171, 206, – energy, 108 244 – tension, 108, 157 transfer function, 117, 118, 128 Surface Evolver, 15, 23, 157 transient dipole moment, 107 surface tension, 107 transistor, 19 SVD based approximation methods, trapezoidal rule, 60 125 Trefftz, 77 SVD-Krylov method, 22 truncated balanced realization method, SVD-Laguerre algorithm, 134 125 symbol isolation, 143 turbulence, 35 symbols, 51 two-sided

297 Index

– Arnoldi algorithm, 134 – second order Arnoldi, 140 – tangential interpolation, 141

U undamped, 136 unitary, 53

V Van der Waals forces, 107 velocity Verlet scheme, 61 Verilog-A, 24, 169, 175, 181, 245 Verlet algorithm, 61 virtual displacements, 226 viscosity, 105 voltage, 75, 112

W weak form, 89 wetting, 8, 107

Y Y chart, 33 Young equation, 110

298