Embedded Networks on Chip for Field-Programmable Gate Arrays by Mohamed Saied Abdelfattah a Thesis Submitted in Conformity With

Total Page:16

File Type:pdf, Size:1020Kb

Embedded Networks on Chip for Field-Programmable Gate Arrays by Mohamed Saied Abdelfattah a Thesis Submitted in Conformity With Embedded Networks on Chip for Field-Programmable Gate Arrays by Mohamed Saied Abdelfattah A thesis submitted in conformity with the requirements for the degree of Doctor of Philosophy Graduate Department of Electrical and Computer Engineering University of Toronto © Copyright 2016 by Mohamed Saied Abdelfattah Abstract Embedded Networks on Chip for Field-Programmable Gate Arrays Mohamed Saied Abdelfattah Doctor of Philosophy Graduate Department of Electrical and Computer Engineering University of Toronto 2016 Modern field-programmable gate arrays (FPGAs) have a large capacity and a myriad of embedded blocks for computation, memory and I/O interfacing. This allows the implementation of ever-larger applications; however, the increase in application size comes with an inevitable increase in complexity, making it a challenge to implement on-chip communication. Today, it is a designer's burden to create a customized communication circuit to interconnect an application, using the fine-grained FPGA fab- ric that has single-bit control over every wire segment and logic cell. Instead, we propose embedding a network-on-chip (NoC) to implement system-level communication on FPGAs. A prefabricated NoC improves communication efficiency, eases timing closure, and abstracts system-level communication on FPGAs, separating an application's behaviour and communication which makes the design of complex FPGA applications easier and faster. This thesis presents a complete embedded NoC solution, includ- ing the NoC architecture and interface, rules to guide its use with FPGA design styles, application case studies to showcase its advantages, and a computer-aided design (CAD) system to automatically interconnect applications using an embedded NoC. We compare NoC components when implemented hard versus soft, then build on this component-level analysis to architect embedded NoCs and integrate them into the FPGA fabric; these NoCs are on average 20{23× smaller and 5{6× faster than soft NoCs. We design custom interfaces between the embedded NoC and the FPGA fabric to transport data efficiently without compromising on the FPGA's configurability, and then we enumerate the necessary conditions to implement FPGA-compatible communication styles using our NoC. Next, our application case study with image compression shows that an embedded NoC improves frequency by 10{80% and reduces the utilization of scarce long wires by 40%. Additionally, we leverage our embedded NoC to create an Ethernet switch that has ~5× more bandwidth and ~3× lower area compared to other FPGA-based switches. Finally, we create a CAD system (LYNX) that automatically connects an application using an embedded NoC. We compare our LYNX + embedded NoC interconnection solution to a commercial CAD tool that generates a custom soft bus, and show that we improve both the efficiency and performance of most systems. ii Acknowledgements My sincerest thanks go to my advisor Prof. Vaughn Betz from whom I have learned so much over the past 5 years, both technically, pedagogically and personally. Never have I met someone so knowledgeable, yet so modest and generous with his time and supervision; I will forever be indebted to him. I consider myself lucky to have worked with one of the world's foremost authorities on FPGA technology { his guidance has greatly improved the quality of this work. I would like to thank Prof. Natalie Enright Jerger for her constant guidance on everything related to NoCs throughout my PhD { her feedback has been invaluable and I am deeply appreciative of our meetings and email exchanges. I was also fortunate to meet many outstanding researchers, both at the University of Toronto and at Altera Corporation, with whom I have regularly consulted and learned from. Thanks to Prof. Jason Anderson, Prof. Jonathan Rose, Prof. Paul Chow, Dr. Desh Singh, Dr. David Lewis, Dr. Mike Hutton and Dr. Dana How. Their expertise and feedback helped make this work more realistic and accurate. I would also like to thank Prof. James Hoe of Carnegie Mellon University for serving as external examiner during my final PhD defense, and for providing fresh insights and valuable comments on my work. Special thanks to master's graduate Andrew Bitar who joined my project and proved its viability through essential networking application case studies. Andrew's energy accelerated our collaborative work, and contributed to some of the best parts of this thesis. Thanks to my lab mates and friends who were always patient in hearing me talk about my research, and often sparked clever additions and enhancements to my research. Kevin Murray, Jeff Cassidy, Charles Chiasson, Tim Liu, Shehab Yomn and Mario Badr. I would also like to thank summer students Ange Yaghi, Harshita Huria and Aya ElSayed for contributing excellent work my PhD project. I learned a lot by supervising you. During my PhD, I have been fortunate to receive funding from Altera Corporation, the University of Toronto, the Connaught International Scholarship and the Vanier Canada Graduate Scholarship. On a more personal note, I would like to thank my friends whose camaraderie made five years of PhD research a lot easier and much more fun. My warmest thanks go to my wife, Lina, a brilliant art historian who was never too bored or impatient when I rambled on and on about FPGAs and NoCs, on the contrary, she was always keen on hearing about my latest work details. Also, her opinions about creating good illustrations greatly improved the quality of the figures and graphs in this thesis. Without your care and love, nothing would be the same. Finally, I would like to thank my parents and siblings for their unconditional love and support which fueled my journey until I got here. iii Preface Work in this thesis is largely based on the following publications: Peer-reviewed Conference Papers: Mohamed S Abdelfattah and Vaughn Betz. Design Tradeoffs for Hard and Soft FPGA-based Networks-on-Chip. In International Conference on Field-Programmable Technology (FPT), pages 95{103. IEEE, 2012 Mohamed S Abdelfattah and Vaughn Betz. The Power of Communication: Energy-Efficient NoCs for FPGAs. In International Conference on Field-Programmable Logic and Applications (FPL), pages 1{8. IEEE, 2013 (Stamatis Vassiliadis Best Paper Award) Mohamed S. Abdelfattah and Vaughn Betz. Augmenting FPGAs with Embedded Networks- on-Chip. In Workshop on the Intersections of Computer Architecture and Reconfigurable Logic (CARL), 2013 Mohamed S. Abdelfattah, Andrew Bitar, and Vaughn Betz. Take the Highway: Design for Embed- ded NoCs on FPGAs. In International Symposium on Field-Programmable Gate Arrays (FPGA), pages 98{107. ACM, 2015 (Best Paper Award) M.S. Abdelfattah, A. Bitar, A. Yaghi, and V. Betz. Design and simulation tools for Embedded NOCs on FPGAs. In International Conference on Field-Programmable Logic and Applications (FPL). IEEE, 2015. [Demonstration Abstract] Andrew Bitar, Mohamed S. Abdelfattah, and Vaughn Betz. Bringing Programmability to the Data Plane: Packet Processing with a NoC-Enhanced FPGA. In International Conference on Field-Programmable Technology (FPT). IEEE, 2015 Mohamed S Abdelfattah and Vaughn Betz. LYNX: CAD for Embedded NoCs on FPGAs. In International Conference on Field-Programmable Logic and Applications (FPL). IEEE, 2016 (Ac- cepted) Peer-reviewed Journal Papers: Mohamed S Abdelfattah and Vaughn Betz. The Case for Embedded Networks on Chip on FPGAs. IEEE Micro, 34(1):80{89, 2014 Mohamed S Abdelfattah and Vaughn Betz. Networks-on-Chip for FPGAs: Hard, Soft or Mixed? ACM Transactions on Reconfigurable Technology and Systems (TRETS), 7(3):1{22, 2014 (Invited) iv Mohamed S Abdelfattah and Vaughn Betz. Power Analysis of Embedded NoCs on FPGAs and Comparison With Custom Buses. IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 24(1):165{177, 2016 Mohamed S Abdelfattah, Andrew Bitar, and Vaughn Betz. Design and Applications for Embed- ded Networks-on-Chip on Field-Programmable Gate-Arrays. IEEE Transactions on Computers (TCOMP), 2016 (Submitted) Book Chapter and Patent Application: Mohamed S Abdelfattah and Vaughn Betz. Embedded Networks-on-Chip for FPGAs. In Pierre- Emmanuel Gaillardon, editor, Reconfigurable Logic: Architecture, Tools and Applications, chapter 6, pages 149{184. CRC Press, 2016 Mohamed S Abdelfattah and Vaughn Betz. Field Programmable Gate-Array with Network-on- Chip Hardware and Design Flow, 04 2015. US Patent Application 14/060,253 v Contents Abstract iii Preface v List of Tables x List of Figures xiv List of Abbreviations xvi 1 Introduction 1 1.1 Motivation ............................................ 1 1.2 Embedded NoCs for Future FPGAs .............................. 3 1.3 Thesis Organization ....................................... 4 2 Background 5 2.1 Interconnection Problems and Solutions ............................ 5 2.1.1 Scaling of Wires ..................................... 6 2.1.2 Interconnect-Aware Design ............................... 7 2.1.3 Latency-Insensitive Design ............................... 7 2.1.4 Networks-on-Chip .................................... 9 2.2 FPGA Interconnection...................................... 12 2.2.1 FPGA Logic and Interconnect Scaling......................... 12 2.2.2 FPGA System-Level Interconnect............................ 14 2.3 FPGA-Based NoCs........................................ 19 2.3.1 Soft NoCs......................................... 19 2.3.2 Hard NoCs ........................................ 21 2.4 Summary ............................................. 24 I Architecture 25
Recommended publications
  • Network on Chip for FPGA Development of a Test System for Network on Chip
    Network on Chip for FPGA Development of a test system for Network on Chip Magnus Krokum Namork Master of Science in Electronics Submission date: June 2011 Supervisor: Kjetil Svarstad, IET Norwegian University of Science and Technology Department of Electronics and Telecommunications Problem Description This assignment is a continuation of the project-assignment of fall 2010, where it was looked into the development of reactive modules for application-test and profiling of the Network on Chip realization. It will especially be focused on the further development of: • The programmability of the system by developing functionality for more advanced surveillance of the communication between modules and routers • Framework that will be used to test and profile entire applications on the Network on Chip The work will primarily be directed towards testing and running the system in a way that resembles a real system at run time. The work is to be compared with relevant research within similar work. Assignment given: January 2011 Supervisor: Kjetil Svarstad, IET i Abstract Testing and verification of digital systems is an essential part of product develop- ment. The Network on Chip (NoC), as a new paradigm within interconnections; has a specific need for testing. This is to determine how performance and prop- erties of the NoC are compared to the requirements of different systems such as processors or media applications. A NoC has been developed within the AHEAD project to form a basis for a reconfigurable platform used in the AHEAD system. This report gives an outline of the project to develop testing and benchmarking systems for a NoC.
    [Show full text]
  • An Early Performance Evaluation of Many Integrated Core Architecture Based SGI Rackable Computing System
    NAS Technical Report: NAS-2015-04 An Early Performance Evaluation of Many Integrated Core Architecture Based SGI Rackable Computing System Subhash Saini,1 Haoqiang Jin,1 Dennis Jespersen,1 Huiyu Feng,2 Jahed Djomehri,3 William Arasin,3 Robert Hood,3 Piyush Mehrotra,1 Rupak Biswas1 1NASA Ames Research Center 2SGI 3Computer Sciences Corporation Moffett Field, CA 94035-1000, USA Fremont, CA 94538, USA Moffett Field, CA 94035-1000, USA {subhash.saini, haoqiang.jin, [email protected] {jahed.djomehri, william.f.arasin, dennis.jespersen, piyush.mehrotra, robert.hood}@nasa.gov rupak.biswas}@nasa.gov ABSTRACT The GPGPU approach relies on streaming multiprocessors and Intel recently introduced the Xeon Phi coprocessor based on the uses a low-level programming model such as CUDA or a high- Many Integrated Core architecture featuring 60 cores with a peak level programming model like OpenACC to attain high performance of 1.0 Tflop/s. NASA has deployed a 128-node SGI performance [1-3]. Intel’s approach has a Phi serving as a Rackable system where each node has two Intel Xeon E2670 8- coprocessor to a traditional Intel processor host. The Phi has x86- core Sandy Bridge processors along with two Xeon Phi 5110P compatible cores with wide vector processing units and uses coprocessors. We have conducted an early performance standard parallel programming models such as MPI, OpenMP, evaluation of the Xeon Phi. We used microbenchmarks to hybrid (MPI + OpenMP), UPC, etc. [4-5]. measure the latency and bandwidth of memory and interconnect, Understanding performance of these heterogeneous computing I/O rates, and the performance of OpenMP directives and MPI systems has become very important as they have begun to appear functions.
    [Show full text]
  • A Comparison of Four Series of Cisco Network Processors
    Advanced Computational Intelligence: An International Journal (ACII), Vol.3, No.4, October 2016 A COMPARISON OF FOUR SERIES OF CISCO NETWORK PROCESSORS Sadaf Abaei Senejani 1, Hossein Karimi 2 and Javad Rahnama 3 1Department of Computer Engineering, Pooyesh University, Qom, Iran 2 Sama Technical and Vocational Training College, Islamic Azad University, Yasouj Branch, Yasouj, Iran 3 Department of Computer Science and Engineering, Sharif University of Technology, Tehran, Iran. ABSTRACT Network processors have created new opportunities by performing more complex calculations. Routers perform the most useful and difficult processing operations. In this paper the routers of VXR 7200, ISR 4451-X, SBC 7600, 7606 have been investigated which their main positive points include scalability, flexibility, providing integrated services, high security, supporting and updating with the lowest cost, and supporting standard protocols of network. In addition, in the current study these routers have been explored from hardware and processor capacity viewpoints separately. KEYWORDS Network processors, Network on Chip, parallel computing 1. INTRODUCTION The expansion of science and different application fields which require complex and time taking calculations and in consequence the demand for fastest and more powerful computers have made the need for better structures and new methods more significant. This need can be met through solutions called “paralleled massive computers” or another solution called “clusters of computers”. It should be mentioned that both solutions depend highly on intercommunication networks with high efficiency and appropriate operational capacity. Therefore, as a solution combining numerous cores on a single chip via using Network on chip technology for the simplification of communications can be done.
    [Show full text]
  • MYTHIC MULTIPLIES in a FLASH Analog In-Memory Computing Eliminates DRAM Read/Write Cycles
    MYTHIC MULTIPLIES IN A FLASH Analog In-Memory Computing Eliminates DRAM Read/Write Cycles By Mike Demler (August 27, 2018) ................................................................................................................... Machine learning is a hot field that attracts high-tech The startup plans by the end of this year to tape out investors, causing the number of startups to explode. As its first product, which can store up to 50 million weights. these young companies strive to compete against much larg- At that time, it also aims to release the alpha version of its er established players, some are revisiting technologies the software tools and a performance profiler. The company veterans may have dismissed, such as analog computing. expects to begin volume shipments in 4Q19. Mythic is riding this wave, using embedded flash-memory technology to store neural-network weights as analog pa- Solving a Weighty Problem rameters—an approach that eliminates the power consumed Mythic uses Fujitsu’s 40nm embedded-flash cell, which it in moving data between the processor and DRAM. plans to integrate in an array along with digital-to-analog The company traces its origin to 2012 at the University converters (DACs) and analog-to-digital converters (ADCs), of Michigan, where CTO Dave Fick completed his doctoral as Figure 1 shows. By storing a range of analog voltages in degree and CEO Mike Henry spent two and a half years as the bit cells, the technique uses the cell’s voltage-variable a visiting scholar. The founders worked on a DoD-funded conductance to represent weights with 8-bit resolution. This project to develop machine-learning-powered surveillance drones, eventually leading to the creation of their startup.
    [Show full text]
  • Using Inspiration from Synaptic Plasticity Rules to Optimize Traffic Flow in Distributed Engineered Networks Arxiv:1611.06937V1
    1 Using inspiration from synaptic plasticity rules to optimize traffic flow in distributed engineered networks Jonathan Y. Suen1 and Saket Navlakha2 1Duke University, Department of Electrical and Computer Engineering. Durham, North Carolina, 27708 USA 2The Salk Institute for Biological Studies. Integrative Biology Laboratory. 10010 North Torrey Pines Rd., La Jolla, CA 92037 USA Keywords: synaptic plasticity, distributed networks, flow control arXiv:1611.06937v1 [cs.NE] 21 Nov 2016 Abstract Controlling the flow and routing of data is a fundamental problem in many dis- tributed networks, including transportation systems, integrated circuits, and the Internet. In the brain, synaptic plasticity rules have been discovered that regulate network activ- ity in response to environmental inputs, which enable circuits to be stable yet flexible. Here, we develop a new neuro-inspired model for network flow control that only de- pends on modifying edge weights in an activity-dependent manner. We show how two fundamental plasticity rules (long-term potentiation and long-term depression) can be cast as a distributed gradient descent algorithm for regulating traffic flow in engineered networks. We then characterize, both via simulation and analytically, how different forms of edge-weight update rules affect network routing efficiency and robustness. We find a close correspondence between certain classes of synaptic weight update rules de- rived experimentally in the brain and rules commonly used in engineering, suggesting common principles to both. 1. Introduction In many engineered networks, a payload needs to be transported between nodes without central control. These systems are often represented as weighted, directed graphs. Each edge has a fixed capacity, which represents the maximum amount of traffic the edge can carry at any time.
    [Show full text]
  • State-Of-The-Art in Heterogeneous Computing
    Scientific Programming 18 (2010) 1–33 1 DOI 10.3233/SPR-2009-0296 IOS Press State-of-the-art in heterogeneous computing Andre R. Brodtkorb a,∗, Christopher Dyken a, Trond R. Hagen a, Jon M. Hjelmervik a and Olaf O. Storaasli b a SINTEF ICT, Department of Applied Mathematics, Blindern, Oslo, Norway E-mails: {Andre.Brodtkorb, Christopher.Dyken, Trond.R.Hagen, Jon.M.Hjelmervik}@sintef.no b Oak Ridge National Laboratory, Future Technologies Group, Oak Ridge, TN, USA E-mail: [email protected] Abstract. Node level heterogeneous architectures have become attractive during the last decade for several reasons: compared to traditional symmetric CPUs, they offer high peak performance and are energy and/or cost efficient. With the increase of fine-grained parallelism in high-performance computing, as well as the introduction of parallelism in workstations, there is an acute need for a good overview and understanding of these architectures. We give an overview of the state-of-the-art in heterogeneous computing, focusing on three commonly found architectures: the Cell Broadband Engine Architecture, graphics processing units (GPUs), and field programmable gate arrays (FPGAs). We present a review of hardware, available software tools, and an overview of state-of-the-art techniques and algorithms. Furthermore, we present a qualitative and quantitative comparison of the architectures, and give our view on the future of heterogeneous computing. Keywords: Power-efficient architectures, parallel computer architecture, stream or vector architectures, energy and power consumption, microprocessor performance 1. Introduction the speed of logic gates, making computers smaller and more power efficient. Noyce and Kilby indepen- The goal of this article is to provide an overview of dently invented the integrated circuit in 1958, leading node-level heterogeneous computing, including hard- to further reductions in power and space required for ware, software tools and state-of-the-art algorithms.
    [Show full text]
  • ESA DSP Day 2016 Workshop Proceedings
    ESA DSP Day 2016 Wednesday June 15th – Thursday June 16th 2016 Gothenburg, Sweden Workshop Proceedings Ed. R. Trautner, ESA/ESTEC Noordwijk, The Netherlands Table of Contents Session 1: Rad-Hard DSP Chips 3 Scalable Sensor Data Processor: Architecture and Development Status 4 PINTO, Ricardo; BERROJO, Luis; GARCIA, Elena; TRAUTNER, Roland; RAUWERDA, Gerard; SUNESEN, Kim; REDANT, Steven; ANDERSSON, Jan; HABINC, Sandi; LÓPEZ, Jesus RC64: High Performance Rad-Hard Many-core DSP 10 GINOSAR, Ran; AVIELY, Peleg; LANGE, Fredy; ISRAELI, Tsvika Session 2: Test, Verification and Qualification of DSP Chips 18 ESCC Qualification of Space Components - Schemes and New Opportunities 19 MARTINEZ, Fernando Scalable Sensor Data Processor: Testing and Validation 23 PINTO, Ricardo; TRAUTNER, Roland; RAUWERDA, Gerard; REDANT, Steven; SUNESEN, Kim; ANDERSSON, Jan; HABINC, Sandi; LÓPEZ, Jesús; BERROJO-VALERO, Luis-Rafael; MARTIN, Beatriz; PIARETTE, Fernando; SANCHEZ DE ROJAS, Pablo Session 3: COTS based DSP Systems and Boards 28 High Performance COTS based Computer for Regenerative Telecom Payloads 29 NOTEBAERT, Olivier; BARTHE, Lyonel; VANHOVE, Jean-Luc; PRIEUR, Olivier SpaceWire and SpaceFibre Interconnect for High Performance DSPs 34 PARKES, Steve; MCCLEMENTS, Chris; GONZALEZ VILLAFRANCA, Alberto; FERRER, Albert Session 4: DSP Day Reception and Poster Session 39 Characterization and Qualification of Microcontrollers and DSPs in Extreme 40 Temperatures DOLZOME, Flavien Radiation Intelligent Memory Controller IP Core 46 WANG, Pierre-xiao; SELLIER, Charles DVB-S2
    [Show full text]
  • Hardware Design of Message Passing Architecture on Heterogeneous System
    HARDWARE DESIGN OF MESSAGE PASSING ARCHITECTURE ON HETEROGENEOUS SYSTEM by Shanyuan Gao A dissertation submitted to the faculty of The University of North Carolina at Charlotte in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Electrical Engineering Charlotte 2013 Approved by: Dr. Ronald R. Sass Dr. James M. Conrad Dr. Jiang Xie Dr. Stanislav Molchanov ii c 2013 Shanyuan Gao ALL RIGHTS RESERVED iii ABSTRACT SHANYUAN GAO. Hardware design of message passing architecture on heterogeneous system. (Under the direction of DR. RONALD R. SASS) Heterogeneous multi/many-core chips are commonly used in today's top tier supercomputers. Similar heterogeneous processing elements | or, computation ac- celerators | are commonly found in FPGA systems. Within both multi/many-core chips and FPGA systems, the on-chip network plays a critical role by connecting these processing elements together. However, The common use of the on-chip network is for point-to-point communication between on-chip components and the memory in- terface. As the system scales up with more nodes, traditional programming methods, such as MPI, cannot effectively use the on-chip network and the off-chip network, therefore could make communication the performance bottleneck. This research proposes a MPI-like Message Passing Engine (MPE) as part of the on-chip network, providing point-to-point and collective communication primitives in hardware. On one hand, the MPE improves the communication performance by offloading the communication workload from the general processing elements. On the other hand, the MPE provides direct interface to the heterogeneous processing ele- ments which can eliminate the data path going around the OS and libraries.
    [Show full text]
  • Scheduling Tasks on Heterogeneous Chip Multiprocessors with Reconfigurable Hardware
    SCHEDULING TASKS ON HETEROGENEOUS CHIP MULTIPROCESSORS WITH RECONFIGURABLE HARDWARE DISSERTATION Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy in the Graduate School of The Ohio State University By Justin Stevenson Teller, B.S., M.S. ***** The Ohio State University 2008 Dissertation Committee: Approved by Prof. F¨usun Ozg¨uner,Adviser¨ Prof. Umit¨ C¸ataly¨urek Adviser Prof. Eylem Ekici Graduate Program in Electrical and Computer Engineering c Copyright by Justin Stevenson Teller 2008 ABSTRACT This dissertation presents several methods to more efficiently use the computa- tional resources available on a Heterogeneous Chip Multiprocessor (H-CMP). Using task scheduling techniques, three challenges to the effective usage of H-CMPs are addressed: the emergence of reconfigurable hardware in general purpose computing, utilization of the network on a chip (NoC), and fault tolerance. To utilize reconfigurable hardware, we introduce the Mutually Exclusive Processor Groups reconfiguration model, and an accompanying task scheduler, the Heteroge- neous Earliest Finish Time with Mutually Exclusive Processor Groups (HEFT-MEG) scheduling heuristic. HEFT-MEG schedules reconfigurations using a novel back- tracking algorithm to evaluate how different reconfiguration decisions affect previously scheduled tasks. In both simulation and real execution, HEFT-MEG successfully schedules reconfiguration allowing the architecture to adapt to changing application requirements. After an analysis of IBM’s Cell Processor NoC and generation of a simple stochas- tic model, we propose a hybrid task scheduling system using a Compile- and Run-time Scheduler (CtS and RtS) that work in concert. The CtS, Contention Aware HEFT (CA-HEFT), updates task start and finish times when scheduling to account for network contention.
    [Show full text]
  • Smartcell: an Energy Efficient Reconfigurable Architecture for Stream Processing
    SmartCell: An Energy Efficient Reconfigurable Architecture for Stream Processing by Cao Liang A Dissertation Submitted to the Faculty of the WORCESTER POLYTECHNIC INSTITUTE In partial fulfillment of the requirements for the Degree of Doctor of Philosophy in Electrical and Computer Engineering April, 2009 Approved: Prof. Xinming Huang Prof. Fred J. Looft ECE Department, WPI ECE Department Head, WPI Dissertation Advisor Prof. Berk Sunar Prof. Russell Tessier ECE Department, WPI ECE Department, UMASS Dissertation Committee Amherst Dissertation Committee Abstract Data streaming applications, such as signal processing, multimedia applications, often require high computing capacity, yet also have stringent power constraints, especially in portable devices. General purpose processors can no longer meet these requirements due to their sequential software execution. Although fixed logic ASICs are usually able to achieve the best performance and energy efficiency, ASIC solutions are expensive to design and their lack of flexibility makes them unable to accommodate functional changes or new system requirements. Reconfigurable systems have long been proposed to bridge the gap between the flexibility of software processors and performance of hardware circuits. Unfortunately, mainstream reconfigurable FPGA designs suffer from high cost of area, power consumption and speed due to the routing area overhead and timing penalty of their bit-level fine granularity. In this dissertation, we present an architecture design, application mapping and perfor- mance evaluation of a novel coarse-grained reconfigurable architecture, named SmartCell, for data streaming applications. The system tiles a large number of computing cell units in a 2D mesh structure, with four coarse-grained processing elements developed inside each cell to form a quad structure.
    [Show full text]
  • Towards a Scalable Software Defined Network-On-Chip for Next Generation Cloud
    sensors Article Towards a Scalable Software Defined Network-on-Chip for Next Generation Cloud Alberto Scionti 1,†, Somnath Mazumdar 2,† ID and Antoni Portero 3,* ID 1 Istituto Superiore Mario Boella (ISMB), 10138 Torino, Italy; [email protected] 2 Simula Research Laboratory, 1325 Lysaker, Norway; [email protected] 3 IT4Innovations, VSB-University of Ostrava, 70833 Ostrava–Poruba, Czech Republic * Correspondence: [email protected] † These authors contributed equally to this work. Received: 11 June 2018; Accepted: 12 July 2018; Published: 18 July 2018 Abstract: The rapid evolution of Cloud-based services and the growing interest in deep learning (DL)-based applications is putting increasing pressure on hyperscalers and general purpose hardware designers to provide more efficient and scalable systems. Cloud-based infrastructures must consist of more energy efficient components. The evolution must take place from the core of the infrastructure (i.e., data centers (DCs)) to the edges (Edge computing) to adequately support new/future applications. Adaptability/elasticity is one of the features required to increase the performance-to-power ratios. Hardware-based mechanisms have been proposed to support system reconfiguration mostly at the processing elements level, while fewer studies have been carried out regarding scalable, modular interconnected sub-systems. In this paper, we propose a scalable Software Defined Network-on-Chip (SDNoC)-based architecture. Our solution can easily be adapted to support devices ranging from low-power computing nodes placed at the edge of the Cloud to high-performance many-core processors in the Cloud DCs, by leveraging on a modular design approach. The proposed design merges the benefits of hierarchical network-on-chip (NoC) topologies (via fusing the ring and the 2D-mesh topology), with those brought by dynamic reconfiguration (i.e., adaptation).
    [Show full text]
  • Low-Power Design Using Noc Technology
    Low-Power Design Using NoC Technology By Linley Gwennap Principal Analyst May 2015 www.linleygroup.com Low-Power Design Using NoC Technology By Linley Gwennap, Principal Analyst, The Linley Group Network-on-a-chip (NoC) technology is not just for high-performance SoC designs. The size and power of the NoC can scale down to accommodate even very small and low-power processors. Furthermore, the NoC helps automate the chip’s power management. The NoC can also simplify designing a single die that produces multiple end products. This white paper describes how a NoC can achieve these advantages, using TI’s CC26xx microcontroller as a case study. The Linley Group prepared this paper, which Arteris sponsored, but the opinions and analysis are those of the author. Network-on-a-chip (NoC) technology is usually associated with large, high-performance system-on-a-chip (SoC) designs that combine CPU, graphics, audio, and video cores with DRAM, flash, and a variety of I/O controllers. In these designs, the NoC provides a high-bandwidth pathway to move data among the various cores, ensuring high performance and avoiding congestion. To simplify these tasks, the NoC converts data to packets that can be prioritized and transmitted through the network. NoC vendors typically provide tools to help configure the interconnect to provide the necessary bandwidth while reducing the die area and power of the NoC, which can be configured as a ring, a tree, a multilayer design, or some combination of the above. Popular mobile and embedded processors from Cavium, Freescale, Qualcomm, Samsung, and others use licensed NoC technology.
    [Show full text]