micromachines

Article Quantitative Analysis of Piezoresistive Characteristic Based on a P-type 4H-SiC Epitaxial Layer

Yongwei Li 1,2, Ting Liang 1, Cheng Lei 1, Yingping Hong 1, Wangwang Li 1 , Zhiqiang Li 1, Abdul Ghaffar 1, Qiang Li 1 and Jijun Xiong 1,*

1 Science and Technology on Electronic Test & Measurement Laboratory, North University of China, Taiyuan 030051, China; [email protected] (Y.L.); [email protected] (T.L.); [email protected] (C.L.); [email protected] (Y.H.); [email protected] (W.L.); [email protected] (Z.L.); 92ghaff[email protected] (A.H.); [email protected] (Q.L.) 2 Department of Automation, Taiyuan Institute of Technology, Taiyuan 030051, China * Correspondence: [email protected]

 Received: 4 September 2019; Accepted: 18 September 2019; Published: 20 September 2019 

Abstract: In this work, the piezoresistive properties of heavily doped p-type 4H-SiC at room temperature were investigated innovatively. It was verified by a field emission scanning electron microscope (FESEM), X-ray diffraction (XRD), and laser Raman spectroscopy (LRS) that the crystal quality of the epitaxial layer was good. The doping concentration and thickness of the epitaxial layer were measured by secondary ion mass spectrometry (SIMS) to be ~1.12 1019 cm 3 and × − ~1.1 µm, respectively. The 4H-SiC cantilever beam along [1100] crystal orientation was fabricated, and the fixed end of the cantilever beam was integrated with longitudinal and transverse p-type 4H-SiC piezoresistors. A good ohmic contact was formed between Ni/Ti/Al/Au and a p-type 4H-SiC piezoresistor under nitrogen environment annealing at 1050 ◦C for 5 min. The free end of the cantilever beam was forced to cause strain on the p-type 4H-SiC piezoresistor, and then the resistances were measured by a high precision multimeter. The experimental results illustrated that longitudinal and transverse gauge factors (GFs) of the p-type 4H-SiC piezoresistors were 26.7 and 21.5, respectively, − within the strain range of 0–336µε. In order to further verify the electro-mechanical coupling effect of p-type 4H-SiC, the piezoresistors on the beam were connected to the Wheatstone full-bridge circuit and the output changes were observed under cyclic loading of 0–0.5 N. The measuring results revealed that the transducer based on the 4H-SiC piezoresistive effect exhibited good linearity and hysteresis, which confirmed that p-type 4H-SiC has the potential for pressure or acceleration sensing applications.

Keywords: p-type 4H-SiC; piezoresistive effect; ohmic contact; cantilever beam

1. Introduction Since the discovery of the piezoresistive effect by Smith in 1954, the pressure sensors based on the piezoresistive effect have been the primary focus of many researchers, thanks to its simple reading circuit, stable performance, wide linear output range, easy IC integration, and many other advantages [1–3]. The most typical piezoresistive pressure sensor is based on the piezoresistive effect, which electrically isolates the Si piezoresistor from the Si substrate by the PN junction. When the measured ambient temperature exceeds 120 ◦C, the PN junction reverses break down and the sensor performance is seriously degraded [4–6]. In order to achieve the pressure measurements in a higher temperature environment, a layer of silicon dioxide is introduced between the Si piezoresistor and the Si substrate, which is called the silicon-on-insulator (SOI). The SOI structure increases the operating temperature of the silicon piezoresistive pressure sensor to 400 ◦C, but in situ is still not possible for extreme environments, such as the drilling head,

Micromachines 2019, 10, 629; doi:10.3390/mi10100629 www.mdpi.com/journal/micromachines Micromachines 2019, 10, 629 2 of 9 rocket engines, and aerospace, due to plastic deformation of silicon in high temperature environments exceeding 400 ◦C[7–10]. As one of the third-generation semiconductor materials, SiC has a large energy , superior mechanical properties, and extreme chemical inertness. Accordingly, the SiC-based pressure sensors are considered to be the most promising semiconductor for use in extreme environments, such as high temperatures, strong corrosion, and high radiation [11–13]. Silicon carbide has more than 250 crystal types, while it has three major crystal types, such as 3C-, 4H-, and 6H- [14,15]. The 3C-SiC piezoresistive property has been experimentally confirmed with a gauge factor (GF) of about 30, and the GF was found to be stable at the temperatures ranging from 300 to 573 K [14]. However, 3C-SiC can only hetero-epitaxially grow on the Si substrate in the form of thin films, which limits the operating temperature and performance of the transducers-based 3C-SiC [16–18]. In contrast, α-SiC, such as 4H-SiC and 6H-SiC, can make all -SiC devices, which has attracted significant attention from many researchers [19–21]. So far, the research on the piezoresistive effect of 6H-SiC is relatively mature and the 6H-SiC pressure transducers capable of operating at 600 ◦C have been developed by Robert S. Okojie et al. from the NASA Glenn Research Center [22]. However, at 600 ◦C, the full-scale output of these transducers dropped by about 50–65% of the room temperature values, indicating that these devices have poor high temperature performance and cannot work at higher temperatures [22]. Therefore, in order to improve the performance of all-SiC pressure transducers, the piezoresistive effect of 4H-SiC has been studied in recent years [23–25]. In 2012, T Akiyama et al. [26] conducted the first study on the piezoresistive properties of n-type 4H-SiC with the longitudinal and transverse GFs of 10 and 20.8, respectively. Meanwhile, the piezoresistive − properties of n-type 4H-SiC was found to be related to the size (length and width) of the resistance, which was not found in the piezoresistive effect of 6H-SiC [26]. In 2015, Robert S. Okojie et al. from the NASA Glenn Research Center demonstrated that n type 4H-SiC piezoresistive pressure sensors could operate at 800 ◦C and the characteristic sensitivity recovery beyond 400 ◦C reached values that were nearly equal to the room temperature values at 800 ◦C, and the anomaly may be caused by sensor encapsulation [27]. The above results have greatly encouraged scholars to study the piezoresistive properties of 4H-SiC further. Nguyen T. K. et al. experimentally verified the piezoresistive properties of moderate doped p-type 4H-SiC with transverse and longitudinal GF of 27.3 and 31.5, showing − superiority over n-type 4H-SiC and 6 H-SiC [28]. However, to the best of our knowledge and literature review, research is needed to confirm the effect of heavy doped p-type 4H-SiC. These results and the piezoresistive effect of heavy doped p-type 4H-SiC has not been reported yet, which is focused on in this research. In this paper, the p-type 4H-SiC epitaxial wafer was characterized by a field emission scanning electron microscope (FESEM), X-ray diffraction (XRD), laser Raman spectroscopy (LRS), and secondary ion mass spectrometry (SIMS) to verify the good quality of epitaxial layer crystal and obtain crucial electrical parameters, including doping concentration, epitaxial layer thickness, and so on. Reactive ion etching (RIE) was used to prepare SiC resistance strips. Through the thermally annealed process, a good ohmic contact was formed between p-type 4H-SiC and Ni/Ti/Al/Au. Cantilever beams with longitudinal and transverse p-type 4H-SiC piezoresistors along [1−100] directions were prepared, which was used to measure the gauge factors at room temperature. The experimental results show that the heavy doped p-type 4H-SiC has the longitudinal and transverse GFs of 26.7 and 21.5, respectively. − The four piezoresistors on a cantilever beam were then connected to be the Wheatstone full-bridge circuit, and the circuit output exhibited excellent linearity and hysteresis under cyclic loading of 0–0.5 N. These results demonstrate the application potential of p-type 4H-SiC in the mechanical sensing field. Micromachines 2019, 10, 629 3 of 9

2. Materials and Methods

2.1. Experimental Design The phenomenon of the piezoresistive effect of semiconductor refers to the resistivity of semiconductor changes due to the change of carrier mobility when the semiconductor is subjected to stress.Micromachines The gauge 2019 factor, 10, 629 (GF) was introduced to quantify the piezoresistive effect of the semiconductor,3 of 9 which is defined as [29,30]: stress. The gauge factor (GF) was introducedR to/R quantify the of the GF = 4 (1) semiconductor, which is defined as [29,30]: ε where ε is the strain and R/R is piezoresistor change△𝑅/R rate with strain. Transverse piezoresistors 4 𝐺𝐹 = (1) have a current flow perpendicular to the direction of strain,𝜀 and longitudinal piezoresistors have a currentwhere flow 𝜀 parallel is the strain to the and direction △𝑅/R of is piezoresistor the strain, quantified change rate using with the strain. transverse Transverse GF piezoresistors and longitudinal GF, respectively.have a current flow perpendicular to the direction of strain, and longitudinal piezoresistors have a current flow parallel to the direction of the strain, quantified using the transverse GF and longitudinal In order to study the piezoresistive effect of p-type 4H-SiC, 4H-SiC cantilevers along [1−100] GF, respectively. directions were prepared with dimensions of 30-mm-long, 3-mm-wide, and 0.3-mm-thick, as illustrated− [1100] in Figure1Ina. Theorder SiC to cantileverstudy the piezoresistive was mounted effect at one of endp-type (0–10 4H-SiC, mm) to4H-SiC a printed cantilevers circuit along board (PCB) directions were prepared with dimensions of 30-mm-long, 3-mm-wide, and 0.3-mm-thick, as using epoxy resin. Four p-type 4H-SiC piezoresistors with dimensions of 300 µm 400 µm, including illustrated in Figure 1a. The SiC cantilever was mounted at one end (0–10 mm) to× a printed circuit two transverseboard (PCB) piezoresistors using epoxy resin. and twoFour longitudinal p-type 4H-SiC piezoresistors, piezoresistors wi wereth dimensions prepared, of centered 300 μm at× 400 11 mm from theμm, fixed including end oftwo the transverse cantilever. piezoresistors A known forceand two (F) waslongitudinal applied piezoresistors, to the free end were of theprepared, cantilever, whichcentered induced at strain 11 mm (ε from) at the the upper fixed end surface of the of cantilever. the beam A and known conducted force (F) it was to theapplied 4H-SiC to the piezoresistors. free end Fromof beam the cantilever, mechanics, which the straininduced (ε )strain induced (𝜀) at into the theupper 4H-SiC surface piezoresistor of the beam isand given conducted by [31 it– 34to]: the 4H-SiC piezoresistors. From beam mechanics, the strain (𝜀) induced into the 4H-SiC piezoresistor is given by [31–34]: 6F(l x) ε = − (2) 2 6𝐹Ebh(𝑙−𝑥) (2) 𝜀= where E is Young’s modulus of the 4H-SiC, x is the distance𝐸𝑏ℎ along the length of the cantilever measured from thewhere root, E isl is Young’s the length, modulusb is theof the width, 4H-SiC, and xh isis the the distance thickness along of the the cantilever. length of the When cantilever a force of 0–0.5 Nmeasured was applied from the vertically root, l is to the the length, free end b is ofthe the width, cantilever, and h is the thestrain thickness at the of the center cantilever. of piezoresistors When was 0–336a forceµε ,of which 0–0.5 wasN was consistent applied vertically with ANSYS to the (Pittsburgh, free end of the PA, cantilever, USA) simulation the strain results, at the center as shown of in piezoresistors was 0–336με, which was consistent with ANSYS (Pittsburgh, PA, USA) simulation Figure1b. results, as shown in Figure 1b.

(a) (b)

FigureFigure 1. (a) Cantilever1. (a) Cantilever beam based beam on based p-type on 4H-SiC p-type piezoresistors 4H-SiC piezoresistors (R1, R4 longitudinal (R1, R4 longitudinal piezoresistors,

R2, R3piezoresistors,transverse piezoresistors); R2, R3 transverse (b) Finitepiezoresistors); element analysis(b) Finite (FEA) element shows analys a linearis (FEA) gradient shows ofa linear the strain inducedgradient into the of the cantilever strain induced using into the bendingthe cantilever beam using method. the bending beam method.

2.2. Characterization2.2. Characterization of the of P-typethe P-type 4H-SiC 4H-SiC Epitaxial Epitaxial Layer Layer The n-typeThe n-type 4H-SiC 4H-SiC wafer wafer (0001) (0001) used used in in this this study study was was purchasedpurchased from Tankeblue Tankeblue in in China China with with a 14 143 −3 diametera diameter of 100 mm,of 100 a thicknessmm, a thickness of 350 µofm, 350 a nitrogenμm, a nitrogen doping doping concentration concentration of 10 ofcm 10− ,cm and, Young’sand modulusYoung’s of 453 modulus GPa. The of 453 n-type GPa. 4H-SiC The n-type buffer 4H-SiC layer buffer was homoepitaxiallylayer was homoepitaxially grown on grown an n-type on an 4H-SiC n- type 4H-SiC silicon surface with a nitrogen doping concentration of 1018 cm−3 and a thickness of 2 μm, followed by a p-type 4H-SiC piezoresistive layer with an aluminum doping concentration of 1019 cm−3 and thickness of 1 μm using the chemical vapor deposition (CVD). Characterization of the resulted 4H-SiC epitaxial wafer was done with the use of FESEM, XRD, LRS, and SIMS. Figure 2a highlights

Micromachines 2019, 10, 629 4 of 9

18 3 silicon surface with a nitrogen doping concentration of 10 cm− and a thickness of 2 µm, followed by a 19 3 p-type 4H-SiC piezoresistive layer with an aluminum doping concentration of 10 cm− and thickness of 1 µm using the chemical vapor deposition (CVD). Characterization of the resulted 4H-SiC epitaxial wafer was done with the use of FESEM, XRD, LRS, and SIMS. Figure2a highlights the illustrative SEM images of the cross-sectional view of the p-type 4H-SiC epilayer on the n-type 4H-SiC substrate at 5000 times Micromachines 2019, 10, 629 4 of 9 magnification, which revealed the stratification phenomenon between the epilayer and substrate and the epitaxialthe thickness illustrative of SEM ~1.091 imagesµm. of The the cross-sectional use of XRD with view Cuof the Kα p-typeradiation 4H-SiC (λ =epilayer1.5406) on was the n-type made for the purpose4H-SiC to characterize substrate at the 5000 phase times structure magnification, of the whic 4H-SiCh revealed epilayer. the stratification The XRD patternphenomenon (Figure between2b) revealed the factthe that epilayer every characteristicand substrate and peak the of epitaxial the 4H-SiC thickness epilayer of ~1.091 was μ substantiallym. The use of identical XRD with to Cu the K substrateα and couldradiation be fully (λ indexed= 1.5406) aswas 4H-SiC, made for which the purpose certified to thatchar theacterize epilayer the phase belonged structure to just of the the 4H-SiC 4C-SiC phase epilayer. The XRD pattern (Figure 2b) revealed the fact that every characteristic peak of the 4H-SiC and hadepilayer good crystallinity. was substantially Additionally, identical to the the characteristic substrate and peakcould of be the fully epitaxial indexed layer as 4H-SiC, was slightly which shifted to the left,certified which that was the attributable epilayer belonged to dope to just aluminum the 4C-SiC atoms phase and with had a larger good crystallinity. radius than Additionally, carbon and silicon atoms, tothe make characteristic the lattice peak constant of the epitaxial of SiC larger.layer was The slightly use of shifted a laser to Ramanthe left, which spectroscopy was attributable analyzer to with an Ar+ atomicdope laser aluminum source atoms (P = with100 a mW, largerλ =radius532 nm)than carbon was made and silicon for the atoms, purpose to make of characterizing the lattice constant the crystal quality of SiC the larger. 4H-SiC The epitaxial use of a layer.laser Rama Figuren spectroscopy2c shows the analyzer Raman with spectra an Ar of+ atomic the n-type laser source 4H-SiC (P substrate = 100 mW, λ = 532 nm) was made for the purpose of characterizing the crystal quality of the 4H-SiC and the p-type 4H-SiC epitaxial layer. As can be seen, the peaks of the two Raman spectra are very close epitaxial layer. Figure 2c shows the Raman spectra of the n-type 4H-SiC substrate and the p-type 4H- and consistentSiC epitaxial with layer. the standardAs can be seen, 4H-SiC the peaks Raman of the spectroscopy two Raman spectra data, indicating are very close that and the consistent epitaxial layer continueswith the the crystal standard type 4H-SiC of the Raman substrate spectroscopy well and data, possesses indicating goodthat the crystalline epitaxial layer quality. continues The the difference betweencrystal the two type Raman of the substrate spectra well may and be possesses due to the good type crystalline and concentration quality. The ofdifference doping between elements. the For the characterizationtwo Raman of actualspectra dopingmay be parameters,due to the type the SIMSand concentration was employed of todoping monitor elements. the 4H-SiC For the epitaxial layer. Thecharacterization SIMS profile of (Figure actual2 d)doping reveals parameters, the fact that the theSIMS doping was employed concentration to monitor of Alelement the 4H-SiC is uniform epitaxial layer. The SIMS profile (Figure 2d) reveals the fact that the doping concentration of Al up to ~1.1 1019 cm 3 and the doping thickness is ~1.1 µm. element× is uniform− up to ~1.1 × 1019 cm−3 and the doping thickness is ~1.1 μm.

(a) (b)

(c) (d)

Figure 2.Figure(a) Cross-sectional2. (a) Cross-sectional view view of of the p-type p-type 4H-SiC 4H-SiC epilayer epilayer on the onn-type the 4H-SiC n-type substrate 4H-SiC at substrate5000 at 5000 timestimes magnification. magnification. (b) (X-rayb) X-ray diffraction diffraction (XRD) spectrum (XRD) spectrum of the 4H-SiC of epitaxial the 4H-SiC layer and epitaxial substrate. layer and substrate.(c) Raman (c) Raman spectra spectra of the 4H-SiC of the epitaxial 4H-SiC layer epitaxial and substrate. layer and(d) Secondary substrate. ion (massd) Secondary spectrometry ion mass spectrometry(SIMS) profile (SIMS) of profile the 4H-SiC of the epitaxial 4H-SiC layer. epitaxial layer.

Micromachines 2019, 10, 629 5 of 9

Micromachines 2019, 10, 629 5 of 9 2.3. Fabrication of 4H-SiC Cantilever Beam 2.3. Fabrication of 4H-SiC Cantilever Beam The cantilever beams for characterizing the p-type 4H-SiC piezoresistive effect were prepared using a standardThe cantilever micro-electro-mechanical beams for characterizing systems (MEMS)the p-type process, 4H-SiC as piezoresistive shown in Figure effect3. The were fabrication prepared processusing a ofstandard the cantilever micro-electro-mechanical includes the following system primarys (MEMS) steps: process, Piezoresistive as shown etching, in Figure contact3. The holefabrication machining, process metal of leadsthe cantilever deposition, includes and so on.the following primary steps: Piezoresistive etching, metal contact hole machining, metal leads deposition, and so on.

Figure 3. The processing flow of 4H-SiC cantilever beam structure. (a) P-type 4H-SiC epitaxial wafer. Figure 3. The processing flow of 4H-SiC cantilever beam structure. (a) P-type 4H-SiC epitaxial wafer. (b) Piezoresistive structures were patterned by the first lithography. (c) Resistance stripes were etched (b) Piezoresistive structures were patterned by the first lithography. (c) Resistance stripes were etched using reactive ion etching (RIE). (d) The SiO2 isolation layer was grown by plasma-enhanced chemical using reactive ion etching (RIE). (d) The SiO2 isolation layer was grown by plasma-enhanced chemical vapor deposition (PECVD). (e) The ohmic contact hole was patterned by the second lithography. (f) The vapor deposition (PECVD). (e) The ohmic contact hole was patterned by the second lithography. (f) ohmic contact hole was opened in buffered HF solution. (g) Metal structures were patterned by the The ohmic contact hole was opened in buffered HF solution. (g) Metal structures were patterned by third lithography. (h) Ni 200 Å/Ti 500 Å/Al 3000 Å/Au 3000 Å were deposited and then patterned by the third lithography. (h) Ni 200 Å/Ti 500 Å/Al 3000 Å/Au 3000 Å were deposited and then patterned stripping the AZ6130 photoresist in acetone. (I) The cantilever beam structures were prepared by using by stripping the AZ6130 photoresist in acetone. (I) The cantilever beam structures were prepared by an automatic dicing saw. using an automatic dicing saw. Firstly, the 4H-SiC wafer was cleaned using the root cause analysis (RCAprocess. The wafer was Firstly, the 4H-SiC wafer was cleaned using the root cause analysis (RCAprocess. The wafer was then dry-oxidized at 1100 ◦C for 4 h in a thermal oxidation furnace, and the thickness of the SiO2 film wasthen measured dry-oxidized for 110 at 1100 nm using°C for NANOMETRICS 4 h in a thermal oxidation Nanospec furnace,/AFT 210 and (Milpitas, the thickness CA, USA), of the after SiO which2 film thewas oxide measured was corroded for 110 awaynm using in bu ffNANOMETRICSered HF solution, Nanospec/AFT rinsed in de-ionized 210 (Milpitas, (DI) water CA, and USA), blow driedafter inwhich nitrogen. the oxide The abovewas corroded procedure away typically in buffered removes HF suspended solution, Si-rinsed and C-in bondsde-ionized and chlorine,(DI) water which and residesblow dried on the in surface,nitrogen. to The leave above a cleaner procedure surface. ty Afterpically the removes first lithographic suspended process, Si- and the C- epilayer bonds wasand dry-etchedchlorine, which to form resides a piezoresistor on the surface, by reactive to leave ion a etching cleaner (RIE) surface. with After a 5.3 theµm first AZ4620 lithographic photoresist process, mask. Thethe etchingepilayer parameters was dry-etched were as to follows: form a Chamber piezores pressureistor by ofreactive 2 Pa, power ion etching of 200 W, (RIE) and SF6with with a 5.3 aflow μm rateAZ4620 of 50 photoresist sccm. After mask. etching The for etching 11 min, parameters the height ofwe there as piezoresistive follows: Chamber mesa waspressure measured of 2 Pa, to 1.3powerµm usingof 200 theW, and step SF6 profiler, with whicha flow rate was of more 50 sccm. significant After etching than the for thickness 11 min, the of the height p-type of the 4H-SiC piezoresistive epitaxial layermesa andwas ensuredmeasured the to piezoresistor 1.3 μm using wasthe step insulated profiler, from which the substrate.was more Subsequently,significant than to the insulate thickness the of the p-type 4H-SiC epitaxial layer and ensured the piezoresistor was insulated from the substrate. metal leads from SiC substrates, a 200 nm SiO2 thin film was deposited on the silicon surface of the 4H-SiCSubsequently, wafer by to plasma-enhancedinsulate the metal chemical leads from vapor SiC deposition substrates, (PECVD). a 200 nm Next,SiO2 thin the secondfilm was lithographic deposited processon the silicon was performed surface of to the pattern 4H-SiC the wafer metal by contact plasma-enhanced holes, which chemical were opened vapor in deposition buffered HF (PECVD). solution withNext, a the 2.3 secondµm AZ6130 lithographic photoresist process mask. was After performed the third to pattern lithographic the metal process, contact Ni 250holes, Å/ Tiwhich 500 Åwere/Al 1000opened Å/Au in 3000buffered Å (Figure HF solution4a) was with deposited a 2.3 μ onm the AZ6130 photoresist photoresist mask bymask. magnetron After the sputtering third lithographic and were definedprocess, by Ni stripping 250 Å/Ti the500 AZ6130 Å/Al 1000 photoresist Å/Au 3000 in acetone.Å (Figure Further, 4a) was the deposited wafer was on diced the photoresist into rectangular mask beamsby magnetron for varistor sputtering characterization and were by defined DISCO by DAD322 stripping (an the automatic AZ6130 dicingphotoresist saw) (Discoin acetone. Corporation, Further, the wafer was diced into rectangular beams for varistor characterization by DISCO DAD322 (an automatic dicing saw) (Disco Corporation, Tokyo, Japan). Finally, these beams were heat-treated

Micromachines 2019, 10, 629 6 of 9

Tokyo, Japan). Finally, these beams were heat-treated using the GSL-1100X-RTP50 (HF-Kejing, Hefei, Micromachines 2019, 10, 629 6 of 9 China), a rapid heat treatment furnace, to achieve excellent ohmic contacts between the metal and p-typeusing 4H-SiC. the GSL-1100X-RTP50 (HF-Kejing, Hefei, China), a rapid heat treatment furnace, to achieve excellent ohmic contacts between the metal and p-type 4H-SiC. 3. Results and Discussion 3. ResultsA total ofand 10 Discussion identical SiC cantilever structures were manufactured in the same batch and showed the sameA piezoresistivetotal of 10 identical effect in SiC the cantilever experiments structur describedes were below. manufactured In order to formin the a goodsame ohmicbatch contactand onshowed SiC, it isthe essential same piezoresistive to anneal at effect temperatures in the expe exceedingriments described 800 ◦C. After below. annealing In order underto form a a nitrogen good environmentohmic contact at dionff SiC,erent it temperatures,is essential to anneal as shown at temperatures in Figure4b, exceeding Keithley 800 4200 °C. semiconductor After annealing analyzers under werea nitrogen used to measureenvironment the relationshipat different betweentemperatures, current as (I)shown and voltage in Figure (V) of4b, SiC Keithley piezoresistors. 4200 Figuresemiconductor4c shows the analyzers I /V characteristics were used to of measure the piezoresistor the relationship before between and after current annealing, (I) and which voltage indicates (V) thatof goodSiC piezoresistors. ohmic contact Fig hasure been 4c shows formed the betweenI/V characteristics Ni/Ti/Al/Au of the and piezoresistor p-type 4H-SiC before after and annealing after underannealing, a nitrogen which environment indicates that at 1050good◦ ohmicC for 5cont min.act Based has been on theformed test results,between it Ni/Ti/Al/Au can be calculated and p- that thetype sheet 4H-SiC resistances after annealing of the 1.1 µ underm p-type a nitrogen layer is environment 5.6 KΩ/. In at addition, 1050 °C the for metal’s 5 min. surfaceBased on morphology the test results, it can be calculated that the sheet resistances of the 1.1 μm p-type layer is 5.6 KΩ/□. In was characterized by SEM after annealing at 1050 ◦C, as shown in Figure4d. It can be seen that the ultra-highaddition, annealingthe metal’s temperature surface morphology only slightly was charac affectsterized the surface by SEM compactness, after annealing which at 1050 did not °C, aasffect theshown electrical in Fig testure of 4d. the It SiCcan be piezoresistive. seen that the ultra-high annealing temperature only slightly affects the surface compactness, which did not affect the electrical test of the SiC piezoresistive.

(a) (b)

(c) (d)

Figure 4. (a) The metal hierarchy inside the ohmic contact hole. (b) Temperature profile of the annealing Figure 4. (a) The metal hierarchy inside the ohmic contact hole. (b) Temperature profile of the process. (c) Current–voltage characteristics of the Ni Ti Al Au and p-type 4H-SiC contact before and annealing process. (c) Current–voltage characteristics/ of/ the/ Ni/Ti/Al/Au and p-type 4H-SiC contact afterbefore annealing. and after ( dannealing.) SEM image (d) SEM of the image metal of surfacethe metal morphology surface morphology after annealing after annealing at 1050 at◦C. 1050 °C.

Next, a force of 0 – 0.5 N with the increment of 0.05 N was applied to the fixed end of the assembled cantilever beam and at the same time the resistances of p-type 4H-SiC piezoresistors were measured by a high precision multimeter, as shown in Figure 5a. Figure 5b shows the change rate of

Micromachines 2019, 10, 629 7 of 9

Next, a force of 0–0.5 N with the increment of 0.05 N was applied to the fixed end of the assembled cantileverMicromachines beam 2019, 10 and, 629 at the same time the resistances of p-type 4H-SiC piezoresistors were measured7 of 9 by a high precision multimeter, as shown in Figure5a. Figure5b shows the change rate of SiC piezoresistor ( R/R△) functions with the strain caused by the external force. By fitting the test results, SiC piezoresistor4 ( R/R) functions with the strain caused by the external force. By fitting the test the longitudinal and transverse GFs of p-type 4H-SiC piezoresistives are 26.7 and 21.5, respectively, results, the longitudinal and transverse GFs of p-type 4H-SiC piezoresistives are− 26.7 and −21.5, whichrespectively, are comparable which are to comparable the previous to resultsthe previous in the results reported in literaturethe reported [28 ]literature and have [28] larger and gauge have factorslarger gauge (GFs) thanfactors 6H-SiC (GFs) and than n-type 6H-SiC 4H-SiC and [26 n-ty,35]pe under 4H-SiC the condition[26,35] under of heavy the condition doping. In of order heavy to furtherdoping. verify In order the to electro-mechanical further verify the coupling electro-mechanical effect of SiC, coupling the piezoresistors effect of SiC, on thethe beam piezoresistors were placed on intothe beam a four-arm were Wheatstoneplaced into bridge,a four-arm and Wheatstone a fixed input bridge, voltage and of 5 a V fixed was applied.input voltage The output of 5 V of was the bridgeapplied. is The a function output of of the the cantilever bridge is deflectiona function underof the cycliccantilever loading deflection of 0–0.5 under N, as cyclic shown loading in Figure of5 0–c. The0.5 N, relationship as shown between in Figure the 5c. SiC The piezoresistors’ relationship change between and the the SiC measured piezoresistors’ output voltage change (V outand) is: the measured output voltage (Vout) is: ! Vin R1 R3 R4 R2 Vout = 𝑉 𝑅−−𝑅 𝑅 −−𝑅 (3) 2 R + R − R + R 𝑉 = ( 1 1 − 1 1 ) (3) 2 𝑅 +𝑅 𝑅 +𝑅 where Vin = 5 V is the supplied voltage of the Wheatstone bridge and R1, R2, R3, and R4 are SiC where Vin = 5 V is the supplied voltage of the Wheatstone bridge and R1, R2, R3, and R4 are SiC piezoresistors on the beam, as shown in Figure1a. It can be seen that the piezoresistance of p-type piezoresistors on the beam, as shown in Figure 1a. It can be seen that the piezoresistance of p-type 4H-SiC exhibited good linearity and low hysteresis. The above experiments have proved that p-type 4H-SiC exhibited good linearity and low hysteresis. The above experiments have proved that p-type 4H-SiC has a good piezoresistive effect, which demonstrates the application potential of p-type 4H-SiC 4H-SiC has a good piezoresistive effect, which demonstrates the application potential of p-type 4H- in the mechanical sensing field. SiC in the mechanical sensing field.

(a)

(b) (c)

Figure 5. (a) Test structure of the p-type 4H-SiC piezoresistive effect. (b) The relative change in Figure 5. (a) Test structure of the p-type 4H-SiC piezoresistive effect. (b) The relative change in resistance (∆R/R) of the beams as a function of the strain. (c) Output voltage of the Wheatstone bridge resistance (ΔR/R) of the beams as a function of the strain. (c) Output voltage of the Wheatstone bridge as a function of applied load at room temperature (R1, R2, R3, and R4 are SiC piezoresistors on the as a function of applied load at room temperature (R1, R2, R3, and R4 are SiC piezoresistors on the beam, as shown in Figure1a). beam, as shown in Figure 1a).

4. Conclusions The piezoresistive effect of heavily doped p-type 4H-SiC was characterized experimentally by applying the cantilever beam. It has been shown that longitudinal and transverse GFs of 4H-SiC piezoresistives are 26.7 and −21.5 respectively. Moreover, transducers based on the 4H-SiC piezoresistive effect was proved to have good linearity and hysteresis, which indicated that p-type

Micromachines 2019, 10, 629 8 of 9

4. Conclusions The piezoresistive effect of heavily doped p-type 4H-SiC was characterized experimentally by applying the cantilever beam. It has been shown that longitudinal and transverse GFs of 4H-SiC piezoresistives are 26.7 and 21.5 respectively. Moreover, transducers based on the 4H-SiC piezoresistive − effect was proved to have good linearity and hysteresis, which indicated that p-type 4H-SiC could be used to measure the change of mechanical parameters, such as pressure, acceleration, strain, and flow.

Author Contributions: Conceptualization, Y.L. and T.L.; methodology, C.L.; validation, Y.H.; formal analysis, W.L.; data curation, Z.L. and A.G.; writing—original draft preparation, Y.L. and Q.L.; project administration, J.X. Funding: This research was funded by China National Funds for Distinguished Young Scholars, grant number 51425505, China National Funds for Young Scholars, grant number 51705475, Shanxi ‘1331 Project’ Key Subject Construction, and the Graduate Education Innovation Project of Shanxi Province. Conflicts of Interest: The authors declare no conflict of interest.

References

1. Barlian, A.A.; Park, W.T.; Mallon, J.R., Jr.; Rastegar, A.J.; Pruitt, B. Review: Semiconductor Piezoresistance for Microsystems. Proc. IEEE 2009, 97, 513–552. [CrossRef][PubMed] 2. Kumar, S.S.; Pant, B.D. Design principles and considerations for the ‘ideal’ silicon piezoresistive pressure sensor: a focused review. Microsyst. Technol. 2014, 20, 1213–1247. [CrossRef] 3. Zhang, J.; Zhao, Y.; Ge, Y.; Li, M.; Yang,L.; Mao, X. Design Optimization and Fabrication of High-Sensitivity SOI Pressure Sensors with High Signal-to-Noise Ratios Based on Silicon Nanowire Piezoresistors. Micromachines 2016, 7, 187. [CrossRef][PubMed] 4. Fahrner, W.R.; Job, R.; Werner, M. Sensors and smart electronics in harsh environment applications. Microsyst. Technol. 2001, 7, 138–144. [CrossRef] 5. Neudeck, P.G.; Okojie, R.S.; Chen, L.Y. High-temperature electronics-a role for wide bandgap . Proc. IEEE 2002, 90, 1065–1076. [CrossRef] 6. Li, C.; Cordovilla, F.; Jagdheesh, R.; Ocaña, J. Design optimization and fabrication of a novel structural SOI piezoresistive pressure sensor with high accuracy. Sensors 2018, 18, 439. [CrossRef][PubMed] 7. Ngo, H.D.; Mukhopadhyay, B.; Ehrmann, O. Advanced Liquid-Free, Piezoresistive, SOI-Based Pressure Sensors for Measurements in Harsh Environments. Sensors 2015, 15, 20305–20315. [CrossRef] 8. Sheeparamatti, B.G.; Balavalad, K.B. Fabrication and characterization of polysilicon-on-insulator (PolySOI) and a-SOI based micro piezoresistive pressure sensor for harsh environment applications. Microsyst. Technol. 2019, 1–15. [CrossRef] 9. Giuliani, A.; Drera, L.; Arancio, D.; Mukhopadhyay, B.; Ngo, H.D. SOI-based, High Reliable Pressure Sensor with Floating Concept for High Temperature Applications. Procedia Eng. 2014, 87, 720–723. [CrossRef] 10. Guo, S.; Eriksen, H.; Childress, K.; Fink, A.; Hoffman, M. High temperature smart-cut SOI pressure sensor. Sens. Actuators A 2009, 154, 255–260. [CrossRef] 11. Ngo, H.D.; Mukhopadhyay, B.; Mackowiak, P.; Kröhnert, K.; Ehrmann, O.; Lang, K.D. A WSi–WSiN–Pt Metallization Scheme for Silicon Carbide-Based High Temperature Microsystems. Micromachines 2016, 7, 193. [CrossRef][PubMed] 12. Phan, H.P.; Dao, D.V.; Nakamura, K.; Dimitrijev, S.; Nguyen, N.T. The piezoresistive effect of SiC for MEMS sensors at high temperatures: a review. J. Microelectromech. Syst. 2015, 24, 1663–1677. [CrossRef] 13. Guo, X.; Xun, Q.; Li, Z.; Du, S. Silicon carbide converters and MEMS devices for high-temperature power electronics: A critical review. Micromachines 2019, 10, 406. [CrossRef][PubMed] 14. Park, C.H.; Cheong, B.H.; Lee, K.H.; Chang, K.J. Structural and electronic properties of cubic, 2H, 4H, and 6H SiC. Phys. Rev. B 1994, 49, 4485. [CrossRef][PubMed] 15. Casady, J.B.; Johnson, R.W. Status of silicon carbide (SiC) as a wide-bandgap semiconductor for high-temperature applications: A review. Solid-State Electron. 1996, 39, 1409–1422. [CrossRef] 16. Phan, H.P.; Dinh, T.; Kozeki, T.; Qamar, A.; Namazu, T.; Dimitrijev, S.; Dao, D.V. Piezoresistive effect in p-type 3C-SiC at high temperatures characterized using Joule heating. Sci. Rep. 2016, 6, 28499. [CrossRef] 17. Wu, C.H.; Zorman, C.A.; Mehregany, M. Fabrication and testing of bulk micromachined silicon carbide piezoresistive pressure sensors for high temperature applications. IEEE Sens. J. 2006, 6, 316–324. Micromachines 2019, 10, 629 9 of 9

18. Eickhoff, M.; Stutzmann, M. Influence of crystal defects on the piezoresistive properties of 3C–Si. J. Appl. Phys. 2004, 96, 2878–2888. [CrossRef] 19. Zhao, F.; Du, W.; Huang, C.F. Fabrication and characterization of single-crystal 4H-SiC microactuators for MHz frequency operation and determination of Young’s modulus. Microelectron. Eng. 2014, 129, 53–57. [CrossRef] 20. Oswald, N.; Anthony, P.; McNeill, N.; Stark, B.H. An experimental investigation of the tradeoff between switching losses and EMI generation with hard-switched all-Si, Si-SiC, and all-SiC device combinations. IEEE Trans. Power Electron. 2013, 29, 2393–2407. [CrossRef] 21. Zhao, B.; Song, Q.; Liu, W. Experimental comparison of isolated bidirectional DC–DC converters based on all-Si and all-SiC power devices for next-generation power conversion application. IEEE Trans. Power Electron. 2013, 61, 1389–1393. [CrossRef]

22. Okojie, R.S. Stable 600◦C silicon carbide MEMS pressure transducers. In Proceedings of the Sensors and Systems for Space Applications, Orlando, FL, USA, 3 May 2007; p. 65550V. 23. Nguyen, T.K.; Phan, H.P.; Dinh, T.; Foisal, A.R.M.; Nguyen, N.T.; Dao, D.V. High-temperature tolerance of the piezoresistive effect in p-4H-SiC for harsh environment sensing. J. Mater. Chem. C 2018, 6, 8613–8617. [CrossRef] 24. Nguyen, T.K.; Phan, H.P.; Dinh, T.; Dowling, K.M.; Foisal, A.R.M.; Senesky, D.G.; Dao, D.V. Highly sensitive 4H-SiC pressure sensor at cryogenic and elevated temperatures. Mater. Des. 2018, 156, 441–445. [CrossRef] 25. Nguyen, T.K.; Phan, H.P.; Dinh, T.; Toriyama, T.; Nakamura, K.; Foisal, A.R.M.; Nguyen, N.T.; Dao, D.V. Isotropic piezoresistance of p-type 4H-SiC in (0001) plane. Appl. Phys. Lett. 2018, 113, 012104. [CrossRef] 26. Akiyama, T.; Briand, D.; De Rooij, N.F. Design-dependent gauge factors of highly doped n-type 4H-SiC piezoresistors. J. Micromech. Microeng. 2012, 22, 085034. [CrossRef]

27. Okojie, R.S.; Lukco, D.; Nguyen, V.; Savrun, E. 4H-SiC Piezoresistive Pressure Sensors at 800 ◦C with Observed Sensitivity Recovery. IEEE Electron Device Lett. 2014, 36, 174–176. [CrossRef] 28. Nguyen, T.K.; Phan, H.P.; Dinh, T.; Han, J.; Dimitrijev, S.; Tanner, P.; Dao, D.V. Experimental Investigation of Piezoresistive Effect in p-type 4H-SiC. IEEE Electron Device Lett. 2017, 38, 955–958. [CrossRef] 29. Phan, H.P.; Tanner, P.; Dao, D.V.; Wang, L.; Nguyen, N.T.; Zhu, Y.; Dimitrijev, S. Piezoresistive Effect of p-Type Single Crystalline 3C-SiC Thin Film. IEEE Electron Device Lett. 2014, 35, 399–401. [CrossRef] 30. Phan, H.P.; Viet Dao, D.; Tanner, P.; Wang, L.; Nguyen, N.T.; Zhu, Y.; Dimitrijev, S. Fundamental piezoresistive coefficients of p-type single crystalline 3C-SiC. Appl. Phys. Lett. 2014, 104, 11905. [CrossRef] 31. Ansari, M.Z.; Cho, C. Effect of p-type and n-type piezoresistors on characteristics of high sensitive silicon piezoresistive microcantilever designs. Microsyst. Technol. 2016, 22, 93–101. [CrossRef] 32. Nguyen, T.K.; Phan, H.P.; Han, J.; Dinh, T.; Foisal, A.R.M.; Dimitrijev, S.; Dao, D.V. Highly sensitive p-type 4H-SiC van der Pauw sensor. RSC Adv. 2018, 8, 3009–3013. [CrossRef] 33. Fraga, M.A.; Furlan, H.; Massi, M.; Oliveira, I.C. Effect of nitrogen doping on piezoresistive properties of a-Si x C y thin film strain gauges. Microsyst. Technol. 2010, 16, 925–930. [CrossRef] 34. Phan, H.P.; Dinh, T.; Kozeki, T.; Nguyen, T.K.; Qamar, A.; Namazu, T.; Dao, D.V. The piezoresistive effect in top–down fabricated p-type 3C-SiC nanowires. IEEE Electron Device Lett. 2016, 37, 1029–1032. [CrossRef] 35. Okojie, R.S.; Ned, A.A.; Kurtz, A.D.; Carr, W.N. Characterization of highly doped n- and p-type 6H-SiC piezoresistors. IEEE Trans. Electron Devices 1998, 45, 785–790. [CrossRef]

© 2019 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).