From Technologies to Markets

Equipment and Materials for 3D NAND Manufacturing 2020

Market and Technology Report 2020

Sample © 2020 TABLE OF CONTENTS

• WFE solutions for 3D-NAND manufacturing 177 • Glossary and definitions 2 o Deposition – CVD, ALD 187 • Table of contents 10 o Dry etching 194 • Report objectives 14 o Lithography 202 • Report scope 15 o Hybrid bonding 210 • Methodology & definitions 16 • 3D-NAND business players 222 • About the authors 17 o Financial analysis 248

• Companies cited in this report 19 o List of recent mergers and acquisitions 265

• Who should be interested in this report? 20 • Equipment for 3D-NAND manufacturing – market overview 270 • Market forecast – deposition for 3D-NAND manufacturing 278 • Yole Group related reports 21 Revenues, shipments (# of chambers), ASP, tool mix, and more • Three-slide summary 23 • Market forecast – dry etching for 3D-NAND manufacturing 286 • Executive summary 27 Revenues, shipments (# of chambers), ASP, tool mix, and more • Introduction and context 61 • Market forecast – lithography for 3D-NAND manufacturing 294 o Overview of the stand-alone memory business 67 Revenues, shipments (# of tools), ASP, tool mix, and more o Overview of semiconductor equipment market 86 • Market forecast – Hybrid bonding for 3D-NAND manufacturing 302 • 3D-NAND business – market, applications, and key players 93 Revenues, shipments (# of chambers) and ASP for two distinct scenarios

• 3D-NAND technology and manufacturing process 109 • Impact of COVID-19 on the NAND Business 309 • General conclusions 316 o 3D-NAND technologies, roadmaps, and trends 121 • Noteworthy news 320 o 3D-NAND manufacturing process flows 138 • How to use our data? 326 o Technical challenges 153 • Yole Group presentation 327 • 3D-NAND manufacturing materials 163

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 2 COMPANIES CITED IN THIS REPORT

ACM Research, Adeka, , AGC, Air Liquide, Air Products, Amec, Applied Materials, ASM International, ASML, Cabot Microelectronics, Canon, Coventor, Cypress, Dow, Dupont, Entegris, Enthone, Eugene Technology, EVG, Fujifilm, Fusion IO, GigaDevice, GlobalFoundries, Hansol Chemical, Heraeus, Chemical, Hitachi High Technologies, , JSR Corporation, Jusung Engineering, Kingston, Kioxia, KLA Tencor, Lam Research, Linde, Macronix, Materion, Merck, Micron, Materials, Nanometrics, Naura, , Nippon Kayakli, Nova, Onto Innovation, , SanDisk, Screen, Seagate, Semes, Shin Etsu, SK hynix, SK materials, Smee, Spansion, Sumitomo Bakelite Co., Praxair, Rudolph Technologies, SMIC, Tok, , Teradyne, Tes, , Tok, Tsinghua Unigroup, TSMC, Ultratech, UMC, UniIC Semiconductors, Uyemura, Veeco, Versum, Western Digital, Winbond, Wonik IPS, Wonik Materials, XFab, XMC, , YMTC, Zeon, and more.

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 3 ABOUT THE AUTHORS

Simone Bertolazzi, Ph.D.

Simone is a Technology & Market analyst at Yole Développement (Yole) working with the Semiconductor & Software division. He is member of Yole’s memory team and contributes on a day-to-day basis to the analysis of memory markets and technologies, their related materials and fabrication processes. Previously, Simone carried out experimental research in the field of nanoscience and nanotechnology, focusing on emerging semiconducting materials and their device applications. He (co-) authored more than 15 papers in high-impact scientific journals and was awarded the prestigious Marie Curie Intra-European Fellowship. Simone obtained a PhD in physics in 2015 from École Polytechnique Fédérale de Lausanne (Switzerland), where he developed novel flash memory cells based on heterostructures of 2D materials and high-κ dielectrics. Simone earned a double M. A. Sc. degree from Polytechnique de Montréal (Canada) and Politecnico di Milano (Italy), graduating cum laude. Contact: [email protected]

Walt Coon Walt Coon joined Yole Développement’s memory team as VP of NAND and Memory Research, part of the Semiconductor & Software division. Walt leads the day-to-day production of market updates, Market Monitors and Pricing Monitors, with a focus on the NAND market and semiconductor industries. In addition, he is deeply involved in the business development of these activities. Walt has significant experience within the memory & semiconductor industry. He spent 16 years at Micron Technology, managing the team responsible for competitor benchmarking, and industry supply, demand, and cost modeling. His team also supported both corporate strategy and Mergers & Acquisition analysis. Previously, he spent time in Information Systems, developing engineering applications to support memory processes and yield enhancement. Walt Coon earned a Master of Business Administration from Boise State University (Idaho, United States) and a Bachelor of Science in Computer Science from the University of Utah (United States). Contact: [email protected]

Amandine Pizzagalli Amandine Pizzagalli is a Technology & Market Analyst, Equipment & Materials - Semiconductor Manufacturing, at Yole Développement (Yole). Amandine is part of the development of the Semiconductor & Software division of Yole with the production of reports and custom consulting projects. She oversees comprehensive analyses focused on semiconductor equipment, materials and manufacturing processes. Previously, Amandine worked as Process engineer on CVD and ALD processes for semiconductor applications at Air Liquide. Amandine was based in for one year to manage these projects. She has spoken in numerous international conferences and has authored or co-authored more than 10 papers. Amandine holds an international MBA from IAE Lyon, School of Management (France) and an electronic engineering master degree from the engineering school, CPE Lyon (France) with an added degree, focusing on semiconductor manufacturing technology, from KTH Royal institute of technology (Sweden).

Contact: [email protected]

Elvire Soltani

Elvire Soltani joined Yole as an analyst intern working within the Semiconductor, Memory & Computing division. Elvire is engaged in a daily technical/strategic analysis focused on 3D NAND manufacturing and related equipment and materials. Elvire has obtained an engineering degree in materials science with a major in semiconductor applications.

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 4 METHODOLOGIES & DEFINITIONS Yole’s market forecast model is based on the matching of several sources:

Preexisting information

Market Volume (in Munits) ASP (in $) Revenue (in $M)

Information aggregation

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 5 REPORT OBJECTIVES

• Leveraging on an extensive knowledge of the NAND business and related manufacturing equipment/processes Yole Développement is glad to introduce the brand-new report “Equipment and Materials for 3D-NAND Manufacturing”. • This report is the result of a tight collaboration between Yole Développement’s Memory and Semiconductor-Manufacturing teams and the reverse-engineering company System Plus Consulting, who carried out a detailed analysis of the leading-edge 3D-NAND devices by all suppliers. The main objectives of the report are as follows:

(1) Provide an overview of the stand-alone memory (4) Describe the 3D-NAND manufacturing equipment, business with deep focus on NAND: materials and processes

• Stand-alone memory market technologies and trends • 3D-NAND manufacturing process flows by suppliers • NAND business: market, applications, competitive landscape • 3D-NAND architectures and technical trends: string stacking, circuit under array (CUA) vs. XtackingTM, and more (2) Present an overview of the wafer-fab equipment • Technical challenges and equipment solutions for manufacturing technologies and processes: 3D-NAND chips • Chemical-vapor and atomic layer deposition (CVD,ALD) • Manufacturing materials: suppliers and technology/market trends. • Dry etching for dielectrics and conductors, high-aspect ratio (HAR) etching for deep features (e.g. channel holes) (5) Detail and analyze the competitive landscape • Immersion and dry lithography • Financial analysis of top NAND memory manufacturers and (3) Market forecast for the time period 2019-2025 equipment suppliers • Revenues, ASP and units for deposition and dry-etching chambers, • Recent acquisitions and funding as well as tools for lithography and hybrid bonding • Latest company news, mergers and acquisition

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 6 SCOPE OF THE REPORT

• This technology-and-market report focuses on the main equipment used for manufacturing Flash NAND memory, namely deposition (CVD, ALD), dry etching and lithography tools; we also provide an analysis of the hybrid-bonding market for manufacturing of 3D- NAND with XtackingTM like approaches.

• The report also contains a detailed description of the materials employed during the manufacturing process, their key features, technical requirements, players and future trends. Market forecast for materials are not included in this report.

Deposition Dry etching Lithography Hybrid bonding Materials

Materials, Players, Challenges, Trends Image source: EVG Image source: Lam Research Image source: Applied Materials Image source: ASML

From Higher to Lower Resolution: • CVD for dielectric materials • Etching for dielectric materials Your needs are • ArF immersion lithography • CVD for hardmasks • Etching for mask opening out of scope of this • ArF dry lithography • CVD for conducting materials • Etching for resist trimming report? • KrF lithography Contact us for a custom study • ALD for dielectric materials • Etching for conducting materials

• ALD for conducting materials • I-line lithography

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 7 STAND-ALONE MEMORY MARKET OVERVIEW - FOCUS ON NAND

NAND Market Revenue $80 000 80% Revenue ($M) % Change Total NAND Market in 2019 ~ $XXB

$60 000 40% NAND Market Shares by Revenue $40 000

0% Macronix Cypress Winbond Other $20 000 0,3% 0,1% 0,2% 0,1%

$0 -40% 2015 2016 2017 2018 2019 2020 2021 Intel 7,7% SK hynix NAND Average Selling Price 10,1% Samsung $0,060 40% Micron 35,5% ASP ($/Gb) % Change 11,7%

$0,040 0% Western Digital 14,2% Kioxia $0,020 -40% 20,1%

$0,000 -80% Market shares are based on actual market results for CY-2019 2015 2016 2017 2018 2019 2020 2021

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 8 NAND MARKET ANALYSIS

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 9 NAND MARKET – COMPETITIVE LANDSCAPE

• There are 6 NAND suppliers with at least 5% market share. A new player from China – YMTC – is entering the market. • Intense competition in key segments, i.e. datacenter, causes excess volatility and price declines. • None of the suppliers are in danger of going out of business from a financial standpoint, but do the massive investments required justify historically meager returns?

2019 Market Share Q2 2020 NAND market results

8% 1%

10% 36% 12% #1 #2 #3 14% 20% NAND market share (revenue-basis): XX% XX% XX%

NAND operating margin2 (%): XX% XX% XX% Samsung Kioxia WD Micron SK hynix Intel

Total NAND Market #4 #5 #6 in 2019 ~ $XXB NAND market share (revenue-basis): XX% XX% XX% 1 Estimates for Q2 2020 financials 2 Margin data estimated based on published NAND operating margin2 (%): XX% XX% XX% financials and modeled results

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 10 3D NAND SUPPLIER PROCESS ROADMAPS

• Following Samsung (first 24L 3D-NAND in 2014), all the big players have developed 3D-NAND market products. It is still unclear what the upper limit will be in terms of layer number. One solution is string stacking, which consists in stacking groups (strings) of 32-64 layers in order to overcome the aspect ratio challenge. Samsung is clear technology leader with fierce competition from WD-Toshiba, followed by SK hynix and Micron-Intel.

2019 2020 2021 2022 2023 2024 2025

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 11 3D-NAND TECHNOLOGY ROADMAP (2019-2025) More than 400 layers expected by 2026. Key enablers: string stacking and HAR etching

• 3D-NAND memory manufacturers will adopt different strategies to 3D-NAND Roadmap - Density Evolution increase the number of layers and the overall bit density per die: (Average Industry Pace) 600 1) String-stacking: Samsung is the only player adopting one single 520 string for manufacturing 92L and 128L 3D-NAND. The other players are already using two strings. The number of strings to be used will 500 depend on the largest achievable number of layers-per-string enabled 400 by the HAR etching process. 400 Yole Développement © June 2020 2) Logic circuit position: besides Micron with circuit-under-array 310 (CUA), SK hynix with 4D-NAND, and YMTC with Xtacking, all the 300 players will be adopting specific solutions to minimize the silicon-area 225

consumption of the CMOS logic circuit. Number of Layers 200 176 128 3) Cell architecture: Charge Trap vs. Floating Gate: All the 96 players except Intel have adopted the charge trap solution for their 100 64 1xx 3D-NAND technologies. Charge trap enables a higher number of layers per string. FG is less scalable solution but suitable for QLC or

PLC operation. 0

2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 12 3D-NAND MANUFACTURING – PROCESS FLOWS

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 13 APPROACHES AND TECHNOLOGIES BY PLAYERS

Samsung Kioxia-WDC Micron / Intel SK Hynix YMTC

2019 Generation 92L 96L 96L 96L 64L

Logic Circuit Position CAN CNA CUA CUA (“4D NAND”) Bonding (“XtackingTM)

String Stacking 1 string 1 string 2 strings 2 strings 1 string

Memory-Cell Architecture CT CT FG CT CT

2020-21 Generation 128L 112L 128L / 144L 128L 128L (expected features)

Logic Circuit Position CUA CUA CUA / CUA CUA (“4D NAND”) Bonding (“XtackingTM”)

String Stacking 1 string 2 strings 2 strings / 3 strings 2 strings 2 strings

Memory-Cell Architecture CT CT CT / FG CT CT

• Samsung and Kioxia-WDC need to find an alternative to their CNA approach in order to optimize the consumption of silicon-die area. Their 1xxL generation is expected to be based once again on CNA, whether for the 176L generation they could adopt the CUA architecture. • Given their experience in hybrid bonding and die-stacking approaches, Samsung and SK hynix are potential adopters of Xtacking-like bonding approaches. • Samsung is the only player to develop the 128L generation with a single-string approach. For the following generations they will adopt multiple strings. • Intel – the only player still using the FG architecture – will likely need to use more strings (and less layers per string) than all the other players.

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 14 MATERIALS FOR 3D-NAND – TECHNOLOGY TRENDS AND PLAYERS

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 15 2019 - 2025 MARKET FORECAST – DEPOSITION FOR 3D-NAND

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 16 2019 - 2025 MARKET FORECAST – DRY ETCHING FOR 3D-NAND

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 17 2019 - 2025 MARKET FORECAST – LITHOGRAPHY FOR 3D-NAND

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 18 2019 - 2025 MARKET FORECAST – HYBRID BONDING FOR 3D-NAND

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 19 PLAYERS’ DYNAMICS, ECOSYSTEM ANALYSIS, AND MORE…

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 20 YOLE GROUP OF COMPANIES RELATED REPORTS Yole Développement NAND Quarterly Market Status of the Memory Monitor Industry 2020

Contact our Sales Team for more information

Emerging Non-Volatile Memory 2020

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 21 YOLE GROUP OF COMPANIES RELATED REPORTS System Plus Consulting

YMTC’s 3D-NAND Flash 3D NAND Memory Contact our Memory Comparison 2019 Sales Team for more information

Equipment and Materials for 3D NAND Manufacturing 2020 | Sample | www.yole.fr | ©2020 22 HOW TO USE OUR DATA?

Yole Group of Companies, including Yole Développement, System Plus Consulting and PISEO, are pleased to provide you a glimpse of our accumulated knowledge.

We invite you to share our data with your own network, within your presentations, press releases, dedicated articles and more, but you first need approval from Yole Public Relations department.

If you are interested, feel free to contact us right now!

We will also be more than happy to give you updated data and appropriate formats.

Your contact: Sandrine Leroy, Dir. Public Relations Email: [email protected]

About Yole Développement | www.yole.fr | ©2020 23 CONTACTS

REPORTS, MONITORS & TRACKS

Western US & Canada India and RoA Japan Steve Laferriere - [email protected] Takashi Onozawa - [email protected] Miho Ohtake - [email protected] + 1 310 600 8267 +81 80 4371 4887 +81 34 4059 204 Eastern US & Canada Greater China Japan and Singapore Chris Youman - [email protected] Mavis Wang - [email protected] Itsuyo Oshiba - [email protected] +1 919 607 9839 +886 979 336 809 +86 136 6156 6824 +81 80 3577 3042 Europe and RoW Korea Japan Lizzie Levenez - [email protected] Peter Ok - [email protected] Toru Hosaka – [email protected] +49 15 123 544 182 +82 10 4089 0233 +81 90 1775 3866 Benelux, UK & Spain Marine Wybranietz - [email protected] +49 69 96 21 76 78

FINANCIAL SERVICES CUSTOM PROJECT SERVICES GENERAL › Jean-Christophe Eloy - [email protected] › Jérome Azémar, Yole Développement - › Camille Veyrier, Marketing & Communication +33 4 72 83 01 80 [email protected] - +33 6 27 68 69 33 [email protected] - +33 472 83 01 01 › Sandrine Leroy, Public Relations › Ivan Donaldson - [email protected] › Julie Coulon, System Plus Consulting - [email protected] - +33 4 72 83 01 89 +1 208 850 3914 [email protected] - +33 2 72 17 89 85 › General inquiries: [email protected] - +33 4 72 83 01 80

Follow us on

Equipment and Materials for 3D NAND ManufacturingAbout Yole Développement 2020 | Sample | |www.yole.fr www.yole.fr | |©2020 ©2020 24