Quick viewing(Text Mode)

Oral Presentations

Oral Presentations

Photomask 2011 2011.2.17

Oral Presentations

Session Sessio Program Date Session Title Chairs Presentation Title Presentation Author Time n No. No. Toshio Konishi Issues and Challenges in Next Generation Lithography for Memory 9:10-9:40Keynote 1 Keynote 1 Cho, Han Ku ( Co., Ltd, Korea) (Toppan Printing Co., Device Ltd.) 1-1 Development and characterization of a thinner binary mask absorber for Faure, Thomas (IBM Corp, U.S.A) 9:40- Invited (Invited) 22-nm node and beyond (best paper from BACUS) 1 Uwe Behringer 10:40 Session (UBC 1-2 Evidence of printing blank-related defects on EUV masks, missed by Jonckheere, Rik (IMEC, Belgium) Microelectronics) (Invited) blank inspection (Best paper from EMLC) Mask blank material optimization impact on leading-edge ArF 2-1 Mesuda, Kei ( Co., Ltd., Japan) lithography Thomas B. Faure (IBM Corporation) 2-2 MegaSonic Cleaning: Possible solutions for 22nm node and beyond Shende, Hrishi (MP Mask Technology Center, U.S.A) 11:00- Materials & 2 12:20 Process Study on the correlation between mask preparation techniques and its Foca, Eugen (Advanced Mask Technology Center GmbH, Koji Murano 2-3 lifetime in the wafer fab Germany) 13 ( Corporation) The effect of resist removal methods on mask CD variation by cleaning 2-4 Ji Sun, Ryu (Hynix Semiconductor Inc., Korea) process 3-1 Over-Generation-10 size FPD Photomasks for Virtual Reality Shiojiri, Kazuya (SK-Electronics CO.,LTD., Japan) Ichiro Kagami ( 3-2 TBA Murai, Makoto (, Japan) Corporation) Special 3-3 Layout and Reticule verification for FPD Takagi, Makoto (Jedat Inc., Japan) 13:50- Toshio Konishi 3 Session: FPD 15:50 (Toppan Printing Co., Photomasks 3-4 Past and future challenges from a display mask writer perspective Von Sydow, Axel (Micronic Mydata AB, Sweden) Ltd.) 3-5 Repair technology for large scale photomasks Aramaki, Fumio (SII NanoTechnology Inc., Japan) Particle free pellicle mounting inside of the Large size Photomask 3-6 Yonezawa, Makoto (Lasertec Corporation, Japan) Inspection System Photomask Japan 2011 2011.2.17

Session Sessio Program Date Session Title Chairs Presentation Title Presentation Author Time n No. No. 9:00- Keynote 2 Keynote 2 Computational Lithography - Moore for Less Singh, Vivek( Corporation, U.S.A) 9:30 Yasutoshi Nakagawa (JEOL Ltd.) EBM-8000: EB mask writer for product mask fabrication of 22nm half- 5-1 Yoshitake, Shusuke (NuFlare Technology Inc., Japan) pitch generation and beyond 9:30- Writing Curt A. Jackson Enhancement of global CD correction and data processing in EB mask 5 5-2 Matsumoto, Hiroshi (NuFlare Technology Inc., Japan) 10:30 Technologies (Toppan Photomasks, writer EBM-8000 Inc.) Pattern placement error due to resist charging effect at 50kV e-beam 5-3 Choi, Jin (Samsung Electronics Co., Ltd, Korea) writer; Mechanism and its correction ML Blank Defects:[LF]All Things Considered And What Size Of The 6-1 Liang, Ted (Intel Corporation, U.S.A) Smallest Defects We Care About? Minoru Sugawara (Sony Corp.) 6-2 Native Blank Defect Analysis for the Study of Printability Kwon, Hyuk Joo (SEMATECH (Samsung), U.S.A) 10:50- 6 EUV Masks 12:10 Kurt Kimmel 6-3 Phase defect analysis with actinic full-field EUVL mask blank inspection Yamane, Takeshi (MIRAI-Selete, Japan) (SEMATECH) 6-4 Characterization and repair for EUV reticle defects Jonckheere, Rik (IMEC, Belgium) 7-1 EUV Sources for Actinic Mask Metrology Applications Choi, Peter (NanoUV, France) 14 (Invited) The Coherent EUV Scatterometry Microscope for Actinic Mask 7-2 Harada, Tetsuo (University of Hyogo, Japan) Inspection and Metrology Gregg Inderhees (KLA-Tencor Corp.) 7-3 EBeyeM developed for EUV Mask Inspection Yamaguchi, Shinji (Toshiba Corporation, Japan) 13:40- 7 Inspection 15:50 Wolf Staud 7-4 Outlook and results for inspection of Flare-corrected EUV masks Inderhees, Gregg (KLA-Tencor, U.S.A) () The Impact of a Thinner Binary Mask Absorber on 22 nm and Beyond Badger, Karen (IBM Systems and Technology Group, 7-5 Mask Inspectability and Defect Sensitivity U.S.A) Parallelized Automatic False Defect Detection using GPUs during Mask 7-6 Pereira, Mark (SoftJin Technologies Pvt. Ltd., India) Inspection 8-1 Mask Metrology for CD Uniformity Improvement of Logic Patterning Imai, Hidemichi (Dai Nippon Printing Co., Ltd., Japan) Yoshinori Nagaoka (KLA-Tencor Japan 8-2 In-die Job automation for PROVE Beyer, Dirk (Carl Zeiss SMS GmbH, Germany) 16:10- Ltd.) 8 Metrology 17:30 Matsuoka, Ryoichi ( High-Technologies Corporation, 8-3 Solution for silicon performance using large field of mask images Yasunari Sohda Japan) (Hitachi, Ltd.) 8-4 In-die Registration Measurement with Existing Inspection Tools Tamamushi, Shuichi (NuFlare Technology Inc., Japan) Photomask Japan 2011 2011.2.17

Session Sessio Program Date Session Title Chairs Presentation Title Presentation Author Time n No. No. 9-1 Collaborative Research on Emerging Technologies and Design Neureuther, Andrew (UC Berkeley, U.S.A) (Invited) Barai, Samit (IBM Semiconductor Research and Kenji Yamazoe 9-2 Evaluation of Process Variations on OPC Model Predictions (Canon Inc.) Development center, India) OPC & Mask- 9:00- 9 related 9-3 New Yield-Aware Mask Strategies Jeong, Kwangok (University of California San Diego, U.S.A) 10:50 Steffen Schulze Lithography (Mentor Graphics 9-4 Defect printability of Advanced Binary Film photomask Naka, Masato (Toshiba Corporation, Japan) Corp.) Role of Ellipsometry in DPT Process Characterization and Impact of 9-5 Kamohara, Itaru (Nihon Synopsys G.K., Japan) Performance for Contact Holes 10-1 An Integrated DFM Methodology for Advanced System LSI Nishiguchi, Nobuyuki (STARC, Japan) (Invited) 11:10- Elimination of Lithographic Hotspots which have been Waived by Kokoro Kato 10-2 Chaudhary, Aditya (IBM, India) 12:20 Means of Pattern Matching (SII NanoTechnology Bashaboina, Pavan (IBM Semiconductor Research and Inc.) 10-3 Efficient method for SRAF Rule Determination DFM,EDA, & Development center, India) 10 MDP Nobuyuki Nishiguchi ASSESSMENT AND COMPARISON OF DIFFERENT APPROACHES 10-4 Schulze, Steffen (Mentor Graphics, U.S.A) (Semiconductor FOR MASK WRITE TIME REDUCTION 15 Technology Academic 13:30- Benefits of Overlapping Shots for ArF and EUV Mask Process Research Center) 10-5 Fujimura, Aki (D2S, Inc., U.S.A) 14:30 Correction DATA TREATMENT AND PROXIMITY EFFECTS CHALLENGES FOR 10-6 Manakli, Serdar (ASELTA Nanographics, France) MASSIVELY PARALLEL LITHOGRAPHY Mold replication for patterned media by continuous nano-imprinting with 11-1 , Kouta (HOYA Corporation, Japan) 14:30- Hiroshi Mohri new releasing material 11 NIL 15:10 (Dai Nippon Printing Effects of cleaning on NIL templates: surface roughness, CD and 11-2 Singh, Sherjang (HamaTech-SUSS Microtec Inc, U.S.A) Co.,Ltd.) pattern integrity RegC™: A new Registration Control process for Photomasks after Akihiko Ando 12-1 Graitzer, Erez (Carl Zeiss SMS Ltd, Israel) 15:30- Pattern Generation 12 Mask Repair ( 16:10 Nanomachining Repair for the Latest Reticle Enhancement Corporation) 12-2 Robinson, Tod (RAVE LLC, U.S.A) Technologies Byung-Gook Kim 13-1 Binary 193nm photomasks aging phenomenon study Dufaye, Felix (STMicroelectronics, France) (Samsung 16:10- Mask 193-nm radiation durability study of MoSi binary mask and resulting 13 Electoronics Co., Ltd) 13-2 Servin, Isabelle (CEA-Leti, Minatec Campus, France) 17:10 Degradation lithographic performance 13-3 Application Considerations for Advanced Masks Duffy, Brian (KLA-Tencor, U.S.A)