Quick viewing(Text Mode)

Stake of ASML Holding

Stake of ASML Holding

ASML ASML Holding NV

St Andrews Investment Society

ASML Holding NV 2018FY ASML Holding NV ASML can be considered scalable, defensible and robust in a rapidly growing industry, which offer the Society an exceptional investment opportunity. Price (29.03.2019) €167.20 Market Cap (bn) €58.2 EV (bn) €63.9 Company Overview ASML is currently the largest supplier of systems for the Technology, Media and industry, focusing primarily in the production of integrated Telecommunications circuits. Headquartered in Veldhoven, , their products are Price Target €192.23 closely related to the “Internet of things” in which one electronic network Investment Horizon 6 years will eventually connect all everyday household and industrial technologies. Photolithography machines manufactured by ASML are used to produce 24m performance: computer chips, and as of 2010, ASML had a majority share of 67% of worldwide sales of this machinery.

Their manufacturing process has now taken on a more specialist focus in the form of EUV machines (extreme ultraviolet lithography machines), a market expected to grow by 15-20% annually through to 2025, amounting Market Data: to a value of around €6.4 billion. ASML is currently the only supplier of 52- Week Range 130.12-189.50 EUV machines, which are favourable due to their reduced chip-area, faster Shares Out. (bn) 0.42 processing power (20%) and lower power consumption (50%) than 10-nm EV/EBITDA 18.9x EV/OpFCF 20.8x chip technology. ASML has had the second-highest R&D expenditure in P/E 25.99x the chip-making tool industry over the past 5 years, and could become Div./Yield €2.10/1.54% more competitive with its large financial resources, particularly with predicted gross margins at 50% in 2020 from 46% in 2018. Taking a longer- Financial Data ‘18A: term view, the semiconductor market will continue to grow rapidly for Revenue (bn) 10.9 many years to come, making this market hugely promising for large Revenue growth 22.1% returns. EBITDA (bn) 3.4 EBITDA growth 18.6% EBITDA margin 31.0%

Investment Rationale Leverage: Net Debt (bn) 5.37 We believe that ASML Holding NV (ASML) is a solid investment because Net Debt/EBITDA 1.59x of how integral and influential the company, is to the microchip manufacturing; broader semiconductor; cloud and wireless data streaming markets. Our confidence is that the company is first and foremost defensible, robust and scalable. Their recent financial statements state a Target Price of €192.23 (share) with an investment horizon until 2025 (6 years). The current price of a share rests at €167.20 (Market Close on Friday 29th March), with a 15% purchase premium over the current share price.

The company’s position is defensible because they have shown strong dedication to R&D through the ever- improving product of which is their state-of-the-art ‘key technology’, the holistic lithography system (costing around €100 million each) combining high-tech hardware, through Extreme Ultra Violet (EUV), and advanced software that generates better performance at lower costs per unit per generation. Below we’ll run through why the competitors for the semiconductor market remained such on our comp sheet and why we ultimately went

Page 1 of 7

ASML ASML Holding NV with ASML Holding – an argument can be made that ASML has a wider market-access opportunity, with their company model unfettered by technological innovation, while all of the other companies on Comps fulfil specific niche services. The company is robust because we have seen ASML take several hits to their share price through the last quarter of 2018, of which negative impacts were forecasted for the start of 2019, this has not affected the company service nor their share price to a vastly detrimental level as already we are seeing a steady, though with fluctuations, increase in share-value. Furthermore, the company’s revenue projections have come in at around €24 billion for 2025 (with a CAGR of 11.87%), we believe, based on the upward trend in their stick price and their current total revenue at €10.94 billion, ASML have a strong chance of meeting this projection, if perhaps somewhat ambitious.

The company’s prospects are scalable because ASML has shown their capacity for innovation and the company’s Price to Earnings ratio has shown to be well ahead of its market competitors thanks to a shares-buyback scheme announced in early January of this year. This is furthered by their international access to markets and their industry-leading Extreme Ultra Violet (EUV) chip-manufacturing. Headquartered in Veldhoven, the Netherlands, ASML employs over 23000 people, with current net sales at €10.9 billion; €2.6 billion net income; and €1.5 billion devoted to R&D. sectorial loses, for the memory and displays market – coming to around a third of their earnings for that sector in the year (1/3 of $2.5billion) – reflect badly on the company’s prospects going into 2019 and through 2020 – they do not seem to have been as resilient to adversity as ASML, but perhaps this is reflective of the fact that their scope of operations, and crucially their investment in R&D, is far more limited than ASML. (figures from 2018)

The Extreme Ultra Violet Lithography (EUV/EUVL) market is essential for ASML’s business, the market itself has recently received projected value-increase to €9.19 billion by 2023, at a Compound Annual Growth Rate (CAGR) of around 28% between 2018 and 2023. The global market is estimated to reach a value of 3,807million USD by 2026, with a similar CAGR of 26.8% per year. This has been related to the miniaturisation of microchip technologies, and the increase in efficiency of manufacturing and deployment of these lithographic technologies across a broader canvass of applications. EUVL manufacturing is a highly precise, and efficient, form of microchip manufacturing, supporting microchips with 10GHz of clock speed. ASML’s spending on R&D is unparalleled in the industry, with their current R&D investment at 1.5billion. Contrasted to the last several years, we see strong and rapid growth in this sector, with R&D expenditures for the first half year of 2018 of EUR 737.2 million increased compared to the first half year of 2017 (EUR 630.2 million). The R&D expenditures comprise of R&D costs net of credits (including net development costs not eligible for capitalization), of EUR 554.0 million (first half year of 2017: EUR 440.1 million) and capitalization of development expenditures of EUR 183.2 million (first half year of 2017: EUR 190.1 million (source directly from ASML). With the TWINSCAN NXE(EUV) system, the current UV systems have an optical system with a numerical aperture of 0.33, whereas the new optics will have a numerical aperture of 0.55, enabling several generations of geometric chip scaling. This means that computational task executions and data handling shall be faster and smoother thanks to the use of advanced light projection through blueprints known as ‘masks’ – allowing for the advanced and highly efficient manufacturing of the microchips. NAND flash memory drives/makers have been ’s paragon of memory/storage innovation, when their management announced their projections for sales of 3D NAND products would drop in the first quarter of 2019 and the second half of 2018 – 51% of their September quarterly revenue came from non-volatile memory makers. However, their DRAM logic processors, and the wider industry, prospects have been disciplined and prosperous, but sometimes outpacing demand. Invariably, a huge plunge in chip equipment would have serious impact on solid-state and non-volatile memory/storage requirements and compatibility, as would a huge breakthrough. Because of the uncertainty surrounding Lam Research’s position with these two frontline products, we would make the case that ASML, because of the nature of their product, is more influential in the global markets and for determining the trajectory, if it all just ambitions, of markets such as memory/storage devices and logical processors.

In December 2018, the company’s share price took a hit when one of their suppliers, Prodrive, experienced a fire at their manufacturing plant for the components and vital materials for ASML TWINSCAN lithography chips.

Page 2 of 7

ASML ASML Holding NV

Despite the company’s share price dropping suddenly upon this incident, they did not feel real-term impact until the first quarter of 2019 when material delivers did start to stall, however, they have slowly regained their position and their share price has risen. This is supported by their overall spread and access to R&D elements in the United States, Taiwan, and South Korea, with 60 corporate offices in 16 countries. ASML is predicted to have a strong influence in the development of these chips and the process of making them more specific per each company’s specifications (using EUV/EUVL tech as precedent), although we acknowledge the risk of contracts being farmed out to other companies of a similar function. ASML has also recently settled in legal proceedings with over alleged patent infringement – the result being a signed Memorandum of Understanding between ASML Holdings and Nikon with a recorded provision in its 2018 accounts. The negative impact to ASML was a blow of €131million on gross margin in 2018, further explaining the dip in share price towards the end of the financial year, however, ASML has proceeded to perform well since the legal settlement and the warehouse incident, proving that they are a stable and organised investment opportunity.

Industries such as automotive innovation; military contracts; and the widely talked-about next era of data streaming (namely 5G) has encouraged innovation as far as technologically viable. The EUV lithography market is expected to growth by up to €6.4billion by 2025, with their revenue share of the photolithography industry (for an example of many) reporting in at 85.4% next to Nikon’s 10.3% and Canon’s 4.3%. Couple these prospects with their EUV technology being adopted by Samsung; ; GlobalFoundries; TSMC, etc, we believe that, providing the data in this report and their current positive prospects for share-price and market opportunity growth, AMSL Holding is a solid investment when considering long-term projections and gradual improvement/rise of the value of our invested shares.

Target Pricing

The target price of €192.23 in 2025 was set based on the various market scenarios which are fundamentally influenced by the revenue targets given in the 2018 Annual Report of ASML. The Terminal Growth rate varied depending on the scenario outlook, but the base growth rate was derived from the weighted average of GDP growth rate of ASML’s revenue sources by geography in 2018 (3.3%). The WACC used was 9.31%.

Page 3 of 7

ASML ASML Holding NV

Weighted Average Share Price - ASML

Scenario 2025E Target 2025E P r o b ability (%) Price (€) TSR (%) Bear 126.24 -22% 15% Base 181.90 11% 60%

Bull 256.62 55% 25% Weighted Ave. 192.23 17% Price

The bearish scenario is based on the revenue projection of €15 Billion in 2025 with a terminal growth rate of 2.3%. The bullish scenario is based on the revenue projection of €24 Billion in 2025 with a terminal growth rate of 2.3%. And finally, the base market is based on the median of the two aforementioned revenue at €19.5 Billion.

Market Position

With regards to a comparison set of similar companies/competitors, we found that ASML has a vastly higher market capitalisation, with an increase of 214% over the mean, a revenue 41% higher, and EBITDA 34% higher. The EBITDA margin for ASML is very similar to the mean (and both Applied Materials and Lam Research), providing no cause for concern. We also found that ASML trades at a premium EV/EBITDA multiple of 22.0x, and a significantly higher P/E multiple of 25.99x. Though this could suggest an overvaluation, we still predict a potential for upward growth.

Financial Position

ASML Holding NV. has performed exceptionally well since 2016, with a compound annual growth rate of 28.2% in net income and 26.6% in EBITDA, showing that the company has managed to increase profitability whilst also gaining more total revenue (with a compound growth rate of 26.2%), and it’s total assets in 2018 were just over EUR 20B; a growth of ~10% over 2017. These figures reinforce ASML’s strong financial position, alongside a safe Debt/EBITA ratio of 1.59. Moreover, for 2018FY they had a debt/equity ratio of 0.73, showing that the company is not taking on too much debt obligation and thus that investment poses no extraordinary risk.

Whilst ASML experienced a decline in stock price from ~€188 to €133 Jul-Dec 2018, there has been an increase to ~167 up to March 2019. We do not view this volatility as an extraordinary risk, given that the semi-conductor industry is very volatile in general, due to the highly competitive environment and, specifically, the fact that ASML sells equipment to only a small customer base. This drop was followed by the same trend shown by the PHLX Semiconductor Index, thus we do not believe that this impacts the quality of ASML Holding as an investment opportunity within the semiconductor sector. The sector as a whole is generally cyclical, and tracks demand for consumer electronics such as PCs and mobile phones as well as automotives and communications infrastructure, however, the application of is so widely ranging that it is unlikely that a falling demand in a single area would affect the financials to a serious degree of a company such as ASML Holding.

In 2016FY ASML issued 2.23B EUR in senior notes increasing the company’s long term debt by 173% (from 2015FY) and the debt equity ratio to 0.75 (from 0.58 2015FY). This debt was to fund the acquisition of minority interests in Carl Zeiss SMT and the acquisition of HMI for capital structure optimization.

Page 4 of 7

ASML ASML Holding NV

There was a sharp profit rise in 2017FY (30.4%) which was mainly due to increased systems sales, especially EUV systems (sales rose from 4 in 2016FY to 11) and DUV systems (sales rose from 153 in 2016FY to 187), and the inclusion of 12 months HMI systems sales in 2017FY compared to the inclusion of only 2 months in 2016FY. There was another sharp profit rise in 2018FY (22.1%) which was also mainly due to increased system sales, especially EUV systems.

Looking ahead, we predict that ASML will continue to outperform both the S&P 500 and the PHLX Semiconductor Index, driven by strong investment into R&D (1.6B EUR FY2018) alongside tailwinds from exponential increases in data transfer and storage, artificial intelligence, autonomous driving and communications infrastructure industries. ASML Holdings plans to ship 30 EUV machines in 2019 which will further drive growth, and demand from Samsung, TSMC and Intel is predicted due to the manufacturing of new 7nm chips, all of which serve to support a predicted price target within 12 months of [€].

[ASML Holding NV.] - Financial Summary 16A-18A ([€m], FYE [Dec]) FY2016A FY2017A FY2018A CAGR

Revenue 6,875.10 8,962.70 10,944.00 26.2% Growth 9.3% 30.4% 22.1% EBITDA 2115.40 2857.20 3388.00 26.6% Margin 30.8% 31.9% 31.0% EBIT 1758.50 2439.70 2965.30 29.9% Margin 25.6% 27.2% 27.1% OpFCF 1,665.90 1,818.30 3,072.70 35.8% Margin 24.2% 20.3% 28.1% Net income 1,577.80 2,066.70 2,591.60 28.2%

Margin 22.9% 23.1% 23.7%

Growth Prospects & Risks

Risks Company Risk- revenue stream mainly from a few products, not very diversified They derive most of their revenue from the sale of a relatively small number of lithography equipment systems. As a result, the failure to receive anticipated orders or delays in shipments near the end of a particular reporting period may cause net sales in a particular reporting period to fall significantly below net sales in previous periods or expected net sales

Risk in Industry- highly cyclical industry hence sensitive to any market downturn. Our businesses will suffer if we do not respond rapidly to commercial and technological changes in the , face intense competition

The semiconductor industry has historically been cyclicals and sales of their lithography systems depend in large upon the level of capital expenditures by semiconductor manufacturers. These capital expenditures depend upon a range of competitive and market factors such as- semiconductor prices, the current and anticipated market demand for semiconductors and for products utilizing semiconductors, semiconductor production costs. In an industry downturn, their ability to maintain profits depends substantially on whether they are able to lower costs to a break-even level. Industry downturns generally result in overcapacity, resulting in downward pressure on

Page 5 of 7

ASML ASML Holding NV prices and impairment of machinery and equipment, which in the past has had, and in the future could have, a material adverse effect on our business.

They face intense competition, ASML’s primary competitors are Nikon Corporation and Canon Kabushika Kaisha, both these firms have substantial financial resource and broad patent portfolios. Each continues to introduce new products with improved price and performance characteristics that compete directly with their products, and may cause a decline in their sales or loss of market acceptance for their lithography systems.

Financial Risk- highly sensitive to foreign exchange rates due to large market segments abroad, a high percentage of net sales is derived from a few customers In 2009, 25.5% of net sales were to their largest customers, the loss of any significant customer or any significant reduction in orders by a significant customer may have a material adverse effect on our business, financial condition and results of operations. Their 3 largest customers accounted for 44% of their accounts receivable on December 31st 2009, business failure or insolvency of one of their main customers may have a material adverse effect on their business, financial condition and results of operations.

Particularly exposed to fluctuations in the exchange rates between the US dollar, Japanese yen and the euro as we incur manufacturing costs for our systems predominantly in euro while a portion of our net sales and cost of sales in denominated in US dollars and Japanese yen.

Historically (up until 2014) revenues have been volatile, however ASML have responded by building a flexible operating model that can respond to volatility and uncertainty.

Growth ASML has been estimated at consistent growth in the future year as it is situated in a growing industry are rising such as Big Data, Internet of Things, Artificial Intelligence and 5G Mobile Networks. Hence this will result in growing demand for their products. A long-term increase is expected in ASML Stock price equal to 379.408 USD in 2024 as revenue is expected to be around +106.13% by 2024. Per Year Long-Term Earning Potential are expected to grow at 28.58%. This is because ASML is projected to sell up to 30 EUV systems in 2019, which can be used by companies like and Apple. Along wit the mass adoption of EUV,the extreme ultraviolet lithography market is intended to reach $10.3 billion in 2023 implying annual growth rate of 28.3% from 2018 onwards. In this period, ArF immersion, another type of using ArF laser pulse sources, that ASML operates in is set at single-digit growth

Management Structure & Integrity

ASML’s management structure consists of several strong profiles, half of whom have been appointed in the last decade, providing fresh views to help enhance the company’s status, whilst the other half have been long-term members, which implies stability and maintains the core values of the company.

Peter Wennick is the President and CEO, having been appointed to these positions in 2013. He joined ASML in 1999 as a board member, having previously worked at Deloitte. He specialises in the high technology industry with a particular focus equipment. He is also a board member of several other notable Dutch companies. Martin van den Brink is also President and CTO, as of 2013. He has been at the company since its founding in 1984 and has been on the board since 1999. He has won awards for his contributions to nanotechnology and microelectronics whilst also earning a degree in Electrical Engineering and Physics.

Frits van Hout is one of four Executive Vice-Presidents and is also CSO. He was appointed to the board in 2009 having rejoined the company in 2001 after 9 years away from it. He had previously been a member since its founding in 1984 until 1992. During his time away from ASML, he was a board member at several other European companies and has also earned a Masters’ degree in Theoretical and Applied Physics. One other Executive Vice- President is Frederic Schneider-Maunoury who is also COO. He is a newer recruit having joined in 2010 and was

Page 6 of 7

ASML ASML Holding NV given a board position immediately upon his arrival. He has held various board positions at previous companies such as at Turbo Machines Group. Christophe D. Fouquet joined ASML in 2008 and was appointed to the board as Executive Vice-President for EUV production in 2018. He previously worked at semiconductor equipment peers KLA Tencor and Applied Materials. The last board member is Roger Dassen who joined ASML in 2018 and was appointed as Executive Vice-President and CFO. His previous role was Global Vice Chairman at Deloitte. He has also held various board roles at other companies. Dassen has attained a Masters’ degree in economics and business administration as well as being a professor of auditing a the Free University of Amsterdam.

However, it is also worth noting that whilst these members are top players in the semiconductor industry, there is limited diversity amongst these profiles.

Shareholder Structure

Ownership of ASML Holding’s shares is limited to only a few investors, with Capital Group International owning a 15.4% portion of issued ordinary shares, with an equity value of 8.84B EUR as of Dec 31, 2018. Blackrock Inc. owns a 6.44% stake, with a value of 3.71B EUR, and the board of management owns 0.02%, with a value of 11.60M EUR. As of December 31, 2018, 71,710,774 ordinary shares were held by 325 holders with registered addresses in the U.S. The majority owners make up 21.8% of equity in ASML. Given a total of 421,097,719 shares issued and outstanding (excluding 10,368,038 treasury shares), free float capitalisation is calculated as 57.5B EUR as of December 31, 2018. We forecast no major changes to the shareholder structure within the investment horizon. % Stake of ASML Holding

15.36% Capital Group International, Inc 6.44% BlackRock Inc. 0.02% 78.18% ASML Board of Management Other Shareholders

Page 7 of 7