The Pennsylvania State University

The Graduate School

SYNTHESIS AND CHARACTERIZATION OF

TWO-DIMENSIONAL MATERIALS FOR

BEYOND COMPLEMENTARY METAL OXIDE SEMICONDUCTOR TECHNOLOGY

A Dissertation in

Materials Science and Engineering

by

Rui Zhao

© 2019 Rui Zhao

Submitted in Partial Fulfillment of the Requirements for the Degree of

Doctor of Philosophy

August 2019

ii The dissertation of Rui Zhao was reviewed and approved* by the following:

Joshua A. Robinson Associate Professor of Materials Science and Engineering Dissertation Advisor Chair of Committee

Mauricio Terrones Distinguished Professor of Physics, Chemistry, and Materials Science and Engineering

Roman Engel-Herbert Associate Professor of Materials Science and Engineering, Chemistry and Physics

Saptarshi Das Assistant Professor of Engineering, Science & Mechanics

John Mauro Professor of Materials Science and Engineering Chair, Intercollege Graduate Degree Program Associate Head for Graduate Education, Materials Science and Engineering

*Signatures are on file in the Graduate School

iii ABSTRACT

Rapid development in Semiconductor research has brought excitement and challenges. New materials have pushed both academic and industry progress forward at a fast pace. Since the discovery of one-atomic layer of in 2004, tremendous efforts have been devoted to pushing its properties to meet the technology demands, establish metrics and help accomplish milestones. The family of two-dimensional materials continue to expand. Transition metal dichalcogenides (TMDs) help compensate for the zero-bandgap of graphene and greatly increase the opportunities for their applications in electronics, optoelectronics, bioelectronics and medical- related applications.

To enable electronic chips with higher density and faster processing/switching speeds and address technology bottlenecks, new correlated or functionalized two-dimensional materials are being positioned under the research spotlight. As one example, 1T phase disulfide (1T-

TaS2) has presented a Mott state at around 180K, at which an abrupt change in its resistivity occurs.

This unique property could help achieve two-dimensional Landau switch and realize ultra-low power, collective-state devices. However, large-scale synthesis of single crystalline monolayer of two-dimensional materials is still at its infancy. Fundamental questions as to the structural-property relationships of new materials or heterostructures await to be addressed. This dissertation focuses on the synthesis and characterizations of functional two-dimensional nanomaterials for beyond complementary metal oxide semiconductor industry.

This dissertation starts with introducing the state of art of semiconductor industries and the progress of two-dimensional transition metal dichalcogenides (Chapter 1). It then addresses the two-dimensional materials’ synthesis methods and related characterization techniques (Chapter 2).

Precursor selections and precursor-substrate interactions are discussed in Chapter 3. Then two specific nanomaterials are specially addressed in the next three chapters. Chapter 4 includes

iv dissertation research work on synthesizing and characterization of pristine 1T-TaS2 while chapter

5 focus its functionalization and address the potential application and challenges. Chapter 6 is concentrated on 2H-MoS2 and evaluate its potentials in copper diffusion barriers. Chapter 7 provides a summary for all my research work and concludes this dissertation.

v TABLE OF CONTENTS

LIST OF FIGURES ...... vii

LIST OF TABLES ...... xviii

ACKNOWLEDGEMENTS ...... xix

Chapter 1 Introduction ...... 1

1-1 Overview of semiconductor industry ...... 1 1-2 Overview of two-dimensional transition metal dichalcogenides (TMDs) ...... 4 1.2.1 Structures of TMDs ...... 5 1.2.2 Band structures of TMDs ...... 7 1.2.3 Charge density waves (CDWs) ...... 8 1-3 Overview of chemical functionalization of TMDs ...... 9 1.3.1 Doping of TMDs ...... 10 1.3.2 Intercalation of TMDs ...... 11 1.3.3 Alloying of TMDs ...... 14 1-4 Overview of heterostructures based on TMDs ...... 15

Chapter 2 Thin film characterizatons and device measurements ...... 18

2-1 The synthesis “Atlas” ...... 18 2-2 Epitaxial thin films ...... 22 2-3 The synthesis “Atlas” for two-dimensional (2D) materials ...... 24 2-4 Thin film characterizations ...... 27 2-4-1 Raman spectroscopy and Photoluminescence ...... 27 2-4-2 Scanning electron microscopy and energy-dispersive X-ray spectroscopy .... 30 2-4-3 Scanning force microscopy ...... 32 2-4-4 X-ray photoelectron spectroscopy ...... 35 2-4-5 Transmission electron microscopy ...... 37 2-5 Basics of field effect transistors (FET) ...... 39 2-5-1 Field effect transistors ...... 39 2-5-2 Device fabrication ...... 41 2-5-3 Device measurements ...... 46

Chapter 3 Precursor and substrate interactions ...... 49

3-1 Introduction to precursor selections ...... 49 3-2 Growth assistance agents ...... 51 3-3 The impact of chalcogenide precursors on growth substrate: a study of Si-S-Te ternary phase diagram ...... 57 3-4 Synthesis and characterization of ultra-thin-silica (UTS) ...... 60

Chapter 4 1T-Tantalum Disulfide (1T-TaS2) ...... 62

vi

4-1 State of the art of 1T-TaS2 ...... 63 4-2 Synthesis of 1T-TaS2 ...... 68 4-3 Raman spectroscopy of 1T-TaS2 CDW structures ...... 72 4-4 Substrate impacts on 1T-TaS2 phase transitions ...... 74

Chapter 5 Functionalization of 1T-TaS2 ...... 81

5-1 Doping of 1T-TaS2...... 81 5-2 Intercalation of 1T-TaS2 ...... 85 5-3 Heterostructure construction of 1T-TaS2 and MoS2 ...... 92

Chapter 6 2H- ...... 99

6-1 Synthesis and characterization of 2H-MoS2 ...... 99 6-2 Nb-doping of 2H-MoS2 ...... 103 6-3 Nb-doping of MoS2 as copper diffusion barrier ...... 107

Chapter 7 Dissertation summary ...... 111

Reference ...... 114

vii LIST OF FIGURES

Figure 1-1: (a) The supply and demand in the semiconductor device. (b) The market continues to drive integrated system towards more diversified (More than Moore) and more minimized (More Moore). Both figures are retrieved from reference 22...... 2

Figure 1-2: (a) A diagram aims to address the domains and subdomains of Internet of Things (IoT). Image is adapted from Reference 99. (b) Ranking of the top ten applications which can vividly demonstrate how IoT is relevant to all human being. Image is adapted from Reference 1010 ...... 3

Figure 1-3: A roadmap demonstrating the technology trend corresponding to the progress of IoT along the time. Image is adapted from Reference 1313 ...... 4

Figure 1-4: (a) A labelled element table demonstrating layer material family including transition metal dichalcogenides, Group III-chalcogenides, Group IV chalcogenides and group-V chalcogenides, also referred to as topological insulators. (b) Generalized relationship between carrier mobility and bandgap among 2D materials. (a-b) images are adapted from Reference 2828. (c) A diagram exhibits all the possibilities of heterostructures based on different types of 2D materials along with other materials with different dimensions (0D, 1D, 1.5D, 2D and 3D). Image is adapted from reference 3838 ...... 5

Figure 1-5: (a) An overview of TMDs structures: 1T, 2H and 3R; and their stacking over from both side view and top view. Image is adapted from Reference 4040. (b) Table for various of 2D-TMDs and their stable phases and unique properties. Image is adapted from Reference 4747 ...... 6

Figure 1-6: (a-b) Band structures of MoS2 and WS2 and differences in their bulk and monolayer forms. Figure is adapted from Reference 5050. (c) Table lists the electronic characters of different layered TMDs. Figure is adapted from Reference 5151. (d) Schematic illustrates the progressive filling of d orbitals in group 4, 5, 6, 7, and 10 TMDs. Image is adapted from Reference 5252 ...... 7

Figure 1-7: (a) Resistivity change as a function of temperature in bulk 1T-TaS2 crystals. (b) Phase transition trends as a function of thickness of 1T-TaS2 nanoflakes. Figures (a-b) are adapted from Reference 6060. (c) a device schematic of Boltzmann switch and (d) its band structure modulation during operation. (e) Current-trigger agents (strain, temperature, voltage, electric, etc.) property of Boltzmann switch, demonstrating abrupt switches. Figures (c-e) are adapted from Reference 6565. (f) Two-terminal device structure based on 1T-TaS2 flake. (g) Current-voltage sweep of 1T-TaS2 device, demonstrating abrupt phase transition from insulator phase to metallic phase. (h) Energy diagram showing how density of states change around Fermi level of 1T-TaS2 when phase transition occurs, either driven by electric field or by thermal energy change. Figures (f-h) are adapted from Reference 6262 ...... 9

Figure 1-8: (a) A schematic demonstrating sulfuring Mo/Nb/Mo metal layers into Nb- doped MoS2 and the hole density and mobility of the as-synthesized film. Figure is

viii

90 adapted from Reference 90 . (b) magnetization of Fe-doped MoS2 of different Fe doping levels. (c) Magnetization of 1.74% Fe-doped MoS2 at a function of temperature. Figures (b-c) are adapted from Reference 9393. (d) Schematic shows Er doping of MoS2 in the plane view and side view. (e) Density of states at the Fermi level and band structures of pure MoS2 and Er-doped MoS2. (f) NIR UC luminescence of pure MoS2 and Er-doped MoS2 and demonstrate NIR-to-NIR UC and DC light- emissions. Figures (d-f) are adapted from Reference 9595. (g-h) Current-voltage plots demonstrate degenerate doping of MoS2 by . Figures (g-h) are adapted from Reference 9696 ...... 11

Figure 1-9: (a)Intercalation of Cu into the layers of TiSe2 induces a new superconducting phase at low temperatures. (b) Magnetization as a function of temperature demonstrates sharp superconducting transitions for x=0.055, 0.06, 0.08 and 0.10. Figures (a-b) are adapted from Reference 102102. (c) Intercalation process of Cu through 2D layer Bi2Se3 and atomic force microscopy (AFM) image of the intercalated sample. (d) Optical reflection spectra of thick Bi2Se3 ribbons showing the plasma edge shift at different Cu intercalation times. Black: 30min; Red: 45min; Blue: 1h of Cu intercalation. (e) Density functional theory calculations on Bi2Se3 band structures before and after Cu intercalation. Figures (c-e) are adapted from Reference 103 103 . (f-g) Schematics demonstrate how Li is intercalated between MoS2 layers by electrochemical means. (h) Galvanostatic discharge curve represents the Li intercalation process, which eventually drives MoS2 from 2H semiconducting phase to 1T metallic phase. (i) Hydrogen evolution generation (HER) test shows enhanced activity by Li intercalation, which also shows strong electrochemical stability. Figures (f-i) are adapted from Reference 104104. (j) High resolution transmission electron microscopy (HRTEM) of (SnS)1.2(TiS2)2 along the [130] zone axis. (k) Total thermal conductivity (k) and the electron component (ke) of TiS2 and (SnS)1.2(TiS2)2 in both in-plane and out-of-plane directions. Figures (j-k) are adapted from reference 105105 .... 13

Figure 1-10: (a) Lattice constant matching for metal-metal pairs of 2D-TMDs based on the LSDA lattice constants. (b) Normalize PL shows a linear relationship in the alloy 106 MoWS2. Figures (a-b) are retrieved from Reference 106 . (c) Bandgap modulations by both metal and chalcogen alloys respectively. Plot is retrieved from Reference 108108 ...... 15

Figure 1-11: (a) Optical image and (b) device schematics of heterostructure based on MoS2/ReSe2. (c) The Ids-Vds plot of p-n heterojunction rectifier. (b) The transfer curve of p-n heterojunction transistor. Figures (a-d) are adapted from Reference 113113. (e) Schematics show the monolayer MoSe2 used for WSe2 growth and type I and type II WSe2/MoSe2 heterostructures. (f) Optical images show the two types of heterostructures. (g) Optical image of a WSe2/MoSe2 heterojunction device and the corresponding I-V curve with and without illumination. (h) The generated photocurrent from the heterostructure in both forward and reverse bias. (i) The photovoltaic effect I-V curve of the WSe2/MoSe2 heterostructure. Figures (e-i) are adapted from Reference 118118. (j) Schematics of TRAM, band diagrams of Au/MoS2/Au and band diagrams of Au/Al2O3/graphene/Au junction at programming state, reading after programing state, erasing state and reading after erasing state. Device performance measurement demonstrating (k) on/off ratio; (l-m) endurance characteristics of TRAM; (n) I-V characteristics of TRAM at different sweeping

ix voltages; (o) Current levels at pulse voltage of -8V, 7V, 8V, 9V, 10V and 11V with a reading voltage of 0.1V. Figures (j-o) are adapted from Reference 132132 ...... 17

Figure 2-1: (a) Thin film Growth steps. (b) Energy diagram for precursor adoption model. Thin film growth models of (c) Frank can der Merwe (b) Volmer Weber (c) Stranski- Krastanov ...... 21

Figure 2-2: Nucleation combination models of (a) Ostwald Ripening; (b) Sintering; (c) Cluster Coalescence. (d) Bulk structure formation based on films melting temperature and growth deposition temperature ...... 22

Figure 2-3: Lattice parameter differences between substrate/film leads to (a) matched- perfect (b) strained or (c) relaxed thin film growth. (d) Lattice constant and gap energy of selected common semiconductor materials. Figure (d) is adapted from Reference 144144. (e) Optoelectronic devices and high-speed electronic devices are constructed on compound semiconductors ...... 24

Figure 2-4: Synthesis methods for the formation of transition metal dichalcogenide materials. Picture is adapted from Reference 145145 ...... 25

Figure 2-5: Schematics demonstrating (a) chemical vapor transport in a sealed quartz ampule; (b) chemical vapor deposition of 2D-TMDs in a tube furnace; (c) metal organic chemical vapor deposition-MOCVD of WSe2; (d) chemical vapor deposition of graphene on Cu or Ni substrates and epitaxial graphene set-up. Figures (a-d) are adapted from Reference 165165...... 27

Figure 2-6: Raman spectroscopy and energy transitions involved in Raman scattering. Figure is adapted from Reference 167167 ...... 28

Figure 2-7: Comparison of Raman and IR active modes. Image is retrieved from Reference 169169 ...... 28

Figure 2-8: (a) Lattice structure of 2L, 3L MoS2; (b) Shear modes and (c) Layer breathing mode of 2L and 3L MoS2; (d) High frequency optical vibration modes for 1 and 2L- MoS2. R or IR are for Raman or Infrared active modes. Figures (a-d) are adapted from Reference 185185 ...... 29

Figure 2-9: Basic structure and comparisons of Light microscope (LM) and Scanning electron microscope (SEM). Figure is adapted from Reference 190190 ...... 31

Figure 2-10: Diagram illustrating the phenomena resulting from the electron-sample interaction. Figure is adapted from Reference 190190 ...... 31

Figure 2-11: The schematic demonstrating the work principle of AFM. (b) AFM imaging modes: contact mode, non-contact mode and tapping mode. (c) Curve demonstrating force-distance relationship between the sample surface and the tip. Figures are adapted from Reference 194194 ...... 33

x Figure 2-12: Electronic energy levels of the sample and AFM tip for three cases: (a) separation (b) contact and (c) external bias (VDC) is applied between the tip and the sample ...... 34

Figure 2-13: (a) Conductive atomic force microscopy imaging of bilayer MoS2 set up. (b) A current-voltage curve of the sample demonstrating insulating behavior and an abrupt nonlinear current increase. (c) Band diagram of MoS2 and metal tip junction in equilibrium, under reverse bias and under forward bias. Figures are adapted from Reference 199199 ...... 35

Figure 2-14: (a) Schematics of X-ray photoelectron spectroscopy. Figure is adapted from Reference 201201. (b) Schematics of electronic orbital diagram demonstrating of photoemission excitation and de-excitation processes: X-ray absorption; participator Auger transitions; spectator Auger transitions. Figure is adapted from Reference 202202 ...... 36

Figure 2-15: Schematic demonstrating the inner structure of transmission electron microscopy. Figure is adapted from Reference 214214 ...... 38

Figure 2-16: Schematics of structures of (a) n-channel and (b) p-channel field effect transistors. Channel thickness varies under (c) positive gate voltage (d) negative gate voltage. Figures are adapted from Reference 217217 ...... 39

Figure 2-17: Ideal metal-oxide field effect transistor when in (a) on and (b) off state; (c) Schematic demonstrating MOSFET being turned on; (d) dynamic 𝑖퐷 − 푉퐷푆 relationship during the tuning on process; (e) Saturation dependence on the applied voltage ...... 40

Figure 2-18: The schematics of photolithography process (1) photoresist deposition (2) photoresist exposure (3) photoresist develop (4) transferring pattern onto the substrate ...... 43

Figure 2-19: (a) Schematic demonstrating sequences in the chemical vapor deposition process. (b) Some variants and their characteristics of chemical vapor process ...... 45

Figure 2-20: (a) Schematic of four-probe resistance measurement; (b) Capacitance-voltage curve under low, high frequencies and short pulsed voltages (c) Schematic of Hall measurement. Figures are adapted from Reference 224224 ...... 47

231 Figure 3-1: (a) Thermolysis of MoS2. Figure is adapted from Reference 231 . (b) Chemical vapor deposition of MoS2 with precursor MoO3 and S powders. Figure is 244 adapted from Reference 244 . (c) Chemical vapor deposition of WS2 with precursor 245 WCl5 and S powders. Figure is adapted from Reference 245 . (d) Diagram of metal- organic chemical vapor deposition of MoS2 and WS2 using precursor Mo(CO)6, W(CO)6 and (C2H5)2S with H2 and Ar being carrier gases. (e) growth coverage as a function of deposition time. Figures (d-e) are adapted from Reference 236236. (f) Schematic of metal-organic chemical vapor deposition of WSe2 and growth results comparison between H2Se and (CH3)2Se precursors along with W(CO)6. Figure is adapted from Reference 243243...... 51

xi

Figure 3-2: (a) Schematic demonstrating growth set-up. (b-j) WSe2 and WS2 synthesis with alkali metal halides’ assistance and optical images of the as-synthesized crystalline flakes. Figures (a-j) are adapted from Reference 246246 ...... 52

Figure 3-3: The optical images of TMDs, and TMDs-alloys via alkali metal halide assisted synthesis. Figure is adapted from Reference 247247 ...... 53

Figure 3-4: (a) Schematics of graphene formation by chemisorption/deposition on Cu and precipitation/segregation on Ni. Figure is adapted from Reference 250250. (b) Diagram of MoS2 domain shape evolution based on Mo:S ratio. Figure is adapted from 252 Reference 252 . (c) Demonstrating of H2 impacts in MoS2 crystal formation. Figure is adapted from Reference 251251 ...... 55

Figure 3-5: (a) Diagram of helical chain-like structure of Te and hexagonal nanoplates of Te grown on mica substrate. Figure is adapted from Reference 253253. (b) Schematic of Te-assistant ReS2 atomic layer on mica substrate. Figure is adapted from Reference 255 255 . (c) Schematic of monolayer WS2 nanolayer deposition and binary phase diagram of Te-W. Figure is adapted from Reference 254254. (d) Experiment set-up of Te-assisted TaS2 growth and 1T/2H TaS2 phase ratios based on growth temperature. Figure is adapted from Reference 256256 ...... 56

Figure 3-6: Phase diagram of (a) S-Si; (b) S-Te. Figures are adapted from ASM Alloy Phase Diagram DatabaseTM 257 ...... 57

Figure 3-7: Ternary phase diagram of Si-S-Te at 1torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K ...... 58

Figure 3-8: Ternary phase diagram of Si-S-Te at 10torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K ...... 58

Figure 3-9: Ternary phase diagram of Si-S-Te at 100torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K ...... 58

Figure 3-10: Ternary phase diagram of Si-S-Te at 700torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K ...... 59

Figure 3-11: Ternary phase diagram of Si-S-Te at 760torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K ...... 59

Figure 3-12: Chemical reaction between Si-S with Te assistance ...... 60

Figure 3-13: Chemical reaction between Si-S without Te assistance ...... 60

Figure 3-14: (a) Experiment set up for ultra-thin silica synthesis. (b) Temperature profile at the center of the furnace. On the right, ultra-thin silica film has been found from the edges of the substrate and the scratched center of the substrate. (c) Infrared spectroscopy of CVE silica film, fused quartz SiO2 substrate and micro-glass (silicon oxide) slide. (d) X-ray photoelectron spectroscopy of Si 2p and O 1s binding energies before and after CVE process. (e) High resolution XPS scan indicating no traceable Te 4s peak throughout the film under detection limit ...... 61

xii Figure 4-1: A-B Resistance change as a function of voltage cycles at 90K. Schematic in B demonstrates how CDW domain changes at a function of voltage cycle number. C-D Multiple resistor property demonstrates metastable CDW states and the relationship between their critical temperature and resistance measured at 90K. E Summary demonstrating metastable states between CCDW and NCCDW states. Figures are adapted from Reference 276276...... 64

Figure 4-2: (a) Thickness dependent phase transitions in 1T-TaS2 flakes; (b) phase diagram of 1T-TaS2 as a function of material thickness. Figures are adapted from Reference 6161 ...... 65

Figure 4-3: (a) Schematic and (b) optic image of hybrid field effect transistor (FET) based on 1T-TaS2 and graphene layers. (c) bipolar FET behavior of graphene film. (d) phase transition reflected by abrupt resistance change in 1T-TaS2 of 8.8nm. (e) Id-Vg plot demonstrating the abrupt phase change at a certain gate voltage, which depends on drain voltage Vd. (f-g) resistance and drain current change at different gate voltages. Figures are adapted from Reference 288288 ...... 66

Figure 4-4: (a) Temperature dependence of 1T-TaS2 resistivity as a function of pressure up 290 to 5kbar. Figure is adapted from Reference 290 . (b) phase diagram of 1T-TaS2 CDW states as a function of temperature and pressure. Figure is adapted from 289 Reference 289 . (c)1T-TaS2 CCDW/NCCDW phase transitions on different substrates comparing both tensile and compressive microstructure strains. Figure is adapted from Reference 292292...... 68

Figure 4-5: (a) Controlled synthesis of 1T-TaS2 via chemical vapor deposition method (b) the thickness of as-synthesized 1T-TaS2 as a function of growth time. (c) Raman features of 1T-TaS2 as a function of thickness. Figures (a-c) are adapted from 270 Reference 270 . (d) Scanning electron microscopy of 1T-TaS2 flakes grown on hBN substrate. (e) Raman and atomic force microscopy-AFM of single and bilayer 1T- 232 TaS2 single crystal on hBN. Figures (d-e) are adapted from Reference 232 . (f) Schematics of synthesizing vertical 1T-TaS2 flakes on nanoporous gold substrate. (g) Scanning electron microscopy of nanoporous gold substrate surface and the as-grown 233 vertical TaS2 structures. Figures (f-g) are adapted from Reference 233 ...... 69

Figure 4-6: (a) Schematic demonstrating synthesis of TaOx thin film deposition. (b) Sulfurization of TaOx film from ALD process. (c) Characterization of the as- synthesized triangle domains of TaS2 flakes. (d) Growth summary of CVD results on sulfurization of as-deposited film on sapphire substrates. (e) Atomic force microscopy of triangular domain size of TaS2 flakes. This work is a collaboration work with Dr. Yuanxia Zheng from Prof. Roman Engel-Herbert group ...... 70

Figure 4-7: (a) Experiment set-up of tellurium assisted chemical vapor deposition-CVD of tantalum disulfide. (b) Growth summary of 1T-TaS2 percentage as a function of deposition temperature. (c) Transmission electron microscopy of both 1T-TaS2 and 2H-TaS2 via CVD method. Temperature dependent Raman spectroscopy of (d) 1T- TaS2 and (e) 2H-TaS2 single crystals. (f) Electric transport properties of the as- synthesized 1T-TaS2 and 2H-TaS2 single crystals. Figures are adapted from Reference 296296 ...... 72

xiii

Figure 4-8: (a) An schematic of 1T-TaS2 structural and Brillouin zone reconstruction under after the formation of commensurate charge density waves. (b) Optical modes of 1T- TaS2 bulk and monolayer where Eg and A1g modes are Raman active; Eu and A2u modes are Infrared active. (c) Raman spectra of NCCDW and CCDW of 1T-TaS2 with different thicknesses (monolayer-0.6nm, 5.6nm, 13.1nm, 14.6nm and bulk flake). Polarized Raman spectra of (d) monolayer and (e) bulk 1T-TaS2 in CCDW phase where Eg and Ag could be differentiated under different polarization angles. (f)Raman lines from DFT calculations on monolayer and bulk 1T-TaS2 along with 3% tensile 313 strain on monolayer 1T-TaS2. Figures are adapted from Reference 313 ...... 74

Figure 4-9: (a) A schematic of the relationship between the thermal coefficient of substrate and VO2 aspect ratio change during temperature change. Smaller thermal coefficient brings about longer aspect ratio change. (b) Temperature dependent metal-insulator phase transition in VO2 flakes on four different substrates’ surface (a-SiO2, Si, Al2O3 and CaF2). (c) transition temperature of VO2 flakes as a function of ΔL/L of the substrates. Figures (a-c) are adapted from Reference 321321. (d) Resistivity change in a suspending VO2 flake on SiO2/Si substrate surface. (e) Resistivity change of an on- substrate VO2 nanobeam measured in a four-probe geometry. (f) Schematic of on- substrate SiO2/Si surface and its intrinsic strain patterns. Figures (d-f) are adapted from Reference 322322 ...... 75

Figure 4-10: Raman spectra of 1T-TaS2 crystal on SiO2/Si substrate as a function of temperature during cooling cycle: wavenumbers in the range of (a) 50-150푐푚−1and (b) 220-400푐푚−1. Tantalum atom phonon mode positions as a function of temperature during (c) cooling cycle and (d) heating cycle. (e) Transition temperatures during both cooling and heating cycles from the flakes exfoliated onto SiO2 substrate, sapphire and eG substrate as a function of thickness. Figures are adapted from Reference 291291 ...... 77

Figure 4-11: (a) Comparison of substrate surface roughness among five substrate surfaces under study (SrVO3, VO2, SiO2, sapphire, eG). (b) Phase transition temperatures of 18nm 1T-TaS2 exfoliated on different substrates. Figures are adapted from Reference 291291 ...... 78

Figure 4-12: Schematic of patterning SiO2/Si substrate surface into mesa/valley trenches .... 79

Figure 4-13: (a) Schematic figure demonstrating 1T-TaS2 being exfoliated onto patterned SiO2/Si surface. (b) Atomic force microscopy-AFM scan of the curvature of exfoliated 1T-TaS2 on the patterned substrate. (c) Line scan demonstrating the morphology of both exfoliated 1T-TaS2 and patterned substrate. (d) NCCDW/CCDW phase transition of 18nm 1T-TaS2 on the patterned substrate surfaces based on Raman feature changes during both cooling and heating cycles. Figures are adapted from Reference 291291 ...... 80

Figure 5-1: (a) A schematic of monolayer 4 × 4 × 1 1T-TaS2 with one tantalum atom replaced by another transition metal atom. (b) Bond length changes of Ta-doped metal and S-doped metal. The baseline (green and black dash lines are from pristine 1T- TaS2 monolayer structure). (c) Binding energy values of transition metal doped monolayer 1T-TaS2 film. The black, red and blue represents transition metals from

xiv group III, IV and V rows in the elemental table. Figures are adapted from Reference 339339 ...... 83

Figure 5-2: Charge density changes of transition metal-doped TaS2 with spin-orbit coupling (SOC). (a) Mn, Fe and Cu doped monolayer 1T-TaS2. Electrons on tantalum atom drops from 3.39eV to 3.21eV. An increased number of electron transfers occur in (b) Mo, Ru and Ag doped (c) Hf, W and Ir doped monolayer 1T-TaS2. Least number of electron transfer occurs in the W-doped monolayer 1T-TaS2. The iso-surface value is set to 5.89 × 10−3푒/Å3. Figures are adapted from Reference 339339 ...... 83

Figure 5-3: (a) Comparison of total and projected electronic density of states (DoS) of 1T- TaS2 and 1T-Cu0.25Ta0.75S2 under calculation methods-PBE+D/PAW. Figure is adapted from Reference 342342. (b) Temperature dependent resistivity change of 1T- 345 Ta1-xTixS2-δ where x=0, 0.04, 0.08 and 0.12. Figure is adapted from Reference 345 . (c) Temperature dependent of in-plane resistivity of 1T-Ta1-xFexS2 single crystals where x=0, 0.01, 0.02, 0.03, 0.04, 0.05. The inset shows the superconducting 347 transitions in the sample 1T-Ta1-xFexS2. Figure is adapted from Reference 347 ...... 84

Figure 5-4: Raman spectra of pure 1T-TaS2 and ethylenediamine intercalated 1T-TaS2 measured at 300K and 2K. Figure is adapted from Reference 353353 ...... 86

Figure 5-5: (a) Crystal structures of 1T-, 2H-, 4Hb-TaS2. (b) Resistance change as a 357 function of temperature of 4Hb-TaS2. Figures are adapted from Reference 357 ...... 87

Figure 5-6: (a) Schematics of potassium chloride-KCl assisted TaS2 deposition and proposed potassium ion intercalation process. (b) Plot of percentage of deposited 1T- TaS2 phase on sapphire substrate as a function of mass ratio between precursor and added KCl agents. Figures are adapted from Reference 364364 ...... 88

Figure 5-7: (a) High resolution transmission electron microscopy-HRTEM and (b) energy + dispersive spectroscopy-EDS of K intercalated 1T-TaS2 flakes. Cross section TEM + of (c) pristine 1T-TaS2 and (d) K intercalated 1T-TaS2 flakes. (e) Room temperature + Raman spectroscopy of pristine 1T-TaS2 and K intercalated 1T-TaS2 flakes. X-ray photoelectron spectroscopy (XPS) of (f) K 2p, Ta 4f in (g) pristine 1T-TaS2 and (h) + 364 K intercalated 1T-TaS2 flakes. Figures are adapted from Reference 364 ...... 89

+ Figure 5-8: Temperature dependent Raman spectroscopy of (a) K intercalated 1T-TaS2 + and (b) pristine 1T-TaS2 flakes. (c) Schematic of two-terminal K intercalated 1T-TaS2 device. (d) Temperature dependent normalized resistivity changes of pristine and K+ 364 intercalated 1T-TaS2 flakes. Figures are adapted from Reference 364 ...... 90

Figure 5-9: DFT calculation on the band structure of (a) undistorted 1T-TaS2 and (b) 256 CCDW 1T-TaS2 bulk structures. Figures (a-b) are adapted from Reference 256 . + Plane view and side view of David star in the (c) CCDW 1T-TaS2 and (d) K + intercalated 1T-TaS2 flakes. (e) Lattice parameter (a and c) change as a function of K intercalation. (f) David star characteristic lengths’ change as a function of K+ intercalation. (g) Real space charge density distribution of (g) pure CCDW 1T-TaS2 + and (h) K intercalated CCDW 1T-TaS2. (i) Binding energy (BE) calculations of

xv pristine, K-doped, K+ intercalated and a mixture of the lateral two cases. Figures (c-i) are adapted from Reference 364364 ...... 91

Figure 5-10: (a) Angle-resolved photoemission spectroscopy (ARPES) intensity plot of momentum distribution curves of bilayer Bi film on 1T-TaS2, bilayer Bi film on Si (111) and second derivative intensity plots of momentum distribution curves of bilayer Bi film on Si (111). Figure is adapted from Reference 374374. (b) Resistance switching in the heterostructure of 1T-TaS2/MoS2 and the interface band structure 375 schematics. Figure is adapted from Reference 375 . (c) Restacked 2H-TaS2 monolayer demonstrating homogenous interface and the enhanced up to 3K. Figure is adapted from Reference 272272. (d) CDW modulated band structure in the interface of 1T-TaS2 and n-GaAs substrate. Figure is adapted from Reference 376 376 . (e) Modulated commensurate CDW structure in 1T-TaS2 contacting black phosphorus measured by scanning tunneling microscopy-STM. Figure is adapted from Reference 377377 ...... 93

Figure 5-11: Schematic of the 2D phase-FET based on 1T-TaS2 and MoS2. Figures are adapted from Reference 380380...... 94

Figure 5-12: (a) Experiment set-up of two-step synthesis of TaS2/MoS2 heterostructure. (b) Optical image of vertical heterostructure of TaS2/MoS2 where TaS2 is grown on the center of MoS2 triangle. (c) Optical image of lateral heterostructure TaS2/MoS2 where TaS2 is grown on the edge of MoS2 triangle. (d) Scanning electron microscopy (SEM) of 1T-TaS2 growth randomly on SiO2/Si substrate pre-deposited by MoS2 single crystal monolayer domains ...... 94

Figure 5-13: (a) Cross-section TEM of vertical heterostructure and plane-view TEM of lateral heterostructure of multiple layer 1T-TaS2 and 2H-MoS2 monolayer. (b) Elemental analysis of the heterostructure measured via TOF-SIMS. (c) Raman spectroscopy of 1T-TaS2 at room temperature (NCCDW state) and at 80K (CCDW) state. (d) Photoluminescence (PL) mapping of vertical heterostructure of 1T-TaS2 deposited at the center of MoS2 single crystal. Figures are adapted from Reference 380380 ...... 96

Figure 5-14: (a) Two-terminal devices based on the exfoliated 1T-TaS2, CVD 1T-TaS2 and heterostructure 1T-TaS2/MoS2 devices. (b) Benchmark of the device performance with critical resistivity, critical carrier concentration extracted. Figures are adapted from Reference 380380 ...... 97

Figure 5-15: (a) Schematic of the fully two-dimensional phase field effect transistor-FET based on 1T-TaS2 and MoS2 and circuit diagrams of both OFF state and ON state. (b) FET characteristic of MoS2 monolayer film. (c) FET characteristics of the 1T- TaS2/MoS2 device. DFT calculation of density of states of (d) 1T-TaS2 CCDW state and (e) 1T-TaS2 CCDW state contacting with MoS2 monolayer. Figures are adapted from Reference 380380 ...... 98

Figure 6-1: (a) Schematic of band structure and structural overview of single layer MoS2 topped by 50nm Al2O3 dielectric and ITO top gate under monochromatic illumination. 385 Figure is adapted from Reference 385 . (b) Overview of MoS2 field effect transistor

xvi FET-based biosensor. Figure is adapted from 390390. (c) Schematic of chemical sensor 387 based on monolayer MoS2. Figure is adapted from Reference 387 . (d) Schematic of MoS2 as catalysts for hydrogen evolution reaction. Figure is adapted from Reference 396 396 . (e) Schematic of an integrated five-stage ring oscillator circuit on MoS2 thin films. Figure is adapted from Reference 400400 ...... 100

Figure 6-2: (a) Schematic of chemical vapor deposition of MoS2 single crystals via the reaction of MoO3 and S powders. (b) Shape evolution of MoS2 single crystals based on the local S:Mo ratio. Figures (a-b) are adapted from Reference 404404. (c) Growth mode and morphology (vertical and horizontal) based on Mo precursor concentration in the vicinity of the substrate. Figure is adapted from Reference 405405. (d) Schematic of metal organic chemical vapor deposition of MoS2 ...... 101

Figure 6-3: (a) Temperature profile of synthesizing MoS2 single crystal at 450℃. (b) Growth morphology (average roughness-RMS) as a function of growth time and S:Mo precursor ratio ...... 102

Figure 6-4: Atomic force microscopy images, Raman and photoluminescence of thin films grown at 450℃ under selected growth conditions ...... 102

Figure 6-5: (a-b) High resolution transmission electron microscopy (HRTEM) of MoS2 grown at 450℃. (c) Cross section TEM and (d) energy-dispersive spectroscopy-EDS of MoS2 achieved at 450℃...... 103

Figure 6-6: (a) Growth set-up of niobium doping of MoS2; XPS plot of (b) Mo 3d, (c) S 2p and (d) Nb 3d of samples grown at 450℃ and 800℃. (e) Valence band maximum measurement of samples grown at 450℃ and 800℃, along with pristine MoS2 and niobium oxide film ...... 105

Figure 6-7: (a) Schematic of (Nb)MoS2 MOSFET device and an optic image is shown in the inset. (b) Transfer characteristics (ID-VG) of MOSFETs fabricated from a MoS2 film with (red) Nb doping and (blue) pristine MoS2 grown at 650℃. (c) Transfer characteristics (ID-VG) of MOSFETs fabricated from Nb doping MoS2 (red) grown at 650℃ and (blue) grown at 450℃. This work is a collaboration work with Theresia Knobloch from Prof. Joerg Appenzeller group ...... 106

Figure 6-8: (a) Percentage of copper area as a function of barrier thickness. (b) Increase in copper resistance in a single interconnect damascene trench as a function of barrier thickness. Aspect ratio is kept at 2. (c) Schematic demonstrates how decreasing copper barrier thickness would worsen the barrier performance. Figures (a-c) are adapted from Reference 411411 ...... 107

Figure 6-9: (a) Capacitor structures used for time-dependent dielectric breakdown (TDDB) measurement. (b) Current evolution with stress time of devices with and without MoS2 barrier on SiO2/Si substrate under electric field of 5MV/cm. (c) Cumulative distribution of medium-time-to-failure-tBD under various electric field with and without MoS2 monolayer barrier. (d) Current evolution with stress time of devices with and without MoS2 barrier on low-k dielectric under electric field of 2.5MV/cm. (e) Cumulative distribution of medium-time-to-failure-tBD under various electric field

xvii

with and without MoS2 monolayer barrier on low-k dielectric under electric field of 2.5MV/cm. Figures are adapted from Reference 411411 ...... 108

Figure 6-10: (a) Schematic of two different films structures and how copper atoms diffusion through layers or between the layers. (b) AFM measurements of the films with different surface morphology and crystalline structures. (c) Leakage currents of the two films of different surface morphology and crystalline structures ...... 109

Figure 6-11: (a) Device structure of time dependent dielectric breakdown (TDDB) measurement. (b) Current evolution of films with different niobium incorporation level under 7MV/cm electric stress. (c) Cumulative probability of films’ lifetime with 0.1%, 3% and 19% niobium incorporation level. High resolution transmission electron microscopy of (d) pure MoS2, (e) 3% Nb-MoS2, and (f) 19% Nb-MoS2 ...... 111

xviii LIST OF TABLES

Table 2-1: Synthesis methods and corresponding examples...... 45

Table 6-1: Comparison of different two-dimensional materials as copper diffusion barrier411 ...... 107

xix ACKNOWLEDGEMENTS

First and foremost, I am deeply thankful for my research/dissertation advisor, Prof. Joshua

Robinson. He introduced me to the nanomaterial domain back when I was an undergraduate student at Penn State in 2014. His trust and support opened the door for me to the graduate school. Josh has provided me with every bit of guidance, assistance and expertise and has played an important role in my academic career. Josh gave me the freedom to explore the research independently. At the same time, he provided me feedback and guidance and challenged me to stay out of my comfort zone and push my limits. His insightful comments and hard questions incented me to widen my research to various perspectives. His responsibility to his family, students, collaborators and professorship subtly influenced me to become a better person. I greatly value the opportunities he has offered me. I could not have achieved all the progress without Josh.

Secondly, I would like to acknowledge all the knowledge that I have received in graduate school. My sincere thanks go to Prof. Roman Engel-Herbert, Prof. Susan Trolier-McKinstry, Prof.

Ismaila Dabo, Prof. Zi-Kui Liu, Prof. Joan Redwing, and Prof. Suman Datta. I also appreciate the help from Prof. Joshua Robinson research group, Sarah Eichfeld, Natalie Briggs, Ganesh

Bhinamapati, Chia-Hui Lee, Yu-Chuan Lin, Bhaktiben Jariwala, Donna Deng, Maxwell

Wetherington, Shruti Subramanian, Brian Bersch, Kehao Zhang, Chengye Dong, Stephen

Holoviak, Riccardo Torsi and Rosemary Bittel. I also give thanks to all the assistance from the department of Materials Science and Engineering at the Pennsylvania State University. Special thanks will be given to Hayley and Mandy. I appreciate all the training provided by the staff from

Materials Characterization Lab and Nanofab.

Thirdly, I would like to give credit to all my collaborators. I am especially grateful to Oliver

Albertini, Prof. Amy Liu, Simin Feng, Dr. Yi Wang, Prof. Yu-Ping Sun, Benjamin Grisafe, Ram

Krishna Ghosh, Baoming Wang, Ke Wang, Prof. Suman Datta, Chun-Li Lo, Fu Zhang, Prof.

xx Zhihong Chen and Prof. Mauricio Terrones. We had great discussions and collaborations and have achieved many fruitful results. Here I must acknowledge financial support provided by NSF EFRI

2DARE and SRC nCORE.

Nobody has been more important to me in the pursuit of my academic career than my family.

My parents and all my friends have provided their tremendous support and help along the way. I would like to express sincere gratitude to my parents, Gui-Mei Yuan and Hong-Bin Zhao for their encouragement and unconditional love. They have always been there for me during my ups and downs and support me both physically and morally. All my family members in China and in the

US have always been there for me and provide me with their love. I also want to thank my two- year old niece Jocelyn. Her love for me and her smile helps me remain the most innocent essence.

I would like to thank my friends, Ziyan, Mianyi, Chenchen, Haoran and Danqing for their close friendship in various moments of my life. Finally, I would like to thank Peter, for his companionship, love, encouragement and unwavering support and trust at all time under any conditions.

Chapter 1

Introduction

1-1 Overview of semiconductor industry For the past 50 years, the semiconductor industry has marched at the pace of Moore’s law: doubling the number of transistors on the same area of chips every two years1. Transistors have been continuously scaled down to achieve electronic chips with high density, fast switching speed and low power dissipation2. The exemplary circle of semiconductor industry is demonstrated in

Figure 1-1a.

Since the beginning of the semiconductor industry as in the 70s, there are three main business drivers pushing the fast-paced progress3,4. The first driver is to provide cost efficient memory devices to the computer industry. The second driver is to produce application specific integrated circuits (ASIC), which is customer specific. The third driver is to cost-effectively integrate simple building blocks into many other systems5. All the combined need for digital and non-digital applications in the integrated circuits has been referred to as dual trend in the International

Technology Roadmap for Semiconductors5. The details are shown in Figure 1-1b. Basically, one is the miniaturization of the digital functions-“More-Moore”; the other is the functional diversification-“More-than-Moore”2.

2

Figure 1-1. (a) The supply and demand in the semiconductor device. (b) The market continues to drive integrated system towards more diversified (More than Moore) and more minimized (More Moore). Both figures are retrieved from reference 22.

A completely new ecosystem emerges during the last decade5. First, integrated circuits (ICs) consisting hundreds of millions of transistors can be produced in a very cost-efficient way. This helps realizing a variety of functionalities on a single die at reasonable price. Second, manufacturers of ICs can realize complex systems either on a single die or in a single package at efficient cost.

Thirdly, the advancement of process equipment makes it possible to realize different technologies at very reasonable cost. These technologies include sensors, radio components, flat panel displays, etc. Besides, academic and industrial research also enable fast developed Internet and rapid-grown mobile phone services6. Growing progress can be seen in the automobiles, supply chains, farming products, remote medical devices, etc. All the above-mentioned elements are referred to “Internet of Things” (IoT) or “Internet of Everything” (IoE) because everything is connected to or by the

Internet7,8. The visions of IoT have been summarized in the Figure 1-2a. It encompasses nearly every part of our life9. A diagram in Figure 1-2b has compared the application popularity and listed the ranking from No.1 to No.1010.

3

Figure 1-2 (a) A diagram aims to address the domains and subdomains of Internet of Things (IoT) and their perspective percentage. Image is adapted from Reference 1111. (b) Ranking of the top ten applications which can vividly demonstrate how IoT is relevant to all human being. Image is adapted from Reference 1010.

The importance of internet is being continuously emphasized by the International

Technology Roadmap for Semiconductors (ITRS)5. The evolution from IoT to IoE in the past five years further witnesses its impact on the whole society (culture and commerce) and birth of varieties of industries. Most of things are now connected. The semiconductor industry is always trying to

4 keep up with the pace of the progress of communication devices, routers, sensors or data centers.

However, the conventional Silicon industry has reached a bottleneck due to quantum effects as it is relentlessly scaling down. Emerging frontiers in research and innovation usher revolutionary device integration and materials to help further advance internet of thing-IoT and conquer current technology limits10,12–14. A roadmap for the IoT has been included in the Figure 1-3. In the grand scheme of things, the internet of thing-IoT would not be alone. It will grow along with people, with environment, with society among the others14.

Figure 1-3 A roadmap demonstrating the technology trend corresponding to the progress of IoT along the time. Image is adapted from Reference 1414.

1-2 Overview of two-dimensional transition metal dichalcogenides (TMDs) Graphene has sparked a great interest in both academic and industrial community due to many fascinating properties starting in 200415. However, due to its lack of electric band gap, resurging interests have been diverted to another material family: two-dimensional transition metal

16–28 dichalcogenides (TMDs) . Transition metal dichalcogenides take the MX2 where M is transition metal, X is chalcogen atom (such as S, Se or Te). Figure 1-4a encompass the

5 majority groups of TMDs29. Due to the different fillings of ‘d’ orbital, different chemical composition is exhibiting different structural and electronic behaviors. Because of their chemical robustness and tunable band gap, semiconducting material Molybdenum disulfide (MoS2) and

Tungsten Diselenide (WSe2) have been dominating 2D materials’ research efforts and applications30. Their bandgap range and the reported carrier mobility is included in Figure 1-4b29.

Several review papers have covered the optical properties of TMDs and their heterostructures along with their photonics and optoelectronic applications31–39. Here in this session, the content will be focused on the structure and properties of semiconducting 2H-MoS2 and metallic 1T-TaS2 and the related researches. A broad library of 2D layered materials (2DLM) and the van der Waals heterostructures based on 2DLMs and 0D nanoparticles or quantum dots, 1D nanowires, 1.5D nanoribbons, unsimilar 2D nanosheets and 3D bulk materials have been summarized in Figure 1-

4c39.

Figure 1-4 (a) A labelled element table demonstrating layer material family including transition metal dichalcogenides, Group III-chalcogenides, Group IV chalcogenides and group-V chalcogenides, also referred to as topological insulators. (b) Generalized relationship between carrier mobility and bandgap among 2D materials. (a-b) images are adapted from Reference 2929. (c) A diagram exhibits all the possibilities of heterostructures based on different types of 2D materials along with other materials with different dimensions (0D, 1D, 1.5D, 2D and 3D). Image is adapted from reference 3939.

1-2-1 Structures of TMDs

Transition metal dichalcogenides are highly anisotropic in their structures. It adopts layer- by-layer structure. Van der Waals forces (vdM) combines each layer while strong covalent bonds connect atoms within the layers40. For the same chemical composition, there exists different

6 polymorphic structures41. The most common polymorphs are the so-defined 1T, 2H, and 3R where the digital number is the count of layers in the crystallographic unit cell and the capital letter represents the symmetry type: T stands for tetragonal crystal structure (퐷3푑 group), H stands for

5 hexagonal (퐷3ℎ group) and R stands for rhombohedral (퐶3푣 group). The stacking sequence also varies within the same chemical composition. A single layer in 1T phase has a stacking sequence

AbC. In 2H phase, the sequence is AbA BaB with atoms overlapping in z-direction. In 3R phase, each layer is in the trigonal prismatic phase and the relative layer shifts has a stacking sequence of AbA CaC BcB, consisting of one-unit cell. An overview of TMDs structures are shown in Figure 1-5a41. The stacking order and coordination difference could lead to dramatically different material’s properties42–44. In addition, depending on the transition metal, one of the two phases are usually more thermodynamically stable than the others. For example, group IV TMDs (Ti and Zr) usually take octahedral coordination45 while group VI TMDs (Mo and W) usually take hexagonal polymorph46,47. One type of polymorph could also dominate the electronic properties as 2H phase is usually semiconducting phase while 1T phase is showing metallic character. Figure 1-5b lists all the stable structures for each chemical composition48.

Figure 1-5 (a) An overview of TMDs structures: 1T, 2H and 3R; and their stacking over from both side view and top view. Image is adapted from Reference 4141. (b) Table for various of 2D-TMDs and their stable phases and unique properties. Image is adapted from Reference 4848.

7 1-2-2 Band structures of TMDs

The interaction of ‘d’ orbitals from transition metal and ‘p’ orbitals from chalcogenide leads to a variety of chemical and electronic properties of TMDs49. In a single TMD material, their electronic band structure is highly dependent on the number of layers, especially down to the single- layer limit50. Figure 1-6a-b demonstrate the band-structure evolution from bulk to monolayer of

MoS2 and WSe2. Both become direct bandgap semiconductor when thinning down to monolayers due to quantum confinement51. Figure 1-6c listed the varieties of electronics properties based on different combinations of metal and chalcogen52. Besides semiconducting properties, other intriguing many-body properties (superconducting, charge density waves) have been observed in some of the TMDs derived from their correlated and topological phases53. Another peculiar property of 2H monolayer is referred to as spin-valley coupling. Since monolayer 2H TMDs lacks inversion symmetry, a spin splitting of the electronic bands is driven by the spin-orbit interaction.

This unique property could be used to design spintronic devices. Figure 1-6d compares the band structures of TMDs with different d electrons53.

Figure 1-6 (a-b) Band structures of MoS2 and WS2 and differences in their bulk and monolayer forms. Figure is adapted from Reference 5151. (c) Table lists the electronic characters of different layered TMDs. Figure is adapted from Reference 5252. (d) Schematic illustrates the progressive filling of d orbitals in group 4, 5, 6, 7, and 10 TMDs. Image is adapted from Reference 5353.

8 1-2-3 Charge density waves (CDWs)

The interactions between electrons and phonons could drive both structural and charge modulation in low-dimensional materials54,55. This phenomenon is usually occurring in one- dimensional (1D) metals with non-interacting lone pair electron. At low temperatures, the lone-pair electrons are unstable against structural change and will be redistributed into an ordered structure, also referred to as charge density wave (CDW). The electrons within a CDW form a standing wave pattern and is accompanied by the opening of a bandgap at the Fermi energy55. This phase transition is usually called metal-insulator transition, which has demonstrated some potential applications56–

60. A 2D or 3D metal with a nested Fermi surface, exhibiting quasi 1D character, could also undergo a CDW phase transition. Among the TMDs materials, group-V TMDs (tantalum disulfide-TaS2, -TaSe2, titanium difulside-TiS2, etc.) exhibit such properties with unique electronic and structural transition changes. 1T-tantalum disulfide (1T-TaS2) is particularly interesting because it exhibits both charge density waves and superconducting. Around 200K, bulk

1T-TaS2 undergoes a phase transition accompanied by a significant resistance change (Figure 1-

7a)61. This phase change is highly dependent on the layer thickness (Figure 1-7b)62. Electronic measurements indicate that the main CDW phase transition has been lost in flakes below a certain thickness61, which has also been confirmed by a few theoretical models62. Metal-insulator transition

(MIT) has been realized in nano-thick 1T-TaS2 flakes. Device schematic is shown in Figure 1-7f and voltage-current sweep exhibits sharp phase transition between metallic phase and insulating phase (Figure 1-7g)63. Both electric drive and thermal drive could lead to the melting of Mott gap and induce phase transition. The changes they bring to density of states near the Fermi level are

63 schematically drawn in Figure 1-7h . Moreover, doping of 30% Se into the 1T-TaS2 has shown enhanced phase transition and enlarged resistance change64, which may demonstrate huge potentials for “beyond CMOS” applications based on correlated materials and realize 2D-Landau switch. As well-known in the semiconductor industry, Boltzmann switch modulates the Fermi level

9 relative to the band structure, which faces an operation limit in switching slope (60mV/dec) due to thermodynamic barrier65. However, for Landau switch, (a schematic and its working principle are demonstrated in Figure 1-7c-e)66, it modulates the electronic band structure around the Fermi level67,68.

Figure 1-7 (a) Resistivity change as a function of temperature in bulk 1T-TaS2 crystals. (b) Phase transition trends as a 61 function of thickness of 1T-TaS2 nanoflakes. Figures (a-b) are adapted from Reference 61 . (c) a device schematic of Boltzmann switch and (d) its band structure modulation during operation. (e) Current-trigger agents (strain, temperature, voltage, electric, etc.) property of Boltzmann switch, demonstrating abrupt switches. Figures (c-e) are adapted from 66 Reference 66 . (f) Two-terminal device structure based on 1T-TaS2 flake. (g) Current-voltage sweep of 1T-TaS2 device, demonstrating abrupt phase transition from insulator phase to metallic phase. (h) Energy diagram showing how density of states change around Fermi level of 1T-TaS2 when phase transition occurs, either driven by electric field or by thermal energy change. Figures (f-h) are adapted from Reference 6363.

1-3 Overview of chemical functionalization of TMDs To expand the TMDs family and further improve their intrinsic properties, chemical functionalization of TMDs explosively expand the scope of their applications and make their electronic and catalytic properties tunable40,69–74. Understanding the materials structure-property relationship is especially important. In this session, a general overview of several chemical functionalization methods is provided.

10 1-3-1 Doping of TMDs

Chemical doping of TMDs could effectively bring about changes to their electronic, optic, thermal, magnetic and mechanical properties. Within the reduced dimensional 2D materials, substitutional doping could have a big impact. Substitutional doping has been found to be an effective way to tune 2D materials’ electronic properties75–79. Usually in their reduced dimensions,

“doping” level is comparably large than their 3D counterparts or those applied in silicon semiconductors80–83. In 2D TMDs, dopant-induced band structure reconstruction is more stable and controllable than those from surface charge transfer84. Chalcogen substitution usually creates nondispersive and localized deep states with high formation energy85,86. On the other side, cation dopants could largely widen the possibilities for direct control of materials’ properties and show large potentials for various applications85,87–89. Substitutional doping can be realized in several ways. First popular doping method is sulfurizing two different deposited transition metal layers

90,91 together. Nb or Fe doping of MoS2 have been realized by this method . In the case of Nb-doped

MoS2, doped Nb atoms are found to act as an efficient acceptor and demonstrates degenerate p-

91,92 type behavior (Figure 1-8a) . Fe-doped MoS2 has shown higher carrier concentration but lower

93 mobility . Doping level of 1.74% Fe in MoS2 has shown the largest coercivity and magnetization

94 (Figure 1-8b-c) . DFT calculation has predicted that Fe-, Mn-, and Co doped MoS2 would exhibit rich magnetic properties and boast the potential of realizing magnetic semiconductors95. Another general way of realizing substitutional doping is to induce alien metal atoms directly through synthesis30. Many efforts have been done through either powder deposition (PV) or chemical vapor deposition (CVD). MoS2 band structure has been changed after being substituted by Er atoms

(Figure 1-8d-e). Near Infrared upconversion-NIR UC luminescence has shown band peaks at

800nm and 1550nm when pumped at 980nm (Figure 1-8f), realizing NIR-to-NIR UC and direct current-DC light-emissions in the TMDs96. Rhenium (Re) and Gold (Au) doping have been realized through CVD and shown degenerate n-type electronic behavior (Figure 1-8g-h)97,98.

11

Figure 1-8 (a) A schematic demonstrating sulfuring Mo/Nb/Mo metal layers into Nb-doped MoS2 and the hole density 91 and mobility of the as-synthesized film. Figure is adapted from Reference 91 . (b) magnetization of Fe-doped MoS2 of different Fe doping levels. (c) Magnetization of 1.74% Fe-doped MoS2 at a function of temperature. Figures (b-c) are 94 adapted from Reference 94 . (d) Schematic shows Er doping of MoS2 in the plane view and side view. (e) Density of states at the Fermi level and band structures of pure MoS2 and Er-doped MoS2. (f) NIR UC luminescence of pure MoS2 and Er-doped MoS2 and demonstrate NIR-to-NIR UC and DC light-emissions. Figures (d-f) are adapted from Reference 96 96 . (g-h) Current-voltage plots demonstrate degenerate doping of MoS2 by Rhenium. Figures (g-h) are adapted from Reference 9797.

1-3-2 Intercalation of TMDs

Intercalation of atoms between the TMDs layers leads to the physical change of the host materials, which could module their intrinsic properties99. For 2D-TMD family, the most common

12 intercalants are alkali metals and other 3d transition metals. There are several impacts that could result from these intercalants100,101. First, the electronic structure could be changed. Charge transfer between the host materials and the intercalants will induce modulations of carrier density and change the Fermi energy and density of states at the Fermi level. The electrons from the intercalants could be easily transferred and alters the electronic and optical properties of the host materials.

Secondly, the structure could be changed. The layer-layer distance would change depending on the intercalants. Usually, with an alien intercalant agent, the van der Waals gap would increase102. This gap enlargement would result in: (a) smaller bonding strength and sound velocity (b) weakening

21 layer interactions . For examples, Cu intercalation of titanium disulfide (TiSe2) induces a new

103 superconducting state at low temperatures when x=0.04 in the form of CuxTiS2 (Figure 1-9a-b) .

Moreover, when Cu is intercalated into thin 2D layer Bi2Se3, it could lead to the color change from

104 silvery white color (pure Bi2Se3) to visible lights (Figure 1-9c-e) . Lithium intercalation of MoS2 results in the phase change to 1T phase and demonstrates enhanced stability and catalytic activity in the hydrogen evolution reaction (HER) (Figure 1-9f-i)105. Superlattice could also be formed when inserting SnS layers into the TiS2. The natural superlattice of (SnS)1.2(TiS2)2 shows decreased transverse sound velocity and localized photon distribution106. As a result, thermal conductivity could be modulated via this method and exhibits large potentials in the thermoelectric applications

(Figure 1-9j-k)99.

13

Figure 1-9 (a) Intercalation of Cu into the layers of TiSe2 induces a new superconducting phase at low temperatures. (b) Magnetization as a function of temperature demonstrates sharp superconducting transitions for x=0.055, 0.06, 0.08 and 103 0.10. Figures (a-b) are adapted from Reference 103 . (c) Intercalation process of Cu through 2D layer Bi2Se3 and atomic force microscopy (AFM) image of the intercalated sample. (d) Optical reflection spectra of thick Bi2Se3 ribbons showing the plasma edge shift at different Cu intercalation times. Black: 30min; Red: 45min; Blue: 1h of Cu intercalation. (e) Density functional theory calculations on Bi2Se3 band structures before and after Cu intercalation. Figures (c-e) are

14

104 adapted from Reference 104 . (f-g) Schematics demonstrate how Li is intercalated between MoS2 layers by electrochemical means. (h) Galvanostatic discharge curve represents the Li intercalation process, which eventually drives MoS2 from 2H semiconducting phase to 1T metallic phase. (i) Hydrogen evolution generation (HER) test shows enhanced activity by Li intercalation, which also shows strong electrochemical stability. Figures (f-i) are adapted from Reference 105 105 . (j) High resolution transmission electron microscopy (HRTEM) of (SnS)1.2(TiS2)2 along the [130] zone axis. (k) Total thermal conductivity (k) and the electron component (ke ) of TiS2 and (SnS)1.2(TiS2)2 in both in-plane and out-of- plane directions. Figures (j-k) are adapted from reference 106106.

1-3-3 Alloying of TMDs

With more than one transition metal in a TMD system, its electronic structure could be modified between the original two pure TMDs25,26. Control of alloy concentrations within each layer could be an efficient way to modulate both physical and chemical properties. The degree of d-band intermixing between two different transition metals could lead to property modulations. In addition, intermixing two chalcogen atoms in one material system, ex. S/Se, could result in a range of d-p band intermixing and open up new possibilities for basic studies and device concepts21.

Figure 1-10a summarizes the differences in theoretical bandgaps versus the mismatch of the lattice constants before alloying two TMDs together107. Transition metals with different d-electrons may lead to unfilled conduction bands and result in metallic materials. Molybdenum-Tungsten (Mo-W) alloys are grouped in the left bottom corner in the figure and indicates good lattice matching and moderate band gap modulation107. Normalized photoluminescence (PL) is plotted in Figure 1-10b and a close-to-linear relationship between PL energy and wavelength is observed107. Due to much more localized p-band, chalcogen alloys generally have a more limited range of bandgap

21 variations . With careful control of alloying level in MoS2, the bandgap modulation could be around 200mV as shown in Figure 1-10c108,109.

15

Figure 1-10 (a) Lattice constant matching for metal-metal pairs of 2D-TMDs based on the LSDA lattice constants. (b) 107 Normalize PL shows a linear relationship in the alloy MoWS2. Figures (a-b) are retrieved from Reference 107 . (c) Bandgap modulations by both metal and chalcogen alloys respectively. Plot is retrieved from Reference 109109.

1-4 Overview of heterostructures based on TMDs Heterostructure based on stacking different TMDs (vertical heterostructure) or stitching different TMDs (lateral heterostructure) is another efficient way to modulate 2D materials’ properties110–112. Based on each individual material’s properties, various heterostructures have been designed to realize breakthroughs or property improvements in the fields of field effect transistors

(FET)113–116, solar cells117–120, photodetectors121–123, light emitting diode (LED)124,125, laser126,127 or

112 other optoelectronic devices etc . MoS2/ReSe2 heterostructure (Figure 1-11a-b) demonstrates a high electron mobility of ~4cm2 (V s)-1 (Figure 1-11c) and on/off ratio of 6x104 (Figure 1-11d).

These characters are better than individual layers114. Power conversion efficiency of the

119 WS2/MoSe2 has reached 0.12% without external gate . Two-step growth method has been devised

119 to synthesize type I and type II vertical heterostructures of WSe2/MoSe2 (Figure 1-11e) . The corresponding growth images are shown in the Figure 1-11f. The lateral size for these heterostructures are above 100μm. Rectification and photovoltaic characterizations are carried out for the Type I structure. Current-voltage (IV) curves with and without illumination are shown in

Figure 1-11g. It exhibits strong asymmetric feature and rectification behavior. The photocurrent is dependent on the electric field direction and demonstrates a linear relationship with illumination power in the reverse bias (Figure 1-11h). The photovoltaic effect IV curve of WSe2/MoSe2

16

119 heterostructure is shown in Figure 1-11i . Photo-electric conversion efficiency of graphene/WSe2 varies between 3.3%-2.3% depending on the layer numbers of graphene117. Photodetectors based

128 on MoS2/black phosphorus has demonstrated outstanding results . Its photoresponsivity has reached 22.3AW-1 at 532nm and 153.4mAW-1 at 1.55μm with a quick response of 15μs128. Broad range of photoresponse from 370nm to 1550nm has been realized based on WS2/Bi2Te3 heterostructure with a short response time of 20ms129. The LED device has been constructed based on stacking WSe2 and two graphene/hBN layers together with a photonic crystal cavity connected124. The device based on this heterostructure has shown property enhancement in its electroluminescence (EL). Modulation speed is increased to ~1MHz when applying a pulsed voltage. In additions, the light intensity and colors could also be tune by connecting to other MX2

124 layers . Heterostructures based on MX2/graphene has shown promises in laser technology.

Graphene with high conductivity, high carrier mobility and a zero bandgap can be used as a good absorber130,131. Its lack of bandgap might limit optical absorption intensity, which could be

132 compensated by MX2 layers . Favorable passive Q-switching has been demonstrated in

126 MoS2/graphene heterostructure and shown improved properties . A high repetition rate of ~1GHz has been achieved in a mode-locked laser. Modulation depth and minimum pulse width could reach

127 9.12% and 370ns . Memristors based on graphene/Al2O3/MoS2 has shown a high on/off ratio and good memory durability133. Figure 1-11j schematically shows the device structure of tunneling random-access memory (TRAM), band diagram of Au/MoS2/Au and Au/Al2O3/graphene/Au junctions at the programing state, reading after programing state, erasing state and reading after erasing state133. The device performance is summarized in Figure 1-11k-o with memristor’s on/off ratios (Figure 1-11k), endurance characteristics (Figure 1-11l-m), I-V plots under multiple sweeping voltage ranges (Figure 1-11n) and current levels at different pulse heights (Figure 1-

133 11o) . Memory device based on graphene/hBN/MoSe2 shows good FET performance with high

17 current rectification ratio and high on/off ratio. Moreover, it exhibits an excellent memory performance with a program/erase ratio above 105 and a large memory window134.

Figure 1-11 (a) Optical image and (b) device schematics of heterostructure based on MoS2/ReSe2. (c) The Ids-Vds plot of p-n heterojunction rectifier. (b) The transfer curve of p-n heterojunction transistor. Figures (a-d) are adapted from 114 Reference 114 . (e) Schematics show the monolayer MoSe2 used for WSe2 growth and type I and type II WSe2/MoSe2 heterostructures. (f) Optical images show the two types of heterostructures. (g) Optical image of a WSe2/MoSe2 heterojunction device and the corresponding I-V curve with and without illumination. (h) The generated photocurrent from the heterostructure in both forward and reverse bias. (i) The photovoltaic effect I-V curve of the WSe2/MoSe2 heterostructure. Figures (e-i) are adapted from Reference 119119. (j) Schematics of TRAM, band diagrams of Au/MoS2/Au and band diagrams of Au/Al2O3/graphene/Au junction at programming state, reading after programing state, erasing state and reading after erasing state. Device performance measurement demonstrating (k) on/off ratio; (l- m) endurance characteristics of TRAM; (n) I-V characteristics of TRAM at different sweeping voltages; (o) Current levels at pulse voltage of -8V, 7V, 8V, 9V, 10V and 11V with a reading voltage of 0.1V. Figures (j-o) are adapted from Reference 133133.

18 Chapter 2

Thin film characterizatons and device measurements

2-1 The synthesis “Atlas”

Materials synthesis is the key to study their intrinsic properties and build further complex structures. Most engineering materials in their three-dimension (3D) form have fixed mechanical, optical, electrical, thermal or magnetic properties135. However, because of quantum confinement, unique properties may be revealed then their thickness reaches nanometers136–140. With the technology advancement, thin films show great potentials in power management products, high speed products, micro-electric devices and engineering services. Therefore, developing high- quality material synthesis methods to produce large-area single crystalline thin film and understanding materials’ synthesis-structure-property is particularly important141.

Many factors could have a big impact on the qualities of the synthesized thin films135. First, the quality and microstructure of substrate surface could not be underestimated to achieve uniform and large area thin films. Thorough cleanness and careful surface treatment of substrate surface is necessary. Most industrial synthesis tools are located in cleanrooms. Second, vacuum level also needs considerable attention as this could largely determine film’s composition and defect (defects, absorbates, exotic atom incorporations, etc.) levels. Third, growth parameters are very important in controlling thin film compositions and uniformities and highly rely on synthesis tools and deposition methods. None of them is perfect and each of them exhibits advantages and disadvantages. Knowing their strength and weakness could help produce high quality thin films.

There are many classification factors. For example, synthesis method could be classified into two types: physical deposition and chemical deposition depending on the precursor reaction types.

Here, critical steps during thin film deposition process are reviewed (Figure 2-1a)142,143.

Step 1: Absorption (mostly physisorption) An approaching atom could either be reflected or absorbed by the substrate surface depending on incoming flow of atoms, trapping probability and

19 sticking coefficient. When the atoms are physiosorbed, the atom could then either be chemisorbed or desorbed depending on the thermal energy and local absorption barriers (potential energy diagram is shown in Figure 2-1b). Desorption is the counter-part of adsorption and dependent on partial pressure of atoms in the vapor phase and adsorption rate (푘푎푑푠) and desorption rate (푘푑푒푠).

The growth coverage percentage (휃) follows the equation:

퐾푃 푘푎푑푠 휃 = {1 − exp[−푘푎푑푠(1 + 퐾푃)푡]}; 퐾 = 1 + 퐾푃 푘푑푒푠

Step 2: Surface diffusion Whenever an external atom sticks to the surface, surface tension occurs due to the changes in the surface’s crystal structure. This surface tension is a function of broken bond energy of the atoms exposed to the absorbed precursor atoms. The overall energy will be minimized once the atoms diffuse to the lowest energy site and the diffusion rate increases with thermal energy. The diffusion length can be defined as:

훬 = 푎√푘푠푡

Where a is the hop distance, (related with substrate crystal structure), 푘푠 is the diffusion rate and t is the diffusion time.

At lower temperatures, temperature would determine the diffusion length. While at higher temperature, because of the increased rate of atom desorption, diffusion length decreases. Typical diffusion length for physisorption is 300μm, for chemisorption is 5nm.

Step 3: Chemical bond formation (chemisorption) From atoms to the formation of films, the driving force depends on either molecule-molecule interaction or molecule-substrate interaction.

In the physisorption, the atom or molecule should have a lower chemical potential as a condensate than as a vapor. While during chemisorption process, the solid form should be thermodynamically predicted over the gas form.

Step 4: Nucleation To initiate the formation of a stable film, nuclei at a critical size is necessary. It is also highly dependent on the growth conditions and synthesis method. For

20 “embryos” smaller than the critical size, there is no enough energy to conquer the large surface energy and thus the overall reaction is not thermodynamically stable. When an embryo is larger than the critical size, adding more atoms/molecules would further decrease Gibb’s free energy and promote continuous growth. There are two nucleation types: homogeneous and heterogeneous. In the first case, the free energy of atoms in the vapor phase is smaller when they nucleate, which is not desirable to lower the total energy. However, for the lateral case, nucleation on the substrate surface in solid form reduces the surface energy and thus becomes more desirable. Heterogenous nucleation depends on the relative surface energies of various interfaces (substrate-vapor; film- substrate; film-vapor) and has a barrier behavior with critical radius and energy. This nucleation depends on substrate temperature and deposition rate. Overall, the critical radius increases with temperature due to increased nucleation barrier. The critical radius decreases with increasing deposition rate due to the decreased nucleation barrier. Depending on the relative interaction energies, the thin films growth could be divided into several types:

(a) Frank can der Merwe (Layers growth)- Figure 2-1c: the substrate-vapor interaction is more favored, and the formation of thin films would help to further lower surface energy.

(b) Volmer Weber (Islands growth) Figure 2-1d: the film interface energy is larger than the substrate-vapor interface and island growth helps minimize the interfacial energy. Typical characters of this type of deposition is nonuniform morphology.

(c) Stranski-Krastanov (mixed of layer growth and island growth) Figure 2-1e: island growth is more favored after initial layer growth, which might be strained to match the substrate surface.

Subsequent island growth could help relax intrinsic strains inside the film.

21

Figure 2-1 (a) Thin film Growth steps. (b) Energy diagram for precursor adoption model. Thin film growth models of (c) Frank can der Merwe (b) Volmer Weber (c) Stranski-Krastanov

The kinetics of nucleation follows the product of three terms:

푁̇ = 푁∗퐴∗휔

∗ ∗ ∆퐺 푁 = 푛푠exp⁡(− ) 푘퐵푇

퐴∗ = 4휋(푟∗)2

훼(푃 − 푃 )푁 휔 = 푣 푠 퐴 √2휋푀푅푇

Where 푁∗ is the equilibrium concentration of the stable nuclei, 휔 is the rate at which atoms

∗ impinge onto the nuclei of the critical area 퐴 , 훼 is the sticking coefficient, 푁퐴 is the Avogadro’s number and 푀 is the atomic weight.

Step 5: Microstructure formation: crystal formation, defect formation (vacancies, grain boundary, secondary phases, etc). In order to get single crystal large area thin film, growth parameters are optimized to favor crystalline growth. Higher substrate temperatures would favor fewer but larger nuclei while higher deposition rates favor more and smaller nuclei. Therefore, higher temperatures and slower growth rates are necessary to promote layer growth with large single crystalline domains. Nuclei combination usually follow three mechanisms:

(a) Ostwald Ripening Figure 2-2a: Small particles shrink to make ways for large ones grow.

22 (b) Sintering Figure 2-2b: Two growing nuclei bridges and bridging part allows for faster growth and merging.

(c) Cluster Coalescence Figure 2-2c: nuclei undergo surface diffusion and merge into each other at the most chemical favorable place.

Step 6: Bulk structure formation: diffusion, grain boundary formation. The final film’s morphology/microstructure is influenced by substrate temperature, deposition rates, geometry, desorption and bulk/surface diffusion rates. Usually thin film morphology would adopt one of the four growth types (Figure 2-2d). Ts is the deposition temperature. Tm is the melting temperature.

Z1 films usually have small diameter columns with poor crystallinity or amorphous structure while

Z3 exhibits large grains and smoother surfaces with fewer dislocations.

Figure 2-2 Nucleation combination models of (a) Ostwald Ripening; (b) Sintering; (c) Cluster Coalescence. (d) Bulk structure formation based on films melting temperature and growth deposition temperature.

2-2 Epitaxial thin films

Epitaxial growth is one of the most important technique to fabricate thin films for electronic and optical devices144. The quality of the film relates closely with device’s performance and endurance. Basically, epitaxy means the growth of a single crystal film on top of a crystalline substrate. The occurrence of epitaxial growth depends mainly on the thermodynamic driving force

23 and the misfit between the substrate and the deposited thin film. Homoepitaxy means that the film and the substrate are the same material. One example is Silicon thin film growth on Silicon substrate. Heteroepitaxy is when materials are not the same. Heteroepitaxy is largely applied in optoelectronics. For example, aluminum arsenide-AlAs thin film deposits on gallium arsenide-

GaAs substrate. In the latter case, unmatched lattice parameters result in strains at the thin film- substrate interface which then leads to interfacial defects. Such deviations would bring about changes in materials’ mechanical, electronic, optic, thermal or magnetic properties.

Growthwise, nearly matched lattice parameters between substrate and material are always preferred to minimize defects and increase electron mobility. When lattice mismatch is large, the film may undergo strains to accommodate the substrate structure. When lattice mismatch is too large to accommodate, dislocation or vacancy defects would occur at the interface to relax the strains and retain the original thin film structure. Schematics are shown in Figure 2-3a-c. Lattice misfit could be defined as: where 푎0(푓), 푎0(푠) are the lattice constant for the film and substrate respectively.

푓 = [푎0(푠) − 푎0(푓)]/푎0(푓)

One important compound semiconductor group is III-V direct band gap semiconductors. The various alloys are shown in Figure 2-3d along with their lattice constants and band gap energy/wavelength145. GaAs and AlAs interfaces have minimal misfit of lattice parameters and thus negligible dislocations. With this, optoelectronic devices and high-speed electronic devices could then be constructed (Figure 2-3e). Using the stoichiometric flexibility for epitaxial purity and the direct gap nature for efficient light emission, most laser devices are made with compound semiconductors. For high speed electronic devices, the heterojunction nature increases the gain and efficiency of amplifiers while the high electron mobility allows for operation in the microwave range of 1-1000GHz.

24

Figure 2-3 Lattice parameter differences between substrate/film leads to (a) matched-perfect (b) strained or (c) relaxed thin film growth. (d) Lattice constant and gap energy of selected common semiconductor materials. Figure (d) is adapted from Reference 145145. (e) Optoelectronic devices and high-speed electronic devices are constructed on compound semiconductors.

To carry out epitaxy growth, chemical vapor deposition (CVD) and molecular beam epitaxy

(MBE) are two common techniques. Both techniques could produce highly epitaxial films with excellent abruptness. The CVD process is usually carried out at a pressure around 0.5-760torr while

MBE process requires high controlled low pressures (around 10-10torr). Substrate temperature is usually lower in MBE process and precursors are safer while CVD process has a higher growth rate and more flexibility.

2-3 The synthesis “Atlas” for two-dimensional (2D) materials

A summary of primary growth techniques for 2D materials is shown in Figure 2-4146. Well- applied synthesis method includes chemical vapor deposition (CVT), followed by mechanical exfoliation with a laboratory scotch tape. This has been used to synthesize a variety of 2D materials

147,148 (TaS2, TaSe2, MoTe2, WTe2, etc) . The second commonly used method is chemical vapor deposition (CVD). Depending on the precursor and synthesis tools, CVD has some variants. When

25 powders are used as precursors, this route is also referred to as powder vapor deposition (PVD).

This method could produce single crystal domains with above 100μm lateral size and provide a fast and direct means to study material’s structures and properties. However, uniformity and consistency are the bottleneck for this method. When the precursors are metal-organics, this route could be referred to as metal-organic chemical vapor deposition (MOCVD). The second commonly used method is chemical vapor deposition (CVD). Depending on the precursor and synthesis tools,

CVD has some variants. When powders are used as precursors, this route is also referred to as powder vapor deposition (PVD). When the precursors are metal-organics, this route could be referred to as metal-organic chemical vapor deposition (MOCVD), could be used to successfully grow large scale 2D TMDs up to 10x10mm149,150. Beside, atomic layer deposition (ALD)151,152 and molecular beam epitaxy (MBE)153–155 have been both applied to synthesize 2D materials.

Figure 2-4 Synthesis methods for the formation of transition metal dichalcogenide materials. Picture is adapted from Reference 146146.

Chemical vapor transport-CVT usually consists of two steps. The first step involves the formation of a polycrystalline powder using stoichiometric ratios of metal: chalcogenide elemental precursors. The second step involves the evaporation of the polycrystalline power with the

156 assistance of carrier agents (ex. Br2, I2, etc.) along the temperature ingredients (Figure 2-5a) . In most of the cases, the transport direction is from a high temperature zone (T2) to a low temperature

26 zone (T1); T2>T1. At the T1 zone, single crystal would form. Depending on material chemical stability and external growth conditions, the growth could take up to three weeks156,157. Though this method could produce high quality single crystal with few defects, the time-length could not keep up with the fast-development. Also, the following exfoliation step to produce single layers could not produce consistent results and usually bring in contaminations. Last but not least, this process precludes large area materials, which is detrimental to further applications.

Chemical vapor deposition-CVD is the most popular way to synthesis monolayer TMDs in

158,159 the laboratory . In this route, metal oxide powders (MoO3, WO3 etc.) are positioned upstream in the horizontal tube furnace (Figure 2-5b). The chalcogenide sources are usually in the form of powders or pellets (S or Se). They are positioned at some distance away from the metal source due to their different vapor pressures. In the regime of deposition substrate, the vaporized metal oxide would react with chalcogen vapors to form TMDs, which then deposits onto the substrates.

Depending on the metal: chalcogen ratio, either lateral growth or vertical growth could be enhanced. Sapphire, silicon dioxide coated silicon substrates are two most common choices for

TMDs deposition. By adjusting experimental parameters, different size and shapes of MX2 monolayers can be achieved160,161.

To scalable synthesize 2D-TMD flakes and have a precise control over precursor ratios, metal organic chemical vapor deposition-MOCVD has particular advantages and is largely valued in the semiconductor industry162. As the name suggests, the metal precursor is of metal-organic form (ex.

Mo(CO)6, W(CO)6). Chalcogen source could either be metal-organic form (ex. H2S, HS(CH2)2SH,

163–165 HSC(CH3)3) . Many variations exist based on the experiment setup and deposition type. For example, MOCVD chamber could adopt either a hot-wall or cold-wall form. One example is shown in Figure 2-5c: MOCVD synthesis of WSe2 on sapphire substrate. In this case, metal precursor

W(CO)6 and Se precursor (CH3)2Se are carried by a mixture of H2/Ar gases into the hot zone to

27 react and deposit WSe2 layers onto the substrates. Variants of growth parameters have been thoroughly studied. By adjusting W:Se precursor ratios and optimizing growth conditions (pressure and growth temperature), large area single crystal WSe2 synthesis with precise control over the number of layers has been realized165.

Figure 2-5 Schematics demonstrating (a) chemical vapor transport in a sealed quartz ampule; (b) chemical vapor deposition of 2D-TMDs in a tube furnace; (c) metal organic chemical vapor deposition-MOCVD of WSe2; (d) chemical vapor deposition of graphene on Cu or Ni substrates and epitaxial graphene set-up. Figures (a-d) are adapted from Reference 166166.

2-4 Thin film characterizations

2-4-1 Raman spectroscopy and Photoluminescence

Raman spectroscopy was invented by Sir C.V. Raman in 1928 based on the phenomenon of inelastic light scattering167. It is a non-destructive technique which can tell a lot of chemical information of a sample. During the measurement, light is interacting with sample and gets absorbed and reemitted. The absorption energy excites the electrons to the virtual states. Majority of the energy (99.999%) will be released by electrons falling back to the original energy level. The rest of the released energy will either be smaller (Raman Stokes scattering) or higher (Raman anti-

Stokes scattering). The energy transition is shown in Figure 2-6168. The peak positions for Stocks and anti-Stokes are symmetrical of the Raleigh scattering. However, the probability of emission for

Stokes is much higher than anti-Stokes. This is why Raman technique is relying on detection of

Stock Raman scattering.

28

Figure 2-6 Raman spectroscopy and energy transitions involved in Raman scattering. Figure is adapted from Reference 168168.

To be a Raman active vibration mode, molecules should be capable of changing its polarizability under excitation169. Polarizability relates to the electron cloud distribution. This is one important difference between Raman and IR spectroscopy. The lateral one acquires the change in dipole moment and is more useful in differentiating polar functional groups. Comparison between some typical Raman and IR active molecules are demonstrated in the following figure

(Figure 2-7)170.

Figure 2-7 Comparison of Raman and IR active modes. Image is retrieved from Reference 170170.

Raman spectroscopy is also useful to acquire bandgap information from semiconducting materials171,172. Photon emission occurs when the electron transits from singlet excited state to the single ground state. In the semiconducting material or nanotubes, the fluorescence probability is usually high, and the timespan is very short since the average lifetime of an electron in the excited

29 state is only on the order of 10-5 to 10-8s. Therefore, the fluorescence decays very rapidly once the excitation source is removed173,174. This is also an important distinction from phosphorescence, whose lifetime ranges from 10-4 to 104s 175.

In the Raman spectra, there are external and internal vibration modes. Usually external vibrations are low-frequency phonons, occurring due to shear mode or layer breathing. Internal vibrations are high-frequency phonons due to atoms’ different movement directions167. This is particularly useful in identifying 2D materials. Along with photoluminescence spectra, they can be used to study 2D alloys176, determining material phases176,177 and can also indicate layer numbers178,179 and strains180,181. Based on peak shifting, charge transfer, strains, or defects can be measured. More advanced measurements based on basic Raman technique involves low-frequency

Raman, polarized Raman, temperature-variant Raman measurements and tip enhanced Raman

Spectroscopy. Low frequency Raman focuses peaks below 50cm-1 could identify layer stacking order182. Polarized Raman can help fingerprint structural related peaks183. Low-temperature Raman could observe structural related phase transitions184,185. One example is shown in Figure 2-8 where

186 MoS2 structure vibrational modes and wavelength numbers are displayed .

Figure 2-8 (a) Lattice structure of 2L, 3L MoS2; (b) Shear modes and (c) Layer breathing mode of 2L and 3L MoS2; (d) High frequency optical vibration modes for 1 and 2L-MoS2. R or IR are for Raman or Infrared active modes. Figures (a- d) are adapted from Reference 186186.

30 Overall, Raman and photoluminescence are very useful techniques and could acquire qualitative or quantitative information in a comparably short period of time. They do not request strict acquisition environments and sample preparation is very easy. Special data analysis is necessary when it comes to quantitative calculation. Resonance Raman spectroscopy extends detection limits to 10-3 -10-7M when selecting laser wavelength close to electronic absorption187,188.

Placing sample on metal or rough surfaces could help increase Raman scattering intensity, which is the basis of surface-enhanced Raman spectroscopy and has been used in a wider selection of applications189.

2-4-2 Scanning electron microscopy and energy-dispersive X-ray spectroscopy

Scanning electron microscope (SEM) is devised for direct observing surfaces of solid objects190. Different than optical microscopy where light is used to form an image, electrons take the role in image formation in SEM. A beam of high energy electrons is emitted onto the sample surface and generate different types of interactions. A simplified schematic of SEM inner structure is shown in Figure 2-9191 with comparison with light microscopy. The signals coming out of the sample surface are collected to construct informational image. Based on the signal type, topological, chemical and crystallographic information relating with sample surface can be extracted.

31

Figure 2-9 Basic structure and comparisons of Light microscope (LM) and Scanning electron microscope (SEM). Figure is adapted from Reference 191191

When the electrons penetrate the sample, usually to the depth of about 1μm, they interact elastically and inelastically with the solid resulting in a limiting interaction volume. Within this bulb-shaped volume, different types of information carriers, as shown in Figure 2-10 can be generated191. As schematically shown. They have different interaction volume of sample surface and could determine the SEM image resolutions.

Figure 2-10 Diagram illustrating the phenomena resulting from the electron-sample interaction. Figure is adapted from Reference 191191.

The primary signals in SEM are usually consisted of backscattered electrons (BSE) and secondary electrons (SE). Backscattered electrons (BSE) usually have higher energies, approaching

32 to the energy of incident electron beam. The intensity is nearly monotonic increasing with atomic number, so it is a very efficient way telling compositional contrast. On the other hand, secondary electrons (SE) carry less energies (usually <5eV) and has a smaller interaction volume (of 100Å).

They could reflect more information about sample surface’s topographic contrast. One note here is that both BSE and SE depend on the incidence angle between the beam and the sample. With different inclination angle, different images could be generated from the same specimen. As a result, basic knowledge and intuitive analysis are necessary during the measurement192. Fluorescent

X-rays are characteristic of different element and they are used to compose energy-dispersive X- ray spectroscopy (EDS)193. The energy levels in each element vary in discrete quantities. Each energy level could be used to fingerprint distinct element and generate chemical composition information. In theory, elements with atomic number between 4 (Be) and 92 (U) can be detected.

Typical SEM measurement is operated under high vacuum level and require sample surface to be conductive to prevent charging artifacts. Sample preparation is generally easy for SEM measurement. If the sample surface is nonconductive, a layer of metal (ex. Gold-Au, -Pt) or carbon film will be deposited on sample surface to ensure imaging quality.

2-4-3 Scanning force microscopy

Atomic force microscopy (AFM) is the most common form of scanning probe microscopy

(SPM)194. It was designed to bring a probe into proximity to the sample surface. Simplified schematic is shown in Figure 2-11a195. The force is correlated with the deflection of the cantilever.

With the probe being scanned over the sample surface, their interaction indicated by force values will be monitored as a function of location, from which an image containing sample surface configuration could be generated.

33

Figure 2-11 The schematic demonstrating the work principle of AFM. (b) AFM imaging modes: contact mode, non- contact mode and tapping mode. (c) Curve demonstrating force-distance relationship between the sample surface and the tip. Figures are adapted from Reference 195195.

Based on the interaction type and force acquisition design, there are three imaging modes in

AFM measurement (Figure 2-11b). In the contact mode, tip is continuously contacted with sample and the force between tip and sample is repulsive. Based on the deflection of the laser beam, morphology image could be generated. In the non-contact mode, a constant distance is kept between the tip and sample surface, amplitude based on phase deflection is used for image construction. Tapping mode is the combination of the previous two modes and the cantilever is oscillating on the sample surface. Contact mode could be largely influenced by the friction and adhesion forces and could potentially cause damage to the sample surface. However, non-contact mode could also be hampered by the contaminants and usually results in lower resolution images.

Tapping mode takes advantages of the previous two modes. Intermittent contact with sample surface would help eliminate friction force and prevent from being trapped by the contamination layer. The interaction force between sample surface and AFM tip is shown in Figure 2-11c.

Kelvin probe force microscopy (KPFM) is one extended technique from SFM196,197. It enables imaging of surface potentials on a wider range of materials based on the local contact potential different (LCPD). The measurement principle is shown in Figure 2-12. When sample and probe are not connected, their energy levels are different due to their different work function-

34

∅푡𝑖푝 ≠ ∅푠푎푚푝푙푒 . When the sample and the probe are electrically connected, their fermi levels would line-up at the steady state due to electron tunneling. This electron transfer would make both sample surface and probe carry extra charges, which leads to the formation of potential difference- an electric force on the contact area. The external bias with the same magnitude but opposite direction is exerted from the tip and nullify the potential difference between the tip and the sample.

Therefore, by measuring and recording the external bias, image with potential differences will be

∅ −∅ formed. The contact potential difference is defined as 푉 = 푡푖푝 푠푎푚푝푙푒 . Sample surface’s work 퐶푃퐷 −푒 function can then be calculated when the work function of tip if known.

Figure 2-12 Electronic energy levels of the sample and AFM tip for three cases: (a) separation (b) contact and (c) external bias (VDC) is applied between the tip and the sample

Conductive atomic force microscopy (cAFM) is another branch of AFM technique which can simultaneously measure morphology and current distribution (conductivity) over the sample by applying an electric bias to the AFM tip198,199. The topography is acquired based on the deflection signal of the cantilever while electric curve is measured through an electric current amplifier. The detected electric current ranges from pico-ampere to milli-ampere. Figure 2-13 demonstrates a study where MoS2 photoconductivity dependence on layer numbers has been thoroughly studied by cAFM200.

35

Figure 2-13 (a) Conductive atomic force microscopy imaging of bilayer MoS2 set up. (b) A current-voltage curve of the sample demonstrating insulating behavior and an abrupt nonlinear current increase. (c) Band diagram of MoS2 and metal tip junction in equilibrium, under reverse bias and under forward bias. Figures are adapted from Reference 200200.

2-4-4 X-ray photoelectron spectroscopy (XPS)

X-ray photoelectron spectroscopy (XPS) is based on Einstein’s photoelectric effect201. It describes the emission of free carriers when light is emitted to an object. The electrons that are ejected from the material are called photoelectrons. With this, Dr. Siegbahn and his research group developed XPS technique during the mid-1960s and won Nobel Prize in Physics in 1981.

A schematic figure including XPS design in shown in Figure 2-14a202. X-ray source produces photons of certain energies (either MgKα photon of 1253.6eV or AlKα photon of 1486.6eV). The irradiated X-ray beam would go through an ellipsoidal Quartz Crystal Monochromator. Only photons of a single energy (either MgKα or AlKα) with be radiated onto the sample surface and acquire chemical information.

36

Figure 2-14 (a) Schematics of X-ray photoelectron spectroscopy. Figure is adapted from Reference 202202. (b) Schematics of electronic orbital diagram demonstrating of photoemission excitation and de-excitation processes: X-ray absorption; participator Auger transitions; spectator Auger transitions. Figure is adapted from Reference 203203.

The X-rays penetrates the sample surface on the order of one micrometer in depth and hit the core electrons (푒−) of the atom201. The majority of useful 푒− signals are from sample surface with a depth of 10-100Å. Electrons circling outside the nucleus are carrying certain energies, which is defined as binding energy (BE). When electrons are pulled away from nucleus, their potential energy increases while kinetic energy decreases. For them to be excited to the vacuum level, which means that they will no longer be influenced by the nucleus, adequate energy is needed. After core electrons escape from the nucleus, they will be collected by the cylindrical mirror analyzer (CMA) which measures their kinetic energy. Based on the equation 퐾퐸 = ℎ푣 − 퐵퐸 − ∅, their binding energy can then be calculated.

Based on the XPS spectra, multiple information can be retrieved. Elements’ characteristic electronic peaks (푠 − 푒−. 푝 − 푒−, 푑 − 푒−, 푓 − 푒−) are usually sharp and highly dependent on its chemical information. Background noise is from electrons colliding with other electrons of different depths. Usually, collision would cause a decrease in the kinetic energy and prevent electrons from being identified. Auger electron peaks are another important information in the XPS spectra and could be overlapping with main elemental XPS peaks. Auger electrons are from the energy release from the third higher 푒− as demonstrated in the Figure 2-14b203. Though Auger

37 electrons can interfere with other electron peaks, they also carry characteristic information to their corresponding atoms. Auger electron peaks are usually broader than elemental binding energies.

X-ray photoelectron spectroscopy (XPS) is widely applied in academic and industry to provide surface information or thin film structure analysis204,205. It is considered as quick, powerful and non-destructive tool. Sample preparation is very easy. The acquisition though acquires ultra- high vacuum (UHV) environment (≤ 10−9 torr). It has also been extensively used in 2D material studies. Based on the spectra acquisition, chemical bonding206, element doping207, strains208, charge carriers changes209,210, etc can be measured within XPS detection limits. It can also be used to measure sample thickness and hints for potential chemical reactions210–212.

2-4-5 Transmission electron microscopy (TEM)

Transmission electron microscopy (TEM) uses a beam of very high energy electrons to examine objects on a very thin scale. The advantage of using electrons is that their high energy and short wavelength could help achieve a very high resolution (~Å). When a beam of high energy electrons goes through a sample, they could be either elastically or inelastically scattered by the atoms consisting of the object. Based on the collective electron-atom interaction, material’s structural, composition or chemical bonding information could be extracted213,214.

Instrumentation diagram is shown in the Figure 2-15215. Electron gun produces a beam of electrons with the same energy. They are then focused to a small, thin, coherent beam by condenser lenses, which controls the spot size and the spot size focused onto the sample. The beam of electrons strikes the specimen. Part of it gets transmitted. The transmitted portion would then be focused by the objective lens and got enlarged by the projector lens forming an image, which contains sample structural, electric information.

38

Figure 2-15 Schematic demonstrating the inner structure of transmission electron microscopy. Figure is adapted from Reference 215215.

For the non-scattered electrons, their counts are inversely proportional to the specimen thickness. For the elastically scattered electrons, their path followed the Bragg’s law: 휆 = 2푑 ∗

푠𝑖푛휃,⁡where 휆 is the scattered electron wavelength, 푑 is the space between the atoms in the specimen and 휃 is the angle of scattering. These scattered electrons are collected by magnetic lenses to form a pattern of spots which corresponding to a specific lattice spacing inside the specimen. As a result, structural orientation, atomic arrangements and phases in the selected area could be examined. For the inelastic scattered electrons, their energy loss is related with interatomic electron transition, which is characteristic of the elements that were interact with. As a result, based on their

39 energy loss, compositional and bonding information could be retrieved, which forms the basis for

Electron energy loss spectroscopy (EELS).

2-5 Basics of Field effect transistor (FET)

2-5-1 Field effect transistor

One of the most widely used transistors used in analogue and digital technologies is field effect transistors (FET)216,217. These devices are those whose current is controlled by an electric field. There are many variants, but the basics are the same: a voltage is acted on a control input and produces an electric field that affects the current between the other two terminals. The following diagram 2-16a-b schematically shows the construction of n-channel FET and p-channel FET.

Basically, the gate voltage controls the thickness of the channel material. Take n-channel FET as an example, making the gate more positive will attract more electrons to the channel region and

퐿 thus making it thicker. Based on the equation for resistance 푅 = 휌 where 퐿 and 퐴 are the length 퐴 and cross section area of the compound through which electrical current passes through. With the resistance of channel material decreased, the passing current gets enhanced. On the other hand, when the gate becomes more negative, the total resistance gets increased and leads to “depleted” current. Schematics are shown in Figure 2-16c-d218.

Figure 2-16 Schematics of structures of (a) n-channel and (b) p-channel field effect transistors. Channel thickness varies under (c) positive gate voltage (d) negative gate voltage. Figures are adapted from Reference 218218.

40 The ideal metal-oxide field effect transistor (MOSFET) is constructed in Figure 2-17a-b. The threshold voltage (푉푇퐻) is defined as the applied gate voltage which could create an inversion layer in the MOSFET devices. When the gate voltage 푉퐺푆 < 푉푇퐻, there is no current in the device. When

푉퐺푆 > 푉푇퐻, a drain-source current is created within the channel material (i.e. Inverted layer).

Figure 2-17 Ideal metal-oxide field effect transistor when in (a) on and (b) off state; (c) Schematic demonstrating MOSFET being turned on; (d) dynamic 𝑖퐷 − 푉퐷푆 relationship during the tuning on process; (e) Saturation dependence on the applied voltage

At small value of drain voltage (푉퐷푆), the channel inversion layer is approximately uniform distribution and the channel current is linearly increased with the increase of drain voltage.

However, at larger values, due to the equation 푉퐺퐷 = 푉퐺푆 − 푉퐷푆, the voltage drop near the drain terminal decreases, so does the charge density near the drain side. As a result, the current is no longer linearly related with 푉퐷푆 and the slope is decreasing. When the channel at the drain end reaches the pinch-off point, the drain current reaches the saturation value and the drain voltage is called saturation voltage (푉퐷푆,푠푎푡). For the operational transistor, this saturation current is call “ON” current. When 푉퐷푆 continues to increase, the pinch point moves toward the source terminal. The

41 schematics is shown in Figure 2-17c. In the ideal MOSFET, the drain current is constant for 푉퐷푆 >

푉퐷푆,푠푎푡. The dynamic 𝑖퐷 − 푉퐷푆 relationship is shown in the Figure 2-17d.

The above dynamic trend does not change based on the applied gate voltage, but the saturation current and saturation voltage will (Figure 2-17e). Ideally, during the non-saturation

2 region, drain current is described based on the equation: 𝑖퐷 = 퐾푛[2(푣퐺푆 − 푉푇퐻)푣퐷푆 − 푣퐷푆], where

휇 ∗퐶 푊 퐾 is the conduction parameter. 퐾 = 푛 표푥 ∗ , where 휇 is the electron mobility in the inversion 푛 푛 2 퐿 푛

푊 layer and 퐶 is the oxide capacitance per unit area. is the transistor design parameter. During 표푥 퐿

2 the current saturation region, 𝑖퐷 = 퐾푛(푣퐺푆 − 푉푇퐻) .

For an ideal transistor, 𝑖퐷 = 0 when there is not drain voltage applied. A weak inversion layer exists in the channel region, through which a finite current could pass. This is called as “OFF” current. The ratio of ON/OFF current is a characteristic of the transistor. The 𝑖퐷 slope between

“ON” and “OFF” states describes the rate at which a transistor could be turned on. This slope is named subthreshold swing (SS) and defined to be the inverse slope of the log 퐼퐷 ⁡푣푠. 푉퐺푆⁡. It usually has the unit of mV/decade. Due to the thermal-dynamic barrier and Fermi-Dirac distribution of electrons, the intrinsic limit, also known as “Boltzmann limit” in the SS value is equal to 60mV/dec at room temperature.

Phase field effect transistor (phase-FET) is one variant of MOSFET where phase transition materials are incorporated in the MOSFET devices219–222. These phase transition materials usually have different states corresponding to low-resistance state and high-resistance state. When they transit to low-resistance state, a steep switching slope and enhanced ON current could be observed.

2-5-2 Device fabrication

Strictly control over each step of device fabrication needs to be carefully supervised223.

Cleanness and elimination of contamination are necessary after each process step. It has been

42 emphasized that the active heart of solid-state devices replies on the purity of crystalline semiconducting materials. The defect level of semiconducting material should be less than part per

108 or even 1010. Doping technique should be in a controllable way and be controlled as low as part per 109. Device fabrication usually consists of a sequence of oxidation, lithography, doping, layer deposition and etching process, etc. Basic descriptions are in the following.

Photolithography remains a cornerstone technology for semiconductor industry improvement. It is a standard process of transferring a pattern onto a target material. The pattern is usually designed by computer-aided-engineering (CAE) packages. The schematics is shown in Figure 2-18224.

• First: a light-sensitive photoresist is spun on the target wafer at a few thousand rpm. The liquid is baked dry and produces a uniform photoresist layer. • Second: the photoresist is exposed to ultraviolet light through a mask which contains the pattern that is required. Light then softens the positive resist and hardens the negative resist. • Third: the wafer is sprayed with a developer solution, which washes away the softer parts of the resist. • Fourth: the wafer with patterned photoresist is baked again at 70-200°C to harden the resist to help prevent the bottom region of the film from being etched away in the next step. It is always necessary to check the resist pattern before the etching step because it is much easier to repeat the pattern step than repair the etched film. • Fifth: the wafer is etched by etching solution to move the unwanted film, which is the region where photoresist was removed. • Sixth: remove all the photoresist and clean the film surface, preparing it for the next addition step.

43

Figure 2-18 The schematics of photolithography process (1) photoresist deposition (2) photoresist exposure (3) photoresist develop (4) transferring pattern onto the substrate

Doping elements are introduced into the material to change the majority carrier type in a controllable way. The first method is diffusion doping, which depends on concentration gradients near the surface. The wafers are heated in the gaseous environment which contains the dopant elements. This method could treat up to a hundred wafers at the same time. Three drawbacks have limited the use of diffusional doping: first, the total dose can only be controlled to 5% or worse; second, the highest dopant concentration is at the surface; third, the total dose and the junction depth are dependent. Ion implantation has been replacing diffusional doping due to its advantages over those three points. Though it can only process one wafer at a time and is more expensive and sophisticated, it doesn’t require high process temperature, which is a big plus for temperature- sensitive wafers (ex. GaAs, which cannot tolerate temperature higher than 700°C). In this method, doping atoms are accelerated in a vacuum by falling through a potential of 3-500kV and

44 then aimed at the semiconducting wafer. The active dopants are usually buried under the surface and their distribution follows the Gaussian curve:

2 −(푥 − 푅) −3 푁(푥) = 푁0푒푥푝 2 푚 2휎푅

푁0 is the peak concentration, R is the depth of the peak concentration below the surface, 휎푅 is the standard deviation of the distribution. The main drawback of ion implantation is that it could cause surface damage with ion bombardment and dopants may not take up proper doping position after bombardment. The solution is high temperature annealing to heal the surface damage and repair the crystalline structure with dopant incorporation. For temperature sensitive substrate, adding a capping or protective layer would be necessary to avoid unwanted element’s evaporation.

Masking and Oxidation To protect the semiconducting film and prevent unwanted doping in certain regions of the wafer, masking or creating a protection window is important. The requirement for protection layer material is to withstand high temperatures and be inert to dopant reactions.

Silicon nitride (Si3N4) and silicon dioxide (SiO2) are two most common choices. The latter has more important roles as it is insulating and can be formed directly from silicon without adding another synthesis step to the Silicon-based semiconductor process. As an insulator, it could isolate two levels of conductors or semiconductors. As a doping mask, it is especially useful in diffusional doping. As a passivation layer, it could help terminating silicon surface and decrease the active dangling bonds on the surface. Dry oxidation and wet oxidation are two common ways to produce

SiO2 layers on Si substrate. The reaction equations are shown in the following:

Si+O2→ SiO2 (dry oxidation)

Si+H2O→SiO2+H2 (wet oxidation)

Deposition Thin films could be deposited onto the substrate via multiple ways. Film’s quality is paramount for device performance. Some common deposition methods and their strengths are listed in Table 2-1.

45

Table 2-1 Synthesis methods and corresponding examples

The principle of CVD is outlined in the Figure 2-19a. Basically, it is the formation of a non- volatile solid film on a substrate by the reaction of vapor phase chemicals (reactants) that contain the required constituents. The reactant gases are introduced into the reaction chamber and are decomposed. Some reaction products are either physiosorbed or chemisorbed onto the substrate surface. The non-volatile molecules are pumped away into the exhaust. The chemicals left on the surface forms nucleation site. Afterwards, layer-by-layer growth or island growth will start depending on which mechanism is more thermodynamically favorable. Moreover, film growth can be affected by other external factors (pressure, temperature, carrier gas glow rates, etc.) and could be especially influenced by surface morphology (defects, step edges, etc.). The deposition rate is comparably low and ranges from 30-300nm/min. The biggest advantage is that it could produce conformal deposition over steep steps, in contrast to thickness variations from sputtering or thermal evaporation deposition.

Figure 2-19 (a) Schematic demonstrating sequences in the chemical vapor deposition process. (b) Some variants and their characteristics of chemical vapor process.

Circuit integration A semiconductor fabrication line can produce a range of devices-resistors, capacitors, diodes or other active transistors on a wafer surface. These elements would then be

46 combined in a circuit in order to perform certain functions, such as amplification, digital data storage, manipulation or filtering. Hundreds of circuits are subsequently constructed on a large wafer to produce a single piece of semiconductor. The design would usually be assisted by computer-aided design tools (CAD). The motivations to drive the improvement in the semiconductor market is included but not limited to reduced size; improved reliability; minimized costs and improved performance.

2.5.3 Device measurements

Four-probe resistance measurement

Four-point probing is a method of measuring the resistance of a substance and is very useful in evaluating micro-electronics. Compared with two probe measurement, it overcomes the problem of contact resistance and probe resistance. The basic set-up is shown in the Figure 2-20a. Current goes through the two outer probes and the voltage difference between the two inner probes is measured. Therefore, the resistance of the material can be measured based on the Ohmic Law.

푈 푅 = 퐼

Capacitance voltage (C-V)

Capacitance-voltage measurement provides a variety of information about device and materials characteristics and can determine a few of semiconductor parameters. The measured capacitance for the device is:

퐶 = 퐼/2휋푓푉퐴퐶

where 퐼 is the magnitude of the AC current through the device, 푓 is the test frequency and

푉퐴퐶 is the magnitude and phase angle of the measured AC voltage.

Physically, capacitance, C, is determined from the variables in the following equation: ĸ 퐶 = 퐴 × ( ) 푑

47 where A is the area of the capacitor, ĸ is the dielectric constant of the insulator and d is the separation of the two plates.

During wafer process, C-V test could reveal oxide thickness, oxide charges, mobile ions and interface trap density. After devices (including bipolar junction transistors, junction field effect transistors, III-V compound devices, photovoltaic cells, MEMs devices, organic TFT displays, photodiodes, carbon nanotubes, etc.) are fabricated, C-V measurements can be used to characterize threshold voltages and other parameters to evaluate device reliability. Typical C-V image is schematically shown in Figure 2-20b. With increase in the voltage, the surface concentration decreases and a depletion layer forms, leading to a decrease in the capacitance. Further increasing voltage in the other direction above Vmin yields a capacitance that is dependent on the frequency. a) At low frequencies, the capacitance will increase as an inversion layer forms at the oxide-silicon interface, so the capacitance approaches the oxide capacitance. b) At high frequencies, there is no enough time for charge’s recombination-generation, so the capacitance remains at the depletion value. c) For short pulses, equilibrium could not take place even in the depletion region. Therefore, the capacitance keeps decreasing.

Figure 2-20 (a) Schematic of four-probe resistance measurement; (b) Capacitance-voltage curve under low, high frequencies and short pulsed voltages (c) Schematic of Hall measurement. Figures are adapted from Reference 225225.

Hall measurement

Hall measurement could identify the type of charge carriers and determine their mobility and concentration. This process is based on Hall-Effect where current in a conductor can be affected by a magnetic field in the other direction. The impact could be measured by a Hall voltage, which is perpendicular to both current and magnetic field on the conductor. Both DC and AC can be used

48 in the Hall measurement. The latter one shows more advantages in low mobility material measurements, and has large applications in solar cell, thermoelectric and semiconductor devices226–228. Measurement schematic is shown in Figure 2-20c. Based on the equilibrium between electric field force and magnetic Lorentz force, the Hall voltage 푉퐻 can be deduced to the following equation:

푅 ∆푉 = 퐻 × 퐼 × 퐵 퐻 푑 1 푅 = 퐻 푛푞

Where 푅퐻 is the Hall coefficient, which indicates the sign of the charge carriers: for positive holes, 푞 = +푒; for negative electrons, 푞 = −푒. Furthermore, the carrier mobility can be determined based on 휎 휇 = = 휎 × 푅 푛푞 퐻

The mobility could then be determined when the conductivity is measured.

49 Chapter 3

Precursor and substrate interactions

The work reported in this chapter was done in cooperation with Hongyeun Kim from Prof. Zi-Kui

Liu group. Most of the results in section 3-3 are included in the manuscript “Freestanding Ultra- thin silica and method of formation” which is in the revision phase. The authors include Rui Zhao,

Hongyeun Kim, Joshua Stapleton, Zi-Kui Liu, Joshua Robinson.

Primary Contributions of this work to Literature

• Precursor selections are important in controlling synthesis outcomes. Tantalum precursors are very stable and hard to be directly sulfurized to form 1T-TaS2. • Growth promoter could help reduce deposition temperature and achieve large crystal domain size. Adding tellurium helps reduce tantalum atom and form TaS2. • Chalcogen precursors (sulfur vapor) would impact silicon substrate and lead to “etching” problem. • Ternary phase diagrams of Si-S-Te have been carried out under selected conditions. It is shown that the addition of tellurium catalyze the reaction of sulfur and silicon. With this knowledge, chemical vapor etching (CVE) has been designed to synthesize freestanding ultra- thin silica film. • Direct personal contribution to this section include understand the chemical stabilities of several tantalum precursors and their reaction with sulfur. Also, I designed the chemical vapor etching process to achieve ultra-thin silica film. The related ternary phase diagram calculations are done by Hongyeun Kim.

3-1 Introduction to precursor selections

Reliable and controllable synthesis of scalable atomically thin 2D layers always remain to be an important research field229. Two major strategies are chemical vapor deposition (CVD) and chemical vapor transport (CVT). The first one has many variations and adds flexibility to synthesis set-up. It opens up ways for TMDs substitution doping, formation of alloys, construction of heterostructures and superlattices etc. One factor that makes CVD method effective in 2D material studies is the large selection choices of synthesis precursors. For group VI TMDs, the metal oxide is the most common metal precursors. For example, MoO3 or WO3 are the most common precursors

50

230,231 for synthesis of MoS2 or WS2 . When the synthesis is through thermolysis of pre-deposit

232 thiosalt, (NH4)2MoS4 has been selected as Mo precursor . For V TMDs, since the corresponding metal oxide has very low vapor pressure, typical precursors are either chlorides or metal organic

233,234 precursors. For example, TaCl5 has been chosen for TaS2 thin film deposition . For scalable electronic-grade thin film synthesis, metal-organic chemical vapor deposition (MOCVD) has its

235–237 236 advantages . For group VI TMDs, Mo(CO)6, W(CO)6 are two most-used metal precursors .

238 There are also studies using MoCl6, WCl6 or WOCl4 precursors . For chalcogen precursors, powder form of sulfur or selenium are chosen in powder-based synthesis. In MOCVD process, sulfur sources are 1,2 ethanedithiol [HS(CH2)2SH], 2-methylpropanethiol [HSC(CH3)3], diethyl

239,240 165 (C2H5)2S or H2S . Selenium sources are dimethylselenium ((CH3)2Se) or H2Se. When adopting organic precursors in the synthesis, unintentional carbon film deposition could be observed, and it degrades films’ electronic properties241. As a result, higher quality crystalline films have been deposited when replacing carbon-containing sources with cleaner sources, such as H2S

242,243 or H2Se . Some examples of CVD set-up are shown in the following Figure 3-1.

51

232 Figure 3-1 (a) Thermolysis of MoS2. Figure is adapted from Reference 232 . (b) Chemical vapor deposition of MoS2 244 with precursor MoO3 and S powders. Figure is adapted from Reference 244 . (c) Chemical vapor deposition of WS2 245 with precursor WCl5 and S powders. Figure is adapted from Reference 245 . (d) Diagram of metal-organic chemical vapor deposition of MoS2 and WS2 using precursor Mo(CO)6, W(CO)6 and (C2H5)2S with H2 and Ar being carrier gases. (e) growth coverage as a function of deposition time. Figures (d-e) are adapted from Reference 237237. (f) Schematic of metal-organic chemical vapor deposition of WSe2 and growth results comparison between H2Se and (CH3)2Se precursors 243 along with W(CO)6. Figure is adapted from Reference 243 .

3-2 Growth assistance agents

To achieve large area growth with large crystalline domains, some external agents have been added into the system along with precursors. Alkali metal halides (MX, M=Na or K; X=Cl, Br or

I) has been studied to help remove moisture and facilitate the transport of transition metal precursors. Growth temperatures for WSe2 and WS2 have been reduced when adding NaCl, KCl,

KBr and KI agents. The as-synthesized films are of high crystallinity and good field effect transistor

52 (FETs) performances246. The schematic illustration and growth results are shown in Figure 3-2.

This “salt”-assisted CVD method has been extensively used to synthesize a wide range of two- dimensional TMDs and demonstrated that sulfurization is much more enhanced when metal oxides become metal oxychlorides244,247. The large growth library is shown in Figure 3-3247. Alkali metal assisted growth is also beneficial to produce TMDs-heterostructures and present a sharp interface248. However, some report also present that alkali metal residue could lead to tensile strains in the as-grown domains, reduce photoluminescence and worsen the transistor performance249.

Figure 3-2 (a) Schematic demonstrating growth set-up. (b-j) WSe2 and WS2 synthesis with alkali metal halides’ assistance and optical images of the as-synthesized crystalline flakes. Figures (a-j) are adapted from Reference 246246.

53

Figure 3-3 The optical images of TMDs, and TMDs-alloys via alkali metal halide assisted synthesis. Figure is adapted from Reference 247247.

Hydrogen (H2) also helps with promoting growth outcomes. It has played an important role in chemical vapor deposition of graphene on copper (Cu) or nickel (Ni) substrates250. The competition of the inter-diffusion of H2 and dehydrogenating chemisorption of CH4 is different on different substrate. It has been found that H2 is an inhibitor for CH4 dehydrogenation on Cu but a

54 promoter on Ni substrate. Optimal ratio of CH4/H2 is necessary to achieve thickness-controllable graphene layers with large domain size (Figure 3-4a). The role of hydrogen in chemical vapor

251 deposition of MoS2 has been studied . It has been found that Sulfur to molybdenum ratio is important to control the microstructure of monolayer MoS2 single crystals and control growth

252 directions (Figure 3-4b) . Adding H2 into the synthesis acts as an inhibitor of the thermal-induced etching effect in the film growth process and a promoter of the desulfurization reaction by decreasing S/Mo atomic ratio and oxidation ratio. Thus, an optimal H2 content is necessary to achieve high quality and continuous MoS2 thin film (Figure 3-4c).

55

Figure 3-4 (a) Schematics of graphene formation by chemisorption/deposition on Cu and precipitation/segregation on Ni. 250 Figure is adapted from Reference 250 . (b) Diagram of MoS2 domain shape evolution based on Mo:S ratio. Figure is 252 adapted from Reference 252 . (c) Demonstrating of H2 impacts in MoS2 crystal formation. Figure is adapted from Reference 251251.

56 Tellurium (Te) itself has demonstrated interesting optical properties when in its hexagonal nanoplates are achieved on flexible mica sheets253. The tellurium based two-dimensional photodetectors have great promising potentials in flexible and wearable optoelectronic devices

(Figure 3-5a). Moreover, tellurium could also play an important role in TMDs synthesis. Tellurium could help passivate MoS2 defects and induce p-doping effect through sulfur vacancy reductions.

Tellurium could also help synthesize TMDs nanomaterials. With the assistance of tellurium during chemical vapor deposition process, the growth temperature for MoS2 and WS2 monolayers has been decreased by 200°C254. The as-synthesized flakes are of high phase purity and crystallinity (Figure

3-5c). In addition, high quality monolayer (ReS2) has been successfully deposited on mica substrate with tellurium assistance255. The principle is based on Te-Re binary eutectic.

Adding tellurium could largely reduce Re melting point and expediates evaporation and deposition rates (Figure 3-5b). The same idea has been found in tantalum disulfide (TaS2) nanoflakes’ synthesis. Adding tellurium helps evaporate Ta elements and enhance its reaction with sulfur vapor

(Figure 3-5d)256.

Figure 3-5 (a) Diagram of helical chain-like structure of Te and hexagonal nanoplates of Te grown on mica substrate. 253 Figure is adapted from Reference 253 . (b) Schematic of Te-assistant ReS2 atomic layer on mica substrate. Figure is 255 adapted from Reference 255 . (c) Schematic of monolayer WS2 nanolayer deposition and binary phase diagram of Te- 254 W. Figure is adapted from Reference 254 . (d) Experiment set-up of Te-assisted TaS2 growth and 1T/2H TaS2 phase ratios based on growth temperature. Figure is adapted from Reference 256256.

57 3-3 The impact of chalcogenide precursors on growth substrate: a study of Si-S-Te ternary phase diagram

Growth substrates could lead to different microstructures during synthesis along with growth parameters (temperature, substrate-source distance, composition of carrier gas, pressure, etc.). All these factors matter when it comes to modulating nanocrystals’ domain size, orientation, thickness control and defect levels25. The chalcogen precursors’ interactions with growth substrate could also impact the as-grown nanocrystals. Based on the phase diagram (Figure 3-6a257), sulfur and silicon forms eutectic point at just above 115°C at extreme high sulfur vapor concentration. Therefore, at elevated temperatures, sulfur vapor could potentially lead to etching of silicon substrate. The problem would be further exacerbated by adding tellurium into the system. The phase diagram of

S-Te (Figure 3-6b257) demonstrates that the trace of Te could further reduce the formation temperature of liquid phase to 50°C.

Figure 3-6 Phase diagram of (a) S-Si; (b) S-Te. Figures are adapted from ASM Alloy Phase Diagram DatabaseTM 257.

Thermodynamic calculation on Si-Te-S ternary systems is carried out to understand the interactions between these three elements. The results are obtained with Thermo-Calc software together with Scientific Group Thermodata Europe (SGTE)258 Substance Database (SSUB5).

Ternary phase diagram under different pressure/temperature conditions are compared. Based on

58 the Figures 3-7-Figure 3-11, Si ratio in the gas phase is dramatically enhanced at elevated temperatures (>1073.15K) and reduced pressures (<100torrs) due to chemical transport.

Figure 3-7 Ternary phase diagram of Si-S-Te at 1torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K

Figure 3-8 Ternary phase diagram of Si-S-Te at 10torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K

Figure 3-9 Ternary phase diagram of Si-S-Te at 100torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K

59

Figure 3-10 Ternary phase diagram of Si-S-Te at 700torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K

Figure 3-11 Ternary phase diagram of Si-S-Te at 760torr under (a) T=1273.15K; (b) T=1073.15K; (c) T=873.15K

The reaction rates with tellurium (Figure 3-12) and without tellurium (Figure 3-13) agents have been compared. It could be seen that tellurium helps decrease the dissociation temperature of

Si at the same external conditions (both temperature and pressure). In addition, the etching impacts with the presence of tellurium is dramatically high at low pressures based on Figure 3-12a-c. Above all, this finding calls for special attention to the “etching” problem when processing silicon substrate in a strong reducing environment. This etching problem has also been found in the case of hydrogen assisted growth259,260.

60

Figure 3-12 Chemical reaction between Si-S with Te assistance

Figure 3-13 Chemical reaction between Si-S without Te assistance

3-4 Synthesis and characterization of ultra-thin-silica (UTS)

Understanding the interactions among Si-S-Te ternary phase, a chemical vapor etching

(CVE) method has been designed to synthesize ultra-thin silica (UTS) film. The experiment set-up is shown in Figure 3-14a. The reactions are carried out in a 2’’ tube furnace. Tellurium powder is placed about 1’’ away from SiO2/Si substrate. The substrate remains perpendicular to the sulfur vapor flow direction. Sulfur is placed upstream at 150°C due to its relatively high vapor pressure.

The temperature profile at the center of the furnace is shown in Figure 3-14b. The etching starts from the substrate edges where silicon is more defective than the rest of the surface. When the center of the substrate is scratched, the etching is initiated at much earlier stage. Infrared

61 spectroscopy (IR) has been acquired on the as-synthesized silica film, fused quartz SiO2 substrate surface and micro-glass (silicon oxide) slide to compare their microstructures. From Figure 3-14c, the ultra-thin silica film from UTS process still retain SiO2 IR peak. However, the spectra shoulder

(~1250cm-1) is missing. This shoulder is corresponding to the interface region between silicon oxide and silicon substrate261. Chemical analysis has been conducted with X-ray photoelectron spectroscopy (XPS) (Figure 3-14d). Silicon 2p and O 1s binding energies have been left-shifted after the CVE process. The decrease in the binding energies after etching process could be resulted from n-type doping from harsh chalcogen (S and Te) environment. However, based on high resolution scan over the entire film, no traceable tellurium signal has been picked up within XPS detection limit (Figure 3-14e).

Figure 3-14 (a) Experiment set up for ultra-thin silica synthesis. (b) Temperature profile at the center of the furnace. On the right, ultra-thin silica film has been found from the edges of the substrate and the scratched center of the substrate. (c) Infrared spectroscopy of CVE silica film, fused quartz SiO2 substrate and micro-glass (silicon oxide) slide. (d) X-ray photoelectron spectroscopy of Si 2p and O 1s binding energies before and after CVE process. (e) High resolution XPS scan indicating no traceable Te 4s peak throughout the film under detection limit.

62 Chapter 4

1T-Tantalum Disulfide (1T-TaS2)

The work reported in this chapter was done in cooperation with Benjamin Grisafe, Ram Krishna

Ghosh, Stephen Holoviak, Dr. Baoming Wang, Dr. Ke Wang, Natalie Briggs, Prof. Aman Haque,

Prof. Suman Datta; Dr. Oliver R. Albertini, Rebecca L. McCann, Dr. Simin Feng, Prof. Mauricio

Terrones, Prof. James K. Freericks, Prof. Amy Y. Liu; Dr. Yi Wang, Dr. Donna Deng, Xuan Luo,

Wen Jian Lu, Prof. Yu-Ping Sun, Prof. Zi-Kui Liu, Prof. Long-Qing Chen. All the work is under the supervise of Prof. Joshua Robinson. Most of the results in section 4-2 are included in the manuscript “Two-dimensional tantalum disulfide: controlling structure and properties via synthesis." 2D Materials 5, no. 2 (2018): 025001. Results from section 4-3 are included in the manuscript "Zone-center phonons of bulk, few-layer, and monolayer 1 T− TaS 2: Detection of commensurate charge density wave phase through Raman scattering." Physical Review B 93, no.

21 (2016): 214109. Study in section 4-4 is from the manuscript "Tuning phase transitions in 1T-

TaS2 via the substrate." Nano letters 17, no. 6 (2017): 3471-3477.

63

• Primary Contributions of this work to Literature A tellurium-assisted chemical vapor deposition method has been designed to synthesize TaS2 micrometer size nanoflakes on SiO2/Si, sapphire, eG substrates and demonstrates robust charge density wave orders in the as-synthesized crystals. • A consistent study on Zone-center phonon modes of monolayer, few-layer and bulk crystal of 1T-TaS2 has been carried out and a correlation between experiment results and DFT calculations has been made. This provides a powerful tool of studying 1T-TaS2 NCCDW/CCDW phase transitions with the assistance of Raman spectroscopy measurement. • Substrate impacts on 1T-TaS2 NCCDW/CCDW phase transitions have been studied. Surface morphology plays an important role in controlling their phase and structural change. Based on this conclusion, substrate surface engineering has been designed to help modulate 1T-TaS2 crystal’s phase change via weak and strong pinning. • Direct personal contribution to this section include design the CVD process of 1T-TaS2, carrying out characterization of the CVD synthesized flakes. Electrical measurements of the CVD TaS2 are done by Benjamin Grisafe. In addition, I exfoliated 1T-TaS2 flakes to get monolayer to multiple layer 1T-TaS2 and carried out temperature dependent Raman measurement to understand the feature evolution with its phase transitions and correlate the results with DFT calculations done by Oliver Albertini. Moreover, I exfoliated 1T-TaS2 onto multiple substrates and studied the substrate impacts on its phase transition via the means of Raman spectroscopy. The related calculation work is done by Dr. Yi Wang.

4-1 State of the art of 1T-TaS2

Hexagonal structure of tantalum disulfide has presented both charge density waves (CDW) and superconducting properties262. This unique property has made it particularly interesting in condensed matter physics55,263–265. When the number of layers decreases, the electronic structure is expected to be dramatically different than its bulk form and electron-electron correlation effects are more standing out266–269. Increased number of researches has spurred the development of varieties of device applications. Multiple research reports have found that the ordering kinetics of the phase transition are becoming extremely slow when thickness is reduced to a few nanometers61,270–274.

Therefore, metastable phases have been observed in thinner flakes. Yoshihiro Iwasa et al. have

275,276 published several studies on developing nano-system memristor based on 1T-TaS2 . The application on 1T-TaS2 memristive characteristics is shown in Figure 4-1. Figure 4-1A-B figures show that a 24nm flake is first supercooled to a NCCDW state at 90K. After being under several

64 voltage scans, resistance was graduated increased and finally stabilized in the CCDW state. The increase in Rs corresponds to the growth of CDW domains as indicated in Figure 4-1B. The system acts like a “resistor with memory” or memristor depending on its history of the voltage application.

The multiple resistor property is shown in Figure 1C-D. The recovery to NCCDW states above the critical temperature (T* in Figure 4-1C) indicates that all the low-temperature conducting states are metastable NCCDW states. Figure 4-1D summarizes the critical temperatures as a function of the

Rs measured at 90K. Basically, Rs decreases when T* increases. Since CCDW at 90K is thermodynamically stable and has high resistivity, the smaller the Rs measured at 90K, the further away from flake’s equilibrium state. Figure 4-1E schematically shows that multiple metastable

CDW states could be realized in a 24nm 1T-TaS2 flake where when commensurate CDW domain shrinks, the flake’s resistance decreases276.

Figure 4-1 A-B Resistance change as a function of voltage cycles at 90K. Schematic in B demonstrates how CDW domain changes at a function of voltage cycle number. C-D Multiple resistor property demonstrates metastable CDW states and the relationship between their critical temperature and resistance measured at 90K. E Summary demonstrating metastable states between CCDW and NCCDW states. Figures are adapted from Reference 276276.

Moreover, interlayer interactions have played an important role in the Mott-Insulator transitions. As the number of layers decreases, layer-layer distance would increase277, which

65 weakens the interlayer interaction62,278. As a result, theoretical model has predicted that the commensurate CDW state is no long stable below 13 layers (~10nm in thickness)279. Both

CCDW/NCCDW and NCCDW/ICDW phase transitions are strongly dependent on film thickness when it comes down to nanometers280–285. As the material decreases in thickness, both transition temperatures would decrease and eventually disappear below certain critical thickness (Figure 4-

2). The proposed periodicities in c-direction is attempting to minimize the sum of interlayer

Coulomb and tunneling energy and at the same time stabilize the in-plane charge order281. Besides, this ordering is also highly dependent on surface defects and dielectric environment. This impact is further enlarged when material’s thickness decreases due to increased surface-to-volume ratios62.

Overall, dimensionality plays an important role in sustaining long-range charge order in 1T-TaS2.

Figure 4-2 (a) Thickness dependent phase transitions in 1T-TaS2 flakes; (b) phase diagram of 1T-TaS2 as a function of material thickness. Figures are adapted from Reference 6262.

66 The phase transition occurring at room temperature from nearly commensurate to incommensurate CDW phases shows promising applications in memory devices273. Logic gates

63,286,287 based on correlated phase transitions also found great values in 1T-TaS2 based structures .

A gate tunable field effect transistor (FET) device based on the heterostructure of 1T-TaS2 layers and graphene has been constructed (Figure 4-3a-b). Thinner flakes require high electric field to trigger phase transition at room temperature due to either surface oxidation62 or quantum confinement of carriers288. The graphene layer itself shows bipolar electronic curve (Figure 4-3c).

Around 1.5V, a flake of thickness 8.8nm alone shows one phase transition in Figure 4-3d. After connecting two materials by gold (Au), a higher electric field is needed to trigger the phase transition in 1T-TaS2 (at ~3.5V). The drain current suddenly increases at gate voltage of -5V and then returns to low values, corresponding to the high-resistance state of 1T-TaS2 (Figure 4-3e). In addition, this abrupt resistance change could be tuned by the gate voltage (Figure 4-3f-g), which opens up opportunities in memory devices288.

Figure 4-3 (a) Schematic and (b) optic image of hybrid field effect transistor (FET) based on 1T-TaS2 and graphene layers. (c) bipolar FET behavior of graphene film. (d) phase transition reflected by abrupt resistance change in 1T-TaS2 of 8.8nm. (e) Id-Vg plot demonstrating the abrupt phase change at a certain gate voltage, which depends on drain voltage 288 Vd. (f-g) resistance and drain current change at different gate voltages. Figures are adapted from Reference 288 .

67 Applying external strain from substrate or ambient pressure has also been used to tune their microscopic electronic orders262,289–292. According to previous studies, ICDW and NCCDW form the rhombohedral stacking in the c-direction. On the other hand, CCDW 1T-TaS2 with a

√13 × √13 superlattice is changed to the triclinic stacking. This structural change also leads to transition temperature hysteresises280. When the applied pressure overcomes the energy gain from the structural change, the NCCDW/CCDW would be completely inhibited (Figure 4-4a).

Therefore, observing the change of c-parameter could be another candidate for CDW order parameter. Moreover, at higher pressures, superconducting phase occurs in the 1T-TaS2 flakes at below 5K and above 50kbar. The entire NCCDW structure forms a coherent macroscopic structure and supports the superconducting state (Figure 4-4b)289. On the contrary, Mott-CCDW phase competes with the superconducting phase since the Mott-induced gap leaves no states for the superconducting condensate262,289. Substrate strains could also affect the phase transitions in the

1T-TaS2 flakes even though only van der Waals interactions are supposed to exist between the material and the substrate. As shown in Figure 4-4c, CaF2 helps stabilize the CCDW/NCCDW phase transition when it exerts a compressive in-plane microscopic strain on the flakes292, which is opposite to the hydrostatic pressure measurements290. The different trends in two phase transitions indicate that they have different charge-ordering mechanisms. The comparison also emphasizes the important role of c-direction ordering in 1T-TaS2 phase transitions.

68

Figure 4-4 (a) Temperature dependence of 1T-TaS2 resistivity as a function of pressure up to 5kbar. Figure is adapted 290 from Reference 290 . (b) phase diagram of 1T-TaS2 CDW states as a function of temperature and pressure. Figure is 289 adapted from Reference 289 . (c)1T-TaS2 CCDW/NCCDW phase transitions on different substrates comparing both tensile and compressive microstructure strains. Figure is adapted from Reference 292292.

4-2 Synthesis of 1T-TaS2

Most of recent progress on 1T-TaS2 rely on chemical vapor transport (CVT) followed by mechanical exfoliation onto different substrates271,277,291. During this method, stoichiometric tantalum powder and sulfur powder are sealed in a quartz tube and heated at 900°C for 10-

293 14days . Iodine or Bromine are the common transport agents to achieve single crystal 1T-TaS2 bulk flakes. The following exfoliation and transfer process could potentially bring in contaminations. In addition, low yield and non-scalable flake sizes become the biggest issues in device applications. As a result, a lot of research efforts have been devoted to synthesizing 1T-TaS2 by chemical vapor deposition (CVD). In one study, a scalable synthesis of atomically thin 1T-TaS2 from 2-21nm has been demonstrated. The precursors used in this work is TaCl5 and S powders,

69 catalyzed by a mixture of H2 and Ar gas. Their high quality has been confirmed by complementary characterization techniques. The robust charge density wave orders have been detected by Raman

270 spectroscopy (Figure 4-5a-c) . In addition, scalable 1T-TaS2 single crystals have been grown on hBN substrate with domain size of a few micrometers. The flake thickness is controlled by deposition temperature, time and precursor concentrations. Hexagonal boron nitride is important for achieving single layer 1T-TaS2 due to more inert surface chemical potentials compared with three-dimensional substrates (ex. SiO2/Si, sapphire, etc.). The material from this method could be used as bolometers and demonstrate a much high sensitivity than VOx, semiconducting yttrium barium copper oxide-YBCO, carbon nanotubes-CNTs and Platinum-Pt, etc (Figure 4-5d-e)233.

Vertical 1T-TaS2 is grown on nanoporous gold-NPG substrate and has demonstrated high- performance electrocatalytic applications (Figure 4-5f-g)234.

Figure 4-5 (a) Controlled synthesis of 1T-TaS2 via chemical vapor deposition method (b) the thickness of as-synthesized 1T-TaS2 as a function of growth time. (c) Raman features of 1T-TaS2 as a function of thickness. Figures (a-c) are adapted 270 from Reference 270 . (d) Scanning electron microscopy of 1T-TaS2 flakes grown on hBN substrate. (e) Raman and atomic force microscopy-AFM of single and bilayer 1T-TaS2 single crystal on hBN. Figures (d-e) are adapted from 233 Reference 233 . (f) Schematics of synthesizing vertical 1T-TaS2 flakes on nanoporous gold substrate. (g) Scanning electron microscopy of nanoporous gold substrate surface and the as-grown vertical TaS2 structures. Figures (f-g) are adapted from Reference 234234.

70 In my work, a novel powder vapor deposition (PVD) method for direct and controllable synthesis of both 1T-TaS2 and 2H-TaS2 has designed. Due to the chemical stability, lower growth temperatures favor the deposition of 2H-TaS2 while at least 850°C growth temperature is needed294,295. Another challenge for precursor selection is that most tantalum inorganic precursors are very stable and hard to get sulfurized. Tantalum chloride may have a higher reactivity but the by-products releasing into the exhaust may result in toxic gases and harm the stainless-steel system.

First, we tried to sulfurize a tantalum film on the sapphire substrate by thermal evaporation or sputtering method. The transformation leads to polycrystalline 2H-TaS2 thin film. Then we tried deposit tantalum sub-oxide film via atomic layer deposition (ALD) to reduce this compound’s chemical stability. With the help of hydrogen catalysis, the film post sulfurization demonstrates hexagonal flake domain sizes. The deposition set-up and sequences are shown in Figure 4-6a-c.

The ALD sub-oxide film has a thickness of around 3.5Å based on the ex-situ spectroscopic ellipsometry. The triangle domain post-sulfur annealing has a size of around 300nm. (Figure 4-6e).

The chemical vapor deposition results are summarized in the table in Figure 4-6d. When the film remains in the stoichiometric ratios, sulfurization hardly leads to successful TaS2 deposition.

Figure 4-6 (a) Schematic demonstrating synthesis of TaOx thin film deposition. (b) Sulfurization of TaOx film from ALD process. (c) Characterization of the as-synthesized triangle domains of TaS2 flakes. (d) Growth summary of CVD results

71 on sulfurization of as-deposited film on sapphire substrates. (e) Atomic force microscopy of triangular domain size of TaS2 flakes. This work is a collaboration work with Dr. Yuanxia Zheng from Prof. Roman Engel-Herbert group.

The as-synthesized TaS2 flake is limited in domain size from the above ALD-sulfurization method, new efforts have been re-devoted into vaporization-based methods. Directly reaction between sulfur with tantalum or tantalum oxide powders is extremely hard in the quartz tube furnace. Therefore, growth assistant agents are added into the system to help promote the growth dynamics. We introduce the use of tellurium into the system to assist reaction tantalum and sulfur powders296. The growth set-up is shown in Figure 4-7a. High purity tantalum and tellurium powders are mixed in the furnace and sulfur pellets are posited at upstream due to its high vapor pressure.

The growth results are summarized in Figure 4-7b and the percentage of 1T-TaS2 on the substrate is highly dependent on the growth temperature. High-resolution transmission electron microscopy

(HRTEM) demonstrates that the as-grown 1T-TaS2 and 2H-TaS2 flakes are of high crystallinity

(Figure 4-7c). In addition, temperature dependent Raman measurements demonstrate

CCDW/NCCDW phase transition is preserved in the CVD 1T-TaS2. However, no structure-change related phase transition has been observed in 2H-TaS2 due to the low transition temperature. On the contrary, the A2 mode to E mode peak intensity ratios are enhanced as temperature decreases, suggesting enhanced inter-layer interactions (Figure 4-7d, e)297. Furthermore, electronic transport of the as-synthesized TaS2 flakes confirm that the transition from NCCDW to CCDW leads an increase in 1T-TaS2’s resistivity while 2H still remains conductive (Figure 4-7f). One note here is that the resistivity change is 2-3x lower than the exfoliated single crystals of 1T-TaS2 grown via

CVT process. This may indicate a higher defect concentration in the CVD flakes compared with

CVT materials86.

72

Figure 4-7 (a) Experiment set-up of tellurium assisted chemical vapor deposition-CVD of tantalum disulfide. (b) Growth summary of 1T-TaS2 percentage as a function of deposition temperature. (c) Transmission electron microscopy of both 1T-TaS2 and 2H-TaS2 via CVD method. Temperature dependent Raman spectroscopy of (d) 1T-TaS2 and (e) 2H-TaS2 single crystals. (f) Electric transport properties of the as-synthesized 1T-TaS2 and 2H-TaS2 single crystals. Figures are adapted from Reference 296296.

4-3 Raman spectroscopy of 1T-TaS2 CDW structures

Raman spectroscopy provides a rapid and precise way of detecting a material’s crystal structure, especially in two-dimensional materials298,299. It could reveal a lot of powerful information including stacking orders300, strains301,302, doping303,304 and alloying305,306, interlayer coupling307–309 and structural change-related phase transitions310,311. Theoretical studies of material’s vibrational properties have also provided supports for the experiment results312. In my work, temperature dependent Raman spectroscopy is conducted on the exfoliated 1T-TaS2 flakes with thickness ranging from monolayer to multiple layers (~bulk crystal). A comparison between experiment results and first-principle calculations of the vibrational properties has been carried out.

Multilayer 1T-TaS2 via chemical vapor transport (CVT) was mechanically exfoliated onto SiO2/Si substrate. Optical microscopy is used to help differentiate flake thickness ranges and atomic force

73 microscopy (AFM) is used to precisely measure film’s thickness. Raman measurements are done via Horiba LabRAM HR Evolution Raman spectrometer with a 488nm Ar/Kr wavelength laser and

1800/mm grating. The laser power is controlled to limit oxidation and burning damage at the sample surface. In the measurement setup, the sample is kept in a sealed temperature stage, which was pumped to below 1 × 10−2푡표푟푟 to help keep oxygen and moisture levels low. The temperature was controlled from 80-310K in a cold-hot cell with temperature stability to be ±1퐾. Polarized

Raman was also collected to study structure-related phonon modes. The polarization angle between the incident and measured light varied from 0° to 90°.

The results are summarized in Figure 4-8313. Under the phase transition from NCCDW-to-

CCDW states, tantalum atomic plane would undergo structural transformation and form

√13 × √13 superstructure. This also corresponds to the Brillouin zone reconstruction. Schematic is shown in Figure 4-8a. The point group for the undistorted 1T-TaS2 structure is D3d. The tantalum and sulfur atoms’ displacement patterns are shown in Figure 4-8b. The point groups A1g and Eg, containing inversion symmetry, are Raman active. On the other side, A2u and Eu modes are infrared- active with changes in the dipole moments. Raman spectra from 1T-TaS2 flakes (monolayer-0.6nm,

5.6nm, 13.1nm, 14.6nm and bulk flake) at both 250K and 80K are acquired and presented in Figure

4-8c. When the crystal structure undergoes periodic phase change, new Raman peaks appear in the

60-130cm-1 and 230-400cm-1. These newly-appeared peaks correspond to the folded-back acoustic and optic modes. There is slight difference in the 5.6nm flake compared with thicker crystals, which may indicate a lattice distortion in the CCDW phase or weaker interlayer interactions. However, this difference is absent in monolayer 1T-TaS2, which may suggest that the barrier for NC-C transition is smaller for monolayer than a few layers. Not a consensus view has been achieved due to surface oxidation problem314, encapsulating layer effects271 or even surface effects315, etc.

Polarized Raman on bulk and monolayer measured at 100K are compared (Figure 4-8d-e). The plot is focusing on the low wavelengths which are indicative of the folded-back acoustic modes-CCDW

74 phase signatures. The results agree well with an early study where it reports that in the trigonal structure, Ag modes are active in the XX configuration while Eg modes are active in the XY configuration316. The calculations show that the Raman signatures are strongly dependent on dimensionality. In addition, they found that the tensile strain would soften the phonon modes, so would enhanced screening effect (Figure 4-8f)313.

Figure 4-8 (a) An schematic of 1T-TaS2 structural and Brillouin zone reconstruction under after the formation of commensurate charge density waves. (b) Optical modes of 1T-TaS2 bulk and monolayer where Eg and A1g modes are Raman active; Eu and A2u modes are Infrared active. (c) Raman spectra of NCCDW and CCDW of 1T-TaS2 with different thicknesses (monolayer-0.6nm, 5.6nm, 13.1nm, 14.6nm and bulk flake). Polarized Raman spectra of (d) monolayer and (e) bulk 1T-TaS2 in CCDW phase where Eg and Ag could be differentiated under different polarization angles. (f)Raman lines from DFT calculations on monolayer and bulk 1T-TaS2 along with 3% tensile strain on monolayer 1T-TaS2. Figures are adapted from Reference 313313.

4-4 Substrate impacts on 1T-TaS2 phase transitions

Many unique properties originate from the interplay between structural phase transitions and nanoscale electronic charge or magnetic pole orders. Lattice strain, resulting from substrate or other environment factors would affect the relative stability of competing structural phases and could potentially play a profound influence on materials’ properties317–320. One study presents that a simple adhesion between VO2 nanobeam and substrate could change the thermodynamic stability

75 between its metallic and insulating phase and thus modulate the local phase transition temperatures.

Tensile strain from substrate helps stabilize the monoclinic insulating phase and therefore enhance the transition temperature. These strain effects on phase stabilization have agreed well with previous studies321,322. The resulting strain has led to periodic alternating nanoscale metal-insulator domains along the beam direction323.

Figure 4-9 (a) A schematic of the relationship between the thermal coefficient of substrate and VO2 aspect ratio change during temperature change. Smaller thermal coefficient brings about longer aspect ratio change. (b) Temperature dependent metal-insulator phase transition in VO2 flakes on four different substrates’ surface (a-SiO2, Si, Al2O3 and CaF2). (c) transition temperature of VO2 flakes as a function of ΔL/L of the substrates. Figures (a-c) are adapted from 321 Reference 321 . (d) Resistivity change in a suspending VO2 flake on SiO2/Si substrate surface. (e) Resistivity change of an on-substrate VO2 nanobeam measured in a four-probe geometry. (f) Schematic of on-substrate SiO2/Si surface and its intrinsic strain patterns. Figures (d-f) are adapted from Reference 322322.

In this dissertation work, the substrate impact on phase transitions in ultrathin 1T-TaS2 has

291 been studied . This may influence 2D 1T-TaS2 synthesis and provide a way of engineering 1T-

324–327 TaS2 phase transition via strain engineering . We observed thickness-dependent phase transition in 1T-TaS2 exfoliated onto SiO2/Si, sapphire and epitaxial graphene substrates. The results are shown in Figure 4-10. As mentioned earlier, several critical thicknesses are pointed up that below which, 1T-TaS2 phase transition orders may be affected to certain degrees. Figure 4-

76 10a-b are two groups of bulk crystal’s phonon mode transition as a function of temperature. Low wavenumbers (50-150푐푚−1) correspond to tantalum atom movements while higher range (220-

400푐푚−1) corresponds to sulfur atom movements328. The transformation from NCCDW to CCDW phases are indicated by a newly-appeared group of phonon modes near 75푐푚−1 during the cooling cycle. The peak near 100푐푚−1 is proposed to be resulted from acoustic modes folding back to the

Γ point upon NC/C phase transition313. For thick flakes (with thickness above 500nm), no differences in Raman spectra as a function of temperature would be observed and transition hysteresis is only 10K. This is different from electrical measurements during which CDW domain transformation is more sensitive to local deformations. When the thickness is down to a few tens of nanometers, the transition temperature during cooling cycle becomes lowered while the transition hysteresis gets broadened. This trend is similar on the substrates that are under study, but the degree of changes is not the same. As shown in Figure 4-10e, thinner flakes are more affected on SiO2/Si substrate than those on eG substrate. This may be due to electron doping from eG substrates. As previously calculated, electron doping may help stabilize CCDW structure in both monolayer and bulk form of 1T-TaS2, which could lead to comparably higher phase transition temperatures329.

77

Figure 4-10 Raman spectra of 1T-TaS2 crystal on SiO2/Si substrate as a function of temperature during cooling cycle: wavenumbers in the range of (a) 50-150푐푚−1and (b) 220-400푐푚−1. Tantalum atom phonon mode positions as a function of temperature during (c) cooling cycle and (d) heating cycle. (e) Transition temperatures during both cooling and heating cycles from the flakes exfoliated onto SiO2 substrate, sapphire and eG substrate as a function of thickness. Figures are adapted from Reference 291291.

To understand which role the substrate has played in controlling 1T-TaS2 phase transitions, two other substrates (vanadium dioxide-VO2 and strontium vanadium oxide-SrVO3) have been included in the study. To make the comparison consistent, 18nm 1T-TaS2 have been studied on all the substrates. Based on the Raman spectroscopy recording the CCDW-related phonon mode evolutions, their CCDW/NCCDW transition temperatures during both cooling and heating cycles have been investigated. As shown in the Figure 4-11a-b, no strong correlation between phase transition and surface electronic properties could be made. However, a trend has been found between substrate surface roughness and transition temperatures. For rough surfaces (SrVO3 and

VO2), 1T-TaS2 has a smaller transition hysteresis and higher/lower transition temperatures in the cooling/heating cycles. However, for the smoother surfaces (SiO2, sapphire, eG), they tend to have larger transition hysteresis and lower/higher transition temperatures during cooling/heating cycles.

The latter case may be resulted from enhanced substrate-material interactions based on higher ratios

78 of connected touching area between substrate surface microstructures and sample flakes. The same phenomenon is also found in MoS2 where periodic interactions with substrate are enough to drive band structure modifications330.

Figure 4-11 (a) Comparison of substrate surface roughness among five substrate surfaces under study (SrVO3, VO2, SiO2, sapphire, eG). (b) Phase transition temperatures of 18nm 1T-TaS2 exfoliated on different substrates. Figures are adapted from Reference 291291.

To further understand the substrate impacts and apply our knowledge to modulate 1T-TaS2 phase transitions, we have designed a nanotextured surface on SiO2/Si to mimic the valley/mesa surface microstructures that occur in SrVO3, and VO2. The SiO2/Si surface patterning process via photolithography is schematically shown in Figure 4-12. As shown in Figure 4-13a, the exfoliated

1T-TaS2 flakes span multiple mesa/valley patterns. Atomic force microscopy (AFM) is used to measure the curvature and thickness of the 1T-TaS2. To make the results comparable, 18nm 1T-

TaS2 is of most interested. The surface morphology shows that the exfoliated flake on the patterned substrates has the highest curvature occurring at the mesa edge (Figure 4-13b,c). On the contrary to what was expected, the exfoliated flakes over the trench region bend upwards. This is the case is all the samples tested. The logic behind this is that the pulling force from exfoliation process lifts the suspended region of 1T-TaS2 flakes. The mesa region of the substrate surface acts as the pinning centers. The angle representing the ratio of bow height (ℎ) to the horizontal length (푙) of the suspended region is defined as pinning angle 휃. The curvature of 1T-TaS2 flakes indicates

79 nonuniform strains across the sample. As previously reported, the strain is reversely related with

푡 푡 the radius of the curvature according to the equation 휀 = ( ) (푅 − ) + 휀 ; where ε is the 2 2 푎푑푑 bending strain, t is sample thickness, R is the curvature radius and 휀푎푑푑 is the additional residue

331 strain . Based on the Raman spectra changes, 18nm 1T-TaS2 flakes of different pinning angles demonstrate dramatically different phase transition temperatures. As transport and spectroscopy studies on static and dynamic properties of CDW states suggest, “pinning” could represent the strength of the interactions between CDW states and impurities or other external stimuli332,333. The pinning effects here from the patterned substrate result in both tensile and compressive strains that could frustrate the CDW modulations334. The phase transition temperatures are summarized in

Figure 4-13d. As pinning angle increases, the NCCDW/CCDW phase transition temperature decreases during the cooling cycle, indicating an increased energy barrier in the structural change.

However, at higher pinning angles (30° and 38°), the commensurate CDW phase are under stronger pinning forces, which may also inhibit the structural change from commensurate phase to nearly commensurate phase335.

Figure 4-12 Schematic of patterning SiO2/Si substrate surface into mesa/valley trenches

80

Figure 4-13 (a) Schematic figure demonstrating 1T-TaS2 being exfoliated onto patterned SiO2/Si surface. (b) Atomic force microscopy-AFM scan of the curvature of exfoliated 1T-TaS2 on the patterned substrate. (c) Line scan demonstrating the morphology of both exfoliated 1T-TaS2 and patterned substrate. (d) NCCDW/CCDW phase transition of 18nm 1T-TaS2 on the patterned substrate surfaces based on Raman feature changes during both cooling and heating cycles. Figures are adapted from Reference 291291.

In summary, substrate impacts on 1T-TaS2 CDW phase transitions have been studied. It has been concluded that the surface morphology has played a very important role in controlling

NCCDW/CCDW phase transitions. This knowledge has been further applied to SiO2/Si surface engineering in order to modulate 1T-TaS2. Weak pinning and strong pinning forces have been induced into the exfoliated flakes with 18nm and their charge density waves are strongly dependent on the pinning angles. The metastable CCDW phase at higher temperatures are observed at pinning angles of 30° and 38°. This study provides a route of modulating 2D-CDW properties via surface morphology engineering. The enhanced CCDW structure is also promising for a variety of

336,337 electronic devices which are operating at room temperature .

81 Chapter 5

Functionalization of 1T-TaS2

The work reported in this chapter was done in collaboration with Benjamin Grisafe, Dr. Ram

Krishna Ghosh, Dr. Ke Wang, Prof. Suman Datta. All the work is under the supervise of Prof.

Joshua Robinson. Most of the results in section 5-2 are included in the manuscript “Stabilizing the commensurate charge-density wave in 1T-Tantalum Disulfide at higher temperatures via Potassium

Intercalation” Nanoscale, 2019, 11, 6016-6022. Results from section 5-3 are included in the manuscript

“Electrically triggered insulator-to-metal phase transition in two-dimensional (2D) heterostructures” Applied Physics Letters, 113(14), p.142101.

• Primary Contributions of this work to Literature Intercalation of 1T-TaS2 to modulate its CDW orders has been demonstrated. It is found that alkali ion doping helps stabilize the commensurate CDW phase and promote the formation of new superstructure CDW orders.

Potassium ion intercalation of 1T-TaS2 has been realized through chemical vapor deposition and helps stability CCDW structure towards room temperature evidenced by both electric and optic means. • Efforts of developing two-dimensional phase-FET have been devoted in constructing 1T-

TaS2/MoS2 heterostructure via a two-step chemical vapor deposition process. Due to the

proximity effect, the Mott-bandgap opening in the CCDW 1T-TaS2 gets inhibited, which harms the ON/OFF ratio in the device performance. • Direct personal contributions to this work include the design of potassium ion intercalation

of 1T-TaS2 CVD flakes and carry out basic material characterizations. Electric measurement was carried out by Benjamin Grisafe. Related DFT calculations were done by Krishna Ghosh. In addition, I also designed the experiment to directly synthesize the heterostructure of 1T-

TaS2 and MoS2 via a two step CVD method. Benjamin Grisafe carried out all the electric measurements and Krishna Ghosh did the correlated DFT calculations on the properties of this heterostructure.

5-1 Doping of 1T-TaS2

Doping has been an efficient way of modulating materials’ electronic, magnetic and thermal properties86. Establishing methods for robust substitutional doping could help expand the material’s

82 functionality and open up their opportunities in the next generation application338. The electronic structure (including binding energy, band gaps, density of states near Fermi level) of transition

339 metal doped 1T-TaS2 has been investigated by first principle calculations . Monolayer 1T-TaS2 has a CdI2 crystal structure with all Ta atoms octahedrally coordinated by S atoms. In this structure, all the tantalum atoms in 1T-TaS2 share the same crystal position, only one possible metal atom doping position exists. Figure 5-1 compares the structural change in 1T-TaS2 after being doped with different transition metals. Due to differences in the atomic radius, metal doping leads to either expansion or contraction of the lattice structure. The distances of S-S and Ta-Ta in pure TaS2 are baselines for comparison marked by dotted lines in Figure 5-1b. The distortion rates based on Ta- metal or S-metal bond length change are 0.90%-8.87% and 0.77%-9.35% respectively. Chemical stability of metal-doped 1T-TaS2 is compared in Figure 5-1c. Their binding energies 퐸푏 are calculated according to the formula 퐸푏 = 퐸−푇푎 + 퐸푇푀 − 퐸푑, where 퐸−푇푎 is the total energy of

4 × 4 × 1 TaS2 with a tantalum vacancy, 퐸푑 is the total energy of 4 × 4 × 1 TaS2 with a transition metal, 퐸푇푀 is the energy of a single transition metal (TM) atom. Among all the transition metals that are under study, the binding energies vary from 1.75 to 15.49eV with W-doped 1T-TaS2 having the largest binding energy. The larger of the binding energy value, the more stable of the doped compound and the easier fabrication of the doped systems are340,341. The band structures of monolayer pristine and doped 1T-TaS2 have been plotted in Figure 5-1d. Conduction band goes across the Fermi level in all the compounds. However, bandgap appears between conduction band and valence band in the Mo, Ru, Rh and Ag doped 1T-TaS2 monolayers with bandgap of 0.34,

0.53, 0.45, 0.31eV respectively. The charge density within the monolayer is summed up in Figure

5-2. The yellow and blue colors represent the gain and loss of electrons. Charge rearrangement occurs due to the electrochemical stability differences between tantalum atom and other doped transition metal atoms. Electron accumulation and depletion is mainly concentrated on the doped

83 atom. Overall, the charge transfer density increases as the atomic number increases except W. This also corresponds to the binding energy calculation in Figure 5-1c339.

Figure 5-1 (a) A schematic of monolayer 4 × 4 × 1 1T-TaS2 with one tantalum atom replaced by another transition metal atom. (b) Bond length changes of Ta-doped metal and S-doped metal. The baseline (green and black dash lines are from pristine 1T-TaS2 monolayer structure). (c) Binding energy values of transition metal doped monolayer 1T-TaS2 film. The black, red and blue represents transition metals from group III, IV and V rows in the elemental table. Figures are adapted from Reference 339339.

Figure 5-2 Charge density changes of transition metal-doped TaS2 with spin-orbit coupling (SOC). (a) Mn, Fe and Cu doped monolayer 1T-TaS2. Electrons on tantalum atom drops from 3.39eV to 3.21eV. An increased number of electron transfers occur in (b) Mo, Ru and Ag doped (c) Hf, W and Ir doped monolayer 1T-TaS2. Least number of electron transfer −3 3 occurs in the W-doped monolayer 1T-TaS2. The iso-surface value is set to 5.89 × 10 푒/Å . Figures are adapted from Reference 339339.

Doping of 1T-TaS2 has been realized in experiment and studies of their impacts on charge density wave transitions have been carried out. Copper doping of 1T-TaS2 increases the disorder in

84 the structure and inhibits the ICDW/NCCDW. Incommensurate CDW phase is present at room temperature342. The structural disorder causes an Anderson-type localization of the conduction electrons, which is represented by an increase in the conductivity and Curie-type paramagnetism at low temperatures. The band structure change is shown in Figure 5-3a. The same effects are found in other transition metal doped 1T-TaS2 due to the high sensitivity of the charge density wave orders to the local structure change and defects. Cation doping with titanium-Ti, zirconium-Zr, hafnium-

Hf, vanadium-V and niobium-Nb show great suppression in the formation of commensurate CDW of 1T-TaS2. Beyond doping level of 10%, no CCDW is present. However, selenium-Se doping in

343,344 1T-TaS2 does not have similar suppression effects . Same effects are found in Ti doping where structure disorder completely quench CCDW phase formation (Figure 5-3b)345. Doping with iron-

Fe, -Co, and nickel-Ni shows large increase in the resistivity at low temperatures due to

Anderson localization effects with the presence of charge density waves. It is believed that without

CDW, the resistivity enhancement would not appear346. Moreover, Fe-doping has induced

347 superconductivity in 1T-FexTa1-xS2 at 2.8K when x=0.02 (Figure 5-3c) .

Figure 5-3 (a) Comparison of total and projected electronic density of states (DoS) of 1T-TaS2 and 1T-Cu0.25Ta0.75S2 under calculation methods-PBE+D/PAW. Figure is adapted from Reference 342342. (b) Temperature dependent resistivity 345 change of 1T-Ta1-xTixS2-δ where x=0, 0.04, 0.08 and 0.12. Figure is adapted from Reference 345 . (c) Temperature dependent of in-plane resistivity of 1T-Ta1-xFexS2 single crystals where x=0, 0.01, 0.02, 0.03, 0.04, 0.05. The inset shows 347 the superconducting transitions in the sample 1T-Ta1-xFexS2. Figure is adapted from Reference 347 .

85

5-2 Intercalation of 1T-TaS2

Intercalation within van der Waals gaps is another efficient way of tuning layered transition

22,348 metal dichalcogenides’ properties . Efforts of tuning 1T-TaS2 charge density waves through inserting atoms/ molecules or other layered materials could be traced back to 70s in the twentieth century349. First type of intercalation is organic molecules. They can be classified into two types: one is chemically tightly bound with 1T-TaS2 layers, the other one would form a solvate.

350 351 Ammonium, ethylenedamine (EDA) , hydrazine (N2H4) and other organic molecules have been inserted between 1T-TaS2 layers and it is found that the increase in the interlayer distance and charge transfer between the materials weaken the interlayer coupling, which leads to the disappearance of the phase transition near 190K. 1T-TaS2 with N2H4 intercalation shows strong structure distortion and therefore the electrical conductivity is low even at low temperatures352. 1T-

TaS2 with EDA intercalation shows similar frequency shifts in the CCDW related Raman phonon

353 modes (Figure 5-4) . Polymer/1T-TaS2 nanocomposites poly(ethylene oxide)/1T-TaS2, poly(ethylenimine)/1T-TaS2 prepared by the exfoliation-adsorption method demonstrate much enlarged c-direction crystalline size (~10nm) and increased resistivity (~5.9-13훺푐푚) at low

354 temperatures . However, it has been noted that intercalation of n-hexylamine into 1T-TaS2 may lead to surface damages via powder based ultrasonic means355. In addition, structural degradation and loss of sulfur atoms may occur when the intercalates undergo pyrolytic decomposition356.

86

Figure 5-4 Raman spectra of pure 1T-TaS2 and ethylenediamine intercalated 1T-TaS2 measured at 300K and 2K. Figure is adapted from Reference 353353.

The second type of intercalation within 1T-TaS2 van der Waals gaps is other two-dimensional materials. In one study, the host material is 4Hb-TaS2, which contains alternating 1T (octahedral) and 2H (trigonal-prismatic) structure. Structures of polymorphs of TaS2 family is shown in Figure

5-5a. The electronic structure is investigated via scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS)357. It has found that the CDW gap of pristine sample at room temperature was about 85meV. The CDW gap studied here is mainly from 1T layers since no CDW modulation is present in 2H layers at room temperature. Figure 5-5b plotted the electrical resistance of 4Hb-TaS2 as a function of temperature. The ICDW/NCCDW from 1T layer at 315K has been observed. In addition, the ICDW/CCDW from 2H layer at 22K could also be reflected by resistance change.

87

Figure 5-5 (a) Crystal structures of 1T-, 2H-, 4Hb-TaS2. (b) Resistance change as a function of temperature of 4Hb-TaS2. Figures are adapted from Reference 357357.

The third type of intercalate is elemental atoms through evaporation process. Copper-Cu intercalation transform the √13 × √13 superstructure to 3 × 3 superstructure while the metal- insulator transition at 180K is lost due to the periodic lattice distortions358. By soft chemistry carried out at room temperature, lithium-Li, sodium-Na and mercury induces Ta coordination change from

359 octahedral to trigonal prismatic in 1T-TaS2 single crystals . In addition, intercalation into tantalum compounds may lead to distortion in a collective response to the ordering of the intercalated species360. The intercalation impacts are also highly reliant on intercalating process and the intercalate species. In previous studies, sodium ion361, copper ion358, caesium ion362, lithium ion362 and rubidium ion363 all show enhancement in the commensurate CDW phase transitions.

In this dissertation work, one route of synthesizing 1T-TaS2 flakes with potassium ion intercalation via direct chemical vapor deposition has been devised364. The experiment set-up is demonstrated in Figure 5-6a. The process is carried out in a two-inch tube. Tantalum disulfide powder is mixed with potassium chloride, which helps increase the vapor pressure and growth coverage on the substrate surface. The mechanism is schematically shown in Figure 5-6a. At elevated temperatures, potassium chloride-KCl would dissociate, and the evaporated ion species would pick up the tantalum sulfide powders and transport them onto the sapphire substrate. During the material deposition process, some potassium ions would be left with the TaS2 flakes as

88 deposited on the substrate. At optimal mass ratio of precursor and KCl, potassium ions would be intercalated into the 1T-TaS2 layers. The amount of growth assistant agents-KCl plays an important role in controlling the phases of the as-synthesized flakes. At high ratios, the percentage of 1T-TaS2 phase would dominate. At low ratios, the excess of KCl would promote the structural change from octahedral (1T) to trigonal prismatic (2H). This trend is detailed plotted in the Figure 5-6b.

Figure 5-6 (a) Schematics of potassium chloride-KCl assisted TaS2 deposition and proposed potassium ion intercalation process. (b) Plot of percentage of deposited 1T-TaS2 phase on sapphire substrate as a function of mass ratio between precursor and added KCl agents. Figures are adapted from Reference 364364.

Characterizations on structural change in 1T-TaS2 brought out from potassium ion intercalation have been carried out. As it can be seen in high resolution transmission electron microscopy-HRTEM and energy dispersive spectroscopy-EDS, potassium ion (K+) has been uniformly intercalated through the 1T-TaS2 van der Waals gaps (Figure 5-7a,b). As compared in

Figure 5-7c and Figure 5-7d, c-direction length of a total of sixteen layers has increased. This indicates enlarged layer-layer distance resulted from K+ intercalation. As reported earlier, the larger separation distance may reduce interlayer band dispersions and help open a gap between the

365 chalcogen p and transition-metal d orbitals . Raman spectroscopy demonstrates pristine 1T-TaS2 at room temperature preserves the dominant phonon mode at 78cm-1. However, for the intercalated flakes with thickness ranging from 10nm to 30nm, there are two wavelengths occurring in this region (Figure 5-7e). X-ray photoelectron spectroscopy (XPS) reveals that there is a good ratio of

K+ present in the samples. Higher K+ ratio leads to right shift in its 2p binding energies (Figure 5-

89 7f). This may indicate that the inserted K+ is bonded to S2- since Cl- (from KCl) or O2- from sapphire substrate surface are more electronegative than S2-. The excess of K+ could either be left in KCl pumped into the exhaust or bonded to the surface to help reduce surface energy and enhance deposition rates366,367. In addition, the chemical environments for tantalum atoms. For the pristine

+ 1T-TaS2, tantalum atom 4f binding energies are more symmetric (Figure 5-7g). However, for K intercalated 1T-TaS2 flakes, Ta 4f peaks are having a peak shoulder (Figure 5-7h), which suggests structural and electronic change within the structure, since it is particularly sensitive to the local charge density368. Moreover, the binding energy difference between sub-groups of Ta 4f peaks is indicative of the CDW amplitude368.

Figure 5-7 (a) High resolution transmission electron microscopy-HRTEM and (b) energy dispersive spectroscopy-EDS + + of K intercalated 1T-TaS2 flakes. Cross section TEM of (c) pristine 1T-TaS2 and (d) K intercalated 1T-TaS2 flakes. (e) + Room temperature Raman spectroscopy of pristine 1T-TaS2 and K intercalated 1T-TaS2 flakes. X-ray photoelectron + spectroscopy (XPS) of (f) K 2p, Ta 4f in (g) pristine 1T-TaS2 and (h) K intercalated 1T-TaS2 flakes. Figures are adapted from Reference 364364.

Temperature dependent Raman spectroscopy and resistance measurements are carried out in

+ the pristine and K intercalated 1T-TaS2 flakes. Figure 5-8a demonstrates the Raman feature evolutions with decreasing temperature of the intercalated sample. Comparing with pristine 1T-

TaS2, peaks features related with commensurate CDW structure are observed at close to room temperature. They become even more distinguished as the temperature decreases and indicate

90 Brillouin zone reconstruction brought about by K+ intercalation369. With a close comparison to peak positions, slight red-shifts are found in the intercalated samples. This is resulted from the tensile strain induced by interlayer separation. As indicated in Figure 5-7d, more structure distortion could be observed than pristine sample’s structure (Figure 5-7c). Tensile strain would soften vibrational frequencies of 1T-TaS2. Furthermore, due to the increased number of disorders in the intercalated

-1 1T-TaS2 flakes, the peak splitting is less sharp between wavelength regions of 70-80cm .

Resistance measurement as a function of temperature is plotted in Figure 5-8d. The two-terminal device schematics are shown in Figure 5-8c. Normalized resistivity values could reflect the phase transition based on the abrupt resistivity change. An enhancement in the transition temperature in

+ the heating cycle from 220K (of pristine 1T-TaS2) to 300K (of K intercalated 1T-TaS2) is observed.

However, a reduction ON/OFF ratio is detected. This is probably resulted from increased degrees of defect levels and structural distortions, which also leads to the increase of the overall sheet resistance.

+ Figure 5-8 Temperature dependent Raman spectroscopy of (a) K intercalated 1T-TaS2 and (b) pristine 1T-TaS2 flakes. + (c) Schematic of two-terminal K intercalated 1T-TaS2 device. (d) Temperature dependent normalized resistivity changes + 364 of pristine and K intercalated 1T-TaS2 flakes. Figures are adapted from Reference 364 .

Density functional theory further provides evidence of how K+ intercalation impacts charge density waves (CDW). Pristine 1T-TaS2 in the CCDW phase acts like an insulator along the in- plane direction but a metal along the out-of-plane direction. The band structures of undistorted 1T-

+ TaS2 and CCDW 1T-TaS2 are shown in Figure 5-9a and 5-9b respectively. After introducing K

91 between the TaS2 layers, both a and c lattice parameter increase (Figure 5-9e), which confirms the previous observation in Raman peak change, indicating tensile strain exists throughout the intercalated sample. However, within the David star structure as shown in the inset of Figure 5-9f, both R1 and R2 decrease in length as K+ concentration increases. These two lengths are characteristic of the smallest unit cell of the CCDW structure. Real space charge density distribution of the two structures are calculated and plotted in Figure 5-9g and 5-9h. With K+ intercalation, the charges become accumulated within the David star and more localized on the center Ta atom from the surrounding Ta atoms. The binding energies (BE) of the CCDW structure of pristine, K-doped, K+ intercalated and a mixture of the lateral two are compared in the table in

Figure 5-9i. Overall, K+ intercalation enable the entire structure to achieve the lowest binding energy. This helps illuminate why the commensurate CDW phase gets stabilized towards higher temperatures in the temperature dependent measurements (Raman and resistivity results).

Figure 5-9 DFT calculation on the band structure of (a) undistorted 1T-TaS2 and (b) CCDW 1T-TaS2 bulk structures. 256 Figures (a-b) are adapted from Reference 256 . Plane view and side view of David star in the (c) CCDW 1T-TaS2 and + + (d) K intercalated 1T-TaS2 flakes. (e) Lattice parameter (a and c) change as a function of K intercalation. (f) David star characteristic lengths’ change as a function of K+ intercalation. (g) Real space charge density distribution of (g) pure + + CCDW 1T-TaS2 and (h) K intercalated CCDW 1T-TaS2. (i) Binding energy (BE) calculations of pristine, K-doped, K intercalated and a mixture of the lateral two cases. Figures (c-i) are adapted from Reference 364364.

92

5-3 Heterostructure construction of 1T-TaS2 and MoS2

The drive for the progress of high-performance electronics and optoelectronic applications have placed more quests for fundamental knowledge. 1T-TaS2 is a distinct layered material which exhibits very interesting charge density waves and superconducting properties. More efforts have been devoted in building 1T-TaS2-based heterostructures to further exploit unique phenomena like quantum confinement or proximity effects370–373. In one study, bilayer bismuth (BL-Bi) ultrathin layer has been synthesized on top of 1T-TaS2. Electrons from BL-Bi layer could interact with the modulated electrons in the 1T-TaS2 film, which helps trigger the energy gap opening at Fermi level in Bi thin film (Figure 5-10a). This study provides a new route of modulating band structure by

374 hybridizing with CDW materials . Two dimensional heterostructure based on 1T-TaS2 and MoS2 demonstrates the gate tunable CDW field effect transistors with enhanced switching ratio. The metallic 1T-TaS2 brings about tunable Schottky barrier height at the 1T-TaS2/2H-MoS2 interface and demonstrate low-barrier electrical contact375. Homogeneous interfaces have been formed by stacking chemically exfoliated 2H-TaS2 monolayers. The restacked structure shows enhanced superconductivity resulting from the increased and delocalized electrons near the Fermi level272.

Charge transfer through GaAs and 1T-TaS2 interface could also be affected by the commensurate

CDW formation376. The charge density wave orders have also been modulated and reconstructed

377 in a 1T-TaS2/black phosphorus heterostructure .

93

Figure 5-10 (a) Angle-resolved photoemission spectroscopy (ARPES) intensity plot of momentum distribution curves of bilayer Bi film on 1T-TaS2, bilayer Bi film on Si (111) and second derivative intensity plots of momentum distribution curves of bilayer Bi film on Si (111). Figure is adapted from Reference 374374. (b) Resistance switching in the 375 heterostructure of 1T-TaS2/MoS2 and the interface band structure schematics. Figure is adapted from Reference 375 . (c) Restacked 2H-TaS2 monolayer demonstrating homogenous interface and the enhanced superconductivity up to 3K. 272 Figure is adapted from Reference 272 . (d) CDW modulated band structure in the interface of 1T-TaS2 and n-GaAs 376 substrate. Figure is adapted from Reference 376 . (e) Modulated commensurate CDW structure in 1T-TaS2 contacting black phosphorus measured by scanning tunneling microscopy-STM. Figure is adapted from Reference 377377.

In this dissertation work, two-dimensional phase field effect transistor based on 1T-TaS2 and

MoS2 has been constructed via two-step chemical vapor deposition. The goal is to achieve sub- thermal (푘푇/푞) switching slope, which has a theoretical limit of 60mV/decade. Most of the previous work has focused on metal oxides, for example, vanadium oxide, niobium oxide and tantalum oxide, etc. However, due to their three-dimensional structure, their phase transition could be only marginally altered378,379. Two-dimensional materials with unique electron-phonon and electron-electron couplings have yet to be explored. The diagram for phase-FET based on the heterostructure is shown in Figure 5-11. The working principle of the device is based on 1T-TaS2 abrupt resistivity switching and MoS2 semiconducting electrical properties.

94

380 Figure 5-11 Schematic of the 2D phase-FET based on 1T-TaS2 and MoS2. Figures are adapted from Reference 380 .

Two-step chemical vapor deposition method has been designed. First, monolayer MoS2 single layer domains are deposited on SiO2/Si substrate via the chemical reaction between MoO3

230 256 and S powders . During the second step, TaS2 powders would then be positioned a few inches away upstream from the substrate with MoS2 single crystals on (Figure 5-12a). At elevated temperatures, TaS2 single crystals would be deposited onto the substrate and form either vertical or lateral heterostructures with MoS2 monolayers. Due to the different chemical energies between 1T or 2H phase, 1T-TaS2 is formed at temperatures above 850℃. The images with structural composition are shown in Figure 5-12b-d.

Figure 5-12 (a) Experiment set-up of two-step synthesis of TaS2/MoS2 heterostructure. (b) Optical image of vertical heterostructure of TaS2/MoS2 where TaS2 is grown on the center of MoS2 triangle. (c) Optical image of lateral

95 heterostructure TaS2/MoS2 where TaS2 is grown on the edge of MoS2 triangle. (d) Scanning electron microscopy (SEM) of 1T-TaS2 growth randomly on SiO2/Si substrate pre-deposited by MoS2 single crystal monolayer domains.

Microstructure of the heterostructure has been studied via transmission electron microscopy

(TEM). Based on the cross-section TEM and plane-view TEM, sharp and pristine interface has been observed between two materials (Figure 5-13a). Elemental analysis of the heterostructure could also differentiate the compositions via time-of-flight secondary ion mass spectroscopy (TOF-

SIMS). As shown in Figure 5-13b, tantalum atoms are concentrated on the molybdenum atom edges. No atomic mixture has been observed within the detection limit. Structure analysis by

Raman spectroscopy has also been carried out (Figure 5-13c). The single peak splitting within the group of 70-90cm-1 at 80K is observed in all the samples indicating that the formation of the commensurate phase exists in all the samples. However, peak shifts are observed in the 1T-TaS2 from the heterostructures. This may be due to the strain from lattice mismatch between TaS2 and

MoS2 crystal structures. As reported, there is an approximately 6.33% lattice parameter difference between the two materials381,382. Within the vertical heterostructure, photoluminescence (PL) quenching is observed in MoS2 monolayer due to the metallic electronic behavior of TaS2 (Figure

5-13d).

96

Figure 5-13 (a) Cross-section TEM of vertical heterostructure and plane-view TEM of lateral heterostructure of multiple layer 1T-TaS2 and 2H-MoS2 monolayer. (b) Elemental analysis of the heterostructure measured via TOF-SIMS. (c) Raman spectroscopy of 1T-TaS2 at room temperature (NCCDW state) and at 80K (CCDW) state. (d) Photoluminescence (PL) mapping of vertical heterostructure of 1T-TaS2 deposited at the center of MoS2 single crystal. Figures are adapted from Reference 380380.

The properties of CDW phase transitions in different samples are compared and presented in

Figure 5-14. Two-terminal devices are on the films with Ti/Au contact pads patterned and deposited through evaporation and lift-off process. The device on the heterostructure is slightly different and details are in Benjamin et al. recent work380. The resistance change as a function of temperature and current-voltage characteristics in 1T-TaS2 are plotted and compared in Figure 5-14a. Similar

NCCDW/CCDW phase transitions during cooling and heating curves are observed in the exfoliated

1T-TaS2 and CVD 1T-TaS2, which indicates a high-quality synthetic material. However, in the I-

V plot, though all materials demonstrate an abrupt switching in the resistance change, the current change from the heterostructure exhibits a very large quench. More details benchmarking these three films’ performance are listed in the table in Figure 5-14b. The critical resistivity, critical carrier concentration for each film have been exacted for comparison. It is found out that the resistivity of ‘metallic’ phase of the heterostructure is three times of the other two films. The critical

97 carrier concentration in all three films are close, indicating that the Mott criterion for the abrupt insulator-to-metal transition holds true in the heterostructure. However, due to the increased defects level and the proximity effects between CVD 1T-TaS2 and CVD MoS2 during the two-step process, the ON/OFF ratio is greatly suffered.

Figure 5-14 (a) Two-terminal devices based on the exfoliated 1T-TaS2, CVD 1T-TaS2 and heterostructure 1T-TaS2/MoS2 devices. (b) Benchmark of the device performance with critical resistivity, critical carrier concentration extracted. Figures are adapted from Reference 380380.

Phase-FET based on the 1T-TaS2 and MoS2 is fabricated and the device schematics is shown in Figure 5-15a. The semiconducting MoS2 is back-gated while 1T-TaS2 is positioned on the source side. Therefore, the application of gate to source voltage (VGS) could then control the voltage across the 1T-TaS2 IMT phase transition. Initially the 1T-TaS2 is in the insulating phase, which reduces the effective gate voltage and thus reduces the OFF-state current. When the voltage further increases, the 1T-TaS2 would be triggered to the metallic state via IMT transition accompanied by the abrupt resistance change. The circuit diagram is shown in Figure 5-15a. Figure 5-15b and 5-

15c compared the MoS2 alone FET output characteristics and the heterostructure’s FET output characteristics. No obvious abrupt current jump could be observed in the heterostructure device, which is largely resulted from the lack of an abrupt voltage snapback in the current-mode of the

380 1T-TaS2 (inset Figure 5-15c) . In addition, band-structure of 1T-TaS2 and 1T-TaS2/MoS2

98 heterostructure are calculated and plotted in Figure 5-15d and 5-15e. When 1T-TaS2 is in the

CCDW phase, contacting with MoS2 reduces its Mott bandgap. This may be another factor that prevents an enhancement in the ON/OFF ratio.

Figure 5-15 (a) Schematic of the fully two-dimensional phase field effect transistor-FET based on 1T-TaS2 and MoS2 and circuit diagrams of both OFF state and ON state. (b) FET characteristic of MoS2 monolayer film. (c) FET characteristics of the 1T-TaS2/MoS2 device. DFT calculation of density of states of (d) 1T-TaS2 CCDW state and (e) 1T- 380 TaS2 CCDW state contacting with MoS2 monolayer. Figures are adapted from Reference 380 .

Even though the phase-FET based on 1T-TaS2/MoS2 is not performed as expected and lacks substantial ON/OFF ratio, this work provides insides to the development of two-dimensional material’s heterostructure and their potential in semiconducting device applications based on phase transitions.

99 Chapter 6

2H-Molybdenum Disulfide

The work reported in this chapter was done in collaboration with Chun-Li Lo, Fu Zhang, Ram

Krishna Ghosh, Theresia Knobloch, Prof. Mauricio Terrones and Prof. Zhihong Chen. All the work is under the supervise of Prof. Joshua Robinson. Most of the results in this chapter are included in the manuscript “Incorporating Niobium in MoS2 at Low Temperatures and Its Impact on Copper

Diffusion Barrier Performance for Integrated Circuits” under revision.

• Primary Contributions of this work to Literature Synthesis of MoS2 thin film at 450℃ (BEOL- compatible temperatures) is consistently studied and evaluated by a complementary of characterization techniques. • Niobium incorporation of MoS2 thin film at both 450℃⁡and higher temperatures (>650℃) is devised. Their structural-property relationships are tested and compared. • Nb-incorporated MoS2 thin films synthesized at 450℃ are evaluated as copper diffusion barrier. It is found that although the incorporated Niobium atoms are bonded to oxide and not bond to MoS2 structure, it shows a substantial improvement in the dielectric film’s lifetime under elevated and elongated period of electric stress. • Direct personal contributions to this work is carrying out the study of MOCVD synthesis of MoS2 at both low and high temperatures and basic materials characterizations (SEM, AFM, Raman, XPS). Fu Zhang helped with TEM sample transfer and TEM imaging. Chun-Li Lo helped with carry out the TDDB measurement to test the Nb-incorporated MoS2 as copper diffusion barrier on SiO2/Si substrate. Theresia Knobloch helped with the fabrication of FET devices on the films and measured the transistor properties. Ramki Ghosh helped with related DFT calculation.

6-1 Synthesis and characterization of 2H-MoS2

Molybdenum disulfide (MoS2) has been of great research and industrial interests for potential integration in the phototransistors383–386, chemical sensors387–389, biosensors390–392, battery393–395, and energy applications based on hydrogen evolution reaction396–399. A general schematic is shown in

Figure 6-1.

100

Figure 6-3 (a) Schematic of band structure and structural overview of single layer MoS2 topped by 50nm Al2O3 dielectric 385 and ITO top gate under monochromatic illumination. Figure is adapted from Reference 385 . (b) Overview of MoS2 field effect transistor FET-based biosensor. Figure is adapted from 390390. (c) Schematic of chemical sensor based on 387 monolayer MoS2. Figure is adapted from Reference 387 . (d) Schematic of MoS2 as catalysts for hydrogen evolution reaction. Figure is adapted from Reference 396396. (e) Schematic of an integrated five-stage ring oscillator circuit on 400 MoS2 thin films. Figure is adapted from Reference 400 .

Many efforts have been devoted to achieve high quality and electronic grade 2D materials401.

However, large-scale manufacture is still at its infancy. Some commons ways of synthesizing 2D

232,402,403 MoS2 are based on chemical vapor reactions . Similar to graphene, exfoliating single crystal

MoS2 onto the substrates could produce high-quality monolayers and demonstrating outstanding performance. However, this method suffers from low yield and inconsistent synthesis. The most common vapor phase chemical reaction is between molybdenum oxide (MoO3) and sulfur (S). The system set up is shown in Figure 6-2. At the center of the hot zone where the growth substrate is situated, the local S:Mo ratio could largely dominate the single crystal’s domain size (Figure 6-

2b)404. One research work studies the effect of Mo precursor concentration and its distribution near the growth substrate. The summary is included in the Figure 6-2c405. The relative position of substrate and the vapor flow direction also determines the deposited flakes. Vertical growth of

406 MoS2 could be achieved and has demonstrated good performance in the HER catalysis . Scalable and large-area growth could be achieved by metal-organic chemical vapor deposition (MOCVD).

A schematic is shown in Figure 6-2d. Mo(CO)6 is used for Mo precursor and (C2H5)2S is used for

101 S precursor. Each precursor is controlled by separate mass flow controller and temperature controller. Thus, precise ratios of different precursors could be controlled when introducing into the furnace.

Figure 6-4 (a) Schematic of chemical vapor deposition of MoS2 single crystals via the reaction of MoO3 and S powders. (b) Shape evolution of MoS2 single crystals based on the local S:Mo ratio. Figures (a-b) are adapted from Reference 404404. (c) Growth mode and morphology (vertical and horizontal) based on Mo precursor concentration in the vicinity of the substrate. Figure is adapted from Reference 405405. (d) Schematic of metal organic chemical vapor deposition of MoS2.

For chemical vapor deposition, studies have shown that adding sodium chloride (NaCl) could help reduce surface energy and increase the single crystal domain size. However, when introducing ions into the growth, the electronic properties may suffer249. In this dissertation work, MOCVD of monolayer MoS2 grown at 450℃ is consistently carried out. Temperature profile is shown in Figure

6-3a. Peak temperature is fixed at 450℃. Growth time varies from 30mins to 180mins. Growth time along with precursor feeds control the as-synthesized films’ thickness and morphology (Figure

6-3b). The details of surface morphology are shown in Figure 6-4. When growth time is too short, some visible particles are observed on the surface, which corresponds to unmerged MoS2 particles.

When growth time is too long, vertical growth of MoS2 could not be avoided due to concentrated

102 molybdenum precursor ratio in the vicinity of the growth substrate. All films preserve MoS2 Raman

1 signatures (퐸2𝑔 and 퐴1𝑔), indicating decent crystallinity within the films. However, no strong photoluminescence (PL) could be measured due to strong intralayer interaction and scattering.

Figure 6-5 (a) Temperature profile of synthesizing MoS2 single crystal at 450℃. (b) Growth morphology (average roughness-RMS) as a function of growth time and S:Mo precursor ratio.

Figure 6-4 Atomic force microscopy images, Raman and photoluminescence of thin films grown at 450℃ under selected growth conditions.

Microstructure of MoS2 thin film grown at 450℃ is further investigated by high resolution transmission electron microscopy (HRTEM). Figure 6-5a shows that nearly coalesced MoS2 monolayer is achieved under the growth condition. Island growth is also seen on the MoS2 film. A zoomed-in TEM image is included in Figure 6-5b. As it can be seen, nano-pores exists within the film grown at low temperatures. Single crystal domain size is on the order of 10-30nm. Cross-

103 section TEM is carried out. Layer structure of MoS2 thin film is detected, confirming layer-by-layer growth at growth temperature of 450℃. In addition, based on the energy-dispersive X-ray spectroscopy (EDS), Mo:S ratio is around 1:2 in the region that is under study. No carbon layer is observed at the interface

Figure 6-6 (a-b) High resolution transmission electron microscopy (HRTEM) of MoS2 grown at 450℃. (c) Cross section TEM and (d) energy-dispersive spectroscopy-EDS of MoS2 achieved at 450℃.

6-2 Nb-doping of MoS2

To help enhance carrier concentration and improve thin film’s conductivity, niobium doping is introduced during the MoS2 deposition as a p-type dopant. Growth set-up is shown in the Figure

6-6a. The doping agents is positioned upstream. The temperature for the dopant is determined indirectly by the temperature at hot zone. The larger the distance between doping agents and the

104 center of the hot zone, the lower the temperature on the dopants. This is also a way of controlling the time to start the flowing in the doping agents. X-ray photoelectron spectroscopy (XPS) is used to check the chemical information and doping concentration. Niobium doping at elevated temperatures is carried out for comparison. As plotted in Figure 6-6b-c, Mo 3d and S 2p remain similar binding energy in both samples. Mo:S ratio is around 1:2, confirming the stoichiometry of the thin film. However, the full width half maximum (FWHM) broadens slightly in the samples grown at low temperatures, indicating less degree of crystallinity. In addition, Nb 3d shows that more Nb-O bond than Nb-S bond in the sample grown at 450℃ (Figure 6-6d), opposite to the samples grown at high temperatures. This finding is also confirmed by the valence band maximum

(VBM) measurement. Little change in the VBM is detected in the samples grown at low temperatures regardless of the Nb “doping” level. However, a few percentages of incorporation of

Nb in the samples grown at high temperatures would dramatically shift the VBM to the fermi level.

Since Nb and Mo have different out-shell electrons, doping Nb into the MoS2 thin film would change the carrier concentration and density of states near the fermi level. Since Nb has one d electron less than Mo, and thus shift the fermi level downwards by p-type doping (Figure 6-6e).

105

Figure 6-7 (a) Growth set-up of niobium doping of MoS2; XPS plot of (b) Mo 3d, (c) S 2p and (d) Nb 3d of samples grown at 450℃ and 800℃. (e) Valence band maximum measurement of samples grown at 450℃ and 800℃, along with pristine MoS2 and niobium oxide film.

(Nb)MoS2 films are fabricated into field effect transistors in order to extract mobilities and evaluate transistor performance. The source and drain electrodes were defined using optical lithography, e-beam evaporation of 50nm Ti and lift-off process. The channel width is amounted to 170μm and the channel length to 3μm. All measurements were performed under vacuum in a

Lakeshore probe at around 5 × 10−6푡표푟푟 using an Agilent 4155C Semiconductor Parameter

Analyzer. The schematic of device is shown in Figure 6-7a. A 90nm SiO2 layer acts as a back gate for 5-6 layers of MoS2 channel. 50nm of nickel contact is deposited on top of the film. An optical microscopy image of the device layout from the top is inserted. The results presented in Figure 6-

7b is exemplary of the average behavior of about 30 FET devices. Transfer characteristics of

MOSFET is demonstrated. Red curve is from Nb-doped MoS2 grown at 650℃ while blue curve is from pristine MoS2 at 650℃. The device on pristine MoS2 shows a threshold voltage of around -

20V and a current on/off ratio of 107 with saturation current level being 10-6A/μm. The device demonstrates n-type MOSFET in which electron is major carriers. This is what commonly reported

407,408 in previous reports . Doping with Nb in MoS2 leads to pronounced hole carrier accumulation

106 and thus results in p-type MOSFET. However, this heavy p-type doping results in a considerable loss of gate control over the entire film. The weak gate modulation of drain current as a function of back-gate is resulted from shunting. Due to the heavy doping, the gate partially loses control over the top part of the channel leading to a portion of the semiconducting channel acting as a resistor.

The shunting drastically decreases the current on/off ratio to a factor of 10. In addition, the pinning of the Ni Fermi level to the edge of MoS2 conduction band remains unaffected by doping. This leads to considerable Schottky barriers at the contacts on the order of about 1eV408,409. This barrier in return reduces the current flow resulting in a reduced saturation current density of around 10-

7 A/μm. The Nb-doped MoS2 synthesized at 450℃ is shown in blue curve in Figure 6-7c. Among all the samples measured, no drain current signal could be measured. The small crystalline structure and the formation of a substantial amount of niobium oxide result in the highly resistive channel.

Figure 6-8 (a) Schematic of (Nb)MoS2 MOSFET device and an optic image is shown in the inset. (b) Transfer characteristics (ID-VG) of MOSFETs fabricated from a MoS2 film with (red) Nb doping and (blue) pristine MoS2 grown at 650℃. (c) Transfer characteristics (ID-VG) of MOSFETs fabricated from Nb doping MoS2 (red) grown at 650℃ and (blue) grown at 450℃. This work is a collaboration work with Theresia Knobloch from Prof. Joerg Appenzeller group.

107

6-3 Nb-doping of MoS2 as copper diffusion barrier

The relentless scaling has placed great pressure on conventional copper (Cu) diffusion barriers for interconnect technology. When the Cu diffusion barrier becomes to a few nanometers, it loses the barrier capability and dramatically adds to the total lines’ resistance. A general review is shown in Figure 6-8. According to the International Technology Roadmap for Semiconductor

(ITRS), sub-nanometer barrier is urgently demanded before the year of 2021410. To address this issue, a lot of research efforts have been conducted. Two-dimensional material is one promising candidate due to their intrinsic thin thickness and strong covalent bonds within the atomic layer.

Some previous efforts have been summarized in the Table I411–421.

Figure 6-9 (a) Percentage of copper area as a function of barrier thickness. (b) Increase in copper resistance in a single interconnect damascene trench as a function of barrier thickness. Aspect ratio is kept at 2. (c) Schematic demonstrates how decreasing copper barrier thickness would worsen the barrier performance. Figures (a-c) are adapted from Reference 411411.

Table 6-2 Comparison of different two-dimensional materials as copper diffusion barrier411

108

In one previous work, MoS2 monolayer film grown at 450℃ has been evaluated as copper diffusion barrier. The capacitor structure is shown in Figure 6-9a. The current as a function of stress time with and without MoS2 barrier is compared in Figure 6-9b. Cumulative distribution of device’s lifetime (medium-time-to-failure) under various electric field stress for devices are compared in

Figure 6-9c. Overall, the devices with MoS2 barrier demonstrate about 2-3x enhancement in their lifetime. The devices on low-k dielectric under 2.5MV/cm electric field are tested, and the results are shown in Figure 6-9d-e. As previously shown, devices with MoS2 barrier break down at a later time than those without 2D-barrier411.

Figure 6-10 (a) Capacitor structures used for time-dependent dielectric breakdown (TDDB) measurement. (b) Current evolution with stress time of devices with and without MoS2 barrier on SiO2/Si substrate under electric field of 5MV/cm. (c) Cumulative distribution of medium-time-to-failure-tBD under various electric field with and without MoS2 monolayer barrier. (d) Current evolution with stress time of devices with and without MoS2 barrier on low-k dielectric under electric field of 2.5MV/cm. (e) Cumulative distribution of medium-time-to-failure-tBD under various electric field with and without MoS2 monolayer barrier on low-k dielectric under electric field of 2.5MV/cm. Figures are adapted from Reference 411411.

The microstructure of the film would play an important role in the leakage current. When the surface is smooth and coalesced without vertical growth, the leakage current under electric stress

109 is about two magnitude lower than those with much smaller domain sizes and vertical crystal growths. Optimized growth parameters for achieving coalesced film is prerequisite for being a good diffusion barrier.

Figure 6-11 (a) Schematic of two different films structures and how copper atoms diffusion through layers or between the layers. (b) AFM measurements of the films with different surface morphology and crystalline structures. (c) Leakage currents of the two films of different surface morphology and crystalline structures.

Nb-incorporated MoS2 thin films synthesized at 450℃ are evaluated as copper diffusion barrier. Based on AFM measurement, all the films under study are of 2.8nm. Plots in Figure 6-11b- c show that pristine film and films with 19% Nb incorporation have much lower breakdown time than those of 3% Nb incorporation level. High resolution TEM is carried out on all the films. It finds out that pristine MoS2 film grown under low temperatures are not guaranteed to be 100% coverage and it has a crystal domain size of 20-50nm. Nanopores exist within the film’s microstructure. For the films of 19% Nb incorporation level, on the other side, grain size is greatly affected, and vertical growth is detected. However, for 3% Nb incorporated MoS2 film, the grain size does not suffer from slightly Nb incorporation. Moreover, the area coverage shows improvement than the pristine MoS2 film. This may be explained by the fact that with slight niobium oxide deposited on the SiO2/Si surface, surface energy is decreased for MoS2 vapor phase deposition and crystalline growth. Density Functional Theory (DFT) calculation shows that the molybdenum atom’s affinity to silicon oxide and niobium oxide is quite different. Molybdenum to niobium oxide has a much high affinity value (~∆2.0733 eV/f.u.) compared to molybdenum to

110 silicon oxide. Thus, niobium oxide incorporation in the MoS2 film might assist in barrier property

422 and at the same time help MoS2 layer-by-layer growth .

Figure 6-12 (a) Device structure of time dependent dielectric breakdown (TDDB) measurement. (b) Current evolution of films with different niobium incorporation level under 7MV/cm electric stress. (c) Cumulative probability of films’ lifetime with 0.1%, 3% and 19% niobium incorporation level. High resolution transmission electron microscopy of (d) pure MoS2, (e) 3% Nb-MoS2, and (f) 19% Nb-MoS2.

111 Chapter 7

Dissertation summary

In this dissertation, two-dimensional material 1T-TaS2 and 2H-MoS2 are specially addressed with a focus on their synthesis and characterizations. In Chapter 3, precursor selections and substrate interactions are discussed. It has been found that sulfur vapors, along with the assistance of tellurium vapors, would play an important role in the stability of SiO2/Si substrate. The ternary phase diagram of Si-S-Te has been investigated. With the presence of tellurium, sulfur becomes much more reactive with silicon and could fasten silicon’s phase transition rate from solid phase to vapor phase. With this knowledge, a way of synthesizing ultra-thin free-standing silica has been designed.

In Chapter 4, an introduction and general overview of 1T-TaS2 has been summarized.

Techniques of characterizing 1T-TaS2 metal to insulator transitions have been studied with a focus on Raman spectroscopy. Phonon mode evolution accompanied by phase transition could be directly observed under the Raman measurement. Experiment results correlate well with DFT calculations.

With this knowledge, substrate impacts on 1T-TaS2 phase transition have been studied. It has been found that surface roughness plays an important role in controlling 1T-TaS2 phase transition and the microstructures may play the role pinning centers. Based on this finding, a way of tuning their phase transition based on engineering substrate surface. Besides understanding fundamental properties of 1T-TaS2, an inorganic based chemical vapor deposition method has been designed to synthesize 1T-TaS2 single crystalline nanoflakes on multiple substrates. A complementary of characterization methods have been used to evaluate the material’s quality. It is the first time that the CVD 1T-TaS2 has demonstrated CCDW/NCCDW phase transition by electric means.

In Chapter 5, several ways of functionalizing 1T-TaS2 and tuning its phase transitions have been designed. First, doping of 1T-TaS2 has been reviewed and literature summary is presented.

112 Cation doping, in most cases would prevent commensurate phase while anion doping shows some promising results from previous studies. Second, intercalation of 1T-TaS2 by potassium ions has been designed. With potassium intercalation, tantalum atoms’ chemical environment at room temperature is not unique and the difference could be detected by XPS measurement. Raman spectroscopy near room temperature reveals commensurate phase phonon modes in the intercalated samples. Resistance measurement as a function of temperature also shows an enhanced transition temperature towards room temperature. The experiment results get further confirmed by DFT calculations. In theory, it is found that the intercalated potassium atoms could help concentrate the charge density waves in the David star and thus helps stabilize the commensurate phase. Thirdly, heterostructure based on 1T-TaS2 and MoS2 has been directed constructed via a two-step chemical vapor deposition. Two-dimensional phase field effect transistor has been made from this heterostructure. Although 1T-TaS2 still presents its Mott insulator phase transition, the presence of

MoS2 shrinks its Mott band gap and greatly decreases the enhancement in the transistor’s ON/OFF ratio. This chapter sheds light on how chemical doping/ intercalation or building heterostructure would change material’s intrinsic properties and meets the demands for potential applications.

Chapter 6 concentrates on another two-dimensional material: 2H phase MoS2. Consistent study on synthesis of MoS2 thin film at 450℃ via metal organic chemical vapor deposition has been carried out. The crystal domain size is around 20-50nm throughout the film. Raman characteristics are present in the film indicating strong Mo to S bonds. However, photoluminescence is lost in the monolayer due to strong intralayer interaction and defects’ scattering. Niobium doping of MoS2 has been designed via this method at 450℃ on SiO2/Si substrate. The same experiment conditions have been used for Nb doping at above 650℃. Based on XPS measurement, Nb-O bonds dominate for MoS2 films synthesized at 450℃ while Nb-S is predominating for MoS2 films grown at above

650℃. The Nb-doped films have been fabricated in field effect transistors. Nb-doped MoS2 at

650℃ demonstrates clear p-type transport characteristic. A percent doping level, however, results

113 in a considerable loss of gate control over the entire film. On the contrary, Nb-incorporated MoS2 at 450℃ could not give out any output in the electric current. No drain current could be measured due to the highly resistive channel material from polycrystalline MoS2 and highly resistive niobium oxide. NbOx-MoS2 thin films have shown great copper diffusion barrier properties. A few percentages of NbOx incorporation has shown two more magnitude enhancement in dielectric film’s breakdown probability with a lifetime of over 12500s under an electric field of 7MV/cm.

The MoS2 film has shown more two-dimensional growth with similar domain size with a few percentages of NbOx present. DFT calculation also confirms the higher affinity of MoS2-NbO2 than

MoS2-SiO2.

This work presented in this dissertation helps bring more insight in two-dimensional material’s synthesis, characterization and functionalization. Some of the fundamental questions of material’s structure-property relationships have been addressed. It is expected that the discussions and overviews in this dissertation would help guide two-dimensional correlated material’s research and understand the topics of material integration and engineering. It is also expected to shed light on using two-dimensional materials to address the challenges faced by the interconnect technology.

More fundamental questions need to be answered to meet the requirements of industrialization.

Large-area single crystalline material’s synthesis will be the short board in applying functional two- dimensional materials for beyond complementary metal oxide semiconductor technologies.

Overall, these would play a critical role in advancing More-than-Moore electronics and the

Internet-of-Thing systems.

114 References

1. Moore, G. E. Cramming more components onto integrated circuits. Proc. IEEE 86, 82–85

(1998).

2. Arden, W. et al. More-than-Moore white paper. Version 2, (2010).

3. National Strategic Computing Initiative (NSCI). Available at: https://www.nitrd.gov/nsci/.

(Accessed: 11th April 2019)

4. Highlights of the NNI Nanotechnology Signature Initiatives | Nano. Available at:

https://www.nano.gov/node/1536. (Accessed: 11th April 2019)

5. International technology roadmap for semiconductors - 2005 (ITRS-2005). [Online].

Available: http://public.itrs.net/Common/2005/. (2005).

6. Oriwoh, E. & Conrad, M. Things in the Internet of Things: towards a definition. Int. J.

Internet Things 4, 1–5 (2015).

7. Gubbi, J., Buyya, R., Marusic, S. & Palaniswami, M. Internet of Things (IoT): A vision,

architectural elements, and future directions. Futur. Gener. Comput. Syst. 29, 1645–1660

(2013).

8. Bonomi, F., Milito, R., Zhu, J. & Addepalli, S. Fog computing and its role in the internet

of things. Proc. first Ed. MCC Work. Mob. cloud Comput. 13–16 (2012).

doi:10.1145/2342509.2342513

9. Elliott, T. ASUG Web Seminar Internet of Things Intro. Available at:

https://www.slideshare.net/timoelliott/asug-web-seminar-internet-of-things-intro.

(Accessed: 11th April 2019)

10. The 10 most popular Internet of Things applications right now. Available at: https://iot-

analytics.com/10-internet-of-things-applications/. (Accessed: 11th April 2019)

11. What is the Internet of Things? — A Definition. sdx central Available at:

https://www.sdxcentral.com/5g/iot/definitions/what-is-the-internet-of-things/.

115 12. 7 Technologies Underpin the Hype Cycle for the Internet of Things, 2016. Available at:

http://itango.eu/7-technologies-underpin-the-hype-cycle-for-the-internet-of-things-2016/.

(Accessed: 11th April 2019)

13. INTERNET OF THINGS. Available at: http://www.essential-telecoms.co.uk/internet-of-

things. (Accessed: 11th April 2019)

14. Tech Roadmap for IoT – 2017. Available at: https://www.mobinius.com/roadmap-for-iot-

2017/. (Accessed: 11th April 2019)

15. Novoselov, K. S. et al. Electric field effect in atomically thin carbon films. Science (80-. ).

306, 666–669 (2004).

16. Tan, C. & Zhang, H. Two-dimensional transition metal dichalcogenide nanosheet-based

composites. Chem. Soc. Rev. 44, 2713–2731 (2015).

17. Sun, Y., Gao, S., Lei, F. & Xie, Y. Atomically-thin two-dimensional sheets for

understanding active sites in catalysis. Chem. Soc. Rev. 44, 623–636 (2015).

18. Liu, G. Bin, Xiao, D., Yao, Y., Xu, X. & Yao, W. Electronic structures and theoretical

modelling of two-dimensional group-VIB transition metal dichalcogenides. Chem. Soc.

Rev. 44, 2643–2663 (2015).

19. Kuc, A. & Heine, T. The electronic structure calculations of two-dimensional transition-

metal dichalcogenides in the presence of external electric and magnetic fields. Chem. Soc.

Rev. 44, 2603–2614 (2015).

20. Liu, H., Du, Y., Deng, Y. & Ye, P. D. Semiconducting black phosphorus: Synthesis,

transport properties and electronic applications. Chem. Soc. Rev. 44, 2732–2743 (2015).

21. Wang, H., Yuan, H., Hong, S. S., Li, Y. & Cui, Y. Physical and chemical tuning of two-

dimensional transition metal dichalcogenides. Chem. Soc. Rev. 44, 2664 (2015).

22. Voiry, D., Mohite, A. & Chhowalla, M. Phase engineering of transition metal

dichalcogenides. Chem. Soc. Rev. 44, 2702–2712 (2015).

116 23. Tan, C., Liu, Z., Huang, W. & Zhang, H. Non-volatile resistive memory devices based on

solution-processed ultrathin two-dimensional nanomaterials. Chem. Soc. Rev. 44, 2615–

2628 (2015).

24. Chen, Y., Tan, C., Zhang, H. & Wang, L. Two-dimensional graphene analogues for

biomedical applications. Chem. Soc. Rev. 44, 2681–2701 (2015).

25. Ji, Q., Zheng, Y., Zhang, Y. & Liu, Z. Chemical vapour deposition of group-VIB metal

dichalcogenide monolayers: Engineered substrates from amorphous to single crystalline.

Chem. Soc. Rev. 44, 2587–2602 (2015).

26. Shi, Y., Li, H. & Li, L. J. Recent advances in controlled synthesis of two-dimensional

transition metal dichalcogenides via vapour deposition techniques. Chem. Soc. Rev. 44,

2744–2756 (2015).

27. Zeng, H. & Cui, X. An optical spectroscopic study on two-dimensional group-VI

transition metal dichalcogenides. Chem. Soc. Rev. 44, 2629–2642 (2015).

28. Zhang, X. et al. Phonon and Raman scattering of two-dimensional transition metal

dichalcogenides from monolayer, multilayer to bulk material. Chem. Soc. Rev. 44, 2757–

2785 (2015).

29. Robinson, J. A. Perspective: 2D for beyond CMOS. APL Mater. 6, (2018).

30. Briggs, N. et al. A roadmap for electronic grade 2D materials. 2D Mater. 6, 022001

(2019).

31. Liu, Y., Huang, Y. & Duan, X. Van der Waals integration before and beyond two-

dimensional materials. Nature 567, 323–333 (2019).

32. Bediako, D. K. et al. Heterointerface effects in the electrointercalation of van der Waals

heterostructures. Nature 558, 425–429 (2018).

33. Duan, X., Wang, C., Pan, A., Yu, R. & Duan, X. Two-dimensional transition metal

dichalcogenides as atomically thin semiconductors: Opportunities and challenges. Chem.

117 Soc. Rev. 44, 8859–8876 (2015).

34. Zhang, X., Lai, Z., Ma, Q. & Zhang, H. Novel structured transition metal dichalcogenide

nanosheets. Chem. Soc. Rev. 47, 3301–3338 (2018).

35. Li, C. et al. Engineering graphene and TMDs based van der Waals heterostructures for

photovoltaic and photoelectrochemical solar energy conversion. Chem. Soc. Rev. 47,

4981–5037 (2018).

36. Wang, S., Tian, H., Ren, C., Yu, J. & Sun, M. Electronic and optical properties of

heterostructures based on transition metal dichalcogenides and graphene-like zinc oxide.

Sci. Rep. 8, 6–11 (2018).

37. Calman, E. V. et al. Indirect excitons in van der Waals heterostructures at room

temperature. Nat. Commun. 9, 1–5 (2018).

38. Wang, H. et al. Two-dimensional heterostructures: Fabrication, characterization, and

application. Nanoscale 6, 12250–12272 (2014).

39. Liu, Y. et al. Van der Waals heterostructures and devices. Nat. Rev. Mater. 1, (2016).

40. Wang, Q. H., Kalantar-Zadeh, K., Kis, A., Coleman, J. N. & Strano, M. S. Electronics and

optoelectronics of two-dimensional transition metal dichalcogenides. Nat. Nanotechnol. 7,

699–712 (2012).

41. Chia, X., Eng, A. Y. S., Ambrosi, A., Tan, S. M. & Pumera, M. Electrochemistry of

Nanostructured Layered Transition-Metal Dichalcogenides. Chem. Rev. 115, 11941–

11966 (2015).

42. Wang, X., Shen, X., Wang, Z., Yu, R. & Chen, L. Atomic-Scale Clarification of Structural

Transition of MoS2 upon Sodium Intercalation. ACS Nano 8, 11394–11400 (2014).

43. Enyashin, A. N. et al. New route for stabilization of 1T-WS2 and MoS2 phases. J. Phys.

Chem. C 115, 24586–24591 (2011).

44. Somoano, R. B., Hadek, V. & Rembaum, A. Alkali metal intercalates of molybdenum

118 disulfide. J. Chem. Phys. 58, 697–701 (1973).

45. Boehm, J. von & Isomaki, H. M. Relativistic p-d gaps of 1T TiSe 2 , TiS 2 , ZrSe 2 and

ZrS 2 . J. Phys. C Solid State Phys. 15, L733–L737 (2002).

46. Mahler, B., Hoepfner, V., Liao, K. & Ozin, G. A. Colloidal Synthesis of 1T-WS2 and 2H-

WS2 Nanosheets: Applications for Photocatalytic Hydrogen Evolution. J. Am. Chem. Soc.

136, 14121–14127 (2014).

47. Wu, R. J., Odlyzko, M. L. & Mkhoyan, K. A. Determining the thickness of atomically thin

MoS2 and WS2 in the TEM. Ultramicroscopy 147, 8–20 (2014).

48. Choi, W. et al. Recent development of two-dimensional transition metal dichalcogenides

and their applications. Mater. Today 20, 116–130 (2017).

49. Smith, N. V., Kevan, S. D. & DiSalvo, F. J. Band structures of the layer compounds 1T-

TaS2 and 2H-TaSe2 in the presence of commensurate charge-density waves. J. Phys. C

Solid State Phys. 18, 3175 (1985).

50. Mak, K. F., Lee, C., Hone, J., Shan, J. & Heinz, T. F. Atomically thin MoS 2: a new

direct-gap semiconductor. Phys. Rev. Lett. 105, 136805 (2010).

51. Kuc, A., Zibouche, N. & Heine, T. Influence of quantum confinement on the electronic

structure of the transition metal sulfide TS2. Phys. Rev. B 83, 245213 (2011).

52. Wilson, J. A. & Yoffe, A. D. The transition metal dichalcogenides discussion and

interpretation of the observed optical, electrical and structural properties. Adv. Phys. 18,

193–335 (1969).

53. Chhowalla, M. et al. The chemistry of two-dimensional layered transition metal

dichalcogenide nanosheets. Nat. Chem. 5, 263–75 (2013).

54. Mott, N. Metal-insulator transitions. (CRC Press, 1990).

55. Gruener, G. The dynamics of charge-density waves. Rev. Mod. Phys. 60, 1129–1181

(1988).

119 56. Radisavljevic, B. & Kis, A. Mobility engineering and a metal–insulator transition in

monolayer MoS2. Nat. Mater. 12, 815 (2013).

57. Chen, T. et al. Metal–insulator transition in films of doped semiconductor nanocrystals.

Nat. Mater. 15, 299 (2016).

58. Tian, Z. et al. Field-induced quantum metal–insulator transition in the pyrochlore iridate

Nd2Ir2O7. Nat. Phys. 12, 134 (2016).

59. Chen, X. et al. Probing the electron states and metal-insulator transition mechanisms in

molybdenum disulphide vertical heterostructures. Nat. Commun. 6, 1–8 (2015).

60. Bisogni, V. et al. Ground-state oxygen holes and the metal-insulator transition in the

negative charge-transfer rare-earth nickelates. Nat. Commun. 7, 1–8 (2016).

61. Yoshida, M. et al. Controlling charge-density-wave states in nano-thick crystals of 1T-

TaS2. Sci. Rep. 4, 1–5 (2014).

62. Yu, Y. et al. Gate-tunable phase transitions in thin flakes of 1T-TaS 2. Nat. Nanotechnol.

10, 270–276 (2015).

63. Hollander, M. J. et al. Electrically driven reversible insulator-metal phase transition in 1T-

TaS2. Nano Lett. 15, 1861–1866 (2015).

64. Liu, Y. et al. Superconductivity induced by Se-doping in layered charge-density-wave

system 1T-TaS2-xSex. Appl. Phys. Lett. 102, (2013).

65. Chau, R., Doyle, B., Datta, S., Kavalieros, J. & Zhang, K. Integrated nanoelectronics for

the future. Nat. Mater. 6, 810–812 (2007).

66. Brahlek, M. et al. Opportunities in vanadium-based strongly correlated electron systems.

MRS Commun. 7, 27–52 (2017).

67. Imada, M., Fujimori, A. & Tokura, Y. Metal-insulator transitions 1165-1171. Rev. Mod.

Phys. 70, 1039–1263 (1998).

68. Theis, T. N. & Solomon, P. M. In quest of the next switch: Prospects for greatly reduced

120 power dissipation in a successor to the silicon field-effect transistor. Proc. IEEE 98, 2005–

2014 (2010).

69. Bertolazzi, S., Gobbi, M., Zhao, Y., Backes, C. & Samorì, P. Molecular chemistry

approaches for tuning the properties of two-dimensional transition metal dichalcogenides.

Chem. Soc. Rev. 47, 6845–6888 (2018).

70. Tedstone, A. A., Lewis, D. J. & O’Brien, P. Synthesis, Properties, and Applications of

Transition Metal-Doped Layered Transition Metal Dichalcogenides. Chem. Mater. 28,

1965–1974 (2016).

71. Wang, Q. H., Kalantar-Zadeh, K., Kis, A., Coleman, J. N. & Strano, M. S. Electronics and

optoelectronics of two-dimensional transition metal dichalcogenides. Nat. Nanotechnol. 7,

699–712 (2012).

72. Dolui, K., Rungger, I., Pemmaraju, C. Das & Sanvito, S. Ab-initio study on the possible

doping strategies for MoS$_2$ monolayers. (2013). doi:10.1103/PhysRevB.88.075420

73. Huang, Y. L. et al. The organic-2D transition metal dichalcogenide heterointerface. Chem.

Soc. Rev. 47, 3241–3264 (2018).

74. Dietl, T. A ten-year perspective on dilute magnetic semiconductors and oxides. Nat.

Mater. 9, 965–974 (2010).

75. Zhao, Y. et al. Doping , Contact and Interface Engineering of Two-Dimensional Layered

Transition Metal Dichalcogenides Transistors. Adv. Funct. Mater. 1603484, (2017).

76. Allain, A., Kang, J., Banerjee, K. & Kis, A. Electrical contacts to two-dimensional

semiconductors. Nat. Mater. 14, (2015).

77. Jariwala, D., Sangwan, V. K., Lauhon, L. J., Marks, T. J. & Mark, C. Emerging Device

Applications for Semiconducting Two-Dimensional Transition Metal Dichalcogenides.

ACS Nano 8, 1102–1120 (2014).

78. Behura, S. & Berry, V. Interfacial Nondegenerate doping of MoS2 and other Two-

121 dimensional semiconductors. ACS Nano 9, 2227–2230 (2015).

79. Suh, J. et al. Reconfiguring crystal and electronic structures of MoS2by substitutional

doping. Nat. Commun. 9, 1–8 (2018).

80. Mocatta, D. et al. Heavily Doped Semiconductor Nanocrystal Quantum Dots. Science (80-

. ). 332, 77–81 (2011).

81. Mouri, S., Miyauchi, Y. & Matsuda, K. Tunable photoluminescence of monolayer MoS2

via chemical doping. Nano Lett. 13, 5944–5948 (2013).

82. Sun, Q. Q. et al. The physics and backward diode behavior of heavily doped single layer

MoS2 based p-n junctions. Appl. Phys. Lett. 102, 1–4 (2013).

83. Wu, J., Shan, W. & Walukiewicz, W. Band anticrossing effects in highly mismatched

semiconductor alloys. Semicond. Sci. Technol. 17, 860–869 (2002).

84. Pham, V. P. & Yeom, G. Y. Recent Advances in Doping of Molybdenum Disulfi de :

Industrial Applications and Future Prospects. Adv. Mater. 28, 9024–9059 (2016).

85. Tongay, S. et al. Defects activated photoluminescence in two-dimensional

semiconductors: interplay between bound, charged, and free excitons. Sci. Rep. 3, (2013).

86. Komsa, H. P. et al. Two-dimensional transition metal dichalcogenides under electron

irradiation: defect production and doping. Phys. Rev. Lett. 109, 035503 (2012).

87. Suh, J. et al. Reconfiguring crystal and electronic structures of MoS 2 by substitutional

doping. Nat. Commun. 9, 199 (2018).

88. Gao, J. et al. Transition-Metal Substitution Doping in Synthetic Atomically Thin

Semiconductors. Adv. Mater. 28, 9735–9743 (2016).

89. Dolui, K., Rungger, I., Pemmaraju, C. Das & Sanvito, S. Ab-initio study on the possible

doping strategies for MoS2 monolayers. Phys. Rev. B 78, 1–9 (2008).

90. Wang, S. Y., Ko, T. S., Huang, C. C. & Huang, Y. S. Optical and electrical properties of

MoS2 and Fe-doped MoS2. Jpn. J. Appl. Phys. 53, 04EH07 (2014).

122

91. Laskar, M. R. et al. p-type doping of MoS 2 thin films using Nb. Appl. Phys. Lett. 104,

092104 (2014).

92. Suh, J. et al. Reconfiguring crystal and electronic structures of MoS 2 by substitutional

doping. Nat. Commun. 9, 1–7 (2018).

93. Wang, S. Y., Ko, T. S., Huang, C. C., Lin, D. Y. & Huang, Y. S. Optical and electrical

properties of MoS2 and Fe-doped MoS 2. Jpn. J. Appl. Phys. 53, (2014).

94. Xia, B., Yang, Y., Ma, J., Tao, K. & Gao, D. Adjustable ferromagnetic behavior in iron-

doped two-dimensional MoS2 multilayer nanosheets. Appl. Phys. Express 10, (2017).

95. Lin, X. & Ni, J. Charge and magnetic states of Mn-, Fe-, and Co-doped monolayer MoS 2.

J. Appl. Phys. 116, (2014).

96. Bai, G. et al. 2D Layered Materials of Rare-Earth Er-Doped MoS 2 with NIR-to-NIR

Down- and Up-Conversion Photoluminescence. Adv. Mater. 28, 7472–7477 (2016).

97. Zhang, K. et al. Tuning the Electronic and Photonic Properties of Monolayer MoS 2 via In

Situ Rhenium Substitutional Doping. Adv. Funct. Mater. 28, 1–7 (2018).

98. Lin, Y. C. et al. Properties of individual dopant atoms in single-layer MoS2: Atomic

structure, migration, and enhanced reactivity. Adv. Mater. 26, 2857–2861 (2014).

99. Wan, C. et al. Intercalation: Building a natural superlattice for better thermoelectric

performance in layered chalcogenides. J. Electron. Mater. 40, 1271–1280 (2011).

100. Wilson, J. A. & Yoffe, A. D. No Title. Adv. Phys. 18, 193–335 (1969).

101. Dresselhaus, M. S. Intercalation in layered materials. (Plenum Press, 1986).

102. Gamble, F. R. et al. Intercalation complexes of Lewis bases and layered : a large

class of new superconductors. Science (80-. ). 174, 493–497 (1971).

103. Morosan, E. et al. Superconductivity in CuxTiSe2. Nat. Phys. 2, 544 (2006).

104. Yao, J. et al. Optical transmission enhacement through chemically tuned two-dimensional

bismuth chalcogenide nanoplates. Nat. Commun. 5, 5670 (2014).

123 105. Wang, H. et al. Electrochemical tuning of vertically aligned MoS2 nanofilms and its

application in improving hydrogen evolution reaction. Proc. Natl. Acad. Sci. 110, 19701–

19706 (2013).

106. Yin, C. et al. Intriguing substitution of conducting layer triggered enhancement of

thermoelectric performance in misfit-layered (SnS) 1.2 (TiS 2 ) 2. Appl. Phys. Lett. 110,

(2017).

107. Kutana, A., Penev, E. S. & Yakobson, B. I. Engineering electronic properties of layered

transition-metal dichalcogenide compounds through alloying. Nanoscale 6, 5820–5825

(2014).

108. Srivastava, S. K., Mandal, T. K. & Samantaray, B. K. Studies on layer disorder,

microstructural parameters and other properties of tungsten-substitued molybdenum

disulfide. Synth. Met. 90, 135–142 (1997).

109. Ho, C. H., Wut, C. S., Huang, Y. S., Liao, P. C. & Tiong, K. K. Temperature dependence

of energies and broadening parameters of the band-edge excitons of Mo1-xWxS2single

crystals. J. Phys. Condens. Matter 10, 9317–9328 (1998).

110. Mak, K. F., Lee, C., Hone, J., Shan, J. & Heinz, T. F. Atomically thin MoS 2: a new

direct-gap semiconductor. Phys. Rev. Lett. 105, 136805 (2010).

111. Lin, M. W. et al. Mobility enhancement and highly efficient gating of monolayer MoS2

transistors with polymer electrolyte. J. Phys. D. Appl. Phys. 45, 345102 (2012).

112. Li, R., Li, L. J., Cheng, Y. & Huang, W. Recent Advances in van der Waals

Heterojunctions Based on Semiconducting Transition Metal Dichalcogenides. Adv.

Electron. Mater. 4, 1–21 (2018).

113. Wang, B. et al. Enhanced current rectification and self-powered photoresponse in

multilayer p-MoTe 2 /n-MoS 2 van der Waals heterojunctions. Nanoscale 9, 10733–10740

(2017).

124 114. Wang, X. et al. Enhanced rectification, transport property and photocurrent generation of

multilayer ReSe2/MoS2 p–n heterojunctions. Nano Res. 9, 507–516 (2016).

115. Dankert, A., Langouche, L., Kamalakar, M. V. & Dash, S. P. High-performance

molybdenum disulfide field-effect transistors with spin tunnel contacts. ACS Nano 8, 476–

482 (2014).

116. Shih, C. et al. Tuning On-Off Current Ratio and Field-Effect Mobility in a MoS2-

Graphene Heterostructure via Schottky barrier modulation. ACS Nano 8, 5790–5798

(2014).

117. Shanmugam, M., Jacobs-Gedrim, R., Song, E. S. & Yu, B. Two-dimensional layered

semiconductor/graphene heterostructures for solar photovoltaic applications. Nanoscale 6,

12682–12689 (2014).

118. Furchi, M. M., Pospischil, A., Libisch, F., Burgdörfer, J. & Mueller, T. Photovoltaic effect

in an electrically tunable van der Waals heterojunction. Nano Lett. 14, 4785–4791 (2014).

119. Gong, Y. et al. Two-Step Growth of Two-Dimensional WSe2/MoSe2 Heterostructures.

Nano Lett. 15, 6135–6141 (2015).

120. Tsai, M. L. et al. Monolayer MoS2 heterojunction solar cells. ACS Nano 8, 8317–8322

(2014).

121. Shi, Z. et al. High-efficiency and air-stable perovskite quantum dots light-emitting diodes

with an all-inorganic heterostructure. Nano Lett. 17, 313–321 (2017).

122. Xue, Y. et al. Scalable production of a Few-Layer MoS2/WS2 vertical heterojunction

array and its application for photodetectors. ACS Nano 10, 573–580 (2016).

123. Roy, K. et al. Graphene-MoS 2 hybrid structures for multifunctional photoresponsive

memory devices. Nat. Nanotechnol. 8, 826–830 (2013).

124. Liu, C. H. et al. Nanocavity integrated van der Waals heterostructure light-emitting

tunneling diode. Nano Lett. 17, 200–205 (2017).

125 125. Withers, F. et al. Light- emitting engineering heterostructures. Nat. Mater. 14, 301 (2015).

126. Zhao, G., Hou, J., Wu, Y., He, J. & Hao, X. Preparation of 2D MoS2/Graphene

Heterostructure through a Monolayer Intercalation Method and its Application as an

Optical Modulator in Pulsed Laser Generation. Adv. Opt. Mater. 3, 937–942 (2015).

127. Wang, X. et al. Dual-wavelength passively Q-switched bulk laser using MoS2/graphene

heterojunction. Mater. Res. Bull. 89, 63–67 (2017).

128. Ye, L., Li, H., Chen, Z. & Xu, J. Near-Infrared Photodetector Based on MoS2/Black

Phosphorus Heterojunction. Acs Photonics 3, 692–699 (2016).

129. Fan, Z., Liang, Q. F., Chen, Y. B., Yao, S. H. & Zhou, J. Transition between strong and

weak topological insulator in ZrTe5 and HfTe5. Sci. Rep. 7, 45667 (2017).

130. Martinez, A. & Sun, Z. Nanotube and graphene saturable absorbers for fibre lasers. Nat.

Photonics 7, 842 (2013).

131. Bao, Q. et al. Monolayer graphene as a saturable absorber in a mode-locked laser. Nano

Res. 4, 297–307 (2011).

132. Zhang, H. et al. Molybdenum disulfide (MoS 2) as a broadband saturable absorber for

ultra-fast photonics. Opt. Express 22, 7249–7260 (2014).

133. Vu, Q. A. et al. A High‐On/Off‐Ratio Floating‐Gate Memristor Array on a Flexible

Substrate via CVD‐Grown Large‐Area 2D Layer Stacking. Adv. Mater. 29, 1703363

(2017).

134. Cheng, R. et al. Multifunctional tunneling devices based on graphene/h-BN/MoSe2 van

der Waals heterostructures. Appl. Phys. Lett. 110, 173507 (2017).

135. Donald, S. & David, W. H. Thin-Film Deposition: Principles and Practice. Phys. Today

49, (1996).

136. Wise, F. W. Lead salt quantum dots: the limit of strong quantum confinement. Acc. Chem.

Res. 33, 773–780 (2000).

126 137. Takagahara, T. & Takeda, K. Theory of the quantum confinement effect on excitons in

quantum dots of indirect-gap materials. Phys. Rev. B 46, 15578 (1992).

138. Wilson, W. L., Szajowski, P. F. & Brus, L. E. Quantum confinement in size-selected,

surface-oxidized silicon nanocrystals. Science (80-. ). 262, 1242–1244 (1993).

139. Favron, A. et al. Photooxidation and quantum confinement effects in exfoliated black

phosphorus. Nat. Mater. 14, 826 (2015).

140. Mudd, G. W. et al. Tuning the bandgap of exfoliated InSe nanosheets by quantum

confinement. Adv. Mater. 25, 5714–5718 (2013).

141. Callister, D. W. J. & Rethwisch, G. D. Materials Science and Engineering: An

Introduction, Enhanced. (WILEY, 2018).

142. Chopra, K. L. Thin film Phenomena. (Mc-Graw Hill Book Co., 1969).

143. Thin Film Growth Processes. in The Materials Science of Semiconductors 455–503

(Springer, Boston, MA, 2008). doi:https://doi.org/10.1007/978-0-387-68650-9_10

144. Brune, H. Epitaxial Growth of Thin Films. in Surface and Interface Science: Solid-Solid

Interfaces and Thin Films 421 (Wiley-VCH Verlag GmbH & Co. KGaA, 2014).

doi:10.1080/01418619208247997

145. Gupta, P. et al. Layered transition metal dichalcogenides: promising near-lattice-matched

substrates for GaN growth. Nat. Publ. Gr. 1–8 (2015). doi:10.1038/srep23708

146. Das, S., Robinson, J. A., Dubey, M., Terrones, H. & Terrones, M. Beyond Graphene:

Progress in Novel Two-Dimensional Materials and van der Waals Solids. Annu. Rev.

Mater. Res. 45, 1–27 (2015).

147. A, A.-H. & B, E. The preparation and properties of transition metal dichalcogenide single

crystals. J. Cryst. Growth 15, 93–101 (1972).

148. Y, L. et al. Superconductivity induced by Se-doping in layered charge-density-wave

system 1T-TaS2−xSex. Appl. Phys. Lett 102, 192602 (2013).

127 149. Agarwal, M., Patel, H. & Nagireddy, K. Growth of single crystals of WSe2 by sublimation

method. J. Cryst. Growth 41, 84–86 (1977).

150. Agarwal, M., Nagi Reddy, K. & Patel, H. Growth of tungstenite single crystals by direct

vapour transport method. J. Cryst. Growth 46, 139–142 (1979).

151. Tan, L. K. et al. Atomic Layer Deposition of MoS2 film Lee. Nanoscale 6, 10584–10588

(2014).

152. Jurca, T. et al. Low-Temperature Atomic Layer Deposition of MoS 2 Films. Angew.

Chemie - Int. Ed. 56, 4991–4995 (2017).

153. Mishra, P. et al. Impact of N-plasma and Ga-irradiation on MoS2 layer in molecular beam

epitaxy. Appl. Phys. Lett. 110, (2017).

154. Diaz, H. C., Chaghi, R., Ma, Y. & Batzill, M. Molecular beam epitaxy of the van der

Waals heterostructure MoTe2 on MoS2: Phase, thermal, and chemical stability. 2D Mater.

2, 44010 (2015).

155. Yuan, X. et al. Arrayed van der Waals vertical heterostructures based on 2D GaSe grown

by molecular beam epitaxy. Nano Lett. 15, 3571–3577 (2015).

156. Schäfer, H. Chemical Transport Reactions. (Academic Press, 1963).

157. Schmidt, P., Schmidt, M., Binnewies, M. & Glaum, R. Chemical Vapor Transport

Reactions-Methods, Materials, Modeling. in 227–229 (INTECH Open Access Publisher,

2013).

158. H, H., J, P., J, B. & A., B. MoS2 thin film synthesis by soft sulfurization of a molybdenum

layer. Mater. Chem. Phys. 42, 291–97 (1995).

159. Khelil, A., Essaidi, H., Bernede, J., Bouacheria, A. & Pouzet, J. WSe2 thin-film

realization by synthesis and by tarnishing. J. Phys. Condens. Matter 6, 8527 (1994).

160. Lin, Z. et al. Facile synthesis of MoS 2 and Mo x W 1-x S 2 triangular monolayers . APL

Mater. 2, 092514 (2014).

128 161. Lin, Z. et al. Kinetic modelling of heterogeneous catalytic systems First principles kinetic

Monte Carlo study on the growth patterns of WSe 2 monolayer. 2D Mater. 3, 1–10 (2016).

162. Stringfellow, G. B. Organometallic vapor-phase epitaxy: theory and practice. (Academic

Press, 1999).

163. Boscher, N., Blackman, C., Carmalt, C., Parkin, I. & AG., P. Atmospheric pressure

chemical vapour deposition of vanadium diselenide thin films. Appl. Surf. Sci. 253, 6041–

46 (2007).

164. Hofmann, W. Thin films of molybdenum and tungsten disulphides by metal organic

chemical vapour deposition. J. Mater. Sci 23, 3981–86 (1988).

165. Eichfeld, S. M. et al. Highly scalable, atomically thin WSe2 grown via metal–organic

chemical vapor deposition. ACS Nano 9, 2080–2087 (2015).

166. Zhao, R., Subramanian, S. & Robinson, J. A. Synthesis, doping and properties of two-

dimensional materials. Quantum Sens. Nano Electron. Photonics XIII 9755, 97551N

(2016).

167. Colthup, N. Introduction to infrared and Raman spectroscopy. (Elsevier, 2012).

168. Ember, K. J. I. et al. Raman spectroscopy and regenerative medicine: a review. npj Regen.

Med. 2, (2017).

169. Richter, E. et al. Diameter-Selective Raman Scattering from Vibrational Modes in Carbon

Nanotubes Diameter-Selective Raman Scattering from Vibrational Modes in Carbon

Nanotubes. Science (80-. ). 275, 187–191 (1997).

170. Mani, R. The Comparison between a Near IR spectrometer (diffuse reflectance) and a

Raman/FT-Raman spectrometer. Allied Scientific Pro Available at:

https://alliedscientificpro.com/blog/welcome-to-our-blog-1/post/the-comparison-between-

a-near-ir-spectrometer-diffuse-reflectance-and-a-raman-ft-raman-spectrometer-39.

171. Ni, Z. H. et al. Uniaxial strain on graphene: Raman spectroscopy study and band-gap

129 opening. ACS Nano 2, 2301–2305 (2008).

172. Ferrari, A. C. & Robertson, J. Resonant Raman spectroscopy of disordered, amorphous,

and diamondlike carbon. Phys. Rev. B - Condens. Matter Mater. Phys. 64, 1–13 (2001).

173. Peterson, J. J. & Krauss, T. D. Fluorescence spectroscopy of single lead sulfide quantum

dots. Nano Lett. 6, 510–514 (2006).

174. Rogach, A. L. Fluorescence energy transfer in hybrid structures of semiconductor

nanocrystals. Nano Today 6, 355–365 (2011).

175. Becker, R. S. & Becker, R. S. Theory and interpretation of fluorescence and

phosphorescence. (Wiley Interscience, 1969).

176. Chen, Y. et al. Composition-Dependent Raman Modes of Mo1-xWxS2 Monolayer Alloys.

Nanoscale 5, 2833–2839 (6AD).

177. Canç et al. Measuring the degree of stacking order in graphite by Raman spectroscopy.

Carbon N. Y. 46, 272–275 (2008).

178. Carvalho, B. R., Malard, L. M., Alves, J. M., Fantini, C. & Pimenta, M. A. Symmetry-

Dependent Exciton-Phonon Coupling in 2D and Bulk MoS2 Observed by Resonance

Raman Scattering. Phys. Rev. Lett. 114, 136403 (2015).

179. Stenger, I. et al. Low frequency Raman spectroscopy of few-atomic-layer thick hBN

crystals. 2D Mater. 4, 031003 (2017).

180. Beams, R., Cançado, L. G., Jorio, A., Vamivakas, A. N. & Novotny, L. Tip-enhanced

Raman mapping of local strain in graphene. Nanotechnology 26, 1–6 (2015).

181. Neumann, C. et al. Raman spectroscopy as probe of nanometre-scale strain variations in

graphene. Nat. Commun. 6, 1–7 (2015).

182. Ferrari, A. C. et al. Raman spectrum of graphene and graphene layers. Phys. Rev. Lett. 97,

187401 (2006).

183. Chenet, D. A. et al. In-Plane Anisotropy in Mono- and Few-Layer ReS2

130 Probed by Raman Spectroscopy and Scanning Transmission Electron Microscopy. Nano

Lett. 15, 5667–5672 (2015).

184. Duong, D. L. et al. Raman Characterization of the Charge Density Wave Phase of 1T-

TiSe2: From Bulk to Atomically Thin Layers. ACS Nano 11, 1034–1040 (2017).

185. Rajaji, V., Janaky, S., Sarma, S. C., Peter, S. C. & Narayana, C. Pressure induced

topological and structural phase transitions in 1T-TiSe 2 : a Raman study. J. Phys.

Condens. Matter 31, 165401 (2019).

186. Zhang, X. et al. Raman spectroscopy of shear and layer breathing modes in multilayer

MoS2. Phys. Rev. B 87, 115413 (2013).

187. Carvalho, B. R. et al. Intervalley scattering by acoustic phonons in two-dimensional MoS2

revealed by double-resonance Raman spectroscopy. Nat. Commun. 8, 1–8 (2017).

188. Hildebrandt, P. & Stockburger, M. Surface-enhanced resonance Raman spectroscopy of

Rhodamine 6G adsorbed on colloidal silver. J. Phys. Chem. 88, 5935–5944 (1984).

189. Ding, S. Y. et al. Nanostructure-based plasmon-enhanced Raman spectroscopy for surface

analysis of materials. Nat. Rev. Mater. 1, 1–16 (2016).

190. Goldstein, G. I. et al. Scanning electron microscopy and x-ray microanalysis. (Plenum

Press, 1981).

191. Electron Microscopy 101 Series: Basics in Electron Optics. (2018). Available at:

https://microscopy.unimelb.edu.au/news-and-events/em-series-101-1.

192. Wittke, J. H. Microprobe-SEM. (2008). Available at:

http://www4.nau.edu/microanalysis/Microprobe-SEM/Signals.html on 27/12/11.

193. Van Grieken, R. & Markowicz, A. Handbook of X-ray Spectrometry. (CRC press, 2001).

194. Giessibl, F. J. Advances in atomic force microscopy. Rev. Mod. Phys. 75, 949 (2003).

195. Atomic Force Microscope. Available at: https://ccem.mcmaster.ca/atomic-force-

microscope/. (Accessed: 17th April 2019)

131 196. Nonnenmacher, M., O’Boyle, M. P. & Wickramasinghe, H. K. Kelvin probe force

microscopy. Appl. Phys. Lett. 58, 2921–2923 (1991).

197. Melitz, W., Shen, J., Kummel, A. C. & Lee, S. Kelvin probe force microscopy and its

application. Surf. Sci. Rep. 66, 1–27 (2011).

198. Seidel, J. et al. Domain wall conductivity in La-doped BiFeO3. Phys. Rev. Lett. 105, 5–8

(2010).

199. Kwon, D. H. et al. Atomic structure of conducting nanofilaments in TiO 2 resistive

switching memory. Nat. Nanotechnol. 5, 148–153 (2010).

200. Son, Y. et al. Layer number dependence of MoS2 photoconductivity using photocurrent

spectral atomic force microscopic imaging. ACS Nano 9, 2843–2855 (2015).

201. Hüfner, S. Photoelectron spectroscopy: principles and applications. (Springer Verlag,

1995).

202. XPS / ESCA. Physical Electronics Available at: https://www.phi.com/surface-analysis-

techniques/xps-esca.html. (Accessed: 17th April 2019)

203. Silly, M. G., Charra, F., Lux, F., Lemercier, G. & Sirotti, F. The electronic properties of

mixed valence hydrated europium chloride thin film. Phys. Chem. Chem. Phys. 17,

18403–18412 (2015).

204. Akhavan, O. The effect of heat treatment on formation of graphene thin films from

graphene oxide nanosheets. Carbon N. Y. 48, 509–519 (2010).

205. Biesinger, M. C. et al. Resolving surface chemical states in XPS analysis of first row

transition metals, oxides and hydroxides: Cr, Mn, Fe, Co and Ni. Appl. Surf. Sci. 257,

2717–2730 (2011).

206. Zhu, H. et al. Remote plasma oxidation and atomic layer etching of MoS2. ACS Appl.

Mater. Interfaces 8, 19119–19126 (2016).

207. McDonnell, S., Addou, R., Buie, C., Wallace, R. M. & Hinkle, C. L. Defect-dominated

132 doping and contact resistance in MoS2. ACS Nano 8, 2880–2888 (2014).

208. Azcatl, A. et al. Covalent Nitrogen Doping and Compressive Strain in MoS2 by Remote N

2 Plasma Exposure. Nano Lett. 16, 5437–5443 (2016).

209. Nan, H. et al. Strong photoluminescence enhancement of MoS2 through defect

engineering and oxygen bonding. ACS Nano 8, 5738–5745 (2014).

210. Mahatha, S. K. & Menon, K. S. R. Inhomogeneous band bending on MoS 2(0001) arising

from surface steps and dislocations. J. Phys. Condens. Matter 24, (2012).

211. Shin, S., Jin, Z., Kwon, D. H., Bose, R. & Min, Y. S. High turnover frequency of

hydrogen evolution reaction on amorphous MoS2 thin film directly grown by atomic layer

deposition. Langmuir 31, 1196–1202 (2015).

212. Wi, S. et al. Enhancement of photovoltaic response in multilayer MoS2 induced by

plasma doping. ACS Nano 8, 5270–5281 (2014).

213. Williams, B. D. & Carter, C. B. Transmission electron microscopy. (Plenum, 1996).

214. Hirsch, P. Electron microscopy of thin crystals. (Butterworths, 1965).

215. Inkson, B. J. Scanning electron microscopy (SEM) and transmission electron microscopy

(TEM) for materials characterization. Mater. Charact. using Nondestruct. Eval. methods

17–43 (2016).

216. Millman, J. Electronic devices and circuits. (1985).

217. Nishizawa, J.-I. Junction Field-Effect Devices. Semiconductor Devices for Power

Conditioning. in 241–272 (Springer, 1982). doi:10.1007/978-1-4684-7263-9_11

218. Valizadeh, P. Field Effect Transistors, A Comprehensive Overview: From Basic Concepts

to Novel Technologies. (2016 John Wiley & Sons, Inc, 2016).

doi:10.1002/9781119155850

219. Sirringhaus, H. et al. Mobility enhancement in conjugated polymer field-effect transistors

through chain alignment in a liquid-crystalline phase. Appl. Phys. Lett. 77, 406–408

133 (2000).

220. Tao, L. et al. Silicene field-effect transistors operating at room temperature. Nat.

Nanotechnol. 10, 227–231 (2015).

221. Kappera, R. et al. Phase-engineered low-resistance contacts for ultrathin MoS2 transistors.

Nat. Mater. 13, 1128–1134 (2014).

222. Kappera, R. et al. Metallic 1T phase source/drain electrodes for field effect transistors

from chemical vapor deposited MoS2. APL Mater. 2, (2014).

223. Kaeslin, H. Digital Integrated Circuit Design, from VLSI Architectures to CMOS

Fabrication. (Cambridge University Press, 2008).

224. Mack, C. A. Field Guide to Optical Lithography. SPIE Press Bellingham, (2006).

225. Cooke, M. J. Semiconductor Devices. (Prentice Hall International (UK) Ltd, 1990).

226. Dean, C. R. et al. Multicomponent fractional quantum Hall effect in graphene. Nat.

Phys. 7, 693–696 (2011).

227. Chen, Y., Yi, H. T. & Podzorov, V. High-Resolution ac Measurements of the Hall Effect

in Organic Field-Effect Transistors. Phys. Rev. Appl. 5, (2016).

228. Cui, X. et al. Multi-terminal transport measurements of MoS 2 using a van der Waals

heterostructure device platform. Nat. Nanotechnol. 10, 534–540 (2015).

229. Shi, Y., Li, H. & Li, L.-J. Recent advances in controlled synthesis of two-dimensional

transition metal dichalcogenides via vapor deposition techniques. Chem. Soc. Rev. 44,

2744–2756 (2015).

230. Lee, Y. H. et al. Synthesis of large-area MoS 2 atomic layers with chemical vapor

deposition. Adv. Mater. 24, 2320–2325 (2012).

231. Zhang, Y. et al. Controlled growth of high-quality monolayer WS2 layers on sapphire and

imaging its grain boundary. ACS Nano 7, 8963–8971 (2013).

232. Liu, K. K. et al. Growth of large-area and highly crystalline MoS 2 thin layers on

134 insulating substrates. Nano Lett. 12, 1538–1544 (2012).

233. Wang, X. et al. Chemical Growth of 1T-TaS 2 Monolayer and Thin Films: Robust Charge

Density Wave Transitions and High Bolometric Responsivity. Adv. Mater. 30, 1800074

(2018).

234. Huan, Y. et al. Vertical 1T-TaS 2 Synthesis on Nanoporous Gold for High-Performance

Electrocatalytic Applications. Adv. Mater. 30, 1–9 (2018).

235. Choi, M. et al. Flexible active-matrix organic light-emitting diode display enabled by

MoS2thin-film transistor. Sci. Adv. 4, 1–8 (2018).

236. Cwik, S. et al. Direct Growth of MoS2 and WS2 Layers by Metal Organic Chemical

Vapor Deposition. Adv. Mater. Interfaces 5, 1–11 (2018).

237. Kang, K. et al. High-mobility three-atom-thick semiconducting films with wafer-scale

homogeneity. Nature 520, 656–660 (2015).

238. Boscher, N. D., Carmalt, C. J., Palgrave, R. G., Gil-Tomas, J. J. & Parkin, I. P.

Atmospheric pressure CVD of films on glass. Chem. Vap. Depos.

12, 692–698 (2006).

239. Delabie, A. et al. Low temperature deposition of 2D WS2 layers from WF6 and H2S

precursors: impact of reducing agents. Chem. Commun. 51, 15692–15695 (2015).

240. Song, J. G. et al. Layer-controlled, wafer-scale, and conformal synthesis of tungsten

disulfide nanosheets using atomic layer deposition. ACS Nano 7, 11333–11340 (2013).

241. Zhang, X. et al. Influence of Carbon in Metalorganic Chemical Vapor Deposition of Few-

Layer WSe2Thin Films. J. Electron. Mater. 45, 6273–6279 (2016).

242. Choudhury, T. H. et al. Chalcogen Precursor Effect on Cold-Wall Gas-Source Chemical

Vapor Deposition Growth of WS2. Cryst. Growth Des. 18, 4357–4364 (2018).

243. Lin, Y. C. et al. Realizing Large-Scale, Electronic-Grade Two-Dimensional

Semiconductors. ACS Nano 12, 965–975 (2018).

135 244. Lee, Y.-H. et al. Synthesis and transfer of single-layer transition metal disulfides on

diverse surfaces. Nano Lett. 13, 1852–7 (2013).

245. Okada, M. et al. Direct chemical vapor deposition growth of WS2 atomic layers on

hexagonal boron nitride. ACS Nano 8, 8273–8277 (2014).

246. Li, S. et al. Halide-assisted atmospheric pressure growth of large WSe 2 and WS 2

monolayer crystals. Appl. Mater. Today 1, 60–66 (2015).

247. Fremes, S. E. et al. A library of atomically thin metal chalcogenides. Nature 556, 355–361

(2018).

248. Nan, T. et al. NaCl-assisted one-step growth of MoS 2 –WS 2 in-plane heterostructures.

Nanotechnology 28, 325602 (2017).

249. Zhang, K. et al. Considerations for Utilizing Sodium Chloride in Epitaxial Molybdenum

Disulfide. ACS Appl. Mater. Interfaces 10, 40831–40837 (2018).

250. Losurdo, M., Giangregorio, M. M., Capezzuto, P. & Bruno, G. Graphene CVD growth on

copper and nickel: Role of hydrogen in kinetics and structure. Phys. Chem. Chem. Phys.

13, 20836–20843 (2011).

251. Li, X. et al. Role of hydrogen in the chemical vapor deposition growth of MoS 2 atomic

layers. Nanoscale 7, 8398–8404 (2015).

252. Wang, S. et al. Shape evolution of monolayer MoS2 crystals grown by chemical vapor

deposition. Chem. Mater. 26, 6371–6379 (2014).

253. Wang, Q. et al. Van der Waals epitaxy and photoresponse of hexagonal tellurium

nanoplates on flexible mica sheets. ACS Nano 8, 7497–7505 (2014).

254. Gong, Y. et al. Tellurium-Assisted Low-Temperature Synthesis of MoS 2 and WS 2

Monolayers. ACS Nano 9, 11658–11666 (2015).

255. Cui, F. et al. Tellurium-Assisted Epitaxial Growth of Large-Area, Highly Crystalline ReS

2 Atomic Layers on Mica Substrate. Adv. Mater. 28, 5018–5018 (2016).

136 256. Zhao, R. et al. Two-dimensional tantalum disulfide: controlling structure and properties

via synthesis. 2D Mater. 5, 025001 (2018).

257. ASM Alloy Phase Diagram DatabaseTM. Available at:

https://www.asminternational.org/materials-resources/online-databases/-

/journal_content/56/10192/15469013/DATABASE. (Accessed: 21st April 2019)

258. Dinsdale, A. T. SGTE data for elements. Calphad 15, 317–425 (1991).

259. Wang, A., Zhang, J., Ingle, N. K. & Lee, Y. S. Selective etch of silicon by way of

metastable hydrogen termination. (2016).

260. Zhang, J., Wang, A. & Ingle, N. K. Selective etch for silicon films. (2016).

261. Queeney, K. T. et al. Infrared spectroscopic analysis of the Si/SiO2 interface structure of

thermally oxidized silicon. J. Appl. Phys. 87, 1322–1330 (2000).

262. Sipos, B. et al. From Mott state to superconductivity in-1T-TaS2. Nat. Mater. 7, 960–965

(2008).

263. Ritschel, T. et al. Orbital textures and charge density waves in transition metal

dichalcogenides. Nat. Phys. 11, 328–331 (2015).

264. Wilson, J. A. & Yoffe, A. D. The transition metal dichalcogenides discussion and

interpretation of the observed optical, electrical and structural properties. Adv. Phys 18,

193–335 (1969).

265. Hossain, M. et al. Recent Advances in Two-Dimensional Materials with Charge Density

Waves: Synthesis, Characterization and Applications. Crystals 7, 298 (2017).

266. Li, L. J. et al. Controlling many-body states by the electric-field effect in a two-

dimensional material. Nature 529, 185–189 (2016).

267. Yu, Y. et al. Gate-tunable phase transitions in thin flakes of 1T-TaS2. Nat. Nanotechnol.

10, 270–276 (2015).

268. Bollinger, A. T. et al. Superconductor-insulator transition in La2-xSrxCuO4at the pair

137 quantum resistance. Nature 472, 458–460 (2011).

269. Ueno, K. et al. Electric-field-induced superconductivity in an insulator. Nat. Mater. 7,

855–858 (2008).

270. Fu, W. et al. Controlled Synthesis of Atomically Thin 1T-TaS 2 for Tunable Charge

Density Wave Phase Transitions. Chem. Mater. 28, 7613–7618 (2016).

271. Tsen, A. W. et al. Structure and control of charge density waves in two-dimensional 1T-

TaS 2. Proc. Natl. Acad. Sci. 112, 15054–15059 (2015).

272. Pan, J. et al. Enhanced Superconductivity in Restacked TaS2 Nanosheets. J. Am. Chem.

Soc. 139, 4623–4626 (2017).

273. Zheng, S., Liu, F., Zhu, C., Liu, Z. & Fan, H. J. Room-temperature electrically driven

phase transition of two-dimensional 1T-TaS2 layers. Nanoscale 9, 2436–2441 (2017).

274. Vaskivskyi, I. et al. Controlling the metal-to-insulator relaxation of the metastable hidden

quantum state in 1T-TaS 2 . Sci. Adv. 1, e1500168 (2015).

275. Yoshida, M., Gokuden, T., Suzuki, R., Nakano, M. & Iwasa, Y. Current switching of

electronic structures in two-dimensional 1T-Ta S2 crystals. Phys. Rev. B 95, 1–5 (2017).

276. Yoshida, M., Suzuki, R., Zhang, Y., Nakano, M. & Iwasa, Y. Memristive phase switching

in two-dimensional 1T-TaS 2 crystals . Sci. Adv. 1, e1500606 (2015).

277. Thompson, A. H., Gamble, R. F. & Revelli, J. F. Transitions between semiconducting and

metallic phases in 1-T TaS2. Solid State Commun. 9, 981–985 (1971).

278. Nakanishi, K. & Shiba, H. Theory of Three-Dimensional Orderings of Charge-Density

Waves in 1T-TaX 2 (X S, Se). J. Phys. Soc. Japan 53, 1103–1113 (1984).

279. Darancet, P., Millis, A. J. & Marianetti, C. A. Three-dimensional metallic and two-

dimensional insulating behavior in octahedral tantalum dichalcogenides. Phys. Rev. B -

Condens. Matter Mater. Phys. 90, 2–6 (2014).

280. Scruby, C. B., Williams, P. M. & Parry, G. S. The role of charge density waves in

138 structural transformations of 1T TaS2. Philos. Mag. 31, 255–274 (1975).

281. Bulaevskii, L. N. & Khomskii, D. I. Three-dimensional ordering of chargedensity waves

in quasi-one-dimensional and layered crystals. J. Exp. Theor. Phys 46, 608–615 (1977).

282. WILLIAMS, P. M., SCRUBY B., C., CLARK B., W. & PARRY S., G. Charge Density

Waves in the Layered Transition Metal Dichalcogenides. J. Phys. Colloq. 37, C4-139-C4-

150 (1976).

283. Moncton, D. E., DiSalvo, F. J., Axe, J. D., Sham, L. J. & Patton, B. R. Charge-density

wave stacking order in 1 T-Ta1-xZrxSe2: Interlayer interactions and impurity (Zr) effects.

Phys. Rev. B 14, 3432–3437 (1976).

284. Walker, M. B. & Withers, R. L. Stacking of charge-density waves in 1T transition-metal

dichalcogenides. Phys. Rev. B 28, 2766–2774 (1983).

285. Bovet, M. et al. Interplane coupling in the quasi-two-dimensional 1T-TaS2. Phys. Rev. B

67, 125105 (2003).

286. Salgado, R. et al. Low-Frequency Noise Spectroscopy of Charge-Density-Wave Phase

Transitions in Vertical Quasi-2D Devices. Appl. Phys. Express 12, 1–5 (2019).

287. Liu, G. et al. A charge-density-wave oscillator based on an integrated tantalum disulfide–

boron nitride–graphene device operating at room temperature. Nat. Nanotechnol. 11, 845–

850 (2016).

288. Ke, C. et al. Thickness-induced metal-insulator transition in Sb-doped SnO 2 Ultrathin

Films: The role of quantum confinement. Sci. Rep. 5, 1–10 (2015).

289. Ritschel, T. et al. Pressure dependence of the charge density wave in 1T-TaS2 and its

relation to superconductivity. Phys. Rev. B 87, 125135 (2013).

290. Tani, T., Osada, T. & Tanaka, S. The pressure effect on the CDW-transition temeprature

in 1T-TaS2. Solid State Commun. 22, 269–272 (1977).

291. Zhao, R. et al. Tuning Phase Transitions in 1T-TaS2 via the Substrate. Nano Lett. 17,

139 3471–3477 (2017).

292. Svetin, D. et al. Transitions between photoinduced macroscopic quantum states in 1T-

TaS2 controlled by substrate strain. Appl. Phys. Express 7, 103201 (2014).

293. Enomoto, H., Kawano, T., Kawaguchi, M., Takano, Y. & Sekizawa, K. Van der Waals

Growth of Thin TaS2 on Layered Substrates by Chemical Vapor Transport Technique.

Japanese J. Appl. Physics, Part 2 Lett. 43, (2004).

294. Olaoye, O. & Erasmus, N. Related content Superconductivity in Lithium Intercalated 1T –

and 4Hb-TaS2. Jpn. J. Appl. Phys. 23, 319–320 (1984).

295. Revelli, J. F. & Phillips, W. A. Studies of the system TaS2-xSex. J. Solid State Chem. 9,

176–186 (1974).

296. Zhao, R. et al. Two-dimensional tantalum disulfide: Controlling structure and properties

via synthesis. 2D Mater. 5, aaa104 (2018).

297. Sugai, S., Murase, K., Uchida, S. & Tanaka, S. Studies of lattice dynamics in 2H-TaS2 by

Raman scattering. Solid State Commun. 40, 399–401 (1981).

298. Li, H. et al. From bulk to monolayer MoS 2: Evolution of Raman scattering. Adv. Funct.

Mater. 22, 1385–1390 (2012).

299. Lee, C. et al. Anomalous Lattice Vibrations of Single and Few-Layer MoS2. ACS Nano

Nano 4, 2695–2700 (2010).

300. Puretzky, A. A. et al. Low-Frequency Raman Fingerprints of Two-Dimensional Metal

Dichalcogenide Layer Stacking Configurations. ACS Nano 9, 6333–6342 (2015).

301. Rice, C. et al. Raman-scattering measurements and first-principles calculations of strain-

induced phonon shifts in monolayer MoS

2 . Phys. Rev. B 87, 081307 (2013).

302. Wang, Y., Cong, C., Qiu, C. & Yu, T. Raman spectroscopy study of lattice vibration and

crystallographic orientation of monolayer mos2 under uniaxial strain. Small 9, 2857–2861

140 (2013).

303. Mouri, S., Miyauchi, Y. & Matsuda, K. Tunable photoluminescence of monolayer MoS2

via chemical doping. Nano Lett. 13, 5944–5948 (2013).

304. Nipane, A., Karmakar, D., Kaushik, N., Karande, S. & Lodha, S. Few-Layer MoS2 p-

Type Devices Enabled by Selective Doping Using Low Energy Phosphorus Implantation.

ACS Nano 10, 2128–2137 (2016).

305. Feng, Q. et al. Growth of MoS 2(1– x ) Se 2 x ( x = 0.41–1.00) Monolayer Alloys with

Controlled Morphology by Physical Vapor Deposition. ACS Nano 9, 7450–7455 (2015).

306. Feng, Q. et al. Growth of Large-Area 2D MoS 2(1- x ) Se 2 x Semiconductor Alloys. Adv.

Mater. 26, 2648–2653 (2014).

307. Zhao, Y. et al. Interlayer Breathing and Shear Modes in Few-Trilayer MoS 2 and WSe 2.

Nano Lett. 13, 1007–1015 (2013).

308. Tan, P. H. et al. The shear mode of multilayer graphene. Nat. Mater. 11, 294–300 (2012).

309. Zhang, X. et al. Shear and Layer Breathing Modes in Multilayer MoS2. Phys. Rev. Appl.

87, 115413 (2013).

310. Goli, P., Khan, J., Wickramaratne, D., Lake, R. K. & Balandin, A. A. Charge density

waves in exfoliated films of van der waals materials: Evolution of raman spectrum in

TiSe2. Nano Lett. 12, 5941–5945 (2012).

311. Duong, D. L. et al. Raman Characterization of the Charge Density Wave Phase of 1T-

TiSe2: From Bulk to Atomically Thin Layers. ACS Nano 11, 1034–1040 (2017).

312. Zhang, X. et al. Phonon and Raman scattering of two-dimensional transition metal

dichalcogenides from monolayer, multilayer to bulk material. Chem. Soc. Rev. 44, 2757–

2785 (2015).

313. Albertini, O. R. et al. Zone-center phonons of bulk, few-layer, and monolayer 1T-TaS2:

Detection of commensurate charge density wave phase through Raman scattering. Phys.

141 Rev. B 93, 1–7 (2016).

314. Luican-Mayer, A., Guest, J. R. & Hla, S.-W. Suppression of charge density wave phases

in ultrathin 1T-TaS2. 1–10 (2015).

315. He, R. et al. Distinct surface and bulk charge density waves in ultrathin 1T-TaS2. Phys.

Rev. B 94, 201108 (2016).

316. Sugai, S., Murase, K., Uchida, S. & Tanaka, S. Comparison of the soft modes in tantalum

dichalcogenides. Phys. B+ C 105, 405–409 (1981).

317. Choi, K. J. et al. Enhancement of ferroelectricity in strained BaTiO3 thin films. Science

(80-. ). 306, 1005 (2004).

318. Collection, P. S. et al. Spatially inhomogeneous metal-insulator transition in doped

manganites. Science (80-. ). 285, 1540–1542 (1999).

319. Ahn, K. H., Lookman, T. & Bishop, A. R. Strain-induced metal-insulator phase

coexistence in perovskite manganites. Nature 428, 401–404 (2004).

320. Locquet, J. P. et al. Doubling the critical temperature of La 1.9 Sr 0.1 CuO 4 using

epitaxial strain. Nature 394, 453 (1998).

321. Sakai, J., Zaghrioui, M., Matsushima, M., Funakubo, H. & Okimura, K. Impact of thermal

expansion of substrates on phase transition temperature of VO 2 films. J. Appl. Phys. 116,

123510 (2014).

322. Wu, J. et al. Strain-induced self organization of metal-insulator domains in single-

crystalline VO 2 nanobeams. Nano Lett. 6, 2313–2317 (2006).

323. Wu, Y., Xiang, J., Yang, C., Lu, W. & Lieber, C. M. Single-crystal metallic nanowires

and metal/semiconductor nanowire heterostructures. Nature 430, 61–65 (2004).

324. He, X. et al. Strain engineering in monolayer WS2, MoS2, and the WS2/MoS2

heterostructure. Appl. Phys. Lett. 109, (2016).

325. Pan, W. et al. Biaxial compressive strain engineering in graphene/boron nitride

142 heterostructures. Sci. Rep. 2, 2–7 (2012).

326. Pereira, V. M. & Castro Neto, A. H. Strain Engineering of Graphene’s Electronic

Structure. Phys. Rev. Lett. 103, 1–4 (2009).

327. Fei, R. & Yang, L. Strain-engineering the anisotropic electrical conductance of few-layer

black phosphorus. Nano Lett. 14, 2884–2889 (2014).

328. Duffey, J. R., Kirby, R. D. & Coleman, R. V. Raman scattering from 1T-TaS2. Solid State

Commun. 20, 617–621 (1976).

329. Shao, D. F. et al. Manipulating charge density waves in 1T-TaS2 by charge-carrier

doping: A first-principles investigation. Phys. Rev. B 94, 1–9 (2016).

330. Jin, W. et al. Substrate interactions with suspended and supported monolayer Mo S2:

Angle-resolved photoemission spectroscopy. Phys. Rev. B - Condens. Matter Mater. Phys.

91, 1–6 (2015).

331. Shin, B. G. et al. Indirect Bandgap Puddles in Monolayer MoS 2 by Substrate-Induced

Local Strain . Adv. Mater. 28, 9378–9384 (2016).

332. Grüner, G. & Zettl, A. Charge density wave conduction: a novel collective transport

phenomenon in solids. Phys. Rep. 119, 117–232 (1985).

333. Wilson, J. A., Di Salvo, F. J. & Mahajan, S. Charge-density waves and superlattices in the

metallic layered transition metal dichalcogenides. Adv. Phys. 24, 117–201 (1975).

334. Salvetti, G., Roucau, C., Ayroles, R., Mutka, H. & Molinié, P. Defected structural

modulation in the charge density wave compounds 1T-TaS2 and 1T-TaSe2. J. Phys.

Lettres 46, 507–511 (2007).

335. Fukuyama, H. & Lee, P. A. Dynamics of the charge-density wave. I. Impurity pinning in a

single chain. Phys. Rev. B 17, 535 (1978).

336. Shukla, N. et al. A steep-slope transistor based on abrupt electronic phase transition. Nat.

Commun. 6, 7812 (2015).

143 337. Liu, G. et al. A charge-density-wave oscillator based on an integrated tantalum disulfide-

boron nitride-graphene device operating at room temperature. Nat. Nanotechnol. 11, 845–

850 (2016).

338. Kang, D. H. et al. High-Performance Transition Metal Dichalcogenide Photodetectors

Enhanced by Self-Assembled Monolayer Doping. Adv. Funct. Mater. 25, 4219–4227

(2015).

339. Xue, X., Wang, X., Song, Y. & Mi, W. Electronic structure of transitional metal doped

two dimensional 1T-TaS2: A first-principles study. J. Alloys Compd. 739, 723–728

(2018).

340. Noh, J. H., Im, S. H., Heo, J. H., Mandal, T. N. & Seok, S. Il. Chemical management for

colorful, efficient, and stable inorganic-organic hybrid nanostructured solar cells. Nano

Lett. 13, 1764–1769 (2013).

341. Niu, G., Guo, X. & Wang, L. Review of recent progress in chemical stability of perovskite

solar cells. J. Mater. Chem. A 3, 8970–8980 (2015).

342. Sachs, M. et al. Forcing substitution of tantalum by copper in 1T-TaS2: Synthesis,

structure and electronic properties of 1T-CuxTa1-xS2. J. Phys. Condens. Matter 30,

(2018).

343. Fujii, D. et al. Electronic states of domain structure in 1T-TaS 2-x Se x observed by STM

/ STS Electronic states of domain structure in 1 T -TaS 2- x Se x observed by STM / STS.

J. Phys. Conf. Ser. 969, 012041 (2018).

344. Di Salvo, F. J., Wilson, J. A., Bagley, B. G. & Waszczak, J. V. Effects of doping on

charge-density waves in layer compounds. Phys. Rev. B 12, 2220–2235 (1975).

345. Chen, X. M. et al. Influence of Ti doping on the incommensurate charge density wave in

1T-TaS2. Phys. Rev. B - Condens. Matter Mater. Phys. 91, 1–6 (2015).

346. Di Salvo, F. J., Wilson, J. A. & Waszczak, J. V. Localization of conduction electrons by

144 Fe, Co, and Ni in 1T-TaS2 and 1T-TaSe2. Phys. Rev. Lett. 36, 885–888 (1976).

347. Li, L. J. et al. Fe-doping-induced superconductivity in the charge-density-wave system

1T-TaS 2. Europhys. Lett. 97, 67005 (2012).

348. Wang, H., Yuan, H., Sae Hong, S., Li, Y. & Cui, Y. Physical and chemical tuning of two-

dimensional transition metal dichalcogenides. Chem. Soc. Rev. 44, 2664–2680 (2015).

349. Williams, P. M., Parry, G. S. & Scrub, C. B. Diffraction evidence for the Kohn anomaly in

1T TaS2. Philos. Mag. 29, 695–699 (1974).

350. Meyer, S. F., Howard, R. E., Stewart, G. R., Acrivos, J. V. & Geballe, T. H. Properties of

intercalated 2H‐NbSe 2 , 4Hb‐TaS 2 , and 1T‐TaS 2 . J. Chem. Phys. 62, 4411–4419

(2003).

351. Sarma, M., Beal, A. R., Nulsen, S. & Friend, R. H. Transport and optical properties of the

hydrazine intercalation complexes of 1T-TaS2. J. Phys. C Solid State Phys. 15, 477

(1982).

352. Yoffe, A. D. Electronic properties of low dimensional solids: the physics and chemistry of

layer type transisiton metal dichalcogenides and their intercalate complexes. Solid State

Ionics 39, 1–7 (1990).

353. Tsang, J. C. & Shafer, M. W. Raman spectroscopy of intercalated layered structure

compounds. Solid State Commun. 25, 999–1002 (1978).

354. Enomoto, H. & Lerner, M. M. Synthesis of polymer / 1 T -TaS 2 layered nanocomposites.

Mater. Res. Bull. 37, 1499–1507 (2002).

355. Chatakondu, K., Green, M. L. H., Thompson, M. E. & Suslick, K. S. The enhancement of

intercalation reactions by ultrasound. J. Chem. Soc. Chem. Commun. 900–901 (1987).

doi:10.1039/C39870000900

356. Boller, H. & Blaha, H. Intercalation phases of TiS2, 2HNbS2, and 1TTaS2 with

ethylenediamine and trimethylenediamine: A crystal chemical and thermogravimetric

145 study. J. Solid State Chem. 45, 119–126 (1982).

357. Tanaka, M. et al. Study of 4Hb‐TaS2 and graphite intercalation compound by STM/STS.

J. Microsc. 152, 183–192 (1988).

358. Pettenkofer, C., Jaegermann, W. & Parkinson, B. A. Copper intercalation in 1T-TaS2.

Surf. Sci. 251–252, 583–586 (1991).

359. Ganal, P., Olberding, W., Butz, T. & Ouvrard, G. Soft chemistry induced host metal

coordination change from octahedral to trigonal prismatic in 1T-TaS2. Solid State Ionics

59, 313–319 (1993).

360. Thompson, A. H. Electrochemical studies of lithium intercalation in titanium and tantalum

dichalcogenides. Phys. B+ C 99, 100–106 (1980).

361. Pettenkofer, C. & Jaegermann, W. Charge-density-wave transformation induced by Na

intercalation into 1T-TaS2. Phys. Rev. B 50, 8816 (1994).

362. Crawack, H. J. & Pettenkofer, C. Calculation and XPS measurements of the Ta4f CDW

splitting in Cu, Cs and Li intercalation phases of 1T-TaX2(X = S, Se). Solid State

Commun. 118, 325–332 (2001).

363. Rossnagel, K. Suppression and emergence of charge-density waves at the surfaces of

layered 1T-TiSe2 and 1T-TaS2 by in situ Rb deposition. New J. Phys. 12, 125018 (2010).

364. Zhao, R. et al. Stabilizing the commensurate charge-density wave in 1T-tantalum disulfide

at higher temperatures via potassium intercalation. Nanoscale 11, 6016–6022 (2019).

365. Rahn, D. J. et al. Laterally confined metal-to-insulator and quasi-two-dimensional–to–

two-dimensional transition by focused Rb intercalation of 1T-TaS2. Phys. Rev. B 84,

233105 (2011).

366. Liu, B. & Zeng, H. C. Salt-Assisted Deposition of SnO 2 on α-MoO 3 Nanorods and

Fabrication of Polycrystalline SnO 2 Nanotubes . J. Phys. Chem. B 108, 5867–5874

(2004).

146 367. Zhou, J. et al. Salt-template-assisted synthesis of robust 3D honeycomb-like structured

MoS2 and its application as a lithium-ion battery anode. J. Mater. Chem. A 4, 8734–8741

(2016).

368. Hellmann, S. et al. Ultrafast melting of a charge-density wave in the mott insulator 1T-

TaS2. Phys. Rev. Lett. 105, 187401 (2010).

369. Albertini, O. R. et al. Zone-center phonons of bulk, few-layer, and monolayer 1T-TaS2:

Detection of commensurate charge density wave phase through Raman scattering. Phys.

Rev. B 93, 1–7 (2016).

370. Qi, X. L. & Zhang, S. C. Topological insulators and superconductors. Rev. Mod. Phys. 83,

(2011).

371. Hasan, M. Z. & Kane, C. L. Colloquium: Topological insulators. Rev. Mod. Phys. 82,

3045–3067 (2010).

372. Ando, Y. Topological insulator materials. J. Phys. Soc. Japan 82, 1–32 (2013).

373. Datta, S. & Das, B. Electronic analog of the electro-optic modulator. Appl. Phys. Lett. 56,

665–667 (1990).

374. Yamada, K. et al. Ultrathin Bismuth Film on 1 T-TaS2: Structural Transition and Charge-

Density-Wave Proximity Effect. Nano Lett. 18, 3235–3240 (2018).

375. Mahajan, M., Murali, K., Kawatra, N. & Majumdar, K. Gate-Controlled Large Resistance

Switching Driven by Charge-Density Wave in 1T-TaS2/2H-MoS2 Heterojunctions. Phys.

Rev. Appl. 11, 024031 (2019).

376. Zhu, X., Li, A. J., Stewart, G. R. & Hebard, A. F. Detection of charge density wave phase

transitions at 1T-TaS2/GaAs interfaces. Appl. Phys. Lett. 110, (2017).

377. Wang, Z. et al. Modulating Charge Density Wave Order in a 1T-TaS2/black Phosphorus

Heterostructure. Nano Lett. acs.nanolett.8b04805 (2019).

doi:10.1021/acs.nanolett.8b04805

147 378. Fan, L. L. et al. Strain dynamics of ultrathin VO2 film grown on TiO2 (001) and the

associated phase transition modulation. Nano Lett. 14, 4036–4043 (2014).

379. Ji, H., Wei, J. & Natelson, D. Modulation of the electrical properties of VO 2 nanobeams

using an ionic liquid as a gating medium. Nano Lett. 12, 2988–2992 (2012).

380. Grisafe, B., Zhao, R., Ghosh, R. K., Robinson, J. A. & Datta, S. Electrically triggered

insulator-to-metal phase transition in two-dimensional (2D) heterostructures. Appl. Phys.

Lett. 113, (2018).

381. Spijkerman, A., de Boer, J. L., Meetsma, A., Wiegers, G. A. & van Smaalen, S. X-ray

crystal-structure refinement of the nearly commensurate phase of in-dimensional

superspace. Phys. Rev. B - Condens. Matter Mater. Phys. 56, 13757–13767 (1997).

382. Young, P. A. Lattice parameter measurements on molybdenum disulphide. J. Phys. D.

Appl. Phys. 1, 936–938 (1968).

383. Yin, Z. et al. Single-Layer MoS 2 Phototransistors. ACS Nano 6, 74–80 (2012).

384. Choi, W. et al. High-detectivity multilayer MoS2 phototransistors with spectral response

from ultraviolet to infrared. Adv. Mater. 24, 5832–5836 (2012).

385. Lee, H. S. et al. MoS 2 nanosheet phototransistors with thickness-modulated optical

energy gap. Nano Lett. 12, 3695–3700 (2012).

386. Zhang, W. et al. High-gain phototransistors based on a CVD MoS2 monolayer. Adv.

Mater. 25, 3456–3461 (2013).

387. Perkins, F. K. et al. Chemical vapor sensing with monolayer MoS2. Nano Lett. 13, 668–

673 (2013).

388. Liu, B. et al. High-performance chemical sensing using Schottky-contacted chemical

vapor deposition grown monolayer MoS2 transistors. ACS Nano 8, 5304–5314 (2014).

389. He, Q. et al. Fabrication of flexible MoS2 thin-film transistor arrays for practical gas-

sensing applications. Small 8, 2994–2999 (2012).

148 390. Sarkar, D. et al. MoS2 field-effect transistor for next-generation label-free biosensors.

ACS Nano 8, 3992–4003 (2014).

391. Kalantar-Zadeh, K. & Ou, J. Z. Biosensors Based on Two-Dimensional MoS2. ACS

Sensors 1, 5–16 (2016).

392. Wang, T. et al. Biosensor based on ultrasmall MoS2 nanoparticles for electrochemical

detection of H2O2 released by cells at the nanomolar level. Anal. Chem. 85, 10289–10295

(2013).

393. Xiao, J. et al. Exfoliated MoS2 nanocomposite as an anode material for lithium ion

batteries. Chem. Mater. 22, 4522–4524 (2010).

394. Hwang, H., Kim, H. & Cho, J. MoS2 nanoplates consisting of disordered graphene-like

layers for high rate lithium battery anode materials. Nano Lett. 11, 4826–4830 (2011).

395. Feng, C. et al. Synthesis of molybdenum disulfide (MoS2) for lithium ion battery

applications. Mater. Res. Bull. 44, 1811–1815 (2009).

396. Voiry, D. et al. Conducting MoS2 nanosheets as catalysts for hydrogen evolution reaction.

Nano Lett. 13, 6222–6227 (2013).

397. Lukowski, M. A. et al. Enhanced hydrogen evolution catalysis from chemically exfoliated

metallic MoS2 nanosheets. J. Am. Chem. Soc. 135, 10274–7 (2013).

398. Ye, G. et al. Defects Engineered Monolayer MoS2 for Improved Hydrogen Evolution

Reaction. Nano Lett. 16, 1097–1103 (2016).

399. He, Z. & Que, W. Molybdenum disulfide nanomaterials: Structures, properties, synthesis

and recent progress on hydrogen evolution reaction. Appl. Mater. Today 3, 23–56 (2016).

400. Wang, H. et al. Integrated circuits based on bilayer MoS 2 transistors. Nano Lett. 12,

4674–4680 (2012).

401. Ebrahimi, A. et al. A roadmap for electronic grade 2D materials A roadmap for electronic

grade 2D materials. 2D Mater. aaf836 (2019). doi:10.1088/2053-1583/aaf836

149 402. Zhan, Y., Liu, Z., Najmaei, S., Ajayan, P. M. & Lou, J. Large-area vapor-phase growth

and characterization of MoS2atomic layers on a SiO2substrate. Small 8, 966–971 (2012).

403. Balendhran, S. et al. Atomically thin layers of MoS 2via a two step thermal evaporation-

exfoliation method. Nanoscale 4, 461–466 (2012).

404. Wang, S. et al. Shape evolution of monolayer MoS2 crystals grown by chemical vapor

deposition. Chem. Mater. 26, 6371–6379 (2014).

405. Zhang, F. et al. Controlled synthesis of 2D transition metal dichalcogenides: From vertical

to planar MoS2. 2D Mater. 4, (2017).

406. Bhimanapati, G. R. et al. Growth and Tunable Surface Wettability of Vertical MoS2

Layers for Improved Hydrogen Evolution Reactions. ACS Appl. Mater. Interfaces 8,

22190–22195 (2016).

407. Radisavljevic, B., Radenovic, A., Brivio, J., Giacometti, V. & Kis, A. Single-layer MoS2

transistors. Nat. Nanotechnol. 6, 147–50 (2011).

408. Das, S., Chen, H.-Y., Penumatcha, A. V. & Appenzeller, J. High performance multilayer

MoS2 transistors with scandium contacts. Nano Lett. 13, 100–5 (2013).

409. Ellis, J. K., Lucero, M. J. & Scuseria, G. E. The indirect to direct band gap transition in

multilayered MoS2as predicted by screened hybrid density functional theory. Appl. Phys.

Lett. 99, (2011).

410. International Technology Roadmap for Semiconductors. Available at:

http://www.itrs2.net/.

411. Lo, C. L. et al. Large-Area, Single-Layer Molybdenum Disulfide Synthesized at BEOL

Compatible Temperature as Cu Diffusion Barrier. IEEE Electron Device Lett. 39, 873–

876 (2018).

412. Mehta, R., Chugh, S. & Chen, Z. Enhanced electrical and thermal conduction in graphene-

encapsulated copper nanowires. Nano Lett. 15, 2024–2030 (2015).

150 413. Hong, J. et al. Graphene as an atomically thin barrier to Cu diffusion into Si. Nanoscale 6,

7503–7511 (2014).

414. Li, L. et al. BEOL compatible graphene/Cu with improved electromigration lifetime for

future interconnects. Tech. Dig. - Int. Electron Devices Meet. IEDM 9.5.1-9.5.4 (2017).

doi:10.1109/IEDM.2016.7838383

415. Lo, C. L. et al. Atomically thin diffusion barriers for ultra-scaled Cu interconnects

implemented by 2D materials. IEEE Int. Reliab. Phys. Symp. Proc. MR4.1-MR4.4 (2017).

doi:10.1109/IRPS.2017.7936379

416. Lo, C.-L. et al. Studies of two-dimensional h-BN and MoS2 for potential diffusion barrier

application in copper interconnect technology. npj 2D Mater. Appl. 1, (2017).

417. Lo, C. L., Zhang, S., Shen, T., Appenzeller, J. & Chen, Z. BEOL compatible 2D layered

materials as ultra-thin diffusion barriers for Cu interconnect technology. Device Res. Conf.

- Conf. Dig. DRC 032107, 1–2 (2017).

418. Mehta, R., Chugh, S. & Chen, Z. Transfer-free multi-layer graphene as a diffusion barrier.

Nanoscale 9, 1827–1833 (2017).

419. Li, L. et al. Cu diffusion barrier: graphene benchmarked to TaN for ultimate interconnect

scaling. 2015 Symp. VLSI Technol. (VLSI Technol. 52, T122–T123 (2015).

420. Bong, J. H., Yoon, S. J., Yoon, A., Hwang, W. S. & Cho, B. J. Ultrathin graphene and

graphene oxide layers as a diffusion barrier for advanced Cu metallization. Appl. Phys.

Lett. 106, (2015).

421. Nguyen, B. S., Lin, J. F. & Perng, D. C. 1-Nm-Thick Graphene Tri-Layer As the Ultimate

Copper Diffusion Barrier. Appl. Phys. Lett. 104, (2014).

422. Lo, C. L. et al. Enhancing Interconnect Reliability and Performance by Converting

Tantalum to 2D Layered Tantalum Sulfide at Low Temperature. arXiv Prepr.

arXiv1901.08143. (2019).

151 Appendix A

Replace with Appendix Title

Start here

VITA

Rui Zhao

Education Penn State University-University Park Jul 2014-Aug 2019 PhD in Materials Science and Engineering Cumulative GPA: 3.92 Penn State University-University Park Aug 2012-May 2014 Bachelor in Materials Science and Engineering Cumulative GPA: 3.80 Harbin Institute of Technology Aug 2010-Jun 2012 Bachelor in Materials Science and Engineering Cumulative GPA: 90 (out of 100)

Selected Publications • Zhao, R., Grisafe, B., Ghosh, R.K., Wang, K., Datta, S. and Robinson, J., 2019. Stabilizing the commensurate charge-density wave in 1T-Tantalum Disulfide at higher temperatures via Potassium Intercalation, Nanoscale, 11(13), p.6016-6022 • Zhao, R., Wang, Y., Deng, D., Luo, X., Lu, W.J., Sun, Y.P., Liu, Z.K., Chen, L.Q. and Robinson, J., 2017. Tuning Phase Transitions in 1T-TaS2 via the Substrate. Nano Letters, 17(6), pp.3471-3477 • Zhao, R., Grisafe, B., Ghosh, R.K., Holoviak, S., Wang, B., Wang, K., Briggs, N., Haque, A., Datta, S. and Robinson, J., 2018. Two-dimensional tantalum disulfide: controlling structure and properties via synthesis. 2D Materials, 5(2), p.025001. • Zhao, R., Lo, CL., Zhang, F., Terrones, M., Chen, Z., Robinson, J., BEOL compatible few layer Nb- doped MoS2 thin film diffusion barrier for Cu interconnects, submitted • Zhao, R., Liu, Z.K., Kim, H., Robinson, J., 2018. Synthesis of ultra-thin free-standing silica thin film by chemical vapor etching process, revision • Albertini, O.R., Zhao, R., McCann, R.L., Feng, S., Terrones, M., Freericks, J.K., Robinson, J.A., and Liu, A.Y., 2016. Zone-center phonons of bulk, few-layer, and monolayer 1T-TaS2: Detection of the commensurate charge density wave phase through Raman scattering Phys. Rev. B 214109 1–7 • Grisafe, B., Zhao, R., Ghosh, R. K., Robinson, J. A., & Datta, S. (2018). Electrically triggered insulator-to-metal phase transition in two-dimensional (2D) heterostructures. Applied Physics Letters, 113(14), 142101

Selected Presentations • XXVII International Material Research Congress, Cancun, Mexico, 08/2018 Oral presentation: Two-dimensional Tantalum Disulfide-Controlling structure and properties via synthesis; Oral presentation: Charge-Density Wave transformation induced by Ion doping into 1T-TaS2 • 60th Electronic Materials Conference, Santa Barbara, CA, 06/2018 Oral presentation: Charge-Density Wave transformation induced by Ion doping into 1T-TaS2; Oral presentation: Two-dimensional Tantalum Disulfide-Controlling structure and properties via synthesis • MRS Fall Meeting & Exhibit, Boston, MA Oral presentation (11/2017): Manipulating Charge Density Wave (CDW) phase transitions in 1T- Tantalum Disulfide (1T-TaS2); Poster presentation (11/2016): 1T-TaS2: Synthesis, characterization and device fabrication