Background Statement for SEMI Draft Document 4683D

Total Page:16

File Type:pdf, Size:1020Kb

Background Statement for SEMI Draft Document 4683D

Background Statement for SEMI Draft Document 4683D Line Item Revision to SEMI S2-0712b, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT Delayed Revisions related to Chemical Exposure

Notice: This background statement is not part of the balloted item. It is provided solely to assist the recipient in reaching an informed decision based on the rationale of the activity that preceded the creation of this Document.

Notice: Recipients of this Document are invited to submit, with their comments, notification of any relevant patented technology or copyrighted items of which they are aware and to provide supporting documentation. In this context, “patented technology” is defined as technology for which a patent has issued or has been applied for. In the latter case, only publicly available information on the contents of the patent application is to be provided.

Background Statement This task force was chartered to clarify and review industrial hygiene criteria in § 23.5. These line items are to do the following:  Add explanatory materials for valid air sampling and measurement methods and accredited laboratories  Clarify the reporting criteria

Please forward a courtesy copy of any comments or negatives against the ballot with your contact information to John Visty at [email protected]. As this is a technical ballot, all votes of reject must be accompanied by negatives and sent to SEMI staff or they will be considered abstention votes.

Review and Adjudication Information Task Force Review Committee Adjudication Group: S2 Chemical Exposure TF NA EHS Committee Date: Monday, November 3, 2014 (tentative) Thursday, November 6, 2014 Time & Timezone: 2:00 PM to 3:30 PM (tentative) 9:00 AM to 6:00 PM US Pacific Time US Pacific Time Location: SEMI Headquarters SEMI Headquarters 3081 Zanker Road 3081 Zanker Road City, State/Country: San Jose, CA, USA San Jose, CA, USA Leader(s): John Visty (Salus) Chris Evanston (Salus) Sean Larsen (Lam Research AG) Bert Planting (ASML) Standards Staff: Paul Trio (SEMI NA) Paul Trio (SEMI NA) 408.943.7041 408.943.7041 [email protected] [email protected] This meeting’s details are subject to change, and additional review sessions may be scheduled if necessary. Contact the task force leaders or Standards staff for confirmation.

Telephone and web information will be distributed to interested parties as the meeting date approaches. If you will not be able to attend these meetings in person but would like to participate by telephone/web, please contact Standards staff. Safety Checklist for SEMI Draft Document #4683D Line Item Revision to SEMI S2-0712b, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT Developing/Revising Body Name/Type: S2 Chemical Exposure Task Force Technical Committee: Environmental, Health and Safety Region: North America Leadership Position Last First Affiliation Leader Visty John Salus Engineering International Technical Editor Larsen Sean Lam Research * Only necessary if different from leaders Documents, Conflicts, and Consideration Safety related codes, standards, and practices used in developing the safety guideline, and the manner in which each item was considered by the technical committee # and Title Manner of Consideration SEMI S6-0707 – EHS Guideline for Exhaust Ventilation Align criteria and terminology of Semiconductor Manufacturing Equipment Known inconsistencies between the safety guideline and any other safety related codes, standards, and practices cited in the safety guideline # and Title Inconsistency with This Safety Guideline 2014 ACGIH TLVs® and BEIs® – Based on the Documentation of the Threshold Limit Values for Chemical Substances and The exposure limits in this Safety Guideline Physical Agents & Biological Exposure Indices (both as published and as proposed) are more OSHA 29CFR 1910.1000 – Toxic and Hazardous Substances, Air stringent than the limits published in the Contaminants referenced documents. The MAK-Collection for Occupational Health and Safety: Part I: MAK Value Documentations, Volume 25 Other conflicts with known codes, standards, and practices or with commonly accepted safety and health principles to the extent practical # and Title Nature of Conflict with This Safety Guideline NONE Participants and Contributors Last First Affiliation Barsky Joe TUV Rheinland Belk Bill DECON Breder Paul ESTEC Solutions Brody Steve Product EHS Consulting Claes Brian Lam Research Crockett Alan KLA-Tencor DeFrain Steve ESTEC Derbyshire Pauline TUV Sud Ergete Nigusu Intertek-GS3 Fessler Mark TEL Frankfurth Mark Cymer Galatis Ermias TEL Giles Andy Estec Solutions Greenberg Cliff Nikon Precision Hamilton Jeff Tokyo Electron Hayford James AMAT Hobbs Duncan Seagate Holbrook Glenn TUV SUD

2 Last First Affiliation Hughes Stanley Lam Research Imamiya Dainippon Screen Ishikawa Shigehisa TUV SUD Japan Jumper Steve Applied Materials Karl Ed Applied Materials Klug Wolfgang TUV Rheinland Germany Kryska Paul Lam Research Larsen Sean Lam Research Lebouitz Kyle SPTS Mashiro Supika Tokyo Electron Maxwell Robert KLA-Tencor Mills Ken ESTEC Nambu Mitsuju Tokyo Electron Narayanan Hari Shankar Seagate Nishiguchi Dainippon Screen Nogawa Kaoru Safe Techno O’Heihir John Applied Materials Planting Bert ASML Pochon Stephan TUV Rheinland Renard Patrick GTAT Rieger Michael Antea Group Roberge Steve Axcelis Sexton David TUV Rheinland Sinor Russel IBM Sklar Eric Safety Guru Sleiman Samir Brooks Automation Timlin Ernest IBM Yakimow Byron Cymer

The content requirements of this checklist are documented in Section 14.2 of the Regulations Governing SEMI Standards Committees.

SEMI Draft Document 4683D Line Item Revision to SEMI S2-0712b, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT

Line Item 1: Delayed Revisions Related to Chemical Exposure Criteria DELAYED REVISIONS X (Effective July 2015) CHEMICAL EXPOSURE CRITERIA

NOTICE: This Delayed Revisions Section contains material that has been balloted and approved by the global Environmental Health & Safety Technical Committee, but is not immediately effective. The provisions of this material are not an authoritative part of the Document until their effective date. The main body of SEMI S2- 0712 remains the authoritative version. Some or all of the provisions of revisions not yet in effect may optionally be applied prior to the effective date, providing they do not conflict with portions of the authoritative version other than those that are to be revised or replaced as part of the deferred revision, and are labeled accordingly. NOTICE: Unless otherwise noted, material to be added is underlined, and all material to be deleted is struck through.

DX-1 Revision to § 5 (Terminology) (OPTIONAL Before Effective Date) DX-1.1 Add the following to ¶ 5.1 (Abbreviations and Acronyms) as shown 5.1.X AIHA — American Industrial Hygiene Association 5.1.X IOHA — International Occupational Hygiene Association 5.1.X NIOSH — National Institute of Occupational Safety and Health – part of United States Centers for Disease Control and Prevention 5.1.X OSHA — Occupational Safety and Health Administration –an agency of United States government 5.1.X SOC — substance of concern

DX-1.2 Modify 5.2.45 as shown below 5.2.45 lower explosiveflammable limit (LFL) — the minimum concentration of vapor in air at which propagation of flame will occur in the presence of an ignition source. Synonyms: Lower explosive limit (LEL), lower flammability limit (LFL).

DX-2 Revision to § 23 (Chemicals) (OPTIONAL Before Effective Date) DX-2.1 Modify ¶ 23.5 as shown below. 23.5 During equipment development, the supplier should conduct an assessment that documents conformance to the following airborne chemical control criteria. All measurements should be taken made using recognized methods a method in accordance with § 23.5.1 with documented sensitivities and accuracy. The sample location(s) and conditions should be representative of the reasonably foreseeable, worst-case personnel breathing zone. A report documenting the survey methods, equipment operating parameters, instrumentation used, method detection limits, calibration data, results, and discussion should be availableprovided as part of the S2 report.

4 DX-2.2 Add paragraphs below between ¶¶ 23.5 and 23.5.1. 23.5.1 Air Sampling Method Selection 23.5.1.1 When available for the substance of concern (SOC) (also known as “analyte”) and concentration being evaluated, one of the following methods should be used: Integrated sampling methods, analytical techniques and validated methods published by agencies or industrial hygiene organizations such as NIOSH, OSHA, IOHA or ACGIH. Validated methods are documented as a reviewed protocol for the collection and analysis of a sample (e.g., NIOSH sampling method 1300). A validated method includes instructions on collection (media, efficiency, volume, flow rate, etc.), handling, analytical method, lower sensitivity and method error statistics. Integrated sampling instrumentation can include calibrated diaphragm pumps and passive dosimeters. Passive dosimeters used and analyzed per the manufacturers’ specification and guidance. Direct reading instrumentation (real-time / instantaneous) as used per the manufacturer’s specification and guidance. Color indicating detector tubes that are visually interpreted by an individual performing the sampling are excluded from use for determining compliance. Collection of a stable SOC (analyte) in a calibrated (i.e., known sample collection rate) vacuum canister and laboratory analysis using a validated method. NOTE XX: Color indicating detector tubes that are visually interpreted by an individual to obtain a result frequently have large documented errors due to variation in reaction (color indication), sampling method, and subjective visual evaluation of the resulting stain. Application of color indicating detector tubes that are visually inspected for other than conformance such as qualitative determination for the presence of a chemical is appropriate. 23.5.1.2 A surrogate material may be used if the SOC has hazardous properties or inadequate detection methods which make the SOC unsuitable for conducting testing in a safe or efficient manner. Use of a surrogate should be conducted in accordance with S6. If a surrogate is utilized then the result of the air sample testing should be compared to the SOC OEL / LFL (not the identified surrogate OEL / LFL). An explanation why the surrogate is used and is still representative of the SOC should be included as part of the S2 report including consideration of the following: Surrogate material has an equal or greater vapor pressure or evaporation rate under stated process conditions for the SOC (e.g., temperature, pressure, relative humidity, air-flow, etc.); Surface area (as applicable for liquid surrogate and use application) of the material should be equal to or greater than the surface area of the SOC. The selection of an appropriate surrogate should consider the method lower detection limit to insure the ability to compare to the SOC OEL. 23.5.1.3 If no method is known that meets the criteria for testing in ¶¶ 23.5.1.1 or 23.5.1.2, then select an available method with consideration of factors such as the accuracy, cross-sensitivity and lower detection limit. An explanation why the method was selected should be included as part of the S2 report. This clause applies to the ability to measure adequate lower detection levels in order to determine conformance against the criteria detailed in 23.5.3 through 23.5.6 (for example, 1% and 25% of the OEL). 23.5.2 Laboratories — An accredited industrial hygiene laboratory ( for example , a laboratory accredited by a nationally recognized accreditation body) should be used for all samples that require laboratory analysis. The industrial hygiene laboratory accreditation should be clearly identified and pertain to the analytical procedure being used. The laboratory report information and sampling results should be documented as part of the S2 report. NOTE XX: An accredited industrial hygiene laboratory is not the same as an “Accredited Testing Laboratory” as defined in the terminology section. DX-2.3 Renumber and modify ¶¶ 23.5.1 through 23.5.4 as shown below. [In compliance with the SEMI Standards Procedure Guide, ¶ 3.4.2.4] 23.5.3 23.5.1 There should be no cChemical emissions to the workplace environment during normal equipment operation should be at the lowest practical level. Conformance to this section can be shown by demonstrating ambient air concentrations to be that SOC emissions from the equipment into the workplace environment during normal equipment operation result in concentrations that are less than 1% of the Occupational Exposure Limit (OEL) in the reasonably foreseeable, worst-case personnel breathing zone. EXCEPTION: Sampling during normal operations for closed process equipment (see S6 for the definition of closed process equipment), may not be required if the equipment design (e.g., safety interlocked vacuum process chamber) results in no significant quantities of substances of concern released to the work environment. NOTE XX: Selection of the lowest OEL published by a professional or governmental agency is typically the default approach in order to cover all installations and end-user locations. The selection of an applicable OEL that is country or regional specific should consider all of the countries or regions where potential customers are located. It is recommended that the selected OEL be the lowest one identified for the various planned customer locations. Two online resources to help initially identify OELs around the world are:  OSHA annotated PEL Tables (includes the ACGIH TLVs) which can be found at https://www.osha.gov/dsg/annotated-pels/ .  GESTIS International Limit Values database which can be found at http://limitvalue.ifa.dguv.de/Webform_gw.aspx 23.5.4 23.5.2 Chemical emissions during maintenance activities should be minimized at the lowest practical level. Conformance to this section can be shown by demonstrating ambient SOC air concentrations to be less than 25% of the OEL, in the anticipated reasonably foreseeable, worst-case personnel breathing zone, during maintenance activities. 23.5.5 23.5.3 Chemical emissions during equipment failures should be minimized at the lowest practical level. Conformance to this section can be shown by demonstrating ambient SOC air concentrations to be less than 25% of the applicable OEL as measured in the anticipated reasonably foreseeable, worst-case personnel breathing zones and outside the equipment, during a realistic reasonably foreseeable, worst-case system failure.

NOTE 140: The use of direct reading instrumentation under simulated operating, maintenance, or failure conditions is the preferred measurement method. Where used, it is recommended that the sample location(s) be representative of the worst-case, realistic exposure locations(s). It is recommended that the peak concentration be directly compared to the OEL to demonstrate conformance to Sections 23.5.1–23.5.3. NOTE 141: It is recommended that integrated sampling methods be used when direct-reading instrumentation does not have adequate sensitivity, or when direct-reading technology is not available for the chemicals of interest. Where integrated sampling is used, it is recommended that the sample duration and locations(s) be representative of the worst-case, realistic, anticipated exposure time and locations. The resulting average concentration is directly compared to the OEL to demonstrate conformance to Sections 23.5.1–23.5.3. NOTE 142: Tracer gas testing (see SEMI F15 for an acceptable method) may be used when direct-reading instrumentation does not have adequate sensitivity, or when direct-reading technology is not available for the chemicals of interest. Tracer gas testing should be used where testing conditions may be hazardous (e.g., system failure simulation with potential release of hazardous gas to atmosphere). It is recommended that tracer gas testing be used only when an accurate rate of chemical emission can be determined. Where used, it is recommended that the sample location(s) be representative of the worst-case, realistic exposure location(s). 23.5.6 23.5.4 Chemical emissions outside the enclosure during a realistic worst-case system failure should be less than the lower of the following two values: 25% of the lower explosive limit (LEL), or 25% of the OEL. Chemical emissions of flammable or combustible chemistries during normal operations, maintenance and failure conditions should be at the lowest practical level. Conformance to this section can be shown by SOC concentrations are controlled to less than 25% of the lower flammable limit (LFL) on the exterior of the equipment and also at potential ignition sources internal to the equipment during normal equipment operation, maintenance activities and during reasonably foreseeable, worst-case system failure. NOTE XX: The flammable limits should consider additional fire protection controls, such as Nitrogen inerting, when they are implemented in conformance with the appropriate standards and with single fault tolerance.

DX-3 Revision to Related Information 5 (CONTINUOUS HAZARDOUS GAS DETECTION (OPTIONAL Before Effective Date) DX-3.1 Modify ¶ R5-3 as shown below. R5-3 The following variables should be taken into consideration when determining the necessity for continuous monitoring: Chemical toxicity, Warning property/OEL ratio, Delivery pressure, 6 LELLower Flammable Limit (LFL), Flow rate of potential leak, Engineering controls in place, and Concentration.

DX-4 Revision to Related Information 16 (DESIGN PRINCIPLES AND TEST METHODS FOR EVALUATING EQUIPMENT EXHAUST VENTILATION — Design and Test Method Supplement Intended for Internal and Third Party Evaluation Use) (OPTIONAL Before Effective Date) DX-4.1 Modify ¶ R16-6.3.3 as shown below. R16-6.3.3 Enclosures for pyrophoric or flammable gases should be designed to ensure adequately uniform dilution (i.e., prevent “pocketing”) and to prevent accumulation of pyrophoric and flammable gases above their lower explosiveflammable limit. Uniform dilution can generally be verified through exhaust vapor visualization techniques. Ventilation flow rate should be adequate to maintain concentrations below 25% of the lower explosiveflammable limit for the gas with the lowest LFL that is used in the enclosure. This can generally be verified using engineering calculations to verify dilution, and vapor visualization to verify mixing. Line Item 2: Delayed Revisions Related to Chemical Exposure Criteria Reporting

8 DELAYED REVISIONS Y (Effective July 2015) CHEMICAL EXPOSURE CRITERIA REPORTING

NOTICE: This Delayed Revisions Section contains material that has been balloted and approved by the global Environmental Health & Safety Technical Committee, but is not immediately effective. The provisions of this material are not an authoritative part of the Document until their effective date. The main body of SEMI S2- 0712 remains the authoritative version. Some or all of the provisions of revisions not yet in effect may optionally be applied prior to the effective date, providing they do not conflict with portions of the authoritative version other than those that are to be revised or replaced as part of the deferred revision, and are labeled accordingly. NOTICE: Unless otherwise noted, material to be added is underlined, and all material to be deleted is struck through.

DY-1 Revision to § 23 (Chemicals) (OPTIONAL Before Effective Date) DY-1.1 Add paragraph below just before¶ 23.6. 23.5.X While it is expected that the details of any chemical testing done as part of the evaluation will be addressed in an attached report, the following information should be included in the paragraph responses to the above paragraphs in § 23.5. SOC(s) considered for the evaluation, Rationale for the sampling method used, The OEL, type of OEL (for example, Time Weighted Average (TWA), Short Term Exposure Limit (STEL), ceiling) and source of the OEL (for example, ACGIH, German MAK, US OSHA) used in the evaluation, Whether the testing used the SOC or a surrogate, and The level of detection achieved during testing.

< End of Ballot > The rest of this document is material that is called out in the procedure guide as part of a ballot, but is not part of the balloted change.

SEMI S2-0712b, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT NOTICE: Per ¶ 3.4.3.3.1 of the SEMI Standards Procedure Guide, the purpose, scope, limitations, and terminology sections of SEMI S2 are provided below.

1 Purpose 1. This Safety Guideline is intended as a set of performance-based environmental, health, and safety (EHS) considerations for semiconductor manufacturing equipment.

2 Scope 1. Applicability — This guideline applies to equipment used to manufacture, measure, assemble, and test semiconductor products. 2. Contents — This Document contains the following sections: 1. Purpose 2. Scope 3. Limitations 4. Referenced Standards and Documents 5. Terminology 6. Safety Philosophy 7. General Provisions 8. Evaluation Process 9. Documents Provided to User 10. Hazard Alert Labels 11. Safety Interlock Systems 12. Emergency Shutdown 13. Electrical Design 14. Fire Protection 15. Process Liquid Heating Systems 16. Ergonomics and Human Factors 17. Hazardous Energy Isolation 18. Mechanical Design 19. Seismic Protection 20. Automated Material Handlers 21. Environmental Considerations 22. Exhaust Ventilation 23. Chemicals 24. Ionizing Radiation 25. Non-Ionizing Radiation and Fields 26. Lasers 27. Sound Pressure Level

10 28. Related Documents Appendix 1 — Design Guidelines for Equipment Using Liquid Chemicals Appendix 2 — Ionizing Radiation Test Validation Appendix 3 — Exposure Criteria and Test Methods for Non-Ionizing Radiation (Other than Laser) and Electromagnetic Fields Appendix 4 — Fire Protection: Flowchart for Selecting Materials of Construction Appendix 5 — Laser Data Sheet – SEMI S2 3. Precedence of Sectional Requirements — In the case of conflict between provisions in different sections of this guideline, the section or subsection specifically addressing the technical issue takes precedence over the more general section or subsection. NOTICE: SEMI Standards and Safety Guidelines do not purport to address all safety issues associated with their use. It is the responsibility of the users of the Documents to establish appropriate safety and health practices, and determine the applicability of regulatory or other limitations prior to use.

3 Limitations NOTICE: Revisions to § 3 will be effective upon the July 2015 publication as shown in Delayed Revisions Section 1. The global Environmental Health & Safety Technical Committee has voted that the revision is OPTIONAL before the Effective Date. 1. This guideline is intended for use by supplier and user as a reference for EHS considerations. It is not intended to be used to verify compliance with local regulatory requirements. 2. It is not the philosophy of this guideline to provide all of the detailed EHS design criteria that may be applied to semiconductor manufacturing equipment. This guideline provides industry-specific criteria, and refers to some of the many international codes, regulations, standards, and specifications that should be considered when designing semiconductor manufacturing equipment. 3. Existing models and subsystems should continue to meet the provisions of SEMI S2-93A. Models with redesigns that significantly affect the EHS aspects of the equipment should conform to the latest version of SEMI S2. This guideline is not intended to be applied retroactively. 4. In many cases, references to standards have been incorporated into this guideline. These references do not imply applicability of the entire standards, but only of the sections referenced.

4 Referenced Standards and Documents 1. SEMI Standards and Safety Guidelines SEMI E6 — Guide for Semiconductor Equipment Installation Documentation SEMI F5 — Guide for Gaseous Effluent Handling SEMI F14 — Guide for the Design of Gas Source Equipment Enclosures

SEMI F15 — Test Method (SF6 Tracer Gas) for Enclosures Has Been Moved to SEMI S6 SEMI S1 — Safety Guideline for Equipment Safety Labels SEMI S3 — Safety Guideline for Process Liquid Heating System SEMI S6 — EHS Guideline for Exhaust Ventilation of Semiconductor Manufacturing Equipment SEMI S7 — Safety Guidelines for Environmental, Safety, and Health (ESH) Evaluation of Semiconductor Manufacturing Equipment SEMI S8 — Safety Guidelines for Ergonomics Engineering of Semiconductor Manufacturing Equipment SEMI S10 — Safety Guideline for Risk Assessment and Risk Evaluation Process SEMI S12 — Guidelines for Equipment Decontamination SEMI S13 — Environmental, Health and Safety Guideline for Documents Provided to the Equipment User for Use with Semiconductor Manufacturing Equipment SEMI S14 — Safety Guidelines for Fire Risk Assessment and Mitigation for Semiconductor Manufacturing Equipment SEMI S22 — Safety Guideline for the Electrical Design of Semiconductor Manufacturing Equipment 2. ANSI Standards1 ANSI/RIA R15.06 — Industrial Robots and Robot Systems – Safety Requirements ANSI/ISA S84.01 — Application of Safety Instrumented Systems for the Process Industry 3. CEN/CENELEC Standards2 CEN EN 775 — Manipulating Industrial Robots – Safety CEN EN 1050 — Safety of Machinery – Principles of Risk Assessment CEN EN 1127-1 — Explosive Atmospheres – Explosion Prevention and Protection – Part 1: Basic Concepts and Methodology 4. DIN Standards3 DIN V VDE 0801 — Principles for Computers in Safety-Related Systems 5. IEC Standards4 IEC 60825-1 — Safety of Laser Products – Part 1: Equipment Classification, Requirements IEC 61010-1 — Safety Requirements for Electrical Equipment for Measurement, Control, and Laboratory Use – Part 1: General Requirements IEC 61508 — Functional Safety of Electrical/Electronic/Programmable Electronic Safety-Related Systems 6. IEEE Standards5 IEEE C95.1 — Standard for Safety Levels with Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz 7. ISO Standards6 ISO 10218-1 — Robots for Industrial Environments – Safety Requirements – Part 1: Robot ISO 13849-1 — Safety of Machinery – Safety-Related Parts of Control Systems – Part 1: General Principles for Design 8. NFPA Standards7 NFPA 12 — Standard on Carbon Dioxide Extinguishing Systems NFPA 13 — Standard for Installation of Sprinkler Systems NFPA 72 — National Fire Alarm Code NFPA 497 — Recommended Practice for the Classification of Flammable Liquids, Gases, or Vapors and of Hazardous (Classified) Locations for Electrical Installations in Chemical Process Areas NFPA 704 — Standard System for the Identification of the Hazards of Materials for Emergency Response NFPA 2001 — Standard on Clean Agent Fire Extinguishing Systems 9. Underwriters Laboratories Standards8 UL 508A — Industrial Control Panel 10. US Code of Federal Regulations9

1 American National Standards Institute, 25 West 43rd Street, New York, NY 10036, USA; Telephone: 212.642.4900, Fax: 212.398.0023, http://www.ansi.org 2 European Committee for Standardization, Avenue Marnix 17, B-1000 Brussels; Telephone: 32.2.550.08.11, Fax: 32.2.550.08.19, http://www.cen.eu 3 Deutsches Institut für Normung e.V., Available from Beuth Verlag GmbH, Burggrafenstrasse 4-10, D-10787 Berlin, Germany; http://www.din.de 4 International Electrotechnical Commission, 3 rue de Varembé, Case Postale 131, CH-1211 Geneva 20, Switzerland; Telephone: 41.22.919.02.11, Fax: 41.22.919.03.00, http://www.iec.ch 5 Institute of Electrical and Electronics Engineers, 3 Park Avenue, 17th Floor, New York, NY 10016-5997, USA; Telephone: 212.419.7900, Fax: 212.752.4929, http://www.ieee.org 6 International Organization for Standardization, ISO Central Secretariat, 1 rue de Varembé, Case postale 56, CH-1211 Geneva 20, Switzerland; Telephone: 41.22.749.01.11, Fax: 41.22.733.34.30, http://www.iso.ch 7 National Fire Protection Association, 1 Batterymarch Park, Quincy, MA 02269, USA; Telephone: 617.770.3000, Fax: 617.770.0700, http://www.nfpa.org 8 Underwriters Laboratory, 2600 N.W. Lake Road, Camas, WA 98607-8542, USA; Telephone: 877.854.3577, Fax: 360.817.6278, http://www.ul.com 9 United States Food and Drug Administration/ Center for Devices and Radiological Health (FDA/CDRH). Available from FDA/CDRH; http:// www.accessdata.fda.gov/scripts/cdrh/cfdocs/cfcfr/cfrsearch.cfm 12 21 CFR Parts 1000-1050 — Food and Drug Administration/Center for Devices and Radiological Health (FDA/CDRH), Performance Standards for Electronic Products, Title 21 Code of Federal Regulations, Parts 1000-1050 11. Other Standards and Documents ACGIH, Industrial Ventilation Manual10 ASHRAE Standard 110 — Method of Testing Performance of Laboratory Fume Hoods11 Burton, D.J., Semiconductor Exhaust Ventilation Guidebook12 Uniform Building Code™ (UBC)13 Uniform Fire Code™14 NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.

5 Terminology 1. Abbreviations and Acronyms 1. ACGIH® — American Conference of Governmental Industrial Hygienists (ACGIH is a registered trademark of the American Conference of Governmental Industrial Hygienists.) 2. ASHRAE — American Society of Heating, Refrigeration, and Air Conditioning Engineers 3. MPE — maximum permissible exposure 4. NOHD — nominal ocular hazard distance 2. Definitions 1: Composite reports using portions of reports based upon earlier versions of SEMI S2 and SEMI S10 may require understanding of the SEMI S2-0703 or SEMI S10-1296 definitions for the terms hazard, likelihood, mishap, severity, and risk. 1. abort switch — a switch that, when activated, interrupts the activation sequence of a fire detection or fire suppression system. 2. accredited testing laboratory — an independent organization dedicated to the testing of components, devices, or systems that is recognized by a governmental or regulatory body as competent to perform evaluations based on established safety standards. 3. baseline — for the purposes of this Document, “baseline” refers to operating conditions, including process chemistry, for which the equipment was designed and manufactured. 4. breathing zone — imaginary globe, of 600 mm (2 ft.) radius, surrounding the head. 5. capture velocity — the air velocity that at any point in front of the exhausted hood or at the exhausted hood opening is necessary to overcome opposing air currents and to capture the contaminated air at that point by causing it to flow into the exhausted hood. 6. carcinogen — confirmed or suspected human cancer-causing agent as defined by the International Agency for Research on Cancer (IARC) or other recognized entities. 7. chemical distribution system — the collection of subsystems and components used in a semiconductor manufacturing facility to control and deliver process chemicals from source to point of use for wafer manufacturing processes. 8. cleanroom — a room in which the concentration of airborne particles is controlled to specific limits. 9. combustible material — for the purpose of this guideline, a combustible material is any material that does propagate flame (beyond the ignition zone with or without the continued application of the ignition source) and does not meet the definition in this section for noncombustible material. See also the definition for noncombustible material. 10. equipment — a specific piece of machinery, apparatus, process module, or device used to execute an operation. The term “equipment” does not apply to any product (e.g., substrates, semiconductors) that may be damaged as a result of equipment failure.

10 ACGIH, 1330 Kemper Meadow Road, Cincinnati, OH 45240, USA. http:// www.acgih.org 11 ASHRAE, 1791 Tullie Circle, NE, Atlanta, GE 30329, USA. http:// www.ashrae.org 12 IVE, Inc., 2974 South Oakwood, Bountiful, UT 84010, USA. http:// www.eburton.com 13 International Conference of Building Officials, 5360 Workman Mill Road, Whittier, CA 90601-2298, USA. http:// www.icbo.org 14 International Fire Code Institute, 5360 Workman Mill Road, Whittier, CA 90601-2298, USA. http:// www.ifci.org 11. face velocity — velocity at the cross-sectional entrance to the exhausted hood. 12. facilitization — the provision of facilities or services. 13. fail-safe — designed so that a failure does not result in an increased risk. 2: For example, a fail-safe temperature limiting device would indicate an out-of-control temperature if it were to fail. This might interrupt a process, but would be preferable to the device indicating that the temperature is within the control limits, regardless of the actual temperature, in case of a failure. 14. fail-to-safe equipment control system (FECS) — a safety-related programmable system of control circuits designed and implemented for safety functions in accordance with recognized standards such as ISO 13849-1 (EN 954-1) or IEC 61508, ANSI SP 84. These systems (e.g., safety programmable logic controller (PLC), safety-related input and output (I/O) modules) diagnose internal and external faults and react upon detected faults in a controlled manner in order to bring the equipment to a safe state. 3: A FECS is a subsystem to a programmable electronic system (PES) as defined in IEC 61508-4 Definitions. 4: Related Information 13 provides additional information on applications of FECS design. 15. failure — the termination of the ability of an item to perform a required function. Failure is an event, as distinguished from “fault,” which is a state. 16. fault — the state of an item characterized by inability to perform a required function, excluding the inability during preventive maintenance or other planned actions, or due to lack of external resources. 17. fault-tolerant — designed so that a reasonably foreseeable single point failure does not result in an unsafe condition. 18. flammable gas — any gas that forms an ignitable mixture in air at 20C (68F) and 101.3 kPa (14.7 psia). 19. flammable liquid — a liquid having a flash point below 37.8C (100F). 20. flash point — the minimum temperature at which a liquid gives off sufficient vapor to form an ignitable mixture with air near the surface of the liquid, or within the test vessel used. 21. gas cylinder cabinet — cabinet used for housing gas cylinders, and connected to gas distribution piping or to equipment using the gas. Synonym: gas cabinet. 22. gas panel — an arrangement of fluid handling components (e.g., valves, filters, mass flow controllers) that regulates the flow of fluids into the process. Synonyms: gas jungle, jungle, gas control valves, valve manifold. 23. gas panel enclosure — an enclosure designed to contain leaks from gas panel(s) within itself. Synonyms: jungle enclosure, gas box, valve manifold box. 24. harm — physical injury or damage to health of people, or damage to equipment, buildings, or environments. 25. hazard — condition that has the potential to cause harm. 26. hazardous electrical power — power levels equal to or greater than 240 VA. 27. hazardous production material (HPM) — a solid, liquid, or gas that has a degree-of-hazard rating in health, flammability, or reactivity of class 3 or 4 as ranked by NFPA 704 and which is used directly in research, laboratory, or production processes that have as their end product materials that are not hazardous. 28. hazardous voltage — unless otherwise defined by an appropriate international standard applicable to the equipment, voltages greater than 30 volts rms, 42.4 volts peak, 60 volts dc are defined in this Document as hazardous voltage. 5: The specified levels are based on normal conditions in a dry location. 29. hinged load — a load supported by a hinge such that the hinge axis is not vertical. 30. hood — in the context of § 22 of this guideline, “hood” means a shaped inlet designed to capture contaminated air and conduct it into an exhaust duct system.

14 31. incompatible — as applied to chemicals: in the context of § 23 of this guideline, describes chemicals that, when combined unintentionally, may react violently or in an uncontrolled manner, releasing energy that may create a hazardous condition. 32. intended reaction product — chemicals that are produced intentionally as a functional part of the semiconductor manufacturing process. 33. interlock — a mechanical, electrical or other type of device or system, the purpose of which is to prevent or interrupt the operation of specified machine elements under specified conditions. 34. ionizing radiation — alpha particles, beta particles, gamma rays, X-rays, neutrons, high-speed electrons, high-speed protons, and other particles capable of producing ions in human tissue. 35. laser — any device that can be made to produce or amplify electromagnetic radiation in the wavelength range from 180 nm to 1 mm primarily by the process of controlled stimulated emission. 36. laser product — any product or assembly of components that constitutes, incorporates, or is intended to incorporate a laser or laser system (including laser diode), and that is not sold to another manufacturer for use as a component (or replacement for such component) of an electronic product. 37. laser source — any device intended for use in conjunction with a laser to supply energy for the excitation of electrons, ions, or molecules. General energy sources, such as electrical supply mains, should not be considered to be laser energy sources. 38. laser system — a laser in combination with an appropriate laser energy source, with or without additional incorporated components. 39. lifting accessory — a component (e.g., eyehook, shackle, hoist ring, wire rope, chain, or eyebolt) which is part of a lifting fixture or is attached directly between the lifting device and the load in order to lift it. 40. lifting device — a mechanical or electro-mechanical structure that is provided for the purpose of raising and lowering a load during maintenance or service tasks, and may be capable of moving the load in one or more horizontal directions. 41. lifting equipment — lifting devices, lifting fixtures and lifting accessories. 42. lifting fixture — a mechanical device or an assembly of lifting accessories (e.g., hoisting yoke, wire rope sling, webbing sling, or chain assembly) placed between the lifting device (but not permanently attached to it) and the load, in order to attach them to each other. 43. likelihood — the expected frequency with which harm will occur. Usually expressed as a rate (e.g., events per year, per product, or per substrate processed). 44. local exhaust ventilation — local exhaust ventilation systems operate on the principle of capturing a contaminant at or near its source and moving the contaminant to the external environment, usually through an air cleaning or a destructive device. It is not to be confused with laminar flow ventilation. Synonyms: LEV, local exhaust, main exhaust, extraction system, module exhaust, individual exhaust. 45. lower explosive limit — the minimum concentration of vapor in air at which propagation of flame will occur in the presence of an ignition source. Synonyms: LEL, lower flammability limit (LFL). 46. maintenance — planned or unplanned activities intended to keep equipment in good working order. See also the definition for service. 47. mass balance — a qualitative, and where possible, quantitative, specification of mass flow of input and output streams (including chemicals, gases, water, de-ionized water, compressed air, nitrogen, and by-products), in sufficient detail to determine the effluent characteristics and potential treatment options. 48. material safety data sheet (MSDS) — written or printed material concerning chemical elements and compounds, including hazardous materials, prepared in accordance with applicable standards. 49. maximum permissible exposure (MPE) — level of laser radiation to which, under normal circumstances, persons may be exposed without suffering adverse effects. 50. nominal ocular hazard distance (NOHD) — distance at which the beam irradiance or radiant exposure equals the appropriate corneal maximum permissible exposure (MPE). 6: Examples of such standards are USA government regulation 29 CFR 1910.1200, and Canadian WHMIS (Workplace Hazardous Material Information System). 51. noncombustible material — a material that, in the form in which it is used and under the conditions anticipated, will not ignite, burn, support combustion, or release flammable vapors when subjected to fire or heat. Typical noncombustible materials are metals, ceramics, and silica materials (e.g., glass and quartz). See also the definition for combustible material. 52. non-ionizing radiation — forms of electro-magnetic energy that do not possess sufficient energy to ionize human tissue by means of the interaction of a single photon of any given frequency with human tissue. Non-ionizing radiation is customarily identified by frequencies from zero hertz to 3 × 1015 hertz (wavelengths ranging from infinite to 100 nm). This includes: static fields (frequencies of 0 hertz and infinite wavelengths); extremely low frequency fields (ELF), which includes power frequencies; subradio-frequencies; radiofrequency/microwave energy; and infrared, visible, and ultraviolet energies. 53. non-recycling, deadman-type abort switch — a type of abort switch that must be constantly held closed for the abort of the fire detection or suppression system. In addition, it does not restart or interrupt any time delay sequence for the detection or suppression system when it is activated. 54. occupational exposure limits (OELs) — for the purpose of this Document, OELs are generally established on the basis of an eight hour workday. Various terms are used to refer to OELs, such as permissible exposure levels, Threshold Limit Values, maximum acceptable concentrations, maximum exposure limits, and occupational exposure standards. However, the criteria used in determining OELs can differ among the various countries that have established values. Refer to the national bodies responsible for the establishment of OELs. (Threshold Limit Value is a registered trademark of the American Conference of Governmental Industrial Hygienists.) 55. operator — a person who interacts with the equipment only to the degree necessary for the equipment to perform its intended function. 56. parts-cleaning hood — exhausted hood used for the purpose of cleaning parts or equipment. Synonym: equipment cleaning hood. 57. placed on the market — made physically available, regardless of the legal aspects of the act of transfer (loan, gift, sale, hire). 58. positive-opening — as applied to electromechanical control devices. The achievement of contact separation as a direct result of a specified movement of the switch actuator through non-resilient members (i.e., contact separation is not dependent upon springs). 59. potentially hazardous non-ionizing radiation emissions — for the purposes of this guideline, non- ionizing radiation emissions outside the limits shown in Appendix 4 are considered potentially hazardous. 60. pyrophoric material — a chemical that will spontaneously ignite in air at or below a temperature of 54.4C (130F). 61. radio frequency (rf) — electromagnetic energy with frequencies ranging from 3 kHz to 300 GHz. Microwaves are a portion of rf extending from 300 MHz to 300 GHz. 62. readily accessible — capable of being reached quickly for operation or inspection, without requiring climbing over or removing obstacles, or using portable ladders, chairs, etc. 63. recognized — as applied to standards; agreed to, accepted, and practiced by a substantial international consensus. 64. rem — unit of dose equivalent. Most instruments used to measure ionizing radiation read in dose equivalent (rems or sieverts). 1 rem = 0.01 sievert. 65. reproductive toxicants — chemicals that are confirmed or suspected to cause statistically significant increased risk for teratogenicity, developmental effects, or adverse effects on embryo viability or on male or female reproductive function at doses that are not considered otherwise maternally or paternally toxic. 66. residual — as applied to risks or hazards: that which remains after engineering, administrative, and work practice controls have been implemented. 67. risk — the expected magnitude of losses from a hazard, expressed in terms of severity and likelihood.

16 68. safe shutdown condition — a condition in which all hazardous energy sources are removed or suitably contained and hazardous production materials are removed or contained, unless this results in additional hazardous conditions. 69. safety critical part — discrete device or component, such as used in a power or safety circuit, whose proper operation is necessary to the safe performance of the system or circuit. 70. service — unplanned activities intended to return equipment that has failed to good working order. See also the definition for maintenance. 71. severity — the extent of potential credible harm. 72. short circuit current rating — the maximum available current to which an equipment supply circuit is intended, by the equipment manufacturer, to be connected. 7: Short circuit current rating for an electrical system is typically based on the analysis of short circuit current ratings of the components within the system. See UL 508A and Related Information 2 of SEMI S22 for methods of determining short circuit rating. 73. sievert (Sv) — unit of dose equivalent. Most instruments used to measure ionizing radiation read in dose equivalent (rems or sieverts). 1 Sv = 100 rems. 74. standard temperature and pressure — for ventilation measurements, either dry air at 21C (70F) and 760 mm (29.92 inches) Hg, or air at 50% relative humidity, 20C (68F), and 760 mm (29.92 inches) Hg. 75. supervisory alarm — as applied to fire detection or suppression systems; an alarm indicating a supervisory condition. 76. supervisory condition — as applied to fire detection or suppression systems; condition in which action or maintenance is needed to restore or continue proper function. 77. supplemental exhaust — local exhaust ventilation that is used intermittently for a specific task of finite duration. 78. supplier — party that provides equipment to, and directly communicates with, the user. A supplier may be a manufacturer, an equipment distributor, or an equipment representative. See also the definition for user. 79. testing — the term “testing” is used to describe measurements or observations used to validate and Document conformance to designated criteria. 80. trouble alarm — as applied to fire detection or suppression systems; an alarm indicating a trouble condition. 81. trouble condition — as applied to fire detection or suppression systems; a condition in which there is a fault in a system, subsystem, or component that may interfere with proper function. 82. user — party that acquires equipment for the purpose of using it to manufacture semiconductors. See also the definition for supplier. 83. velocity pressure (VP) — the pressure required to accelerate air from zero velocity to some velocity V. Velocity pressure is proportional to the kinetic energy of the air stream. Associated equation: VP = (V/4.043)2 (1) where: V = air velocity in m/s VP = velocity pressure in mm water gauge (w.g.) U.S. units: VP = (V/4005)2 (2) where: V = velocity in feet per second VP = velocity pressure in inches water gauge (w.g.) 84. volumetric flow rate (Q) — in the context of § 22 of this guideline, Q = the volume of air exhausted per unit time. Associated equation: Q = VA (3) where: V = air flow velocity A = the cross-sectional area of the duct or opening through which the air is flowing at standard conditions. 85. wet station — open surface tanks, enclosed in a housing, containing chemical materials used in the manufacturing of semiconductor materials. Synonyms: wet sink, wet bench, wet deck. 86. yield strength — the stress at which a material exhibits a specified permanent deformation or set. This is the stress at which, the strain departs from the linear portion of the stress-strain curve by an offset unit strain of 0.002.15

6 Safety Philosophy

7 General Provisions

8 Evaluation Process

9 Documents Provided to User

10 Hazard Alert Labels

11 Safety Interlock Systems

12 Emergency Shutdown

13 Electrical Design

14 Fire Protection

15 Process Liquid Heating Systems

16 Ergonomics and Human Factors

17 Hazardous Energy Isolation

18 Mechanical Design

19 Seismic Protection

20 Automated Material Handlers

21 Environmental Considerations

22 Exhaust Ventilation

23 Chemicals 1. The manufacturer should generate a chemical inventory identifying the chemicals anticipated to be used or generated in the equipment. At a minimum, this should include chemicals in the recipe used for equipment qualification or “baseline” recipe, as well as intended reaction products and anticipated by-products. Chemicals on this list that can be classified as HPMs, or odorous (odor threshold <1 ppm) or irritant chemicals (according to their material safety data sheets), should also be identified. 2. A hazard analysis (see § 6.8) should be used as an initial determination of chemical risk as well as to validate that the risk has been controlled to an appropriate level. 1. The hazard analysis, at a minimum, should address the following conditions:  potential mixing of incompatible chemicals;  potential chemical emissions during routine operation;  potential chemical emissions during maintenance activities; and  potential key failure points and trouble spots (e.g., fittings, pumps). 2. All routes of exposure (e.g., respiratory, dermal) should be considered in exposure assessment.

15 Roark’s Formulas for Stress and Strain, Seventh Edition, McGraw-Hill (2002): p. 826. 18 3. The order of preference for controls in reducing chemical-related risks is as follows: 1. Substitution or elimination (see also § 21.2.2); 2. Engineering controls (e.g., enclosure, ventilation, interlocks); 3. Administrative controls (e.g., written warnings, standard operating procedures); 4. Personal protective equipment. 4. The design of engineering controls (e.g., enclosure, ventilation, interlocks) should include consideration of (see also Appendix 1):  pressure requirements;  materials incompatibility;  equipment maintainability;  chemical containment; and  provisions for exhaust ventilation (see § 22). Being Revised 5. During equipment development, the supplier should conduct an assessment that documents conformance to the following airborne chemical control criteria. All measurements should be taken using recognized methods with documented sensitivities and accuracy. A report documenting the survey methods, equipment operating parameters, instrumentation used, calibration data, results, and discussion should be available. 1. There should be no chemical emissions to the workplace environment during normal equipment operation. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 1% of the occupational exposure limit (OEL) in the worst-case personnel breathing zone. Where a recognized method does not provide sufficient sensitivity to measure 1% OEL, then the lower detection limit of the method may be used to satisfy this criterion. 2. Chemical emissions during maintenance activities should be minimized. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 25% of the OEL, in the anticipated worst-case personnel breathing zone, during maintenance activities. 3. Chemical emissions during equipment failures should be minimized. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 25% of the OEL, in the anticipated worst-case personnel breathing zone, during a realistic worst-case system failure. 1: The use of direct reading instrumentation under simulated operating, maintenance, or failure conditions is the preferred measurement method. Where used, it is recommended that the sample location(s) be representative of the worst-case, realistic exposure locations(s). It is recommended that the peak concentration be directly compared to the OEL to demonstrate conformance to §§ There should be no chemical emissions to the workplace environment during normal equipment operation. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 1% of the occupational exposure limit (OEL) in the worst-case personnel breathing zone. Where a recognized method does not provide sufficient sensitivity to measure 1% OEL, then the lower detection limit of the method may be used to satisfy this criterion. through Chemical emissions during equipment failures should be minimized. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 25% of the OEL, in the anticipated worst-case personnel breathing zone, during a realistic worst-case system failure.. 8: It is recommended that integrated sampling methods be used when direct-reading instrumentation does not have adequate sensitivity, or when direct-reading technology is not available for the chemicals of interest. Where integrated sampling is used, it is recommended that the sample duration and locations(s) be representative of the worst-case, realistic, anticipated exposure time and locations. The resulting average concentration is directly compared to the OEL to demonstrate conformance to §§ There should be no chemical emissions to the workplace environment during normal equipment operation. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 1% of the occupational exposure limit (OEL) in the worst-case personnel breathing zone. Where a recognized method does not provide sufficient sensitivity to measure 1% OEL, then the lower detection limit of the method may be used to satisfy this criterion. through Chemical emissions during equipment failures should be minimized. Conformance to this section can be shown by demonstrating ambient air concentrations to be less than 25% of the OEL, in the anticipated worst-case personnel breathing zone, during a realistic worst-case system failure.. 9: Tracer gas testing (see Appendix 1 of SEMI S6 for an acceptable method) may be used when direct-reading instrumentation does not have adequate sensitivity, or when direct-reading technology is not available for the chemicals of interest. Tracer gas testing should be used where testing conditions may be hazardous (e.g., system failure simulation with potential release of hazardous gas to atmosphere). It is recommended that tracer gas testing be used only when an accurate rate of chemical emission can be determined. Where used, it is recommended that the sample location(s) be representative of the worst-case, realistic exposure location(s). 4. Chemical emissions outside the enclosure during a realistic worst-case system failure should be less than the lower of the following two values: 25% of the lower explosive limit (LEL), or 25% of the OEL. 6. Equipment that uses hazardous gases may require continuous detection and, if so, should have sample points mounted in the equipment, or have recommended sampling points identified in the equipment installation instructions. Where the gas supply is part of or controlled by the equipment, the equipment should be able to accept a signal from an external monitoring device and shut down the supply of the gas. 7. Appropriate hazard alert labels should be placed at all chemical enclosure access openings.

24 Ionizing Radiation

25 Non-Ionizing Radiation and Fields

26 Lasers

27 Sound Pressure Level

28 Related Documents

Appendix 1 — Design Guidelines for Equipment Using Liquid Chemicals

Appendix 2 — Ionizing Radiation Test Validation

Appendix 3 — Exposure Criteria and Test Methods for Non-Ionizing Radiation (Other Than Laser) and Electromagnetic Fields

Appendix 4 — Fire Protection: Flowchart for Selecting Materials of Construction

Appendix 5 — Laser Data Sheet — SEMI S2

Related Information 1 — Equipment/Product Safety Program

Related Information 2 — Additional Standards That May Be Helpful

Related Information 3 — EMO Reach Considerations

Related Information 4 — Seismic Protection

Related Information 5 — Continuous Hazardous Gas Detection NOTICE: This Related Information is not an official part of SEMI S2 and was derived from the work of the global Environmental Health & Safety Technical Committee. This Related Information was approved for publication by full letter ballot procedures on October 21, 1999. R5-1 Scope — This Related Information provides a list of gases for which continuous monitoring is recommended, and another list of gases for which continuous monitoring may be recommended depending on variables listed below. The list is not intended to be exhaustive (gases that do not appear on the list may need to be continuously monitored). R5-2 Intent — The purpose of this Related Information is to provide equipment manufacturers with an indication as to what gases are currently continuously monitored by device manufacturers, as guidance for when it may be appropriate to provide an interface (see also § 23). R5-3 The following variables should be taken into consideration when determining the necessity for continuous monitoring:  Chemical toxicity,  Warning property/OEL ratio,  Delivery pressure,  LEL,  Flow rate of potential leak,  Engineering controls in place, and  Concentration. 20 Monitoring Recommended Monitoring May Be Recommended ammonia arsine boron trifluoride bromine carbon dioxide carbon monoxide carbon tetrabromide chlorine diborane dichlorosilane disilane fluorine germane germanium tetrafluoride flammable mixtures containing hydrogen hydrogen bromide hydrogen chloride hydrogen fluoride hydrogen selenide hydrogen sulfide methane methyl chloride methyl fluoride nitric oxide nitrogen dioxide nitrous oxide nitrogen trifluoride ozone phosphine silane silicon tetrachloride silicon tetrafluoride sulfur dioxide trichlorosilane tungsten hexafluoride

Related Information 6 — Documentation of Ionizing Radiation (§ 24 and Appendix 2) Including Rationale for Changes

Related Information 7 — Documentation of Non-Ionizing Radiation (§ 25 and Appendix 3) Including Rationale for Changes

Related Information 8 — Laser Equipment Safety Features

Related Information 9 — Laser Certification Requirements by Region of Use

Related Information 10 — Other Requirements by Region of Use

Related Information 11 — Light Tower Color and Audible Alert Codes Related Information 12 — Surface Temperature Documentation

Related Information 13 — Recommendations for Designing and Selecting Fail-to-Safe Equipment Control Systems (FECS) With Solid State Interlocks and EMO

Related Information 14 — Additional Considerations for Fire Suppression Systems

Related Information 15 — Remote Operations

Related Information 16 — Design Principles and Test Methods for Evaluating Equipment Exhaust Ventilation — Design and Test Method Supplement Intended for Internal and Third Party Evaluation Use NOTICE: This related information is not an official part of SEMI S2 and was derived from the work of the global Environmental Health & Safety Committee. This related information was approved for publication by full letter ballot procedures on May 13, 2009.

R16-1 Introduction R16-1.1 This related information provides specific technical information relating to § 22. In general, it provides guidelines for:  ventilation design for semiconductor manufacturing equipment, and  test validation criteria. R16-1.2 This related information is intended to be used as a starting point for reference during equipment design. R16-1.3 This related information is not intended to limit hazard or test evaluation methods or control strategies (e.g., design principles) employed by manufacturers or users. Many different methods may be employed if they provide a sufficient level of protection. R16-1.4 This related information is not intended to provide exhaustive methods for determining final ventilation specifications. Other methods may be used where they provide at least equivalent sensitivity and accuracy. R16-1.5 The exhaust velocities, volume flow rates and pressures listed are derived from a mixture of successful empirical testing and regulatory requirements. R16-1.6 Test validation criteria are generally referenced from the applicable internationally recognized standard. It is the user’s responsibility to ensure that the most current revision of the standard is used.

Table R16-1 Ventilation

Hood Type Recommended Test Methods Typical Design and Test Exhaust References Parameters (See #1) Wet Station Primary: vapor visualization, air 0.28–0.50 m/s (55–100 fpm) capture ACGIH Industrial sampling velocity for non-heated Ventilation Manual Supplemental: capture velocity, slot 0.36–0.76 m/s (70–150 fpm) capture Appendix 2 of SEMI S6 velocity, tracer gas, air sampling velocity for heated 110–125% of the laminar flow volume flow rate across the top of the deck Gas Cylinder Primary: face velocity, tracer gas 1.0–1.3 m/s (200–250 fpm) face ACGIH Industrial Cabinets Supplemental: vapor visualization velocity Ventilation Manual Appendix 2 of SEMI S6 Equipment Gas Primary: tracer gas, static pressure 4–5 air changes per minute ACGIH Industrial Panel Enclosure Supplemental: vapor visualization –1.3 to –2.5 mm (–0.05 to –0.1 inch) Ventilation Manual H2O static pressure Appendix 2 of SEMI S6 Diffusion Furnace Primary: face velocity, vapor 0.50–0.76 m/s (100–150) fpm face ACGIH Industrial Scavenger visualization velocity Ventilation Manual Supplemental: tracer gas, air sampling NOTE: Do not use hot wire Appendix 2 of SEMI S6 anemometer. Chemical Primary: static pressure –1.3 to –2.5 mm (–0.05 to –0.1 inch) ACGIH Industrial

Dispensing Supplemental: vapor visualization, air H2O static pressure Ventilation Manual Cabinets sampling where safe, tracer gas where 2–3 air changes per minute Appendix 2 of SEMI S6 emission rates can be accurately calculated 22 Hood Type Recommended Test Methods Typical Design and Test Exhaust References Parameters (See #1) Parts-Cleaning Primary: face velocity, vapor 0.40–0.64 m/s (80–125 fpm) face ASHRAE Standard 110 Hoods visualization velocity Appendix 2 of SEMI S6 Supplemental: tracer gas, air sampling ACGIH Industrial Ventilation Manual Pump and Primary: static pressure –6 to –25 mm (–0.25 to –1.0 inch) ACGIH Industrial

Equipment Supplemental: tracer gas H2O static pressure Ventilation Manual Exhaust Lines 125% maximum volume flow rate Appendix 2 of SEMI S6 from pump Glove Boxes Primary: static pressure, tracer gas No consensus for a reference at the ACGIH Industrial Supplemental: vapor visualization, air time of publication of this guideline. Ventilation Manual monitoring Appendix 2 of SEMI S6 Drying/Bake/ Primary: static pressure, tracer gas –1.3 to –2.5 mm (–0.05 to –0.1 inch) Appendix 2 of SEMI S6

Test Chamber Supplemental: vapor visualization, air H2O static pressure ACGIH Industrial Ovens monitoring Ventilation Manual Spin-Coater Primary: vapor visualization, velometry (see SEMI S2 §§ 23.5.1–23.5.3) ACGIH Industrial (cup only) Supplemental: air sampling Ventilation Manual Supplemental Primary: capture velocity, vapor 0.50–0.76 m/s (100–150 fpm) capture ACGIH Industrial Exhaust visualization, air sampling velocity Ventilation Manual #1 All measurements should be within ±20% of average for face velocity, ±10% of average along the length of each slot for slot velocity, and ±10% of average between slots for slot velocity.

R16-2 Exhaust Optimization R16-2.1 Exhaust optimization is the use of good ventilation design to create efficient equipment exhaust. The design and measurement methods discussed below confirm that equipment exhaust is acting as the manufacturer intended. This information is not meant to prohibit alternate methods of achieving or verifying good ventilation design. References for ventilation design are included at the end of this related information. R16-2.2 Design Recommendations R16-2.2.1 Equipment exhaust design can attempt to reduce inefficient static pressure losses caused by: friction losses from materials; openings, and duct geometries (elbows, duct expansions or contractions); turbulent air flow; fans; internal fittings such as blast gates and dampers; directional changes in airflow. R16-2.2.2 Other good design principles can include minimizing distance between the source and hood, and reducing enclosure volumes. R16-2.2.3 For non-chemical issues such as heat from electrical equipment, heat recapture rather than exhaust may be appropriate. R16-2.2.4 The possible impact of highly directional laminar airflow found in most fabs should be considered when designing equipment exhaust. R16-2.3 Recommended Equipment Controls — The location of internal blast gates or dampers inside equipment, and their appropriate settings, should be clearly identified. The number of equipment dampers and blast gates should be minimized. Gates/dampers should be lockable or otherwise securable. Static pressure or flow sensors installed on equipment by the manufacturer should have sufficient sensitivity and accuracy to measure exhaust flowrate fluctuations that place the equipment out of prescribed ranges. R16-2.4 Recommended Measurement/Validation Method — Measurements should be made to identify optimal exhaust levels and confirm that safety and process requirements are being addressed. The manufacturer should be able to identify any critical equipment locations for chemical capture, and quantify appropriate exhaust values. Multiple validation/measurement methods may be needed. R16-2.4.1 Measurements should be done after equipment components are assembled. R16-2.4.2 Computer modeling can be done to predict exhaust flow and hazardous material transport in equipment by solving fluid mechanics conservation of energy and mass equations. Modeling can be used in the equipment design stage or to improve existing equipment. Computer models should be verified experimentally, using one or more of the methods discussed below. R16-2.4.3 Tracer gas testing provides a method to test the integrity of hoods by simulating gas emission and measuring the effectiveness of controls. Testing until there is a failure, and then slightly increasing the flow rate until the test is successful can be used to help minimize air flow specifications. R16-2.4.4 Chemical air or wipe monitoring can be used to confirm that chemical transport is not occurring into unintended areas of the equipment. R16-2.4.5 Velocity profiling will confirm expected airflows, the direction of flow, and the effect of distance. R16-2.4.6 Vapor visualization will confirm expected airflows, the direction of flow, and the effect of distance. Vapor visualization is the observation of aerosols (e.g., aerosols generated by using water, liquid nitrogen, or dry ice) so that exhaust flow patterns can be observed. Smoke tubes or aerosols may also be used, however they can produce contamination.

R16-3 Chemical Laboratory Fume Hoods, Parts Cleaning Hoods R16-3.1 Lab fume hoods and part cleaning hoods are designed to control emission by enclosing a process on five sides and containing the emission within the hood. R16-3.2 Design Recommendations R16-3.2.1 Fully enclosed on five sides, open on one side for employee access and process/parts placement and removals. R16-3.2.2 Front (employee access side) should be provided with sliding door and/or sash. R16-3.2.3 Minimize size of the hood based on process size. R16-3.2.4 Minimize front opening size based on size of process and employee access needs. R16-3.2.5 Ensure hood construction materials are compatible with chemicals used. R16-3.3 Control Specifications — Face velocity is the specification generally used with hoods open on only one side. R16-3.3.1 Generally acceptable laboratory fume hood face velocities range from 0.40–0.60 m/s (80–120 fpm) with no single measurement ±20% of average. 0.64–0.76 m/s (125–150 fpm) is recommended for hoods in which carcinogens or reproductive toxicants may be used. R16-3.3.2 Air movement in the work area. R16-3.3.3 An average face velocity of 0.50 m/s (100 fpm) is generally found to be acceptable in most applications. R16-3.3.4 Face velocities of 0.64–0.76 m/s (125–150 fpm) may be required when a lab hood is installed in an area with laminar air flow. R16-3.3.5 Face velocity above 0.76 m/s (150 fpm) should be avoided to prevent eddying caused by a lower pressure area in front of an employee standing at the hood. R16-3.4 Recommended Measurement/Validation Method R16-3.4.1 The preferred method is measurement of average face velocity and hood static pressure. Measurements are taken with a velometer or anemometer. Multiple measurements are taken in a grid, at least 10–40 per square meter (1–4 per square foot) of open area, in the plane opening of the hood. This allows representative, evenly spaced measurements to be taken (see also open-surface tanks). R16-3.4.2 Additional confirmation by visualization check of containment using smoke or vapor testing. R16-3.4.3 ASHRAE Method 110, or equivalent (use appropriate sections), for tracer gas testing of lab hoods may be used as a supplemental verification provided that an accurate emission rate can be defined. (ASHRAE 110 lists 3 tests: “as manufactured,” “as used,” and “as installed.” The “as manufactured” test is the test that is used most frequently.)

R16-4 Wet Stations R16-4.1 Wet stations are slotted hoods designed to capture laminar air flow while also capturing wet process emissions from the work area. Wet stations can be open on the front, top and both sides (it is usually preferable to enclose as much as possible). R16-4.2 Design Recommendations R16-4.2.1 Slots should be provided uniformly along the length of the hood for even distribution of airflow. R16-4.2.2 Additional lip exhaust slots should be provided around tanks or sinks to control emissions.

24 R16-4.2.3 The plenum behind the slots should be sized to ensure even distribution of static pressure. These slots should be designed to ensure adequate airflow is provided by the side slots, and to minimize turbulence that could reduce exhaust performance. R16-4.2.4 Velocity along length of slot should not vary by more than 10% of the average slot velocity. R16-4.2.5 Additional use of end or side panels/baffles can reduce negative impact of side drafts. R16-4.2.6 Exhaust volume settings should consider laminar air flow volumes and be balanced to minimize turbulence and to ensure capture. R16-4.2.7 The station design should consider airflow patterns in the operating zone to minimize turbulent horizontal airflow patterns into and across the work deck. R16-4.2.8 Additional considerations to reduce exhaust demand include providing covered tanks, and recessing tanks below deck level. R16-4.3 Control Specifications R16-4.3.1 Wet station specifications are complicated by the fact that wet stations generally do not have an easily definable face velocity to measure. A number of methods have been used and are all acceptable if used consistently and provided documentation indicates chemical containment meets the 1% of the OEL at distances beyond the plane of penetration at the exterior of the wet station. R16-4.3.2 Maintain an average capture velocity of 0.33–0.50 m/s (65–100 fpm) immediately above a bath. R16-4.3.3 Calculate the total exhaust volume requirement by determining the total volumetric flow of laminar air hitting the deck and increasing this value by 20%–25%. R16-4.3.4 For some wet stations that are partially enclosed from the top, an artificial plane opening (“face”) can be defined where the downward laminar air flow penetrates the capture zone (at “face velocity”) of the wet station. Depending on the hood design and laminar air flow provided, average face velocities can range from 0.20–0.50 m/s (40–100 fpm). The measurement location can greatly influence the measured face velocity; therefore, this method should be supplemented with at least one of the preceding methods for greater accuracy and reproducibility at the user’s facility. R16-4.4 Recommended Measurement/Validation Method R16-4.4.1 Confirmation of capture using vapor visualization. R16-4.4.2 Confirmation of laminar flow of make up air into the station using vapor visualization. R16-4.4.3 Tracer gas testing may be used as supplemental verification, provided an emission rate can be accurately defined.

R16-5 Supplemental Exhaust R16-5.1 Supplemental exhaust, if not designed into the equipment, can be provided by a flexible duct with a tapered hood. This can be placed in the work area to remove potential contaminants before they enter the breathing zone. Supplemental exhaust is frequently used during maintenance or service. R16-5.2 Design Recommendations R16-5.2.1 Retractable or movable non-combustible flex ducting for easy reach and placement within 150–300 mm (6– 12 inches) of potential emissions to be controlled. R16-5.2.2 Manual damper at hood to allow for local control (i.e., shut off when not required). R16-5.2.3 Tapered hood with a plane opening as a minimum. The additional use of flanges or canopies to enclose the process will result in improved efficiency. R16-5.3 Control Specifications NOTE 184: This is one equation that is most commonly used. Other equations may be appropriate; see also ACGIH Industrial Ventilation Manual, and Semiconductor Exhaust Ventilation Guidebook. R16-5.3.1 A minimum capture velocity of 0.50 m/s (100 fpm) is required at the contaminant generation point for releases of vapor via evaporation or passive diffusion. Ventilation should not be relied upon to prevent exposures to hazardous substances with release velocities (e.g., pressurized gases). For a plane open ended duct without a flange, the air flow required at a given capture velocity can be calculated by: Q = V(10X2 + A) (R17-1) where:

Q = required exhaust air flow in m3/s (cfm) V = capture velocity in m/s (fpm) at distance X from hood A = hood face area in square meters (square feet) X = distance from hood face to farthest point of contaminant release in meters (feet). NOTE: This is only accurate when X is within 1.5 diameters of a round opening, or within 0.25 circumference of a square opening.

R16-5.4 Recommended Measurement/Validation Method R16-5-4-1 Measurement of capture velocity at farthest point of contaminant release. Measurements taken with a velometer or anemometer. R16-5.4.2 Confirmation by visualization check of capture using vapor capture testing.

R16-6 Equipment Gas Panel Enclosures R16-6.1 Equipment gas panel enclosures, also known as gas boxes, jungle enclosures, gas jungle enclosures, valve manifold boxes, and secondary gas panel enclosures, are typically six-sided fully enclosed enclosures with access panels/doors on at least one side. These ventilated enclosures are designed to contain and remove hazardous gases from the work area in the event of a gas piping failure or leak. Gas panel enclosures are typically of two types, those requiring no access while gas systems are charged, and those that must be opened during processing while gas systems are charged. There is also a distinct difference in control specifications for those with pyrophorics or other flammables vs. other HPMs, specifically in the control of pocketing. R16-6.2 Design Recommendations R16-6.2.1 Compartmentalize potential leak points. R16-6.2.2 Minimize the total size of the panel and its enclosure. R16-6.2.3 Minimize size and number of openings. R16-6.2.4 Minimize static pressure requirements of the enclosure; control has been shown to be achievable with –1.3 to –2.5 mm (–0.05 to –0.1 inch) w.g. R16-6.2.5 Design for sweep. Minimize the number and size of openings. Seal unnecessary openings (e.g., seams, utility holes). R16-6.2.6 Where routinely used access doors are required:  Make the access door as small as practical.  Place the openings to the enclosure in the access door to minimize air flow requirements.  Provide baffles behind the door to direct leaks away from the door and openings.  Compartmentalize the enclosure so that access to one area does not affect air flow control in other areas. R16-6.3 Control Specifications R16-6.3.1 Exhaust volumes as low as 4–5 air changes per minute or less can be specified and meet the SEMI S2 criteria in § 23.5 if the design principles listed above are considered when designing equipment and enclosures. R16-6.3.2 Where there is potential for chemical exposure during access which can be controlled by face velocity, the enclosure should also provide a minimum face velocity of 0.36–0.76 m/s (70–150 fpm) when open. Face velocity should not be relied upon to control emissions from a pressurized fitting. R16-6.3.3 Enclosures for pyrophoric or flammable gases should be designed to ensure adequately uniform dilution (i.e., prevent “pocketing”) and to prevent accumulation of pyrophoric and flammable gases above their lower explosive limit. Uniform dilution can generally be verified through exhaust vapor visualization techniques. Ventilation flow rate should be adequate to maintain concentrations below 25% of the lower explosive limit for the gas with the lowest LEL that is used in the enclosure. This can generally be verified using engineering calculations to verify dilution, and vapor visualization to verify mixing. R16-6.4 Recommended Measurement/Validation Method R16-6.4.1 Preferred validation by tracer gas testing per Appendix 2 of SEMI S6. R16-6.4.2 Additional confirmation by visualization check of air flow, mixing and sweep using smoke or vapor testing. 26 R16-6.4.3 Measurement of average face velocity at inlet(s), opening(s), or routinely used access doors. Measurements should be taken with a velometer or anemometer. For larger openings, multiple measurements are taken in a grid, at least 10–40 per square meter (1–4 per square foot) of open area. Useful equation: V = 4.043 (VP/d)0.5, where V = velocity in m/s, VP = velocity pressure in mm H2O, and d = density correction factor (unitless).

R16-7 Equipment Exhaust Ventilation Specifications and Measurements R16-7.1 Specifications for equipment exhaust should be provided by the supplier and define: R16-7.1.1 The control specification or standard for the hood or enclosure (i.e., face velocity or capture velocity if applicable). R16-7.1.2 The airflow in the duct required to maintain the control volume or flow required. Measurements should be made using the ACGIH pitot traverse method described below. R16-7.1.3 The location where the Pitot traverse measurement in the duct was made. R16-7.1.4 Static pressure requirements.

R16-8 Duct Traverse Method R16-8.1 Because the air flow in the cross-section of a duct is not uniform, it is necessary to obtain an average by measuring velocity pressure (VP) at points in a number of equal areas in the cross-section. The usual method is to make two traverses across the diameter of the duct at right angles to each other. Reading is taken at the center of annular rings of equal area. Whenever possible, the traverse should be made 7.5 duct diameters downstream and 3 diameters upstream from obstructions or directional changes such as an elbow, hood, branch entry, etc. Where measurements are made closer to disturbances, the results should be considered subject to some doubt and checked against a second location. If agreement within 10% of the two traverses is obtained, reasonable accuracy can be assumed, and the average of the two readings used. Where the variation exceeds 10%, a third location should be selected and the two air flows in the best agreement averaged and used. The use of a single centerline reading for obtaining average velocity is a very coarse approximation and is not recommended. If a traverse cannot be done, then the centerline duct velocity should be multiplied by 0.9 for a coarse estimate of actual average duct velocity. Center line duct velocity should not be used less than 5 duct diameters from an elbow, junction, hood opening, or other source of turbulence. R16-8.2 For ducts 150 mm (6 inches) and smaller, at least 6 traverse points should be used. For round ducts larger than 150 mm (6 inches) diameter, at least 10 traverse points should be employed. For very large ducts with wide variation in velocity, 20 traverse points will increase the precision of the air flow measurement. R16-8.3 For square or rectangular ducts, the procedure is to divide the cross-section into a number of equal rectangular areas and measure the velocity pressure at the center of each. The number of readings should not be less than 16. Enough readings should be made so the greatest distance between centers is less than 150 mm (6 inches). R16-8.4 The following data are required: R16-8.4.1 The area of the duct at the traverse location. R16-8.4.2 Velocity pressure at each point in the traverse and/or average velocity and number of points measured. R16-8.4.3 Temperature of the air stream at the time and location of the traverse. R16-8.4.4 The velocity pressure readings obtained are converted to velocities, and the velocities (not the velocity pressures) are averaged. Useful equation: V = 4.043 (VP/d)0.5, where V = velocity in m/s, VP = velocity pressure in mm H2O, and d = density correction factor (unitless). Some monitoring instruments conduct this averaging internal to the instrument. R16.8.5 Flow measurement taken at other than standard air temperatures should be corrected to standard conditions (i.e., 21C [70F], 760 mm [29.92 inches] Hg). NOTICE: Semiconductor Equipment and Materials International (SEMI) makes no warranties or representations as to the suitability of the Standards and Safety Guidelines set forth herein for any particular application. The determination of the suitability of the Standard or Safety Guideline is solely the responsibility of the user. Users are cautioned to refer to manufacturer’s instructions, product labels, product data sheets, and other relevant literature, respecting any materials or equipment mentioned herein. Standards and Safety Guidelines are subject to change without notice. By publication of this Standard or Safety Guideline, SEMI takes no position respecting the validity of any patent rights or copyrights asserted in connection with any items mentioned in this Standard or Safety Guideline. Users of this Standard or Safety Guideline are expressly advised that determination of any such patent rights or copyrights, and the risk of infringement of such rights are entirely their own responsibility.

28

Recommended publications