Release 14.4 - xst P.49d (nt64)

Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.

--> Parameter TMPDIR set to xst/projnav.tmp

Total REAL time to Xst completion: 0.00 secs

Total CPU time to Xst completion: 0.27 secs

--> Parameter xsthdpdir set to xst

Total REAL time to Xst completion: 0.00 secs

Total CPU time to Xst completion: 0.28 secs

-->

Reading constraint file C:/Users/admin/Desktop/map/masbit/mas_cw.xcf.

XCF parsing done.

Reading design: mas_cw.prj

TABLE OF CONTENTS

1) Synthesis Options Summary

2) HDL Parsing

3) HDL Elaboration

4) HDL Synthesis

4.1) HDL Synthesis Report 5) Advanced HDL Synthesis

5.1) Advanced HDL Synthesis Report

6) Low Level Synthesis

7) Partition Report

8) Design Summary

8.1) Primitive and Black Box Usage

8.2) Device utilization summary

8.3) Partition Resource Summary

8.4) Timing Report

8.4.1) Clock Information

8.4.2) Asynchronous Control Signals Information

8.4.3) Timing Summary

8.4.4) Timing Details

8.4.5) Cross Clock Domains Report

======

* Synthesis Options Summary *

======

---- Source Parameters

Input File Name : "mas_cw.prj"

Synthesis Constraint File : "C:/Users/admin/Desktop/map/masbit/mas_cw.xcf"

Ignore Synthesis Constraint File : NO ---- Target Parameters

Output File Name : "mas_cw"

Output Format : NGC

Target Device : xc7vx485t-2-ffg1761

---- Source Options

Top Module Name : mas_cw

Automatic FSM Extraction : YES

FSM Encoding Algorithm : Auto

Safe Implementation : No

FSM Style : LUT

RAM Extraction : Yes

RAM Style : Auto

ROM Extraction : Yes

Shift Register Extraction : YES

ROM Style : Auto

Resource Sharing : YES

Asynchronous To Synchronous : NO

Shift Register Minimum Size : 2

Use DSP Block : Auto

Automatic Register Balancing : No

---- Target Options

LUT Combining : Auto

Reduce Control Sets : Auto Add IO Buffers : YES

Global Maximum Fanout : 100000

Add Generic Clock Buffer(BUFG) : 32

Register Duplication : YES

Optimize Instantiated Primitives : NO

Use Clock Enable : Auto

Use Synchronous Set : Auto

Use Synchronous Reset : Auto

Pack IO Registers into IOBs : Auto

Equivalent register Removal : YES

---- General Options

Optimization Goal : Speed

Optimization Effort : 1

Power Reduction : NO

Keep Hierarchy : No

Netlist Hierarchy : As_Optimized

RTL Output : Yes

Global Optimization : AllClockNets

Read Cores : NO

Write Timing Constraints : NO

Cross Clock Analysis : NO

Hierarchy Separator : /

Bus Delimiter : ()

Case Specifier : Maintain Slice Utilization Ratio : 100

BRAM Utilization Ratio : 100

DSP48 Utilization Ratio : 100

Auto BRAM Packing : NO

Slice Utilization Ratio Delta : 5

======

======

* HDL Parsing *

======

Parsing VHDL file "C:\Users\admin\Desktop\map\masbit\mas.vhd" into library work

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing package .

Parsing package body .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity . Parsing entity <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>.

Parsing architecture of entity <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>.

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing VHDL file "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd" into library work

Parsing entity . Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

Parsing entity .

Parsing architecture of entity .

======

* HDL Elaboration *

======

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

WARNING:HDLCompiler:89 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 311101: remains a black-box since it has no binding entity.

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 312731: Assignment to internal_core_ce ignored, since the identifier is never used

WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 312733: Assignment to nd ignored, since the identifier is never used

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

WARNING:HDLCompiler:89 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 310876: remains a black-box since it has no binding entity.

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 313933: Assignment to internal_core_ce ignored, since the identifier is never used

WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 313935: Assignment to nd ignored, since the identifier is never used

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 313621: Assignment to sinit ignored, since the identifier is never used

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

WARNING:HDLCompiler:758 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 2953: Replacing existing netlist cmlt_11_2_049d91e8686bd2db()

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314081: Assignment to op_mem_71_20_back ignored, since the identifier is never used

WARNING:HDLCompiler:634 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314072: Net does not have a driver.

Elaborating entity (architecture ) from library . WARNING:HDLCompiler:1127 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314127: Assignment to op_mem_71_20_back ignored, since the identifier is never used

WARNING:HDLCompiler:634 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314120: Net does not have a driver.

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

WARNING:HDLCompiler:758 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 3232: Replacing existing netlist cmlt_11_2_1029404049440265()

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library . WARNING:HDLCompiler:89 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314182: remains a black-box since it has no binding entity.

Elaborating entity (architecture ) from library .

WARNING:HDLCompiler:89 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" Line 314236: remains a black-box since it has no binding entity.

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\> (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library . Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) from library . Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

Elaborating entity (architecture ) with generics from library .

Elaborating entity (architecture <>) from library .

======

* HDL Synthesis *

======

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd".

Set property "syn_black_box = true" for instance .

Set property "syn_noprune = true" for instance .

Set property "optimize_primitives = false" for instance .

Set property "dont_touch = true" for instance . Set property "MAX_FANOUT = REDUCE" for signal .

Set property "syn_keep = true" for signal .

Set property "KEEP = TRUE" for signal .

WARNING:Xst:37 - Detected unknown constraint/property "preserve_signal". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd".

Set property "syn_noprune = true".

Set property "optimize_primitives = false".

Set property "dont_touch = true".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd" line 378: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd" line 378: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas_cw.vhd". period = 1

log_2_period = 1

pipeline_regs = 5

use_bufg = 0

Set property "MAX_FANOUT = REDUCE" for signal .

Set property "MAX_FANOUT = REDUCE" for signal .

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 1

init_index = 0

init_value = "0000"

latency = 1

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 1

init_index = 0 init_value = "0000"

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_6e7b989066784718"

a_width = 26

a_bin_pt = 12

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14

p_bin_pt = 1 p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 90

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_ad64fb3a34f13a2e"

a_width = 28

a_bin_pt = 10

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14 p_bin_pt = 6

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 92

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_60529fe33e9807f6"

a_width = 47

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14 p_bin_pt = 6

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 47

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 111

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_ce4fb6f0c1404e84"

a_width = 28

a_bin_pt = 20

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14 p_bin_pt = 10

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 92

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_4af8ac614edb0db0"

a_width = 48

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14 p_bin_pt = 1

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 48

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 112

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_1029404049440265"

a_width = 32

a_bin_pt = 16

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14 p_bin_pt = 1

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 32

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 96

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315349: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd". core_name0 = "addsb_11_0_1e0050c132159f46" a_width = 16 a_bin_pt = 12 a_arith = 2 c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 28 b_bin_pt = 20 b_arith = 2 s_width = 36 s_bin_pt = 32 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 29 full_s_arith = 2 mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 29

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cntr_11_0_761b5b8e2cd8f1c2"

op_width = 24

op_arith = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 24-bit comparator greater for signal created at line 313344

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315206: Output port of the instance is unconnected or connected to loadless signal.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_af6b5da6dbbc120b"

a_width = 32

a_bin_pt = 18

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 12

b_arith = 2

s_width = 32

s_bin_pt = 16

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1 en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 33

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315083: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_7b6b6d1b3efcd120"

a_width = 40

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 12 b_arith = 2

s_width = 24

s_bin_pt = 12

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 45

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 45

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

din_width = 24

dout_width = 24

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 24

latency = 1

reg_retiming = 0

reset = 0 WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 24

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 24

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_85f2ddc5a9b50dfe"

a_width = 36

a_bin_pt = 16

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 40

p_bin_pt = 32

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 36

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 72

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_00940c83ede01534"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 4

b_arith = 2

p_width = 36

p_bin_pt = 16

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 60

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_c1a452200a369f05"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 4

b_arith = 2

p_width = 32

p_bin_pt = 18

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 20

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 56

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315501: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315533: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315565: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315597: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315629: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 315661: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_78cf8cbd27fbc6a3"

a_width = 20

a_bin_pt = 5

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 20

b_bin_pt = 5

b_arith = 2

s_width = 20

s_bin_pt = 5

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 21

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 21

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_7d01a5b4a6e889d5"

a_width = 18

a_bin_pt = 5

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 18

b_bin_pt = 5

b_arith = 2

s_width = 20

s_bin_pt = 5

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 19

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 19

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_92b3a38bd336f036"

a_width = 8

a_bin_pt = 4

a_arith = 2

b_width = 4

b_bin_pt = 5

b_arith = 2

p_width = 18

p_bin_pt = 5

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0 c_a_width = 8

c_b_width = 18

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 26

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_1322aa4468f252d0"

a_width = 20

a_bin_pt = 5

a_arith = 2

b_width = 4

b_bin_pt = 14

b_arith = 2

p_width = 20

p_bin_pt = 5

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1 extra_registers = 0

c_a_width = 20

c_b_width = 18

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 38

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_4dcdcdfd0b028d3b"

a_width = 18

a_bin_pt = 5

a_arith = 2

b_width = 4

b_bin_pt = 14

b_arith = 2

p_width = 20

p_bin_pt = 5

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1 overflow = 1

extra_registers = 0

c_a_width = 18

c_b_width = 18

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 36

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_a910f6a2fda8130a"

a_width = 22

a_bin_pt = 5

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 14

p_bin_pt = 6

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 86

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318294: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318326: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318358: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318390: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28

b_arith = 2

s_width = 26

s_bin_pt = 12

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48 full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_656d04967a49ee54"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28

b_arith = 2

s_width = 26

s_bin_pt = 12

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48 full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_f49d9cea35751c72"

a_width = 26

a_bin_pt = 12

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 26

b_bin_pt = 12

b_arith = 2

s_width = 26

s_bin_pt = 12

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 27 full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 27

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_0a78d26987d5e79b"

a_width = 26

a_bin_pt = 12

a_arith = 2

b_width = 4

b_bin_pt = 12

b_arith = 2

p_width = 26

p_bin_pt = 12

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 16

c_a_type = 0 c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 42

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_99587795c33c8b08"

a_width = 26

a_bin_pt = 12

a_arith = 2

b_width = 4

b_bin_pt = 8

b_arith = 2

p_width = 26

p_bin_pt = 12

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 12

c_a_type = 0 c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 38

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_afed6c2e98e9db95"

a_width = 26

a_bin_pt = 12

a_arith = 2

b_width = 4

b_bin_pt = 4

b_arith = 2

p_width = 26

p_bin_pt = 12

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 8

c_a_type = 0 c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 34

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_1cb5ed593dd6a805"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 64

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 64

c_type = 0

c_a_type = 0 c_b_type = 0

c_pipelined = 1

c_baat = 22

multsign = 2

c_output_width = 86

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317863: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317895: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317927: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317959: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_872d3722f6aa5986"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 13 b_bin_pt = 8 b_arith = 2 s_width = 24 s_bin_pt = 18 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 38 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0

c_output_width = 38

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_52eec8816b3d07d3"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 13 b_bin_pt = 8 b_arith = 2 s_width = 24 s_bin_pt = 18 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 38 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0

c_output_width = 38

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_2184da4f73658baf"

a_width = 32

a_bin_pt = 16

a_arith = 2

c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 32 b_bin_pt = 16 b_arith = 2 s_width = 36 s_bin_pt = 32 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 33 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_d233efc3f69384da"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 24 b_bin_pt = 18 b_arith = 2 s_width = 36 s_bin_pt = 32 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 39 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0

c_output_width = 39

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 32

latency = 1

reg_retiming = 0

reset = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 32

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 32

latency = 1 Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 38-bit 3-to-1 multiplexer for signal created at line 314432.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 37-bit comparator greater for signal created at line 314472

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 36-bit comparator greater for signal created at line 314501

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_14cba680b6143225"

a_width = 24

a_bin_pt = 18

a_arith = 2

b_width = 4

b_bin_pt = 10

b_arith = 2

p_width = 10

p_bin_pt = 0

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2 quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 22

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 46

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "bmg_72_890d12da6c3a372e"

c_width = 64

c_address_width = 10

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "bmg_72_0de05196f3843ae4"

c_width = 64

c_address_width = 10 latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319213: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319245: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319277: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319309: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319341: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319373: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319405: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319437: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319469: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319501: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319533: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319565: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_12cafd628eabec2e"

a_width = 40

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 36

b_bin_pt = 32 b_arith = 2

s_width = 40

s_bin_pt = 32

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 41

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 41

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_12cafd628eabec2e"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 40

b_bin_pt = 32 b_arith = 2

s_width = 40

s_bin_pt = 32

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 41

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 41

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_77b30ee23c108b1c"

a_width = 22

a_bin_pt = 8

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 20

b_bin_pt = 8 b_arith = 2

s_width = 22

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 23

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 23

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28 b_arith = 2

s_width = 20

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28 b_arith = 2

s_width = 28

s_bin_pt = 16

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_656d04967a49ee54"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28 b_arith = 2

s_width = 20

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_2184da4f73658baf"

a_width = 28

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 16 b_arith = 2

s_width = 28

s_bin_pt = 24

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 33

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_af6b5da6dbbc120b"

a_width = 28

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 16 b_arith = 2

s_width = 28

s_bin_pt = 24

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 33

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_b8b6601a380b9153"

a_width = 22

a_bin_pt = 8

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 26

b_bin_pt = 16 b_arith = 2

s_width = 22

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 31

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 31

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_16ed126b9432f966"

a_width = 22

a_bin_pt = 8

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 20

b_bin_pt = 8 b_arith = 2

s_width = 22

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 23

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 23

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9384899f97c5907f"

a_width = 22

a_bin_pt = 8

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 36

b_bin_pt = 18 b_arith = 2

s_width = 22

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 37

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 37

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_3e26ad3f166f5a05"

a_width = 28

a_bin_pt = 20

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 26

p_bin_pt = 16

p_arith = 2

rst_width = 1

rst_bin_pt = 0 rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 52

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_855fc87d891b1c0f"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 24

b_arith = 2

p_width = 40

p_bin_pt = 32

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 32

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_33d444a49cc98bbc"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 28

p_bin_pt = 8

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 46

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_287cfc82d0a194a1"

a_width = 20

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 22

p_bin_pt = 5

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 20

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 44

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_c473908a1008a362"

a_width = 28

a_bin_pt = 16

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 28

p_bin_pt = 10

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 52

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_8f687fd98108bd9e"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 36

p_bin_pt = 18

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 24

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 60

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_049d91e8686bd2db"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 24

b_arith = 2

p_width = 28

p_bin_pt = 24

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 32

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_077728a89cbfeb6d"

a_width = 47

a_bin_pt = 28

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 24

p_bin_pt = 16

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 47

c_b_width = 20

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 67

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_b15d6089faabfeb6"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 36

p_bin_pt = 32

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 22

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_e660458fe81f5d55"

a_width = 28

a_bin_pt = 20

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 36

p_bin_pt = 32

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 22

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 50

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_46d1845c61547cd1"

a_width = 28

a_bin_pt = 20

a_arith = 2

b_width = 22

b_bin_pt = 8

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 22

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 28

multsign = 2

c_output_width = 50

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_9565c340740bf724"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 36

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 36

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 22

multsign = 2

c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_0e1566528d2aabad"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 22

b_bin_pt = 8

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 22

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 36

multsign = 2

c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_b540eb527c25496d"

a_width = 20

a_bin_pt = 8

a_arith = 2

b_width = 40

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 20

c_b_width = 40

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 20

multsign = 2

c_output_width = 60

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_65354ed2a4562c5c"

a_width = 24

a_bin_pt = 12

a_arith = 2

b_width = 40

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 40

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 24

multsign = 2

c_output_width = 64

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_920f82b2d5199557"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 32

b_bin_pt = 16

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 32

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 36

multsign = 2

c_output_width = 68

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_8a7e2cb341e8d802"

a_width = 32

a_bin_pt = 16

a_arith = 2

b_width = 28

b_bin_pt = 20

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 32

c_b_width = 28

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 32

multsign = 2

c_output_width = 60

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318863: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_2184da4f73658baf"

a_width = 28

a_bin_pt = 24 a_arith = 2 c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 20 b_bin_pt = 12 b_arith = 2 s_width = 18 s_bin_pt = 10 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 33 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1

overflow = 1

c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_30f8ec8dfd7f8ed2"

a_width = 18

a_bin_pt = 10 a_arith = 2 b_width = 4 b_bin_pt = 32 b_arith = 2 p_width = 36 p_bin_pt = 32 p_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 multsign = 2 quantization = 1 overflow = 1 extra_registers = 0 c_a_width = 18 c_b_width = 36 c_a_type = 0 c_b_type = 1 c_type = 0 const_bin_pt = 1 zero_const = 0 c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_e9ca31a3eb8f57dd"

a_width = 36

a_bin_pt = 32 a_arith = 2 b_width = 4 b_bin_pt = 8 b_arith = 2 p_width = 20 p_bin_pt = 12 p_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 multsign = 2 quantization = 1 overflow = 1 extra_registers = 0 c_a_width = 36 c_b_width = 22 c_a_type = 0 c_b_type = 0 c_type = 0 const_bin_pt = 1 zero_const = 0 c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 36

latency = 1

reg_retiming = 0 reset = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 36

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 36

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 318716: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_e8c1ba21b313f251"

a_width = 28

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 22

b_bin_pt = 8

b_arith = 2

s_width = 22

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 39

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 39

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_996fdd58b0411a2b"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 28

p_bin_pt = 20

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0 c_a_width = 22

c_b_width = 36

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_ec86768b7e117459"

a_width = 28

a_bin_pt = 20

a_arith = 2

b_width = 4

b_bin_pt = 12

b_arith = 2

p_width = 22

p_bin_pt = 8

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1 extra_registers = 0

c_a_width = 28

c_b_width = 26

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 28

latency = 1

reg_retiming = 0

reset = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 28

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 28

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 319010: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_2184da4f73658baf"

a_width = 28

a_bin_pt = 8

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 28 b_bin_pt = 4 b_arith = 2 s_width = 28 s_bin_pt = 4 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 33 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 33 c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_5b85ee661d59a06a"

a_width = 28

a_bin_pt = 4

a_arith = 2

b_width = 4

b_bin_pt = 24

b_arith = 2 p_width = 22

p_bin_pt = 8

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 28

c_b_width = 30

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 58

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_efa413f2be52c767"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 16 b_arith = 2

p_width = 28

p_bin_pt = 4

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 28

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 50

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 22

latency = 1

reg_retiming = 0

reset = 0 WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 22

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 22

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 320515: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_7baf43f5d46f2efd"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 32 b_bin_pt = 16 b_arith = 2 s_width = 32 s_bin_pt = 16 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 49 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 49 c_has_c_in = 0 c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_8153107f81f163c5"

a_width = 20

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 36 p_bin_pt = 32

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 20

c_b_width = 36

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 56

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317591: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317623: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 317655: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_77b30ee23c108b1c"

a_width = 22

a_bin_pt = 5

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 21

b_bin_pt = 4

b_arith = 2

s_width = 24

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0 en_arith = 1

full_s_width = 23

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 23

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_12cafd628eabec2e"

a_width = 32

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 36

b_bin_pt = 32

b_arith = 2

s_width = 32

s_bin_pt = 24

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0 en_arith = 1

full_s_width = 41

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 41

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_af6b5da6dbbc120b"

a_width = 32

a_bin_pt = 16

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 12

b_arith = 2

s_width = 20

s_bin_pt = 8

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0 en_arith = 1

full_s_width = 33

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 33

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_9f263efbda8fb0e9"

a_width = 47

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 24

p_bin_pt = 12

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 47 c_b_width = 22

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 69

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_13cb1fe83f6719af"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 16

b_arith = 2

p_width = 24

p_bin_pt = 16

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0 c_a_width = 22

c_b_width = 20

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 42

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_049d91e8686bd2db"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 24

b_arith = 2

p_width = 20

p_bin_pt = 12

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1 extra_registers = 0

c_a_width = 22

c_b_width = 32

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316791: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_4c11e627a14cfcc4" a_width = 24 a_bin_pt = 8 a_arith = 2 c_in_width = 16 c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 40 b_bin_pt = 8 b_arith = 2 s_width = 64 s_bin_pt = 32 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 41 full_s_arith = 2 mode = 1 extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 41

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_884930e4bebbd5b6" a_width = 64 a_bin_pt = 32 a_arith = 2 b_width = 4 b_bin_pt = 32 b_arith = 2 p_width = 47 p_bin_pt = 32 p_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 multsign = 2 quantization = 1 overflow = 1 extra_registers = 0 c_a_width = 64 c_b_width = 47 c_a_type = 0 c_b_type = 1 c_type = 0 const_bin_pt = 1

zero_const = 0

c_output_width = 111

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_35f296ece0a9a6e7" a_width = 47 a_bin_pt = 32 a_arith = 2 b_width = 4 b_bin_pt = 32 b_arith = 2 p_width = 40 p_bin_pt = 8 p_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 multsign = 2 quantization = 1 overflow = 1 extra_registers = 0 c_a_width = 47 c_b_width = 64 c_a_type = 0 c_b_type = 0 c_type = 0 const_bin_pt = 1

zero_const = 0

c_output_width = 111

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 47 latency = 1

reg_retiming = 0

reset = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 47

latency = 1

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

width = 47

latency = 1

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored. Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND.

Found 83x33-bit multiplier for signal created at line 314093.

Summary:

inferred 1 Multiplier(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_66d7ec4f1062986c"

a_width = 24

a_bin_pt = 16

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 64

p_bin_pt = 32

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 64 c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 88

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_1029404049440265"

a_width = 32

a_bin_pt = 24

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 64

p_bin_pt = 32

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 32 c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 96

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_3ec88f51016c09d9"

a_width = 24

a_bin_pt = 16

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 64

p_bin_pt = 32

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0 c_a_width = 24

c_b_width = 64

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 88

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_8772ad679ba8df4b"

a_width = 32

a_bin_pt = 24

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 64

p_bin_pt = 32

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1 extra_registers = 0

c_a_width = 32

c_b_width = 64

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 96

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 314205: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 314259: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 64-bit 4-to-1 multiplexer for signal created at line 314307.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 64-bit comparator greater for signal created at line 314347

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 64-bit comparator greater for signal created at line 314376

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316938: Output port of the instance is unconnected or connected to loadless signal.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_5ee0d098007a1b66"

a_width = 20

a_bin_pt = 12

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 40

b_bin_pt = 8

b_arith = 2

s_width = 64

s_bin_pt = 32

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1 en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 45

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 45

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary: no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_e39bf5f892b9d2bb"

a_width = 64

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 36

p_bin_pt = 32

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1 extra_registers = 0

c_a_width = 64

c_b_width = 64

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 128

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_2650ef2e23192fd1"

a_width = 36

a_bin_pt = 32

a_arith = 2

b_width = 4

b_bin_pt = 32

b_arith = 2

p_width = 40

p_bin_pt = 8

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1 overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 64

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 100

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316469: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316501: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316533: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_78cf8cbd27fbc6a3"

a_width = 20

a_bin_pt = 5

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 20 b_bin_pt = 5 b_arith = 2 s_width = 22 s_bin_pt = 8 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 21 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 21

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_656d04967a49ee54"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 47 b_bin_pt = 28 b_arith = 2 s_width = 22 s_bin_pt = 8 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 48 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4 c_in_arith = 1 c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 47 b_bin_pt = 28 b_arith = 2 s_width = 22 s_bin_pt = 8 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 48 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_b8f0ba24732880a2"

a_width = 22

a_bin_pt = 8

a_arith = 2

b_width = 4

b_bin_pt = 12 b_arith = 2

p_width = 22

p_bin_pt = 8

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 22

c_b_width = 16

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 38

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_0d9df47653e8136c"

a_width = 20

a_bin_pt = 5

a_arith = 2

b_width = 64 b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 20

c_b_width = 64

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 20

multsign = 2

c_output_width = 84

Set property "syn_black_box = true" for instance . WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316040: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316072: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316104: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 316136: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_20f59c924e684f65"

a_width = 26

a_bin_pt = 20

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 13 b_bin_pt = 8

b_arith = 2

s_width = 32

s_bin_pt = 26

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 27

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 27

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_f49d9cea35751c72"

a_width = 26

a_bin_pt = 20

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 13 b_bin_pt = 8

b_arith = 2

s_width = 32

s_bin_pt = 26

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 27

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 27

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_d233efc3f69384da"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 32 b_bin_pt = 26

b_arith = 2

s_width = 26

s_bin_pt = 20

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 39

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 39

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 32-bit 3-to-1 multiplexer for signal created at line 313445.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit . Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 26-bit comparator greater for signal created at line 313482

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 26-bit comparator greater for signal created at line 313511

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd". Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_4d19018b3c39239e"

a_width = 32

a_bin_pt = 26

a_arith = 2

b_width = 4

b_bin_pt = 10

b_arith = 2

p_width = 10

p_bin_pt = 0

p_arith = 1

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1 overflow = 1

extra_registers = 0

c_a_width = 32

c_b_width = 22

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 54

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 21-bit 4-to-1 multiplexer for signal created at line 315008.

Summary: inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 25-bit comparator greater for signal created at line 315049

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321463: Output port of the instance is unconnected or connected to loadless signal. INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321495: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321527: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321559: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28

b_arith = 2

s_width = 24 s_bin_pt = 10

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_656d04967a49ee54"

a_width = 47

a_bin_pt = 28

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 28

b_arith = 2

s_width = 24 s_bin_pt = 10

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_97454a187aa26b59"

a_width = 24

a_bin_pt = 10

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 10

b_arith = 2

s_width = 24 s_bin_pt = 10

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 25

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 25

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_16833ca38057a335"

a_width = 24

a_bin_pt = 10

a_arith = 2

b_width = 4

b_bin_pt = 8

b_arith = 2

p_width = 24

p_bin_pt = 10

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 12

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 36

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_df1f89bf2e1d9b34"

a_width = 24

a_bin_pt = 10

a_arith = 2

b_width = 4

b_bin_pt = 4

b_arith = 2

p_width = 24

p_bin_pt = 10

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 8

c_a_type = 0

c_b_type = 0

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 32

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_029410d730aef3c6"

a_width = 24

a_bin_pt = 10

a_arith = 2

b_width = 4

b_bin_pt = 8

b_arith = 2

p_width = 24

p_bin_pt = 10

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 24

c_b_width = 12

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 36

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_6d75902f305ef739"

a_width = 32

a_bin_pt = 16

a_arith = 2

b_width = 64

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 32

c_b_width = 64

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 32

multsign = 2

c_output_width = 96

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "mult_11_2_3e45894281c05d4b"

a_width = 48

a_bin_pt = 32

a_arith = 2

b_width = 64

b_bin_pt = 32

b_arith = 2

p_width = 47

p_bin_pt = 28

p_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 48

c_b_width = 64

c_type = 0

c_a_type = 0

c_b_type = 0

c_pipelined = 1

c_baat = 48

multsign = 2

c_output_width = 112

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321032: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321064: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321096: Output port of the instance is unconnected or connected to loadless signal.

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321128: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_b8b6601a380b9153"

a_width = 30

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 13

b_bin_pt = 8

b_arith = 2

s_width = 24

s_bin_pt = 18

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 31

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 31

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_ba616ce80205da8e"

a_width = 30

a_bin_pt = 24

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 13

b_bin_pt = 8

b_arith = 2

s_width = 24

s_bin_pt = 18

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 31

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 31

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_d233efc3f69384da"

a_width = 36

a_bin_pt = 32

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 24

b_bin_pt = 18

b_arith = 2

s_width = 30

s_bin_pt = 24

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 39

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 39

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 30-bit 3-to-1 multiplexer for signal created at line 314678.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 30-bit comparator greater for signal created at line 314716

Summary:

inferred 1 Comparator(s).

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 30-bit comparator greater for signal created at line 314745

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_459de2f2aaf2af91"

a_width = 24

a_bin_pt = 18

a_arith = 2 b_width = 4 b_bin_pt = 7 b_arith = 2 p_width = 10 p_bin_pt = 0 p_arith = 1 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 multsign = 2 quantization = 1 overflow = 1 extra_registers = 0 c_a_width = 24 c_b_width = 18 c_a_type = 0 c_b_type = 1 c_type = 0 const_bin_pt = 1 zero_const = 0 c_output_width = 42 Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>.

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

Summary:

no macro.

Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\> synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 8-bit 3-to-1 multiplexer for signal created at line 314575.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 26-bit comparator lessequal for signal created at line 314612

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 26-bit comparator greater for signal created at line 314641

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 322217: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_656d04967a49ee54"

a_width = 12

a_bin_pt = 4

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16 c_out_bin_pt = 4 c_out_arith = 1 b_width = 47 b_bin_pt = 32 b_arith = 2 s_width = 26 s_bin_pt = 18 s_arith = 2 rst_width = 1 rst_bin_pt = 0 rst_arith = 1 en_width = 1 en_bin_pt = 0 en_arith = 1 full_s_width = 48 full_s_arith = 2 mode = 1 extra_registers = 0 latency = 0 quantization = 1 overflow = 1 c_latency = 0 c_output_width = 48 c_has_c_in = 0 c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized. Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

inferred 1 Multiplexer(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Found 24-bit comparator greater for signal created at line 314874

Summary:

inferred 1 Comparator(s).

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 322074: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 34

a_bin_pt = 18

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 30

b_arith = 2

s_width = 48

s_bin_pt = 32

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1 en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro. Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

INFO:Xst:3210 - "C:\Users\admin\Desktop\map\masbit\mas.vhd" line 321961: Output port of the instance is unconnected or connected to loadless signal.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "addsb_11_0_9f0b008074cbd983"

a_width = 47

a_bin_pt = 30

a_arith = 2

c_in_width = 16

c_in_bin_pt = 4

c_in_arith = 1

c_out_width = 16

c_out_bin_pt = 4

c_out_arith = 1

b_width = 47

b_bin_pt = 30

b_arith = 2 s_width = 47

s_bin_pt = 30

s_arith = 2

rst_width = 1

rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

full_s_width = 48

full_s_arith = 2

mode = 1

extra_registers = 0

latency = 0

quantization = 1

overflow = 1

c_latency = 0

c_output_width = 48

c_has_c_in = 0

c_has_c_out = 0

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_992d02a5d9cbaf76"

a_width = 36

a_bin_pt = 18

a_arith = 2

b_width = 4

b_bin_pt = 24

b_arith = 2

p_width = 47

p_bin_pt = 30

p_arith = 2

rst_width = 1

rst_bin_pt = 0 rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 36

c_b_width = 30

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 66

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_3e63237a5cd93bb8"

a_width = 26

a_bin_pt = 18

a_arith = 2

b_width = 4

b_bin_pt = 8

b_arith = 2

p_width = 36

p_bin_pt = 18

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 20

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 46

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

Synthesizing Unit .

Related source file is "C:\Users\admin\Desktop\map\masbit\mas.vhd".

core_name0 = "cmlt_11_2_c1042e6cbf52704f"

a_width = 26

a_bin_pt = 18

a_arith = 2

b_width = 4

b_bin_pt = 2

b_arith = 2

p_width = 34

p_bin_pt = 18

p_arith = 2

rst_width = 1 rst_bin_pt = 0

rst_arith = 1

en_width = 1

en_bin_pt = 0

en_arith = 1

multsign = 2

quantization = 1

overflow = 1

extra_registers = 0

c_a_width = 26

c_b_width = 9

c_a_type = 0

c_b_type = 1

c_type = 0

const_bin_pt = 1

zero_const = 0

c_output_width = 35

Set property "syn_black_box = true" for instance .

WARNING:Xst:37 - Detected unknown constraint/property "fpga_dont_touch". This constraint/property is not supported by the current software release and will be ignored.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.

Summary:

no macro.

Unit synthesized.

======

HDL Synthesis Report

Macro Statistics

# Multipliers : 2

83x33-bit multiplier : 2

# Comparators : 18

24-bit comparator greater : 2

25-bit comparator greater : 2

26-bit comparator greater : 5

26-bit comparator lessequal : 3

30-bit comparator greater : 2

36-bit comparator greater : 1 37-bit comparator greater : 1

64-bit comparator greater : 2

# Multiplexers : 10

10-bit 2-to-1 multiplexer : 1

16-bit 2-to-1 multiplexer : 1

21-bit 4-to-1 multiplexer : 1

30-bit 3-to-1 multiplexer : 1

32-bit 3-to-1 multiplexer : 1

38-bit 3-to-1 multiplexer : 1

64-bit 4-to-1 multiplexer : 1

8-bit 3-to-1 multiplexer : 3

======

======

* Advanced HDL Synthesis *

======

======

Advanced HDL Synthesis Report

Macro Statistics

# Multipliers : 2

83x33-bit multiplier : 2 # Registers : 471

Flip-Flops : 471

# Comparators : 18

24-bit comparator greater : 2

25-bit comparator greater : 2

26-bit comparator greater : 5

26-bit comparator lessequal : 3

30-bit comparator greater : 2

36-bit comparator greater : 1

37-bit comparator greater : 1

64-bit comparator greater : 2

# Multiplexers : 10

10-bit 2-to-1 multiplexer : 1

16-bit 2-to-1 multiplexer : 1

21-bit 4-to-1 multiplexer : 1

30-bit 3-to-1 multiplexer : 1

32-bit 3-to-1 multiplexer : 1

38-bit 3-to-1 multiplexer : 1

64-bit 4-to-1 multiplexer : 1

8-bit 3-to-1 multiplexer : 3

======

======* Low Level Synthesis *

======

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit : instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>: instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>: instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>: instances , of unit are equivalent, second instance is removed

WARNING:Xst:1989 - Unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\>: instances , of unit are equivalent, second instance is removed

WARNING:Xst:2677 - Node of sequential type is unconnected in block .

WARNING:Xst:2677 - Node of sequential type is unconnected in block . Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ... Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit ...

Optimizing unit <\hysteresis_based_stator_current__regulator_entity_eae9ebeb7b\> ...

Optimizing unit ...

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

Mapping all equations...

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch. WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:2036 - Inserting OBUF on port driven by black box . Possible simulation mismatch.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

Annotating constraints using XCF file 'C:/Users/admin/Desktop/map/masbit/mas_cw.xcf'

XCF parsing done.

WARNING:Xst:2173 - Found black boxes on which forward tracing can not be performed on edge 'clk':

persistentdff_inst mas_x0/flux_control_unit1_3b150af683/counter/comp0.core_instance0 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/mechanical_model_c c1bd59c80/r2s_1_2_76d16c6b2f/divide3/dvd_4_0_1cc2b7efae0f1659_instance mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/mechanical_model_c c1bd59c80/r2s_1_2_76d16c6b2f/divide2/dvd_4_0_1cc2b7efae0f1659_instance mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/mechanical_model_c c1bd59c80/r2s_1_2_76d16c6b2f/divide1/dvd_4_0_1cc2b7efae0f1659_instance mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/mechanical_model_c c1bd59c80/r2s_1_2_76d16c6b2f/divide4/dvd_4_0_1cc2b7efae0f1659_instance mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/x3_phase_to_dq_tra nsform_9ef544f709/sin_cos_a4ff007f0d/subsystem_9a652c066b/rom_cos/comp0.core_instance0 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/x3_phase_to_dq_tra nsform_9ef544f709/sin_cos_a4ff007f0d/subsystem_9a652c066b/rom_sin/comp1.core_instance1 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/dq_to_3_phase_trans form1_c00e970a06/sin_cos_3dd27a9558/subsystem_07085a3aad/rom_sin/comp1.core_instance1 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/dq_to_3_phase_trans form1_c00e970a06/sin_cos_3dd27a9558/subsystem_07085a3aad/rom_cos/comp0.core_instance0 mas_x0/reference_torque_0a4273da32/counter1/comp0.core_instance0 mas_x0/reference_torque_0a4273da32/counter/comp0.core_instance0 mas_x0/rotor_flux_oriented_control_structure_d176305a68/dq_to_3_phase_transform1_cb7e5b1e3b/ sin_cos_8eaaf8b6cd/subsystem_4673c3f4d3/rom_sin/comp1.core_instance1 mas_x0/rotor_flux_oriented_control_structure_d176305a68/dq_to_3_phase_transform1_cb7e5b1e3b/ sin_cos_8eaaf8b6cd/subsystem_4673c3f4d3/rom_cos/comp0.core_instance0 mas_x0/speed_control_unit_6003cc59fe/counter/comp0.core_instance0

WARNING:Xst:2174 - These might be cores which have not been read

Building and optimizing final netlist ...

Found area constraint ratio of 100 (+ 5) on block mas_cw, actual ratio is 0.

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 5 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches : INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

INFO:Xst:2260 - The FF/Latch in Unit is equivalent to the following 2 FFs/Latches :

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

Final Macro Processing ...

======

Final Register Report

Macro Statistics

# Registers : 471

Flip-Flops : 471

======

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.

======

* Partition Report *

======

Partition Implementation Status

------No Partitions were found in this design.

------

======

* Design Summary *

======

Top Level Output File Name : mas_cw.ngc

Primitive and Black Box Usage:

------

# BELS : 595

# GND : 1

# INV : 2

# LUT1 : 4

# LUT2 : 57

# LUT3 : 2

# LUT4 : 151

# LUT5 : 124

# LUT6 : 36

# MUXCY : 167

# VCC : 1

# XORCY : 50

# FlipFlops/Latches : 471 # FDE : 470

# FDRE : 1

# Clock Buffers : 1

# BUFGP : 1

# IO Buffers : 126

# OBUF : 126

# DSPs : 14

# DSP48E1 : 14

# Others : 167

# addsb_11_0_12cafd628eabec2e : 3

# addsb_11_0_16ed126b9432f966 : 1

# addsb_11_0_1e0050c132159f46 : 1

# addsb_11_0_20f59c924e684f65 : 1

# addsb_11_0_2184da4f73658baf : 7

# addsb_11_0_4c11e627a14cfcc4 : 1

# addsb_11_0_52eec8816b3d07d3 : 1

# addsb_11_0_5ee0d098007a1b66 : 1

# addsb_11_0_656d04967a49ee54 : 5

# addsb_11_0_77b30ee23c108b1c : 2

# addsb_11_0_78cf8cbd27fbc6a3 : 4

# addsb_11_0_7b6b6d1b3efcd120 : 1

# addsb_11_0_7baf43f5d46f2efd : 1

# addsb_11_0_7d01a5b4a6e889d5 : 3

# addsb_11_0_872d3722f6aa5986 : 1

# addsb_11_0_9384899f97c5907f : 1 # addsb_11_0_97454a187aa26b59 : 2

# addsb_11_0_9f0b008074cbd983 : 8

# addsb_11_0_af6b5da6dbbc120b : 3

# addsb_11_0_b8b6601a380b9153 : 2

# addsb_11_0_ba616ce80205da8e : 1

# addsb_11_0_d233efc3f69384da : 3

# addsb_11_0_e8c1ba21b313f251 : 1

# addsb_11_0_f49d9cea35751c72 : 3

# bmg_72_0de05196f3843ae4 : 3

# bmg_72_890d12da6c3a372e : 3

# cmlt_11_2_00940c83ede01534 : 1

# cmlt_11_2_029410d730aef3c6 : 1

# cmlt_11_2_049d91e8686bd2db : 3

# cmlt_11_2_077728a89cbfeb6d : 2

# cmlt_11_2_0a78d26987d5e79b : 1

# cmlt_11_2_1029404049440265 : 3

# cmlt_11_2_1322aa4468f252d0 : 3

# cmlt_11_2_13cb1fe83f6719af : 1

# cmlt_11_2_14cba680b6143225 : 1

# cmlt_11_2_16833ca38057a335 : 1

# cmlt_11_2_2650ef2e23192fd1 : 1

# cmlt_11_2_287cfc82d0a194a1 : 1

# cmlt_11_2_30f8ec8dfd7f8ed2 : 1

# cmlt_11_2_33d444a49cc98bbc : 2

# cmlt_11_2_35f296ece0a9a6e7 : 1 # cmlt_11_2_3e26ad3f166f5a05 : 1

# cmlt_11_2_3e63237a5cd93bb8 : 1

# cmlt_11_2_3ec88f51016c09d9 : 2

# cmlt_11_2_459de2f2aaf2af91 : 1

# cmlt_11_2_4af8ac614edb0db0 : 1

# cmlt_11_2_4d19018b3c39239e : 1

# cmlt_11_2_4dcdcdfd0b028d3b : 3

# cmlt_11_2_5b85ee661d59a06a : 2

# cmlt_11_2_60529fe33e9807f6 : 1

# cmlt_11_2_66d7ec4f1062986c : 2

# cmlt_11_2_6e7b989066784718 : 3

# cmlt_11_2_8153107f81f163c5 : 1

# cmlt_11_2_855fc87d891b1c0f : 2

# cmlt_11_2_85f2ddc5a9b50dfe : 1

# cmlt_11_2_8772ad679ba8df4b : 2

# cmlt_11_2_884930e4bebbd5b6 : 1

# cmlt_11_2_8f687fd98108bd9e : 1

# cmlt_11_2_92b3a38bd336f036 : 3

# cmlt_11_2_992d02a5d9cbaf76 : 1

# cmlt_11_2_99587795c33c8b08 : 1

# cmlt_11_2_996fdd58b0411a2b : 1

# cmlt_11_2_9f263efbda8fb0e9 : 1

# cmlt_11_2_a910f6a2fda8130a : 1

# cmlt_11_2_ad64fb3a34f13a2e : 1

# cmlt_11_2_afed6c2e98e9db95 : 1 # cmlt_11_2_b15d6089faabfeb6 : 1

# cmlt_11_2_b8f0ba24732880a2 : 1

# cmlt_11_2_c1042e6cbf52704f : 1

# cmlt_11_2_c1a452200a369f05 : 1

# cmlt_11_2_c473908a1008a362 : 1

# cmlt_11_2_ce4fb6f0c1404e84 : 1

# cmlt_11_2_df1f89bf2e1d9b34 : 1

# cmlt_11_2_e39bf5f892b9d2bb : 1

# cmlt_11_2_e660458fe81f5d55 : 1

# cmlt_11_2_e9ca31a3eb8f57dd : 1

# cmlt_11_2_ec86768b7e117459 : 1

# cmlt_11_2_efa413f2be52c767 : 2

# cntr_11_0_761b5b8e2cd8f1c2 : 4

# dvd_4_0_1cc2b7efae0f1659 : 4

# mult_11_2_0d9df47653e8136c : 2

# mult_11_2_0e1566528d2aabad : 1

# mult_11_2_1cb5ed593dd6a805 : 6

# mult_11_2_3e45894281c05d4b : 2

# mult_11_2_46d1845c61547cd1 : 2

# mult_11_2_65354ed2a4562c5c : 2

# mult_11_2_6d75902f305ef739 : 2

# mult_11_2_8a7e2cb341e8d802 : 1

# mult_11_2_920f82b2d5199557 : 1

# mult_11_2_9565c340740bf724 : 1

# mult_11_2_b540eb527c25496d : 2 # TIMESPEC : 1

# xlpersistentdff : 1

Device utilization summary:

------

Selected Device : 7vx485tffg1761-2

Slice Logic Utilization:

Number of Slice Registers: 471 out of 607200 0%

Number of Slice LUTs: 376 out of 303600 0%

Number used as Logic: 376 out of 303600 0%

Slice Logic Distribution:

Number of LUT Flip Flop pairs used: 752

Number with an unused Flip Flop: 281 out of 752 37%

Number with an unused LUT: 376 out of 752 50%

Number of fully used LUT-FF pairs: 95 out of 752 12%

Number of unique control sets: 2

IO Utilization:

Number of IOs: 128

Number of bonded IOBs: 127 out of 700 18% Specific Feature Utilization:

Number of BUFG/BUFGCTRLs: 1 out of 32 3%

Number of DSP48E1s: 14 out of 2800 0%

------

Partition Resource Summary:

------

No Partitions were found in this design.

------

======

Timing Report

NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.

FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT

GENERATED AFTER PLACE-and-ROUTE.

Clock Information:

------

------+------+------+

Clock Signal | Clock buffer(FF name) | Load |

------+------+------+ clk | BUFGP | 471 |

------+------+------+

Asynchronous Control Signals Information:

------

No asynchronous control signals found in this design

Timing Summary:

------

Speed Grade: -2

Minimum period: 0.587ns (Maximum Frequency: 1703.433MHz)

Minimum input arrival time before clock: 2.411ns

Maximum output required time after clock: 0.587ns

Maximum combinational path delay: 8.475ns

======

Timing constraint: TS_clk_80753db4 = PERIOD TIMEGRP "clk_80753db4" 100 nS HIGH 50 nS

Clock period: 0.587ns (frequency: 1703.432MHz)

Total number of paths / destination ports: 96 / 96

Number of failed paths / ports: 0 (0.00%) / 0 (0.00%)

------

Slack: 99.413ns

Source: mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/integrator_ed1326b2 63/delay/srl_delay.synth_reg_srl_inst/partial_one.last_srl17e/reg_array[0].fde_used.u2 (FF) Destination: mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/x3_phase_to_dq_tra nsform_9ef544f709/sin_cos_a4ff007f0d/accumulator_a1fac56952/delay/srl_delay.synth_reg_srl_inst/p artial_one.last_srl17e/reg_array[0].fde_used.u2 (FF)

Data Path Delay: 0.587ns (Levels of Logic = 0)

Source Clock: clk rising at 0.000ns

Destination Clock: clk rising at 100.000ns

Data Path: mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/integrator_ed1326b2 63/delay/srl_delay.synth_reg_srl_inst/partial_one.last_srl17e/reg_array[0].fde_used.u2 (FF) to mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/x3_phase_to_dq_tra nsform_9ef544f709/sin_cos_a4ff007f0d/accumulator_a1fac56952/delay/srl_delay.synth_reg_srl_inst/p artial_one.last_srl17e/reg_array[0].fde_used.u2 (FF)

Gate Net

Cell:in->out fanout Delay Delay Logical Name (Net Name)

------

FDE:C->Q 3 0.236 0.351 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/integrator_ed1326b2 63/delay/srl_delay.synth_reg_srl_inst/partial_one.last_srl17e/reg_array[0].fde_used.u2 (mas_x0/delay_q_net_x19(0))

FDE:D -0.000 mas_x0/linear_induction_machine_model_without_the_end_effect_e87e9be879/x3_phase_to_dq_tra nsform_9ef544f709/sin_cos_a4ff007f0d/accumulator_a1fac56952/delay/srl_delay.synth_reg_srl_inst/p artial_one.last_srl17e/reg_array[0].fde_used.u2

------

Total 0.587ns (0.236ns logic, 0.351ns route)

(40.2% logic, 59.8% route)

======Cross Clock Domains Report:

------

Clock to Setup on destination clock clk

------+------+------+------+------+

| Src:Rise| Src:Fall| Src:Rise| Src:Fall|

Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|

------+------+------+------+------+ clk | 0.587| | | |

------+------+------+------+------+

======

Total REAL time to Xst completion: 17.00 secs

Total CPU time to Xst completion: 17.03 secs

-->

Total memory usage is 494396 kilobytes

Number of errors : 0 ( 0 filtered)

Number of warnings : 1435 ( 0 filtered)

Number of infos : 93 ( 0 filtered)