Crusoe Power Management

Total Page:16

File Type:pdf, Size:1020Kb

Crusoe Power Management CrusoeCrusoe PoPowerwer Management:Management: CuttingCutting x86x86 OperatingOperating PowerPower ThroughThrough LongRunLongRun MarcMarc FleischmannFleischmann Director,Director, LowLow PowerPower ProgramsPrograms TransmetaTransmeta CorporationCorporation Crusoe, LongRun and Code Morphing are trademarks of Transmeta Corp. Pentium, Pentium Pro, Pentium II and Pentium III 12th Hot Chips Symposium - August 15, 2000 are registered trademarks of Intel Corp. 1 OverviewOverview u Key Challenges for Mobile Computing u “Portability” (weight) and “Ease of Use” (battery life) u Power consumption is the key limiting factor u Solution - Crusoe Processor u Full compatibility with x86 power management model u Significantly lower power u LongRun u Transmeta’s new invention to drive power savings Ø Adaptive Power Control (performance on demand) Ø Advanced Thermal Control (thermal budget expansion) 12th Hot Chips Symposium - August 15, 2000 2 PoPowerwer DensityDensity TheThe FundamentalFundamental ProblemProblem 1000 Not too long to reach W/cm2 Nuclear Reactor 100 Surpassed Pentium III® Hot Plate Pentium II® 10 Pentium Pro® Pentium® i386 i486 1 1.5µ 1µ 0.7µ 0.5µ 0.35µ 0.25µ 0.18µ 0.13µ 0.1µ 0.07µ “Time” Source: Fred Pollack, Intel. New Microprocessor Challenges in the Coming Generations of CMOS Technologies, Micro32 12th Hot Chips Symposium - August 15, 2000 3 X86X86 PowerPower ManagementManagement StatesStates AA QuickQuick PrimerPrimer ACPI Definition Mobile x86 Mobile x86 Power States Solution Processor Advanced Communication and 650 / 500 MHz Power Interface Specification 1.6 / 1.35 V Normal (C0) • The CPU is actively executing instructions. 14.0 / 8.0 W AutoHALT (C1) • CPU executes a low power instruction (x86: HLT). 1.7 / 1.1 W • CPU kills internal clocks (driven by South Bridge Quick Start (C2) via STPCLK#). 1.3 / 0.8 W • CPU maintains cache coherence (caches must be snooping). • South Bridge kills external clock input to the CPU. • Deep Sleep (C3) Maximum power savings w/o losing CPU context. 0.5 / 0.3 W • System enforces cache coherence (caches don’t need to snoop). 12th Hot Chips Symposium - August 15, 2000 4 TheThe SolutionSolution -- IncreaseIncrease EfficiencyEfficiency × 22 × PPowerower == CCapacitanceapacitance ×VVoltageoltage ×FFrequencyrequency u Transmeta Innovation - Code Morphing Software (CMS) u Effect - Replace Millions of Logic Transistors with Software u … and transistors translate into capacitance u Benefit - Significantly Reduces Power Consumption of x86 Power States 12th Hot Chips Symposium - August 15, 2000 5 LongRunLongRun AdaptiveAdaptive PowerPower ControlControl MaximizeMaximize BatteryBattery LifeLife WithWith PerformancePerformance onon DemandDemand 2 ×× 2 ×× Watt 133 MHz, 3.3 V Power = c v f 6.0 Power = c v f 125 MHz, 2.5 V 633 MHz, 1.6 V 5.0 u Dynamically adapt both 4.0 frequency and voltage to Linear: I/O (DDR, SDRAM) performance demands 3.0 u Mechanisms in hardware 2.0 Ø Cubic: Fully programmable 1.0 Core + Northbridge u Policies in CMS 0.0 Normal 12.5% 25.0% 37.5% 50.0% 62.5% 75.0% 87.5% u Adapt f to demand SDR 0.5 0.4 0.4 0.3 0.2 0.2 0.2 0.2 u Reduce v proportionally DDR 0.5 0.4 0.4 0.3 0.3 0.3 0.3 0.3 Core+NB 5.5 3.8 2.3 1.7 1.3 1.0 1.0 1.0 Ø Cubic power savings! 12th Hot Chips Symposium - August 15, 2000 6 LongRunLongRun AdaptiveAdaptive PowerPower ControlControl vsvs.. TraditionalTraditional PowerPower ManagementManagement 633 MHz, 1.6 V 6 Crusoe TM5400 5 Power [W] 4 3 LongRun Normal ⇔⇔ Sleep alternation 2 300 MHz, 1.2 V 1 0 Normal 12.5% 25.0% 37.5% 50.0% 62.5% 75.0% 87.5% C3 Idle Time LongRun 6.0 4.2 2.7 2.0 1.6 1.4 1.4 1.4 1.4 Power C3 6.0 5.3 4.5 3.8 3.0 2.3 1.5 0.8 0.0 Notes 1 Power numbers include Northbridge 2 DDR-only configuration 12th Hot Chips Symposium - August 15, 2000 7 LongRunLongRun AdaptiveAdaptive PowerPower ControlControl CrusoeCrusoePowerPower ProfileProfile 6 Crusoe TM5400 5 Power [W] 4 3 LongRun 2 Normal ⇔⇔ Sleep alternation 1 0 Normal 12.5% 25.0% 37.5% 50.0% 62.5% 75.0% 87.5% C3 Idle Time TM5400 6.0 4.2 2.7 2.0 1.6 1.2 0.8 0.4 0.0 Power Notes 1 Power numbers include Northbridge 2 DDR-only configuration 12th Hot Chips Symposium - August 15, 2000 8 TheThe LongRunLongRun EffectEffect PowerPower ProfilesProfiles 16 A/C 650 MHz, 1.6 V 14 Conventional Multimedia (DVD) 12 Power Mobile x86 10 Battery > 4x [W] 500 MHz, 1.35 V 8 633 MHz, 1.6 V 6 4 300 MHz, 1.2 V Crusoe 2 TM5400 0 Normal 12.5% 25.0% 37.5% 50.0% 62.5% 75.0% 87.5% C3 TM5400+NB 6.0 4.2 2.7 2.0 1.6 1.2 0.8 0.4 0.0 A/C 16.0 14.2 12.4 10.6 8.8 6.9 5.1 3.3 1.5 Battery 10.0 8.6 7.2 5.8 4.3 2.9 1.5 Notes 1 Power numbers include Northbridge 2 DDR-only configuration 12th Hot Chips Symposium - August 15, 2000 9 SystemSystem ArchitectureArchitecture StandardStandard ApplicationsApplications NoNo changes changes required required Closed loop StandardStandard OperatingOperating SystemSystem NoNo changes changes required required Closed loop StandardStandard BIOSBIOS NoNo changes changes required required Closed loop CrusoeCrusoeTM5400TM5400 processorprocessor featuringfeaturing TransmetaTransmeta LongRunLongRuntechnologytechnology CodeCode Morphing Morphing software software monitors monitors system system activity activity and and dynamicallydynamically adapts adapts LongRun LongRun performance performance levels levels 12th Hot Chips Symposium - August 15, 2000 10 PerformancePerformance onon DemandDemand DutyDuty CycleCycle ððEffectiveEffective PerformancePerformance LevelLevel Performance 630 MHz LongRun: < 50% frequency reduction 330 MHz Sleep 50% duty cycle Residual Sleep states Power 6.0 W LongRun: > 50% power reduction 1.5 W 50mW 40mW Normal Sleep LongRun: Low voltage Sleep 12th Hot Chips Symposium - August 15, 2000 11 TransitionTransition DynamicsDynamics FastFast Frequency/VoltageFrequency/Voltage ScalingScaling Frequency 566 MHz 500 MHz Pseudo Deep Sleep: < 20 µ s Voltage 1.5 V 1.3 V ~ 20 µ s per step 0 µ s 100 µ s Time CMS/LongRun LongRun scales voltage asynchronously policy decision Stepping: > 1.3 V: 50mV, < 1.3 V: 25 mV; max. ramping time: < 300 µ s (1.6V to 1.1V) Crusoe resumes x86 execution LongRun trips clock change 12th Hot Chips Symposium - August 15, 2000 12 TransitionTransition DetailsDetails VoltageVoltage ScalingScaling u TM5400 Core Voltage is Fully Voltage Under Software Control 1.5 V u CMS directly controls voltage regulator pins (via internal processor register) 1.3 V u OEM configurable Interrupt-driven voltage regulator programming Ø CPU output pin/voltage mapping Ø Voltage settling interval Voltage u CMS Schedules Interrupts to Select Asynchronously Ramp Voltage VoltageVoltage RegulatorRegulator u Allows sustained x86 forward progress during voltage ramping Core Voltage 12th Hot Chips Symposium - August 15, 2000 13 TransitionTransition DetailsDetails FrequencyFrequency ScalingScaling --Establish/commitEstablish/commit controlcontrol ClockClock Control Control ShadowShadow Core: 400 [MHz] Clock Control PSR Core: 400 [MHz] Clock Control PCI:PCI: 3333 [MHz] [MHz] Core:Core: 400400 [MHz] [MHz] Data To PLL DDR:DDR: 100100 [MHz] [MHz] PCI:PCI: 3333 [MHz] [MHz] SDR:SDR: 6666 [MHz] [MHz] DDR:DDR: 100100 [MHz] [MHz] Commit:Commit: 00 SDR:SDR: 6666 [MHz] [MHz] EnableEnable EnableEnable PLLPLL Counter Counter Wake event PSR Master Control Data Master Control Global Clock StopCore: 0 Control Logic StopCore: 0 Enable EnableEnable 12th Hot Chips Symposium - August 15, 2000 14 ProgrammingProgramming InterfaceInterface ProcessorProcessor andand NorthbridgeNorthbridge Adaptive Power Control Advanced Thermal Control CPU interface Northbridge interface CPUID 8086 0001h Function 0, Register A8h EDX:0 LongRun supported Bit 4 Thermal Management ECX Nominal core frequency enabled CPUID 8086 0007h Bit 1:3 Power reduction level EAX Current core frequency Bits Mode 000 Reserved EBX Current core voltage 001 Reserved ECX Current performance 010 75.0% percentage 011 62.5% 100 50.0% MSR 8086 8010h 101 37.5% 110 25.0% EDX Upper boundary 111 12.5% (% of max. performance) EAX Lower boundary Bit 0 LongRun supported (% of max. performance) 12th Hot Chips Symposium - August 15, 2000 15 EnergyEnergy EfficiencyEfficiency SuperiorSuperior PerformancePerformance inin SmallSmall FormForm FactorsFactors 60 CPUmark99 Cooling Barrier Passive Active (fan) A/C 50 Crusoe All-Day Battery 40 TM5600 Computing 30 TM5400 Conventional Mobile x86 20 10 0 1 3 56 7 9 11 13 15 17 Power [W] 12th Hot Chips Symposium - August 15, 2000 16 TheThe LongRunLongRun AdvantageAdvantage DVDDVD PlaybackPlayback --PerformancePerformance onon DemandDemand 12th Hot Chips Symposium - August 15, 2000 17 PoPowerwer ComparisonComparison SubstantialSubstantial PowerPower Reduction,Reduction, DeliveredDelivered byby CrusoeCrusoe Conventional Crusoe TM5400 Mobile x86 Solution Integrated North Bridge Processor North Total LongRun 650 / 500 MHz Bridge 650 / 500 MHz 633 ó 300 MHz ó 1.6 / 1.35 V 3.3 V 1.6 / 1.35 V 1.6 1.2 V Normal (C0) 14.0 / 8.0 2.0 16.0 / 10.0 6.5 ó 1.5 Watts AutoHALT (C1) 1.7 / 1.1 2.0 3.7 / 3.1 0.9 ó 0.3 Watts Quick Start (C2) 1.3 / 0.8 2.0 3.3 / 2.8 0.6 ó 0.2 Watts Deep Sleep (C3) 0.5 / 0.3 ~1.0 1.5 / 1.3 0.05 ó 0.05 Watts u Crusoe plays Soft-DVD at the same power that conventional mobile x86 processors use in Deep Sleep! 12th Hot Chips Symposium - August 15, 2000 18 TheThe LongRunLongRun AdvantageAdvantage DVDDVD PlaybackPlayback --ThermalThermal ComparisonComparison Conventional Mobile x86 Crusoe TM5400 Processor Processor with LongRun 105.5º C 221.9º F 48.2º C 118.8º F Active thermal solution required Passive thermal solution (Fan or overload protection) (No fan or overload protection) 12th Hot Chips Symposium - August 15, 2000 19 SummarySummary u Crusoe Supports the x86 Power Management Model with Significantly Reduced Power Consumption u Sleep: 4× (C1) - 30× (C3) power savings u Crusoe Leverages Code Morphing Software to Drive Performance on Demand - LongRun u Normal: 2× - 10× power savings u Crusoe Leverages LongRun to Expand the Thermal Budget u Crusoe’s Innovative Low-Power Technology Portfolio u Enables a whole new class of battery-powered devices u The full PC and Internet experience - Anywhere and Anytime 12th Hot Chips Symposium - August 15, 2000 20 12th Hot Chips Symposium - August 15, 2000 21.
Recommended publications
  • Inside Intel® Core™ Microarchitecture Setting New Standards for Energy-Efficient Performance
    White Paper Inside Intel® Core™ Microarchitecture Setting New Standards for Energy-Efficient Performance Ofri Wechsler Intel Fellow, Mobility Group Director, Mobility Microprocessor Architecture Intel Corporation White Paper Inside Intel®Core™ Microarchitecture Introduction Introduction 2 The Intel® Core™ microarchitecture is a new foundation for Intel®Core™ Microarchitecture Design Goals 3 Intel® architecture-based desktop, mobile, and mainstream server multi-core processors. This state-of-the-art multi-core optimized Delivering Energy-Efficient Performance 4 and power-efficient microarchitecture is designed to deliver Intel®Core™ Microarchitecture Innovations 5 increased performance and performance-per-watt—thus increasing Intel® Wide Dynamic Execution 6 overall energy efficiency. This new microarchitecture extends the energy efficient philosophy first delivered in Intel's mobile Intel® Intelligent Power Capability 8 microarchitecture found in the Intel® Pentium® M processor, and Intel® Advanced Smart Cache 8 greatly enhances it with many new and leading edge microar- Intel® Smart Memory Access 9 chitectural innovations as well as existing Intel NetBurst® microarchitecture features. What’s more, it incorporates many Intel® Advanced Digital Media Boost 10 new and significant innovations designed to optimize the Intel®Core™ Microarchitecture and Software 11 power, performance, and scalability of multi-core processors. Summary 12 The Intel Core microarchitecture shows Intel’s continued Learn More 12 innovation by delivering both greater energy efficiency Author Biographies 12 and compute capability required for the new workloads and usage models now making their way across computing. With its higher performance and low power, the new Intel Core microarchitecture will be the basis for many new solutions and form factors. In the home, these include higher performing, ultra-quiet, sleek and low-power computer designs, and new advances in more sophisticated, user-friendly entertainment systems.
    [Show full text]
  • Green Destiny: a 240-Node Compute Cluster in One Cubic Meter
    Green Destiny: A 240-Node Compute Cluster in One Cubic Meter Wu-chun (Wu) Feng Research & Development in Advanced Network Technology (RADIANT) Computer & Computational Sciences Division Los Alamos National Laboratory LA-UR 02-6127 Outline Where is Supercomputing? Architectures from the Top 500. Evaluating Supercomputers Metrics: Performance & Price/Performance An Alternative Flavor of Supercomputing Supercomputing in Small Spaces Æ Bladed Beowulf Architecture of a Bladed Beowulf Performance Metrics Benchmark Results Discussion & Status Conclusion Acknowledgements & Media Coverage Wu-chun Feng http://www.lanl.gov/radiant [email protected] http://sss.lanl.gov Flavors of Supercomputing (Picture Source: Thomas Sterling, Caltech & NASA JPL) Wu-chun Feng http://www.lanl.gov/radiant [email protected] http://sss.lanl.gov 500 400 SIMD Architectures from the 300 Top 500 Supercomputer List 200 100 0 ProcessorSingle Wu-chun Feng [email protected] Jun-93 Nov-93 Jun-94 MPP Nov-94 Jun-95 Nov-95 Jun-96 Constellation SMP Nov-96 Cluster Jun-97 http://www.lanl.gov/radiant Nov-97 http://sss.lanl.gov Jun-98 Nov-98 Jun-99 Nov-99 Jun-00 Nov-00 Jun-01 Nov-01 Jun-02 Metrics for Evaluating Supercomputers Performance Metric: Floating-Operations Per Second (FLOPS) Example: Japanese Earth Simulator Price/Performance Æ Cost Efficiency Metric: Cost / FLOPS Examples: SuperMike, GRAPE-5, Avalon. Wu-chun Feng http://www.lanl.gov/radiant [email protected] http://sss.lanl.gov Performance (At Any Cost) Japanese Earth Simulator ($400M) Performance Price/Perf Peak 40.00 Tflop $10.00/Mflop Linpack 35.86 Tflop $11.15/Mflop n-Body 29.50 Tflop $13.56/Mflop Climate 26.58 Tflop $15.05/Mflop Turbulence 16.40 Tflop $24.39/Mflop Fusion 14.90 Tflop $26.85/Mflop Wu-chun Feng http://www.lanl.gov/radiant [email protected] http://sss.lanl.gov Price/Performance Cost Efficiency LSU’s SuperMike Performance Price/Perf (2002: $2.8M) Linpack 2210 Gflops $1.27/Mflop U.
    [Show full text]
  • Pentium II Processor Performance Brief
    PentiumÒ II Processor Performance Brief January 1998 Order Number: 243336-004 Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel or otherwise, to any intellectual property rights is granted by this document. Except as provided in Intel’s Terms and Conditions of Sale for such products, Intel assumes no liability whatsoever, and Intel disclaims any express or implied warranty, relating to sale and/or use of Intel products including liability or warranties relating to fitness for a particular purpose, merchantability, or infringement of any patent, copyright or other intellectual property right. Intel products are not intended for use in medical, life saving, or life sustaining applications. Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or characteristics of any features or instructions marked "reserved" or "undefined." Intel reserves these for future definition and shall have no responsibility whatsoever for conflicts or incompatibilities arising from future changes to them. The Pentium® II processor may contain design defects or errors known as errata. Current characterized errata are available on request. MPEG is an international standard for video compression/decompression promoted by ISO. Implementations of MPEG CODECs, or MPEG enabled platforms may require licenses from various entities, including Intel Corporation. Contact your local Intel sales office or your distributor to obtain the latest specifications and before placing your product order. Copies of documents which have an ordering number and are referenced in this document, or other Intel literature, may be obtained from by calling 1-800-548-4725 or by visiting Intel’s website at http://www.intel.com.
    [Show full text]
  • Intel Architecture Optimization Manual
    Intel Architecture Optimization Manual Order Number 242816-003 1997 5/5/97 11:38 AM FRONT.DOC Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel or otherwise, to any intellectual property rights is granted by this document. Except as provided in Intel's Terms and Conditions of Sale for such products, Intel assumes no liability whatsoever, and Intel disclaims any express or implied warranty, relating to sale and/or use of Intel products including liability or warranties relating to fitness for a particular purpose, merchantability, or infringement of any patent, copyright or other intellectual property right. Intel products are not intended for use in medical, life saving, or life sustaining applications. Intel may make changes to specifications and product descriptions at any time, without notice. Designers must not rely on the absence or characteristics of any features or instructions marked "reserved" or "undefined." Intel reserves these for future definition and shall have no responsibility whatsoever for conflicts or incompatibilities arising from future changes to them. The Pentium®, Pentium Pro and Pentium II processors may contain design defects or errors known as errata which may cause the product to deviate from published specifications. Such errata are not covered by Intel’s warranty. Current characterized errata are available on request. Contact your local Intel sales office or your distributor to obtain the latest specifications before placing your product order. Copies of documents which have an ordering number and are referenced in this document, or other Intel literature, may be obtained from: Intel Corporation P.O.
    [Show full text]
  • Power Management 24
    Power Management 24 The embedded Pentium® processor family implements Intel’s System Management Mode (SMM) architecture. This chapter describes the hardware interface to SMM and Clock Control. 24.1 Power Management Features • System Management Interrupt can be delivered through the SMI# signal or through the local APIC using the SMI# message, which enhances the SMI interface, and provides for SMI delivery in APIC-based Pentium processor dual processing systems. • In dual processing systems, SMIACT# from the bus master (MRM) behaves differently than in uniprocessor systems. If the LRM processor is the processor in SMM mode, SMIACT# will be inactive and remain so until that processor becomes the MRM. • The Pentium processor is capable of supporting an SMM I/O instruction restart. This feature is automatically disabled following RESET. To enable the I/O instruction restart feature, set bit 9 of the TR12 register to “1”. • The Pentium processor default SMM revision identifier has a value of 2 when the SMM I/O instruction restart feature is enabled. • SMI# is NOT recognized by the processor in the shutdown state. 24.2 System Management Interrupt Processing The system interrupts the normal program execution and invokes SMM by generating a System Management Interrupt (SMI#) to the processor. The processor will service the SMI# by executing the following sequence. See Figure 24-1. 1. Wait for all pending bus cycles to complete and EWBE# to go active. 2. The processor asserts the SMIACT# signal while in SMM indicating to the system that it should enable the SMRAM. 3. The processor saves its state (context) to SMRAM, starting at address location SMBASE + 0FFFFH, proceeding downward in a stack-like fashion.
    [Show full text]
  • Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc
    Power Management Using FPGA Architectural Features Abu Eghan, Principal Engineer Xilinx Inc. Agenda • Introduction – Impact of Technology Node Adoption – Programmability & FPGA Expanding Application Space – Review of FPGA Power characteristics • Areas for power consideration – Architecture Features, Silicon design & Fabrication – now and future – Power & Package choices – Software & Implementation of Features – The end-user choices & Enablers • Thermal Management – Enabling tools • Summary Slide 2 2008 MEPTEC Symposium “The Heat is On” Abu Eghan, Xilinx Inc Technology Node Adoption in FPGA • New Tech. node Adoption & level of integration: – Opportunities – at 90nm, 65nm and beyond. FPGAs at leading edge of node adoption. • More Programmable logic Arrays • Higher clock speeds capability and higher performance • Increased adoption of Embedded Blocks: Processors, SERDES, BRAMs, DCM, Xtreme DSP, Ethernet MAC etc – Impact – general and may not be unique to FPGA • Increased need to manage leakage current and static power • Heat flux (watts/cm2) trend is generally up and can be non-uniform. • Potentially higher dynamic power as transistor counts soar. • Power Challenges -- Shared with Industry – Reliability limitation & lower operating temperatures – Performance & Cost Trade-offs – Lower thermal budgets – Battery Life expectancy challenges Slide 3 2008 MEPTEC Symposium “The Heat is On” Abu Eghan, Xilinx Inc FPGA-101: FPGA Terms • FPGA – Field Programmable Gate Arrays • Configurable Logic Blocks – used to implement a wide range of arbitrary digital
    [Show full text]
  • Service Manual
    , , , , , www.sonyweb.co.uk , www.sonyweb.co.uk , , www.sonyweb.co.uk , www.sonyweb.co.uk , , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , SERVICE MANUAL www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk Ver 1-2002J www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk www.sonyweb.co.uk , , Revision History www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , PCG-GRV550 www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , , Lineup : PCG-GRV550 www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk For American Area www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk , www.sonyweb.co.uk www.sonyweb.co.uk , www.sonyweb.co.uk
    [Show full text]
  • Clock Gating for Power Optimization in ASIC Design Cycle: Theory & Practice
    Clock Gating for Power Optimization in ASIC Design Cycle: Theory & Practice Jairam S, Madhusudan Rao, Jithendra Srinivas, Parimala Vishwanath, Udayakumar H, Jagdish Rao SoC Center of Excellence, Texas Instruments, India (sjairam, bgm-rao, jithendra, pari, uday, j-rao) @ti.com 1 AGENDA • Introduction • Combinational Clock Gating – State of the art – Open problems • Sequential Clock Gating – State of the art – Open problems • Clock Power Analysis and Estimation • Clock Gating In Design Flows JS/BGM – ISLPED08 2 AGENDA • Introduction • Combinational Clock Gating – State of the art – Open problems • Sequential Clock Gating – State of the art – Open problems • Clock Power Analysis and Estimation • Clock Gating In Design Flows JS/BGM – ISLPED08 3 Clock Gating Overview JS/BGM – ISLPED08 4 Clock Gating Overview • System level gating: Turn off entire block disabling all functionality. • Conditions for disabling identified by the designer JS/BGM – ISLPED08 4 Clock Gating Overview • System level gating: Turn off entire block disabling all functionality. • Conditions for disabling identified by the designer • Suspend clocks selectively • No change to functionality • Specific to circuit structure • Possible to automate gating at RTL or gate-level JS/BGM – ISLPED08 4 Clock Network Power JS/BGM – ISLPED08 5 Clock Network Power • Clock network power consists of JS/BGM – ISLPED08 5 Clock Network Power • Clock network power consists of – Clock Tree Buffer Power JS/BGM – ISLPED08 5 Clock Network Power • Clock network power consists of – Clock Tree Buffer
    [Show full text]
  • Memorandum in Opposition to Hewlett-Packard Company's Motion to Quash Intel's Subpoena Duces Tecum
    ORIGINAL UNITED STATES OF AMERICA BEFORE THE FEDERAL TRADE COMMISSION ) In the Matter of ) ) DOCKET NO. 9341 INTEL. CORPORATION, ) a corporation ) PUBLIC ) .' ) MEMORANDUM IN OPPOSITION TO HEWLETT -PACKARD COMPANY'S MOTION TO QUASH INTEL'S SUBPOENA DUCES TECUM Intel Corporation ("Intel") submits this memorandum in opposition to Hewlett-Packard Company's ("HP") motion to quash Intel's subpoena duces tecum issued on March 11,2010 ("Subpoena"). HP's motion should be denied, and it should be ordered to comply with Intel's Subpoena, as narrowed by Intel's April 19,2010 letter. Intel's Subpoena seeks documents necessary to defend against Complaint Counsel's broad allegations and claimed relief. The Complaint alleges that Intel engaged in unfair business practices that maintained its monopoly over central processing units ("CPUs") and threatened to give it a monopoly over graphics processing units ("GPUs"). See CompI. iiii 2-28. Complaint Counsel's Interrogatory Answers state that it views HP, the world's largest manufacturer of personal computers, as a centerpiece of its case. See, e.g., Complaint Counsel's Resp. and Obj. to Respondent's First Set ofInterrogatories Nos. 7-8 (attached as Exhibit A). Complaint Counsel intends to call eight HP witnesses at trial on topics crossing virtually all of HP' s business lines, including its purchases ofCPUs for its commercial desktop, commercial notebook, and server businesses. See Complaint Counsel's May 5, 2010 Revised Preliminary Witness List (attached as Exhibit B). Complaint Counsel may also call HP witnesses on other topics, including its PUBLIC FTC Docket No. 9341 Memorandum in Opposition to Hewlett-Packard Company's Motion to Quash Intel's Subpoena Duces Tecum USIDOCS 7544743\'1 assessment and purchases of GPUs and chipsets and evaluation of compilers, benchmarks, interface standards, and standard-setting bodies.
    [Show full text]
  • Computer Architecture Techniques for Power-Efficiency
    MOCL005-FM MOCL005-FM.cls June 27, 2008 8:35 COMPUTER ARCHITECTURE TECHNIQUES FOR POWER-EFFICIENCY i MOCL005-FM MOCL005-FM.cls June 27, 2008 8:35 ii MOCL005-FM MOCL005-FM.cls June 27, 2008 8:35 iii Synthesis Lectures on Computer Architecture Editor Mark D. Hill, University of Wisconsin, Madison Synthesis Lectures on Computer Architecture publishes 50 to 150 page publications on topics pertaining to the science and art of designing, analyzing, selecting and interconnecting hardware components to create computers that meet functional, performance and cost goals. Computer Architecture Techniques for Power-Efficiency Stefanos Kaxiras and Margaret Martonosi 2008 Chip Mutiprocessor Architecture: Techniques to Improve Throughput and Latency Kunle Olukotun, Lance Hammond, James Laudon 2007 Transactional Memory James R. Larus, Ravi Rajwar 2007 Quantum Computing for Computer Architects Tzvetan S. Metodi, Frederic T. Chong 2006 MOCL005-FM MOCL005-FM.cls June 27, 2008 8:35 Copyright © 2008 by Morgan & Claypool All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means—electronic, mechanical, photocopy, recording, or any other except for brief quotations in printed reviews, without the prior permission of the publisher. Computer Architecture Techniques for Power-Efficiency Stefanos Kaxiras and Margaret Martonosi www.morganclaypool.com ISBN: 9781598292084 paper ISBN: 9781598292091 ebook DOI: 10.2200/S00119ED1V01Y200805CAC004 A Publication in the Morgan & Claypool Publishers
    [Show full text]
  • The Technology Behind Crusoe™ Processors
    The Technology Behind Crusoe™ Processors Low-power x86-Compatible Processors Implemented with Code Morphing™ Software Alexander Klaiber Transmeta Corporation January 2000 The Technology Behind Crusoe™ Processors Property of: Transmeta Corporation 3940 Freedom Circle Santa Clara, CA 95054 USA (408) 919-3000 http://www.transmeta.com The information contained in this document is provided solely for use in connection with Transmeta products, and Transmeta reserves all rights in and to such information and the products discussed herein. This document should not be construed as transferring or granting a license to any intellectual property rights, whether express, implied, arising through estoppel or otherwise. Except as may be agreed in writing by Transmeta, all Transmeta products are provided “as is” and without a warranty of any kind, and Transmeta hereby disclaims all warranties, express or implied, relating to Transmeta’s products, including, but not limited to, the implied warranties of merchantability, fitness for a particular purpose and non-infringement of third party intellectual property. Transmeta products may contain design defects or errors which may cause the products to deviate from published specifications, and Transmeta documents may contain inaccurate information. Transmeta makes no representations or warranties with respect to the accuracy or completeness of the information contained in this document, and Transmeta reserves the right to change product descriptions and product specifications at any time, without notice. Transmeta products have not been designed, tested, or manufactured for use in any application where failure, malfunction, or inaccuracy carries a risk of death, bodily injury, or damage to tangible property, including, but not limited to, use in factory control systems, medical devices or facilities, nuclear facilities, aircraft, watercraft or automobile navigation or communication, emergency systems, or other applications with a similar degree of potential hazard.
    [Show full text]
  • USCOURTS-Ca9-09-35307-1.Pdf
    Case: 09-35307 01/18/2011 ID: 7614842 DktEntry: 67 Page: 1 of 67 FOR PUBLICATION UNITED STATES COURT OF APPEALS FOR THE NINTH CIRCUIT VANESSA SIMMONDS, Plaintiff-Appellant, v. CREDIT SUISSE SECURITIES (USA) LLC; JPMORGAN CHASE & CO., a Delaware corporation, successor in interest to Hambrecht & Quist and Chase Securities Inc.; BANK OF No. 09-35262 AMERICA CORPORATION, a Delaware D.C. No. 2:07-cv- corporation, successor in interest 01549-JLR to Fleetboston Robertson Stephens, Inc.; ONVIA INC., a Delaware corporation formerly known as Onvia.com Inc.; ROBERTSON STEPHENS, INC.; J.P. MORGAN SECURITIES INC., Defendants-Appellees. In Re: SECTION 16(b) LITIGATION 821 Case: 09-35307 01/18/2011 ID: 7614842 DktEntry: 67 Page: 2 of 67 822 SIMMONDS v. CREDIT SUISSE SECURITIES VANESSA SIMMONDS, Plaintiff-Appellant, v. DEUTSCHE BANK SECURITIES INC.; FOUNDRY NETWORKS INC., Nominal No. 09-35280 Defendant, a Delaware D.C. Nos. corporation; MERRILL LYNCH 2:07-cv-01566-JLR PIERCE FENNER & SMITH 2:07-cv-01549-JLR INCORPORATED; J.P. MORGAN SECURITIES INC., Defendants-Appellees. In Re: SECTION 16(b) LITIGATION VANESSA SIMMONDS, Plaintiff-Appellant, v. MERRILL LYNCH & CO. INC., Defendant, and No. 09-35282 D.C. Nos. FINISAR CORPORATION, Nominal Defendant, a Delaware 2:07-cv-01567-JLR 2:07-cv-01549-JLR corporation; MERRILL LYNCH PIERCE FENNER & SMITH INCORPORATED; J.P. MORGAN SECURITIES INC., Defendants-Appellees. In Re: SECTION 16(b) LITIGATION Case: 09-35307 01/18/2011 ID: 7614842 DktEntry: 67 Page: 3 of 67 SIMMONDS v. CREDIT SUISSE SECURITIES 823 VANESSA SIMMONDS, Plaintiff-Appellant, v. MORGAN STANLEY & CO., No. 09-35285 INCORPORATED; LEHMAN BROTHERS, D.C.
    [Show full text]