Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Von der Fakultät für Elektrotechnik und Informationstechnik der Technischen Universität Chemnitz

genehmigte

Dissertation

zur Erlangung des akademischen Grades

Doktoringenieur (Dr.-Ing.)

vorgelegt

von M.Sc. Dileep Dhakal

geboren am 24. Februar 1986 in Dhading, Nepal

Tag der Einreichung 12. Mai 2016 Gutachter Prof. Dr. Stefan E. Schulz Prof. Dr. Heinrich Lang Tag der Verteidigung 16. Dezember 2016

Bibliographic Description

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition

Dhakal, Dileep – 171 Pages, 52 Figures, 34 Tables, 192 References Technische Universität Chemnitz, Faculty of Electrical Engineering and Information Technology Dissertation (in English language), 2016

Abstract Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub-4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture n consisting of 99 mol% [( Bu3P)2Cu(acac)], as copper precursor and 1 mol% of 5 5 Ru(η -C7H11)(η -C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru-doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2.

Keywords Atomic Layer Deposition (ALD), Cuprous Oxide (Cu2O), Copper, Ruthenium, Surface chemistry, X-ray photoelectron spectroscopy (XPS), Low energy ion scattering (LEIS), and Atomic force microscopy (AFM).

2

Referat Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungs-verfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer-ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine n Präkursormischung bestehend aus 99 mol% [( Bu3P)2Cu(acac)] und 1 mol% 5 5 [Ru(η -C7H11)(η -C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.

Stichworte Atomlagenabscheidung (ALD), Kupferoxid (Cu2O), Kupfer, Ruthenium, Öberflächenchemie, Röntgenphotoelektronspektroskopie (XPS), Niederenergetische Ionenstreuspektroskopie (LEIS) und Rasterkraftmikroskopie (AFM).

3

Table of Contents

Table of Contents

Bibliographic Description ...... 2 List of Acronyms and Symbols ...... 10 Vorwort ...... 15 Chapter 1 Introduction ...... 17 Chapter 2 Atomic Layer Deposition – Introduction, Applications, and Challenges ...... 35 2.1 Introduction ...... 35 2.2 Applications ...... 42 2.2.1 ALD of oxides ...... 43

2.2.2 ALD of nitrides ...... 44

2.2.3 ALD of metals ...... 45

2.3 ALD of metallic Cu and its challenges ...... 45 2.3.1 In-situ monitoring of the thin film growth ...... 51

2.3.2 Low temperature ALD of Cu ...... 52

Chapter 3 Experimental and Measurement Techniques ...... 55 3.1 Precursor considered ...... 55 3.2 In-situ growth monitoring system and the ALD reactor ...... 56 3.3 In-situ investigation by XPS ...... 60 3.4 Ex-situ investigations ...... 69 3.4.1 Film thickness and GPC measurement by spectroscopic ellipsometry (SE) ..... 69

3.4.2 Study of the continuity of a film by LEIS ...... 71

3.4.3 Study of the surface roughness of a film by SEM and AFM ...... 71

3.4.4 4-point probe resistivity measurement ...... 72

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the In-situ XPS ...... 73 4.1 Experiments ...... 74 4.2 Results and discussions ...... 77

4.2.1 Characterization of the SiO2 and Co substrates ...... 77

4

Table of Contents

4.2.2 Influence of the Vapbox temperature studied on SiO2 substrate ...... 78

4.2.3 Influence of the substrate temperature studied on SiO2 substrate ...... 79

4.2.4 Influence of the substrate temperature studied on Co substrate ...... 83

4.3 Summary ...... 87 Chapter 5 Direct Thermal ALD of Cu on Co Substrate...... 89 5.1 Experiments ...... 89 5.2 Results and discussions ...... 90 5.2.1 In-situ XPS investigations ...... 90

5.2.2 In-situ growth monitoring ...... 93

5.2.3 Study of the continuity and surface roughness ...... 95

5.3 Summary ...... 96

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD ...... 97 6.1 Experiments ...... 97 6.2 Results and discussions ...... 98

6.2.1 ALD of Cu2O on SiO2 substrate ...... 98

6.2.2 ALD of Cu2O on Co substrate ...... 103

6.2.3 Study of the film thickness and GPC ...... 106

6.2.4 Study of the continuity and surface roughness ...... 107

6.3 Summary ...... 110

Chapter 7 Reduction of Ru-doped Cu2O to Cu ...... 112 7.1 Experiments ...... 112 7.2 Results and discussions ...... 113

7.2.1 Effect of reduction temperature for HCOOH treatment on SiO2 substrate ...... 113

7.2.2 Effect of reduction temperature for CO treatment on SiO2 substrate ...... 116

7.2.3 Effect of Cu2O film thickness for HCOOH or CO treatment on SiO2 substrate118

7.2.4 Study of the HCOOH treatment on Co substrate ...... 120

7.2.5 Study of the film thickness, continuity, and surface roughness of the Cu layers

on SiO2 ...... 121 7.3 Summary ...... 126

5

Table of Contents

Chapter 8 Conclusion and Outlook ...... 127 Appendix ...... 138 Bibliography ...... 140 List of Figures ...... 157 List of Tables...... 163 Theses ...... 166 Biography ...... 169 Own Publications ...... 170

6

Table of Contents

Inhaltsverzeichnis

Bibliographische Beschreibung ...... 2 Liste der Abkürzungen und Symbole ...... 10 Vorwort ...... 15 Kapitel 1 Einleitung ...... 17 Kapitel 2 Atomlagenabscheidung‒Einführung, Anwendungen und Herausforderungen. 35 2.1 Einführung ...... 35 2.2 Anwendungen ...... 42 2.2.1 ALD von Oxiden ...... 43 2.2.2 ALD von Nitriden ...... 44 2.2.3 ALD von Metallen ...... 45 2.3 Cu ALD und die Herausforderurngen ...... 45 2.3.1 In-situ Wachstum Untersuchung ...... 51 2.3.2 Niedriges Temperatur Cu ALD ...... 52 Kapitel 3 Experimente und Messtechnik ...... 55 3.1 Präkursor Betrachtet ...... 55 3.2 In-situ Systeme zur Beobachtung des Schichtwachstum sowie der ALD-Reaktor ...... 56 3.3 In-situ Untersuchungen mittels XPS ...... 60 3.4 Ex-situ Untersuchungen ...... 69 3.4.1 Schichtdickenmessung mittels SE ...... 69 3.4.2 Untersuchung der Kontinuität der Schichts mittels LEIS ...... 71 3.4.3 Untersuchung der Oberflächenrauhigkeit der Schicht mittels SEM und AFM 72 3.4.4 Vier-Punkt-Widerstandsmessung ...... 72 Kapitel 4 Untersuchung der Oberflächenchemie des Kupfer-Präkursors mittels In-situ XPS-Messung ...... 73 4.1 Experimente ...... 77 4.2 Ergebnisse und Diskussion ...... 77

4.2.1 Charakterisierung der SiO2- und Co-Substraten ...... 77

4.2.2 Untersuchung der Einfluss von Vapboxtemperatur auf SiO2-Substraten ...... 78

7

Table of Contents

4.2.3 Untersuchung der Einfluss von Substrattemperatur auf SiO2-Substraten ...... 79 4.2.4 Untersuchung der Einfluss von Substrattemperatur auf Co-Substraten ...... 83 4.3 Zusammenfassung ...... 87 Kapitel 5 Direkt thermische Cu ALD auf Co-Substraten ...... 89 5.1 Experimente ...... 89 5.2 Ergebnisse und Diskussion ...... 90 5.2.1 In-situ Untersuchung ...... 90 5.2.2 In-situ Beobachtung des Schichtwachstum ...... 93 5.2.2 Untersuchung der Kontinuität und Oberflächenrauigkeit ...... 95

5.3 Zusammenfassung ...... 96

Kapitel 6 Untersuchung der Ru-dotierte Cu2O ALD ...... 97 6.1 Experimente ...... 97 6.2 Ergebnisse und Diskussion ...... 98

6.2.1 Cu2O ALD auf SiO2-Substraten ...... 98

6.2.2 Cu2O ALD auf Co-Substraten ...... 103 6.2.3 Filmdicke und GPCUntersuchung ...... 106 6.2.4 Untersuchung der Kontinuität und Oberflächenrauhigkeit ...... 107 6.3 Zusammenfassung ...... 110

Kapitel 7 Reduktion von Ru-dotiertem Cu2O zu Kupfer ...... 112 7.1 Experimente ...... 112 7.2 Ergebnisse und Diskussion ...... 113

7.2.1 Reduktion von Cu2O durch eine HCOOH Behandlung auf SiO2-Substraten . 113

7.2.2 Reduktion von Cu2O durch eine CO Behandlung auf SiO2-Substraten ...... 116

7.2.3 Einfluss der Cu2O Schichtdicke durch eine HCOOH oder CO Behandlung auf

SiO2-Substraten ...... 118 7.2.4 Untersuchungen der HCOOH Behandlung auf Co-Substraten ...... 120 7.2.5 Untersuchungen der Schichtdicke, Kontinuät, Oberflächenrauhigkeit von

Kupfer auf SiO2-Substraten ...... 121 7.3 Zusammenfassung ...... 126 Kapitel 8 Zusammenfassung und Ausblick ...... 127 Anhang ...... 138 Bibliographie ...... 140

8

Table of Contents

Abbildungsverzeichnis ...... 157 Tabellenverzeichnis...... 163 Theses ...... 166 Biografie ...... 169 Eigene Publikationen ...... 170

9

List of Acronmys and Symbols

List of Acronyms and Symbols

Acronyms AFM Atomic Force Microscopy ALD Atomic Layer Deposition ALE Atomic Layer Epitaxy AR Aspect Ratio BE Binding Energy BEOL Back End of Line CEM Controlled Evaporation and Mixing CMOS Complementary metal oxide CPS Counts per second CVD Chemical Vapor Deposition DRAM Dynamic Random Access Memory ECD Electrochemical Deposition ESCA Electron Spectroscopy for Chemical Analysis FEOL Front End of Line FTIR Fourier Transform Infrared GMR Giant Magnetoresistance GPC Growth per Cycle HKMG High-κ Metal Gate IBSD Ion Beam Sputter Deposition IMFP Inelastic Mean Free Path ITRS International Technology Roadmap for KE Kinetic Energy LDS Liquid Delivery System LEIS Low Energy Ion Scattering LFM Liquid Flow Meter MBE Molecular Beam Epitaxy MEMS Microelectromechanical Systems

10

List of Acronmys and Symbols

MFC Mass Flow Controller MIM Metal Insulator Metal MOSFET Metal-Oxide Field Effect Transistor n.a. Not Available n.c. Not Calculated PLD Pulsed Laser Deposition PVD Physical Vapor Deposition RMS Root Mean Square RSF Relative Sensitivity Factor SE Spectroscopic Ellipsometry SEM Scanning Electron Microscopy TFT Thin Film Transistors TMR Tunnel Magnetoresistance ULK Ultra low-κ ULSI Ultra large-scale Integrated XPS X-ray Photoelectron Spectroscopy

Symbols α Auger parameter

Co, C1 Constants used in the Cauchy model C Effective interconnect capacitance Δ Ellipsometric phase ratio

EB Binding Energy

Ech Charging Energy

EK Kinetic Energy E Energy ε Complex dielectric constant

ε∞ High frequency dielectric constant

ε2 Imaginary part of the dielectric constant

ε1 Real part of the dielectric constant

εo Vacuum permittivity

11

List of Acronmys and Symbols

Fliquid Flow rate of the Cu precursor

Fcarrier Flow rate of the Ar carrier gas γ Angle between analyzer and sample normal in the XPS h Plank's constant

Ii Corrected XPS intensity of an elemental species (i) i Elemental species Λ Scaling factor κ Relative dielectric constant k Number of oscillators

λA Inelastic mean free path of electron in material A L Interconnect metal wiring length l Length of the precursor delivery line

Mliquid Molar mass of the Cu precursor N Complex dielectric constant ν Frequency of light

ωp Plasma frequency

ωτ Damping constant

Ωok Center frequency of a Lorentz oscillator

Ωpk Oscillator strength of a Lorentz oscillator

Ωτk Damping coefficient of a Lorentz oscillator ɸ Angle of incidence in the ellipsometry

ɸspec Spectrometer workfunction pchamber Total chamber pressure pA Partial pressure of molecule A pp Partial pressure of the Cu precursor pp Vapor pressure of the Cu precursor pvapbox Total pressure in the Vapbox

P Interconnect metal wiring pitch po Standard pressure (1013.23 mbar)

12

List of Acronmys and Symbols

Ψ Ellipsometric amplitude ratio r Inner radius of the precursor delivery line Complex reflection coefficient of the wave perpendicular to the plane of r s incidence rp Complex reflection coefficient of the wave parallel to the plane of incidence

RL Interconnect resistance

Rq RMS surface roughness

Rsheet Sheet resistance S Relative sensitivity factor ζ Standard deviation τ Signal delay Interconnect metal wiring thickness or height, Absolute temperature of the T liquid delivery line (K)

ϑvapbox Temperature of the Vapbox (°C)

ϑsub Substrate temperature during ALD (°C)

ϑred Substrate temperature during reduction (°C) tav Average film thickness t Film thickness (Also, total injection time of the Vapbox) toff1 Off time of the Ar injection toff2 Off time of the Cu precursor injection ton1 On time of the Ar injection into the Vapbox ton2 On time of the Cu precursor injection into the Vapbox υ Frequency W Interconnect metal wiring width

Xi Atomic concentration of an elemental species (i) Y Measured XPS intensity x Intensity correction factor 휌 Complex reflectance ratio

13

List of Acronmys and Symbols

Chemical names acac Acetylacetonate amd Amidinate btmsa Bis(trimethylsilyl)acetylene nBu n-Butyl sBu s-Butyl CO Carbon Monoxide dki Diketoiminate dmamb Dimethylaminobutoxide dmap Dimethylaminopropoxide dmb Dimethylbutene Et Ethyl HCOOH Methanoic Acid or Formic acid hfac Hexafluoroacetylacetonate hmds Hexamethyldisilazide Me Methyl mhd 2-Methyl-3,5-hexadinonato mhy 2-Methyl-1-hexene-3-yne nhc n-Heterocyclic carbine iPr Iso-Propyl nPr n-Propyl sPr s-Propyl thd Trimethylheptanedionate TMA Trimethylaluminum tmhd Trimethylheptanedionate tmvs Trimethylvenylsilyl vtmos Vinyltrimethoxysilane vtms Vinyltrimethylsilane

14

Vorwort

Vorwort

Die vorliegende Arbeit entstand während meiner Tätigkeit als Wissenschaftlicher Mitarbeiter am Zentrum für Mikrotechnologien (ZfM) der Technischen Universität Chemnitz und des Fraunhofer-Instituts für Elektronische Nanosysteme (ENAS), sowie als Doktorand von der Deutschen Forschungsgemeinschaft geförderten Internationalen Graduiertenkolleg 1215 "Materials and Concepts for Advanced Interconnects and Nanosystems".

I would like to express my special thanks to the colleagues from Fraunhofer ENAS, Chemnitz; Center for Microtechnologies, Technische Universität Chemnitz; ION-TOF GmbH, Münster; Inorganic Chemistry, Institute of Chemistry, Technische Universität Chemnitz for the fruitful co-operation. I would like to thank my family and friends cordially for their support throughout my PhD period.

Mein besonderer Dank gilt / Special thanks go to:

Prof. Dr. Thomas Geßner, Prof. Dr. Stefan E. Schulz, and Dr. Thomas Wächtler for the opportunity to work in the ALD Group at Fraunhofer ENAS and enormous support with fruitful discussions during the research period.

Prof. Dr. Heinrich Lang, Stefan Möckel, and Khaybar Assim (Inorganic Chemistry, Institute of Chemistry, Technische Universität Chemnitz) for supporting me with the necessary chemicals for the experiments, regular discussions, and analysis of the results.

Dr. Colin Georgi, Dr. Jörg Schuster, Dr. Sascha Hermann, Dr. Jens Erben, and Dr. Ramona Ecke for enormous support for studying and reporting the results.

15

Vorwort

Marcel Melzer, Markus Kaspar, Matthias Franz, Xiao Hu, Nicole Köhler, and Christian Wagner for continuously supporting my research work and giving precious feedback. I am also very thankful for supporting me in bringing out the final version of my dissertation.

Cornelia Kowol, Dirk Rittrich, Saeed Jafarpour for supporting me with valuable SEM and AFM investigations.

Philipp Brüner and Dr. Thomas Grehl (ION-TOF GmbH, Münster) for supporting me with valuable LEIS measurements.

Thomas Werner, Michael Strelow, Micha Haase, and Jörn Bankwitz for the enormous support with respect to the technical challenges related with my experiments.

Sergio Collazos, Lucas Tobias, and Martin Falsner (Meyer Burger Technologies AG, Hohenstein-Ernstthal) for technical support with respect to the Experimental System.

Dileep Dhakal

2016

16

Chapter 1 Introduction

Chapter 1 Introduction

In 1965, Gordon Moore [1] predicted that every 18-24 months the number of transistors per chip would double. Moore stated that-,,Reduced cost is one of the big attractions of integrated electronics, and the cost advantage continues to increase as the technology evolves toward the production of larger and larger circuit functions on a single semiconductor substrate’’. The larger the number of transistors in a processor the higher is the performance, computational capacity, and functionality. Continuous down-scaling of the transistor size has led to the realization of high performance electronic devices and high density storage units such as smart phones, tablets, super computers, and solid state storage media. Dennard scaling, termed as metal-oxide field effect transistor (MOSFET) scaling, published in 1974 in conjunction with the Moore’s law stated that constant power density can be achieved, when the transistor channel length is reduced by factor Λ, the voltage and current can be reduced by the same factor [2]. Generally, the principal transistors feature size such as gate oxide thickness, channel length, and channel width are scaled. With scaled feature size, the gate delay decreases. At the same time, the interconnect system is downscaled. This is accompanied by an increasing current density in the interconnect system. As a result, the reliability of interconnect lines is dramatically reduced [2]. A measure for the interconnect delay (τ) is the product of interconnect resistance (RL) and the coupling capacitance (C) (Equation 1). Applying this equation to a generic two adjacent line with the length (L), resistivity (ρ), relative dielectric constant (κ) of the interlayer dielectrics, vacuum permittivity (εo), height (T), pitch (P) and width (W) (Equation 2) [3] interconnect delay can be re-formulated (Equation 2) as,

τ = RL C (1)

4퐿2 퐿2 τ = 2휌κ휀 + (2) 표 푃2 푇2

17

Chapter 1 Introduction

The interconnect delay can be lowered by reducing the resistance of the interconnect line by using low resistivity material, applying low dielectric constant material, keeping interconnect line as short as possible, and optimizing the design of the interconnect system. Furthermore, to reduce the interconnect resistance, the overall interconnect wiring architecture goes into third dimension so that the volume of conducting material is increased, keeping the resistance of the line constant. Hence, multilevel and hierarchical wiring schemes have been used to minimize the interconnect delay [4,5]. The conductivity of a interconnect line decreases significantly with decreasing line width below one micrometer, due to confinement of the electrons in small volumes, carrier scattering at grain boundaries and interfaces [4]. For the sub-180 nm generation with conventional Al/SiO2 interconnect technology (Figure 1), interconnect delay has huge effect in escalating the total delay. In contrast, by applying Cu in conjunction with low-κ dielectrics, the total delay has been dramatically reduced.

Figure 1: Signal Delay observed in different technology nodes (Source: ITRS Roadmap, 1997).

Cu is the primary conducting material for metallization in the ultra large-scale integrated (ULSI) circuits, since it has low resistivity, higher allowed current density, and higher resistance to [6]. The bulk resistivity of pure Cu with thickness above 50 nm is 1.67 μΩcm, which is nearly 40% lower than its Al counterpart

18

Chapter 1 Introduction

(2.65 μΩcm) [4,5]. In addition, Cu is relatively cheap compared to other conductive materials such as Au and Ag. In the state-of-the art interconnect technology, the lowest interconnect delay is achieved by integration of the Cu into the low-κ dielectrics, such as fluorine doped SiO2, carbon-doped oxides, and porous carbon-doped oxides [7]. Furthermore, ultra low-κ (ULK) dielectrics and air gap structures are emerging as the possibility for obtaining low interconnect delay [8]. Dual damascene technology is the basic process for integrating Cu lines and vias in the low-κ dielectrics [Figure 2(a, b)]. It is predicted that the first Cu metallization (M1) pitch for microprocessor interconnect technology would reach 24 nm and the structure would have aspect ratio (AR) of 2 [9]. In addition, the half-pitch in microprocessor is expected to reach sub-15 nm (Table 1) and the total interconnect length will be 7.5 km of Cu interconnects/cm2 by 2020 [9]. Therefore, Cu is the most important material for the metallization in ULSI circuits in both today's and future context.

(a) (b) Figure 2: (a) Cross-section of an IBM chip depicting the transistor level, tungsten contact plugs (W), and the first Cu metallization layer (M1) [10]. (b) Cross-section of an AMD LianoTM 32 nm processor. This chip consists of 500 million transistors/cm2, 800 million contacts/cm2, 11 metallization layers and nearly 1 km Cu-interconnects/cm2 [11].

19

Chapter 1 Introduction

Table 1: ITRS roadmap for Interconnects: Microprocessor requirements for M1 level [9]. Year 2016 2020 2024 Half-pitch 28.3 nm 14.1 nm 8.9 nm M1 Pitch 38 24 15 M1 ½ Pitch 19 12 8 M1 AR (for Cu) 2 2 2.1 Barrier thickness 1.7 1.1 0.7

For a reliable ULSI circuit, interconnect technology necessitates a barrier material for preventing diffusion of Cu into the low-κ dielectrics and a nucleation layer or seed layer for filling the Cu lines by electroplating via electrochemical deposition (ECD) (Figure 3). Without copper can easily migrate into the dielectrics and increase the dielectric constant which dramatically lowers the reliability of an interconnect circuitry [12]. Similarly, when Cu diffuses into the transistor region it deteriorates the device performance by the reduction of the breakdown voltage of the insulator [13]. Currently, a TaN barrier along with a Ta liner is applied as a barrier materials and a Cu seed layer for the ECD of Cu [Figure 3]. The physical vapor deposition (PVD) process such as sputtering is the common method for the deposition of barrier materials and seed layers in ULSI circuits [10]. The PVD processes for the deposition of the Cu seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and formation of overhanging structures [14]. This may cause failure of interconnections due to formation of voids during ECD. Currently, ionized PVD processes, e.g. inductively coupled plasma magnetron sputtering, high power impulse magnetron sputtering, and hollow cathode magnetron sputtering are the common methods for the deposition of barrier and seed layers [15,16,17]. However, these PVD processes also resulted in the non-conformal coating on high AR interconnect lines in technology below 32 nm [16,17]. In addition, conformal coating of ultrathin layers within metal lines-trenches and vias on 300 mm wafer with PVD is rather challenging. Downscaling of a device feature size below 15 nm keeping the resistivity of the interconnect line as low as possible, necessitates barrier and seed layers be thin and continuous [18]. The ITRS predicts that the size of the barrier thickness will reach 1.1 nm by 2020 [9].

20

Chapter 1 Introduction

(a) (b) Figure 3: Schematic diagram of a trenched line structure of a microprocessor interconnect technology, featuring (a) Cu seed layer profile in a trench structure (b) conformal coating of Cu via ECD.

Ultrahigh density nonvolatile memory devices such as magnetic random access memory (MRAM) and giant magnetoresitive (GMR) spin valve sensors are gaining strong attention within the scientific community. Particularly, ultrathin non-ferromagnetic/ferromagnetic multi-layer structures have been extensively studied towards realization of GMR spin valve systems for magnetic sensors and MRAMs [19,20]. A typical GMR structure is depicted in Figure 4, operating in either parallel or anti-parallel configuration depending on the external magnetic field.

Figure 4: Cross-section of the ferromagnetic/non-ferromagnetic layered structures and the spin dependent electron transport in parallel and antiparallel configuration. Two ferromagnetic Co films with magnetic moments depicted by the →/← symbols are separated by non-ferromagnetic Cu film.

21

Chapter 1 Introduction

The spin-dependent electron transport consequences to a high resistivity for the anti-parallel configuration compared to the parallel configuration. This results in the measurable difference in the resistivity termed as GMR effect. The GMR effect increases substantially for the specific Cu film thickness below 4.0 nm of Cu layer integrated between ferromagnetic Co films [19,20]. To realize Co/Cu multi-layered GMR spin valve systems ultrathin, smooth, and continuous Cu films are required. To circumvent the limitations of the state-of-the art barrier and seed layers deposition technology, ALD is the most suitable thin film deposition technology. It involves self-limited chemical reaction between the gas-phase reactive molecules and solid surface. The self-limited nature of these chemical reactions gives sub-monolayer growth of film. An ALD process produces excellent conformality (100% step-coverage) and homogeneous ultrathin films. ALD is the emerging technology for the conformal deposition of ultrathin barrier and Cu seed layers for the subsequent ECD on the metal lines for future technology nodes, also on larger wafer diameter. Hence, ITRS considers ALD as a solution for conformal deposition of both barriers and Cu seed layers on very high AR structures, also for sub-20 nm technology [9]. In addition, ALD facilitates controlled growth of a layer below 2 nm, which is desirable for the realization of Co/Cu multi-layered stacked structures. Thus, ALD can also be applied as the alternative deposition technology for the realization of GMR spin valve systems. This work is dedicated to the monitoring the growth of Cu layers deposited by ALD process. For studying the growth of Cu layer, two different substrates have been opted namely SiO2 as non-catalytic substrate and Co as catalytic substrate. Co has been studied as the alternative liner material to Ta for the adhesion of Cu seed layers on barrier materials [21]. ITRS has also suggested Co as a liner material for next-generation ULSI circuit metallization [9]. The growth of metallic Cu by ALD on Ta has been limited by the formation of particles rather than a layer [22]. For the deposition of ultrathin and continuous Cu layers by ALD, process temperatures lower than 150 °C are necessary [23]. To achieve low temperature ALD of Cu layers, the mixed precursor system has been applied. The precursor mixture consisted of the metal-organic Cu precursor and catalytic amount of Ru precursor. Two different approaches have been developed for the growth of Cu layers. In the first approach, ultrathin Cu was obtained using the probed Cu precursor mixture and molecular hydrogen

22

Chapter 1 Introduction

as co-reactant on Co, described as direct thermal ALD. In the second approach, ultrathin

Ru-doped Cu2O films were deposited by ALD on SiO2 and Co. The Ru-doped Cu2O films deposited by ALD are subsequently reduced by using either formic acid (HCOOH) or carbon monoxide (CO), described as indirect thermal ALD. For development of the successful ALD process, the precursor molecule must not undergo any change in its chemical state, and it must not undergo decomposition and dissociation after first half-cycle. Any change in the chemical composition is only expected during the second-half cycle. Many issues are not clearly understood especially related to the initial surface chemistry of metal-organic precursors, such as lack of self-limited growth, stepwise conversion of ligands associated with the metal-organic precursors, and decomposition of the precursor in several steps generating different intermediates [24,25]. Therefore, these individual surface phenomena must be properly understood for the systematic design of Cu ALD precursors and the improvement of the already existing ALD processes. In chapter 2, reported Cu ALD processes and their surface chemistry are reviewed. In fact, deposition of pure metals by ALD is undermined by the unavailability of the suitable precursors and poor understanding of the precursor surface chemistry. The knowledge of the surface chemistry can be applied to expand the existing co-reactants, and design better precursor molecules. Therefore, for developing suitable Cu ALD processes, it is necessary to study the surface chemistry occurring during the film growth. In chapter 3, the experimental methodology for the deposition as well as the analysis of the growth of ALD layers by in-situ measurement technique is described, as the film composition must be studied without vacuum break, excluding detrimental effects of intermediate exposure to ambient air. For this purpose, a 200 mm cluster deposition system and analysis tool was used featuring an ALD reactor and an in-situ X-ray photoelectron spectroscopy (XPS). The in-situ XPS module enabled the investigation of precursor surface chemistry as well as ultrathin film composition without air contact. XPS is one of the suitable analysis tools for the investigation of ultrathin films. It is a highly surface sensitive technique and it gives valuable information about the elemental composition and the chemical state of the atomic species. These chemical parameters were studied in the experiments. In addition, the ALD films were studied using ex-situ low energy ion scattering (LEIS), atomic force microscopy (AFM), scanning electron microscopy (SEM), and spectroscopic ellipsometry (SE). LEIS and AFM

23

Chapter 1 Introduction

measurements were carried out to study the continuity and the surface roughness of Cu2O and Cu films. The experimental procedure used in this dissertation for studying the ALD of Cu film is depicted in Figure 5.

Figure 5: Process flow for the growth of Cu by ALD in this dissertation. Experiment 1 is described as first-half cycle precursor dosing investigation, experiment 2 is described as the direct thermal ALD, and experiments 3,4 are described as the indirect thermal ALD.

The surface chemistry (Experiment 1 in Figure 5) of the used Cu precursor has been studied in Chapter 4. Primary goal was to understand the effect of substrate temperature on the chemisorption of the precursor on SiO2 and Co substrates. Direct thermal ALD of Cu has been studied on Co substrate (Experiment 2 in Figure 5) in Chapter 5. Growth of the

Cu film on Co was studied in detail. ALD of Ru-doped Cu2O was carried out and the chemical composition of the films was investigated (Experiment 3 in Figure 5) in

Chapter 6. Indirect thermal ALD of Cu was studied by the reduction of Ru-doped Cu2O ALD layers by using either HCOOH or CO (Experiment 4 in Figure 5) as reducing agents in Chapter 7. In the consecutive chapter, the dissertation is summarized. The dissertation is attached with an appendix listing the SE model parameters opted for obtaining film thickness.

24

Chapter 1 Introduction

Einleitung

Gordon Moore prognostiziert 1965 [1], dass sich die Zahl der Transistoren pro Chip alle 18-24 Monate verdoppeln würde. Diese Prognose wird gemeinhin als ,,Moores Law‘‘ (Mooresches Gesetz) bezeichnet. Es beschreibt, dass die Kostenreduzierung die größte Triebkraft innerhalb der Halbleiterindustrie ist. Mit kleineren Strukturgroßen nimmt der Kostenvorteil weiter zu und die Technologie entwickelt sich in eine Richtung, welche durch die Produktion von immer größeren und komplexeren Schaltungen auf einem einzigen Halbleitersubstrat geprägt ist. Je größer die Anzahl der Transistoren in einem Prozessor ist, desto höher wird der Leistungsfähigkeit sowie die Rechenkapazität und Funktionalität. Die fortschreitende Skalierung der Transistoren führtezu der Entwicklung von elektronischen Geräten mit außergewöhnlicher Leistungsfähigkeit sowie zur Realisierung von Speicherelementen mit hoher Speicherkapazität z.B. in der Form von Smartphones, Tablet, Hochleistungsrechnern und Solid-State-Disks. Bezug nehmend auf das Mooresche Gesetz beschrieb Dennard 1974 die Skalierung von Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET). Dennard zeigte, dass eine konstante Energiedichte dadurch erreicht werden kann, dassdie Spannung und die Stromstärke im selben Maß reduziert werden wie die geometrischen Abmessungen eines Transistors [2]. Die zu skalierenden Transistorgrößen umfassen die Kanallänge, die Kanalbreite sowie die Dicke des Gate-Oxids. Außerdem reduziert sich durch das Skalieren der Strukturgrößendes FETs die Gateverzögerung. Gleichzeitig zur Verkleinerung der Transistorstrukturen wird das Metallisierungssystem im gleichen Maß skaliert. Durch die Verkleinerung der Leitbahnen kommt es zur einen Anstieg der Stromdichte in den Leitbahnen,das wiederum zu einer Verringerung der Zuverlässigkeit führt [2]. Eine Kenngroße für die Verzögerung der Signalübertragung in Metallisierungssystemen ist der Interconnect-Delay (τ), welcher sich aus dem Produkt der Kapazität (C) der Metallisierung und dem Widerstand (RL) des verwendeten Metalls ergibt (Gleichung 3). Wendet man diese Gleichung auf zwei benachbarte Leitbahnen mit der Länge (L), der Höhe (T) sowie dem Abstand (P) an, so erhält man Gleichung 4 [3]. Als weitere physikalischen Großem

25

Chapter 1 Introduction

fließen der spezifische Widerstand (ρ), die relative Permittivität (κ) sowie die elektrische

Feldkonstante (εo) ein.

τ = RL C (3)

4퐿2 퐿2 τ = 2휌κ휀 + (4) 표 푃2 푇2

Aus dieser Gleichung folgt, dass der Interconnect Delay durch den Einsatz von Metallen mit niedrigemspezifischen Widerstand, der Anwendung von Dielektrika mit niedriger Permittivität sowie durch mögliche kurze Leitbahnen im Rahmen einer Designoptimierung verringert werden kann. Um den Gesamtwiderstand des Metallisierungssystems durch die Erhöhung des Leitbahnquerschnitts zu reduzieren erstreckt sich das Leitbahnsystem in alle drei Dimensionen. Durch diesen dreidimensionalen, hierarchischen Ansatz können in den höher gelegenen Metallisierungsebenen größere Leitbahnabmessungen verwenden werden, wodurch die Signalverzögerung minimiert wird [4,5]. Die Leitfähigkeit der Metallisierung sinkt aufgrund verstärkter Streuung der Elektronen an Grenzflächen und Korngrenzen mit kleineren werdenden Strukturgrößen

[4]. Im Rahmen der konventionellen Al/SiO2 Technologie führte dieses für Strukturbreiten unterhalb von 180 nm zu einem drastischen Anstieg der Signalverzögeurng (Abbildung 1). Demgegenüber führte die Einführung der Cu/Low-κ Technologie zu einer deutlichen Verringerung der Signalverzögerung.

26

Chapter 1 Introduction

Abbildung 1: Signalverzögerung verschiedener Technologiegenerationen (Quelle: ITRS Roadmap, 1997)

Kupfer ist aufgrund seines geringen Widerstands sowie seines Verhaltens bezüglich Elektromigration bei hohen Stormdichten das dominierende Leiterbahnmaterial für die Metallisierung von ultra-hoch-integrierten (ULSI) elektronischen Schaltkreisen [6]. Der spezifische Widerstand von Kupfer beträgt für Leitbahnabmessungen größer als 50 nm ca. 1,67 μΩcm und ist somit rund 40% geringer als der Widerstand von mit 2,65 μΩcm [4,5]. Weiterhin ist Kupfer im Vergleich zu anderen Metallenmit hoher Leitfähigkeit wie Silber und Gold kosteneffektiv einsetzbar. Inaktuellen Produkten wird eine möglichst geringe Signalverzögerung durch die Integration von Kupfer zusammen mit low-κ Dielektrika wie fluoriertes Silikatglas, kohlenstoffdotiertes Siliciumoxid und poröses kohlenstoffdotiertes Siliciumoxid ermöglicht [7]. Die gegenwärtige Forschung fokussiert sich zur Optimierung der Signalverzögerung auf Materialien mit Ultra-Low-κ Dielektrika sowie sowie dem Einsatz der Air-Gap-Technologie [8]. Die Dual-Damscene-Technologie ist das Basisverfahren, um die Kupferleiterbahnen und Vias zusammen mit low-κ Dielektrika zu realisieren [Abbildung 2(a,b)]. Für die erste Ebene der Kupfermetallisierung (M1) von Mikroprozessoren wird ein Strukturabstand von 24 nm und Aspektverhältniss von 2 prognostiziert [9]. Weiterhin wird damit gerechnet, dass bis 2020 die 15 nm-Technologiegeneration realisiert werden kann, deren Metallisierungsystem eine Länge von bis zu 7,5 km pro cm2 erreichen wird (Tabelle 1)

27

Chapter 1 Introduction

[9]. Cu ist sowohl heute als auch in Zukunft das dominierende Material für die Metallisierung von ULSI-Schaltungen.

(a) (b) Abbildung 2: (a) Querschnitt eines IBM-Chips mitTransistor-Ebene, Wolfram-Kontakten (W), und der ersten Metallisierungebene (M1) [10]. (b) Querschnitt eines AMD LianoTM Prozessors der 32 nm-Generation. Der Chipenthält 500 Millionen Transistoren, 800 Millonen Kontakten pro cm2, ca. 1 km Kupfer-Leitbahn pro cm2. Das Interconnectssystem umfass 11 Metallisierungebenen [11].

Tabelle 1: ITRS-Prognose für die erste Metallisierungsebene in Mikroprozessoren [9]. Jahr 2016 2020 2024 Half-pitch 28,3 nm 14,1 nm 8,9 nm M1 Pitch 38 24 15 M1 ½ Pitch 19 12 8 M1 AR (für Cu) 2 2 2,1 Barrieredicke 1,7 1,1 0,7

Zuverlässige ULSI-Schaltkreise benötigen eine Barriereschicht, um die Diffusion von Kupfer in das low-κ Dielektrikum zu verhindern, sowie eine Cu-Keimschicht (Nukleationsschicht) für das Füllen der Leitbahnen mittels einer elektrochemischen Abscheidung (Abbildung 3). Eine Diffusion des Kupfers in das Dielektrikum würde zu einer drastischen Erhöhung der Dielektrikkapazitätzahl des Dielektrikums sowie einer deutlichen verringerten Zuverlässigkeit des ULSI-Schaltkreises führen [12]. Außerdem

28

Chapter 1 Introduction

würde eine Kupferdiffusion in die Transistorebene durch die Reduzierung der Durchbruchsspannung zu einem Herabsetzen der Leistung des Schaltkreises führen [13]. Derzeit wird TaN als Barriere, Ta als Haftschicht und Cu als Keimschicht für die Kupfer-ECD verwendet (Abbildung 3). Typischerweise werden Verfahren der physikalischen Gasphasenabscheidung (PVD) zur Abscheidung dieser Schichten verwendet [10]. PVD-Verfahren zur Realisierung der Kupferkeimschicht sind anfällig für nicht-konforme Abscheidungen, wodurch es an den Seitenwänden und den Böden der Leitbahnstrukturen und Vias zu einer geringen Stufenbedeckung sowie zur Bildung von Überhängen kommt [14]. Dadurch kann es zu einem Ausfall der Leitbahn durch die Bildung von Lücken in der Metallisierung kommen.

(a) (b) Abbildung 3: Schema der Leitbahnstruktur für Mikroprozessoren (a) Konforme Kupfer-Keimschichtprofil innerhalb eines Grabens und (b) die konforme galvanische Kupfer-Abscheidung mittels ECD.

Derzeit sind ionisierten PVD-Verfahren z.B. induktiv gekoppeltes Plasma-Magnetron-Sputtern, High-Power-Impulse-Magnetron-Sputtern und Hohlkathoden-Magnetron-Sputtern zur Abscheidung der oben genannten Schichten im industriellen Einsatz [15,16,17]. Jedoch führen auch diese optimierten PVD-Verfahren zu nicht-konformen Abscheidung in Strukturen mit hohen Aspektverhältnissen, wie sie in Strukturen unterhalb der 32 nm-Technologie auftreten [16,17]. Außerdem gestaltet sich die Realisierung einer gleichmäßigen PVD Abscheidung auf 300 mm Wafern äußerst anspruchsvoll. Für die Skalierung der Strukturgrößern unterhalb von 15 nm ist es weiterhin notwendig den Widerstand der Metallisierung durch den Einsatz möglichst dünner und geschlossener Barriere- und Keimschichten so gering wie möglich zu halten

29

Chapter 1 Introduction

[18]. Die ITRS prognostiziert deshalb für 2020 eine erforderliche Schichtdicke der Barriere von 1,1 nm [9]. Nichtflüchtige Speicherbauelemente wie Magnetoresistive Random Access Memory (MRAM) haben in letzter Zeit großes Interesse innerhalb der wissenschaftlichen Gemeinschaft hervorgerufen. Insbesondere Schichtssysteme aus ultradünnen nicht-ferromagnetischen und ferromagnetischen Schichten werden umfassend erforscht, um mittels Riesenmagnetowiderstand (Giant Megnetoresistance, GMR) Spin-Ventile für magnetische Sensoren und MRAM zu realisieren [19,20]. Eine typische GMR-Struktur ist in Abbildung 4 dargestellt.

Abbildung 4: Querschnitt eines ferromagnetischen/nicht-ferromagnetischen Schichtstapels in paralleler und antiparalleler Ausrichtung mit spinabhängigem Elektronenentransport. Eine nicht-ferromagnetischer Kupfer-Schicht trennt zwei ferromagnetische Kobalt-Schichten. Die magnetische Ausrichtung der Kobalt-Schichten wird durch die Pfeilsymbole (→/←) am oberen und unteren Bildrand dargestellt.

Der spinabhängige Elektronentransport führt zu einem hohen Widerstand des Schichtstapels für die anti-parallele Ausrichtung im Vergleich zur parallelen Ausrichtung. Dieses Verhalten führt zu einer messbaren Differenz zwischen den Widerständen dieser zwei Orientierungen. Dieser Effekt wird als GMR-Effekt bezeichnet. Große Widerstandsänderungen werden für Kupfer-Schichtdicken von weniger als 4,0 nm zusammen mit Kobalt als ferromagnetische Schicht erreicht [19,20]. Für die Herstellung von Spinventilen basierend auf Kobalt-Kupfer-Schichtsystemen werden homogene, ultradünne Kupfer-Schichten ohne Verunreinigungen benötigt. Um die Limitierungen der

30

Chapter 1 Introduction

gegenwärtig verwendeten Abscheidemethoden für Barriere- und Keimschichten zu umgehen, bietet sich die ALD als das am besten geeignete Abscheideverfahren an. Die ALD beruht auf selbstbegrenzten, chemischen Reaktionen zwischen Molekülen in der Gas-Phase und der Substratoberfläche. Das selbstbegrenzte Verhalten dieser chemischen Reaktionen ermöglicht ein Schichtwachstum im Bereich unterhalb einer Monolage. ALD-Prozesse erreichen eine exzellente Konformität (Stufenbedeckung 100%) und erzeugen ultradünne, homogene Schichten. Deshalb ist ALD die zukünftige Technologie der Wahl für die konforme Abscheidung ultradünner Barriere- und Keimschichten auf großen Substraten für die anschließende elektrochemische Abscheidung für zukünftige Technologiegeneration. Deshalb betrachtet die ITRS ALD-Prozesse als Lösung zur Abscheidung von Barrieren und Kupfer-Keimschichten in Strukturen mit sehr hohen Aspektverhältnissen für untere-20 nm Technologien [9]. Außerdem ermöglicht die ALD das kontrollierte Wachstum von Schichten unterhalb von 2 nm, was erstrebenswert ist für die Realisierung von Co/Cu Multilagensystemen. Dadurch kann die ALD als alternatives Abscheideverfahren für die Realisierung von Spinventilen verwendet werden. Diese Arbeit widmet sich der Untersuchung ultradünner Kupfer-Schichten, welcher mittels ALD abgeschieden wurden. Zwei verschiedene Substraten wurden nämlich SiO2 als inertes und Kobaltals katalytisches Substrat genutzt, um das Wachstum der Cu-Schicht zu studieren. Kobalt-Schicht wurde als alternativer Material zu Ta für die Haftung von Cu-Keimschichten auf Barrierematerialien untersucht [9]. ITRS hat auch vorgeschlagen, Co als Haftschichtmaterial for die nächste Generation der ULSI-Metallisierung [9]. Das Wachstum von metallischem Cu mittels ALD auf Ta wurde durch die Bildung von Partikeln begrenzt [22]. Für die Abscheidung von ultradünnen und geschlossenen Kupfer-Schichten sind Prozesstemperaturen unterhalb von 150 °C notwendig. Um Kupfer mittels ALD bei niedrigen Temperaturen abzuscheiden, wurde das gemischte Präkursorsystem genutzt. Die Präkursormischung bestand aus einem metall-organischen Cu-Präkursor und einem katalytischer Menge des Ru-Präkursors. Im Rahmen des ersten Ansatzes wurden ultradünne Cu-Schichten mittels der untersuchten Kupfer-Präkursor-Mischung und molekularem Wasserstoff als Koreaktant auf katalytischen Kobaltsubstraten erzeugt, die als direkter thermischer ALD-Prozess bezeichnet würde. Im Rahmen des zweiten Ansatzes wurden mittels ALD ultradünne mit Ruthenium dotierte Kupferoxidschichten auf inerten

31

Chapter 1 Introduction

SiO2-Substraten und katalytischen Co-Substraten abgeschieden und anschließend entweder mittels HCOOH oder Kohlenstoffmonoxid (CO) reduziert, die als indirekter thermischer ALD-Prozess bezeichnet würde. Für eine erfolgreiche Entwicklung eines ALD-Prozesses darf das Präkursormolekül während des ersten Halbzyklus weder seinen chemischen Zustand ändern, zerfallen, noch einer Dissoziation unterliegen. Eine Veränderung des chemischen (Bindungs-)Zustandes wird erst während des zweiten Halbzyklus erwartet. Viele Probleme werden bisher noch nicht vollständig verstanden, insbesondere die initialen Oberflächenreaktionen des metal- organischen Präkursors. Dies umfasst Phänomene, die ein selbstlimitierendes Wachstum verhindern, einen schrittweisen Ligandenaustausch des metallorganischen Präkursors und die schrittweise Zersetzung des Präkursors, welche zu unterschiedlichen Zwischenstadien führt [24,25]. Aus diesem Grund müssen die Oberflächenreaktionen genau verstanden werden, um systematisch einen Cu-Präkursor designen zu können oder bestehende ALD-Prozesse optimieren zu können. Kapitel 2 beschreibt den Stand der Forschung bezüglich der ALD-Abscheidung von Kupfer sowie die involvierte Oberflächenchemie. Es ist unerlässlich die Öberflächenchemie von metallorganischen Präkursoren, welche für die Kupfer-ALD verwendet werden, mittels in-situ Verfahren zu analysieren. Tatsächlich ist direkte Abscheidung metallischer Schichten mittels ALD bisher nur eingeschränkt möglich, das liegt vor allem am Fehlen geeigneter Präkursoren sowie dem schlechten Verständnis der Oberflächenchemie der Präkursoren. Das Verständnis der Oberflächenchemie kann zur Erweiterung der existierenden Koreaktanten und zum Design besserer Präkursormoleküle herangezogen werden. Deshalb ist es für die Entwicklung geeigneter Kupfer-ALD-Prozesse notwendig die Oberflächenchemie während des Schichtwachstums zu untersuchen, um existierende ALD-Prozesse zu verbessern und neue Verfahren zu entwickeln. Kapitel 3 beschreibt die experimentellen Methoden, welche für die Abscheidung sowie für In-situ Untersuchung der ALD-Schichten verwendet wurden. In-situ Untersuchungen der Schichteigenschaften sowie des Schichtwachstums sind notwendig, um den störenden Einfluss von Kontakt zur Umgebungsluft zu vermeiden. Zu diesem Zweck wurden die erforderlichen Experimente an einem speziellen Mehrkammersystem für 200 mm Wafer der Firma Meyer Burger Technologies AG durchgeführt. Dieses Cluster-System beinhaltet ALD-Kammern sowie ein Modul für die In-situ Röntgenphotoelektronspektroskopie (XPS) an. In-situ XPS-Analysen ermöglichen

32

Chapter 1 Introduction

die Untersuchung der Oberflächenchemie und der Schichtzusammensetzung der dünnen ALD-Schichten ohne Vakuumunterbrechung. Diese Eigenschaften machen das in-situ XPS-Verfahren zu einer unerlässlichen Charakterisierungsmethode für die Entwicklung von ALD-Prozessen. Das XPS-Verfahren ist äußerst oberflächensensitiv und erlaubt sowohl die Bestimmung der chemischen Zusammensetzungen als auch des Oxidationszustands der zu untersuchenden Probe. Diese chemischen Eigenschaften wurden im Rahmen der vorliegenden Doktorarbeit analysiert. Zusätzlich wurden die ALD-Schichten mittels ex-situ niederenergetische Ionenstreuspektroskopie (LEIS), Rasterkraftmikroskopie (AFM), Rasterelektronenmikroskopie (SEM) und spektroskopische Ellipsometrie (SE) untersucht. Die LEIS und AFM Untersuchungen wurden durchgeführt, um die Kontinuität und Oberflächenrauigkeit der Kupfer- und Kupferoxidschichten zu bestimmen. Der Versuchsablauf dieser Doktorarbeit ist in Abbildung 5 dargestellt.

Abbildung 5: Versuchsablauf zur Kupferabscheidung mittels ALD im Rahmen der vorliegenden Arbeit. Experiment 1 wird als ersten Halbzyklus-Untersuchung des Cu-Präkursors beschrieben, Experiment 2 wird als direke thermische ALD beschrieben und Experiment 3,4 werden als indirekte thermische ALD beschrieben.

Die Untersuchungen zur Oberflächenchemie des verwendeten Cu-Präkursors (Experiment 1, Abbildung 5) werden in Kapitel 4 beschrieben. Das Hauptziel dieser Untersuchung war es, die Temperaturabhängigkeit der Chemisorption des Cu-Präkursors auf inerten SiO2-Substraten sowie auf katalytisch wirkendem Co-Substrat zu ermitteln. In Kapitel 5 wird die direkte thermische ALD von Cu auf katalytisch aktivem Kobalt untersucht (Experiment 2, Abbildung 5). Dieses Kapitel beinhaltet eine detaillierte

33

Chapter 1 Introduction

Analyse des Kupferwachstums auf Co-Substraten. Kapitel 6 widmet sich der ALD sowie der Untersuchung der chemischen Zusammensetzung von Ru-dotierten Cu2O auf

SiO2- und Co-Substraten (Experiment 3, Abbildung 5). Im Anschluss beschreibt Kapitel 7 die Reduktion der Ru-dotierten Cu2O Schichten mittels einer HCOOH- oder CO-Behandlung (Experiment 4, Abbildung 5). Das darauffolgende Kapitel fasst die gewonnenen Erkenntnisse der vorliegenden Arbeit zusammen. Weiterhin findet sich im Anhang dieser Arbeit eine Darstellung der optischen Modelle, welche für die Auswertung der ellipsometrischen Messungen herangezogen wurden.

34

Chapter 2 Atomic Layer Deposition– Introduction, Applications, and Challenges

Chapter 2 Atomic Layer Deposition – Introduction, Applications, and Challenges

2.1 Introduction ALD is the thin film deposition process involving at least two self-limited and complementary gas-solid reactions between the vapor phase reactive precursor molecule and the solid surface. By repeating the self-limited surface reactions growth of a material is achieved. An ALD process produces films with very high conformality and homogeneous films. For the deposition of ultrathin films in high AR structures and three dimensional coating of materials, it is the most suitable deposition process because of excellent conformality and high uniformity in physical properties, such as density, composition, and thickness [26]. In addition, it is particularly suitable for the deposition of ultrathin films due to slow deposition rate. The concept of ALD was introduced for the first time in the former Soviet Union in 1965, and it was termed as molecular layering [27]. The initial works on molecular layering were quite unnoticed. In 1970, ALD became known in the western world as atomic layer epitaxy (ALE) due to the work of a research group from University of Helsinki, Finland led by Prof. Tuomo Suntola [28,29]. In 1974, Prof. Suntola submitted a patent for the production of compound thinfilms, which was the first patent towards the development of ALD process [30]. The pioneering research work on ALD from Prof. Suntola was published in Materials Science Reports in 1989 [28]. The initial phase of ALD was reported on the growth of single crystal III-V or II-VI compounds and ordered heterostructures such as superlattices and superalloys, which were applied to meet the requirements for the improvement of ZnS and Al2O3 dielectric thin films for electroluminescent displays [28,30,31]. Over the last decades, consumer electronics such as smart phones, laptops, and tablets have attracted enormous interest throughout the globe. Every electronic device requires a processor for controlling its vital activities, memory units for the storage of information, and sensorics for enhanced user interface. Transistors are the basic building

35

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges blocks for the processor and memory chips fabricated on the silicon wafers. To fulfill the growing performance demand, the numbers of transistors per chip has doubled every 18-24 months in an [1]. The existing Si technology has reached below 22 nm technology with continuous innovation with respect to front end of line (FEOL) and BEOL process technology [18,32]. One of the remarkable innovations was introduction of high-κ metal gate (HKMG) transistors and Fin-FETs in FEOL process technology [32]. Similarly, Cu has replaced Al as the interconnect material in the BEOL process technology with introduction of dual damascene fabrication processes [4]. Further scaling of transistors could be possible with the introduction of new materials and innovation in the fabrication technology [32,33]. Higher throughput and industrial compatibility are the basic requirements for the use of a deposition technique for the fabrication of electronic devices in micro- and nano-electronics. The deposition technology must be applicable with the complementary metal-oxide semiconductor (CMOS) fabrication cost and its throughput. Vapor phase thin film deposition techniques such as ALD, chemical vapor deposition (CVD), PVD such as sputtering, are compared in Table 2. The state of the art microelectronic fabrication processes necessitate specific control of film thickness, very high interface quality, and excellent thickness uniformity. Among different vapor phase thin film deposition techniques, ALD is the most suitable deposition technology producing excellent conformality and homogeneous ultrathin films on complex topographies and large substrates [34]. In addition, ALD produces exceptional thickness conformality in very high AR structures, thickness controllability at the level of Å, which has enabled superior performance and deeper fundamental understanding. Today, ALD is the ideal choice for the deposition of metallic, semiconductive and dielectric layers in microelectronics, thin film electronics, and energy storage devices for conformal coating of materials in micro and nanostructures [26,35].

36

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

Table 2: Comparison between different vapor phase thin film deposition techniques (with inputs from [36]).

Processes ALD CVD* Sputtering** (PVD) Film-thickness Excellent Good Good uniformity Film-thickness Control of thickness in Control of thickness in Control of thickness in controllability Å-scale nm-scale nm-scale Film conformality Hightly conformal Varies (highly conformal Non-conformal on 2D to features; can be sub-conformal) conformal at sidewall Step-coverage 100% step-coverage Varies (100% to Very low to low diminished step-coverage step- coverage Deposition rate / Low deposition rate, High deposition rate / High deposition rate / throughput suitable for few nm to high high 10 nm film thickness (throughput depends on reactor type: single wafer or batch) Low-temperature Majority of films can be Varies (requires mostly Low deposition deposition deposited at low higher temperatures temperature (room temperatures (353–423 K) compared to ALD and temperature to < 373 K) Sputtering)

*CVD: Depends on process regime, can be reaction rate limited or transport/diffusion limited **Sputtering: Depends on specific process, can be conventional, ionized PVD, long throw sputtering, collimated sputtering

Principally, ALD is a cyclic process for synthesizing thin films by alternating exposure of reactive gas-phase molecules on the solid surface. It is related to the CVD process, as this process is also initiated through the adsorption of vapor phase precursor molecules and co-reactant molecules, but the two reactive species are separated in time such that adsorption of molecules takes place separately on the surface without self-decomposition. In addition, the growth rate is independent of the temperature in ALD. The gas-phase molecules can either form weak bonds with the solid surface termed as physisorption or strong bond with the solid surface termed as chemisorption. Physisorption is the phenomenon observed when a gaseous molecule weakly binds to the solid surface. It is characterized by weak bonding energy of less than 20 kJ/mol, in the

37

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges orders of van der Waals force [37]. Within some temperature limit, the physisorbed molecules are stable on the surface but do not form chemical bonds with the surface. Generally, every gas-phase molecules have some tendency to physisorb on the solid surface within certain ranges of temperature and pressure [38]. Chemisorption involves strong chemical bonds between gas-phase molecules and the solid surface [37]. For successful ALD, chemisorption is the most important phenomenon. Chemisorption requires certain temperature for the formation of strong bonding and leads to the formation of self-limited monolayer on the surface due to either steric hindrance or the saturation of reactive surface sites [37]. During surface saturation most of the surface sites are chemisorbed by the reactant gas-phase molecules. However, the remaining active sites are shielded by the bulky ligand termed as steric hindrance [39]. Simultaneous decomposition of molecules might take place during chemisorption, e.g. dissociative adsorption of H2 on transition metal surface has been reported [40]. Chemisorption is a thermally activated process; hence a certain activation energy and thus minimum temperature are required during ALD [37]. Therefore, for any ALD process suitable temperature plays a huge role. An ALD cycle requires a timely separated purge step necessary for the removal of the unreacted precursor or co-reactant molecule and reactant by-products. Necessity of a purge step in an ALD process makes it different compared to its CVD counterpart. The schematic diagram of an ALD cycle is depicted in Figure 9. An ALD cycle can be divided into two half-cycle surface chemical reactions. Each half-cycle is self-limited process described by

 Precursor dosing step, followed by purging step for the removal of reaction by-products and unreacted precursor molecules [(Steps 1 and 2 in Figure 6)] and  Co-reactant dosing step, followed by purging step for the removal of reaction by-products and unreacted co-reactant molecules [(Steps 3 and 4 in Figure 6)].

As mentioned above, an ALD process initiates through a self-limited gas-solid surface reaction on the initial surface (Figure 6). The initial surface (Figure 6) represents the surface active to the incoming precursor molecules. In the first half-cycle, gas-phase precursor molecules are introduced on the surface, followed by purging the reaction by-products and unreacted precursor molecules from the surface. This half-cycle

38

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges necessitates that the chemisorbed precursor molecules do not react with themselves in the gas-phase, and the solid surface gets saturated because the surface reaction is self-limited on the surface.

Figure 6: Schematic diagram representing an ALD cycle.

In the second half-cycle, gas-phase co-reactant molecules are introduced onto the solid surface followed by purging the reaction by-products and unreacted co-reactant molecules from the surface. A sub-monolayer of the film is deposited after a second half-cycle. The second half-cycle results in a self-limited chemical reaction between precursor molecules and the co-reactant molecules on the surface. Repetition of these two sequential and saturative self-limited half-cycle chemical reactions results in sub-monolayer growth of a material. As ALD is a cyclic process, growth of a film is described by the growth per cycle (GPC). The GPC is defined as the amount of material deposited per cycle. An ALD process demands constant GPC or constant amount of material to be deposited in each cycle. As ALD necessitates a certain number of deposition cycles to cover the entire surface sites, growth of less than a monolayer per cycle is observed [39]. The GPC is generally dependent on the type of the substrate [39]. For example, ALD processes can be

39

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges substrate independent (linear growth), substrate inhibited or substrate enhanced, as shown in Figure 7(a).

Figure 7: (a) Difference growth modes observed in the ALD. Schematic drawing to represent the dependency of the GPC with (b) substrate temperatures,(c) precursor dosing time, and (d) purging time [39].

Substrate temperature has strong influence on the growth of material, because temperature has not only direct effect on the number of active sites but also on the type of reactive sites on the surface before and after chemisorption [26,39]. For example, at low temperatures, either physisorption takes place leading to lower GPC or condensation takes place leading to higher GPC [Figure 7(b)]. Within ALD temperature window [Figure 7(b)], most of the reactive sites are chemisorbed by the precursor molecules leading to saturation of active sites. Generally, wide ALD window are sought for the integration of different materials by ALD [41]. In addition, wide ALD window minimize the temperature inhomogeneity on larger substrates [42]. At higher temperatures, decomposition or desorption of the precursor molecules take place leading to either higher or lower GPC [Figure 7(b)].

40

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

When the reactants dosing step is too short, complete saturation of reactive sites is difficult to achieve, which leads to lower GPC [Figure 7(c)]. With sufficient reactants dosing time and higher partial pressure of the reactants most of the surface active sites are saturated by reactive molecules. Intermediate purging steps are necessary to remove remaining precursor, co-reactant molecules, and reaction by-products from the surface. When the purging step is too short, two reactants could react with each other either in the gas-phase or on the surface [Figure 7(d)]. This causes CVD-like growth leading to a higher GPC resulting in the non-conformal coating of material. Hence, sufficient purge time is necessary to remove all the unreacted molecules and reaction by-products to enable the saturation of the surface. The ALD precursor should fulfill some requirements [43]. ALD precursor should have sufficient vapor pressure at the evaporation temperature in the range above 0.01 mbar [44]. It should be thermally stable during storage and should not decompose during evaporation as it leads to contamination of the ALD film [45]. It would be beneficial to have precursor in liquid phase at room temperature or soluble in an inert solvent. Particularly, liquid precursors are easy to evaporate using liquid injection systems and facilitate optimum usage of the precursor [44]. It is also necessary that the precursor by-products should not etch the film, reactor walls, and pump lines. General requirements of the ALD precursor are listed in Table 3.

Table 3: General requirements of the ALD precursor [43]. Requirements Description Volatility Sufficient vapor pressure (> 0.01 mbar) Characteristics No decomposition during storage and evaporation Reactivity Should be reactive to different co-reactants Precursor should not etch the substrate and should not generate Etching precarious by-products Diffusivity Precursor should not diffuse into the substrate or into the film Purity Should be easily synthesized in pure form and in high volume Inexpensive Should be produced easily Environment Should be easily disposable

41

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

2.2 Applications ALD is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultrathin films on complex topographies in microelectronics. Compared to nitrides and pure metals, oxides of most metals have been deposited by ALD, including oxides of lanthanides [46]. Especially, metal oxides as high dielectric constant (high-κ) materials deposited by ALD are reported [46,47]. State of the art materials deposited by ALD are depicted by different color combinations, depicted in Figure 8. Materials which have been deposited as pure elements or nitrides or oxides have been illustrated in the periodic table, reviewed from [46]. Nevertheless, sulphides, phosphides, arsenides, and carbides of Al, Ti, Cu, Cd, and W have been deposited by ALD [46], but they are not depicted in the diagram. In this section, applications of metal oxides, nitrides and pure metals would be discussed in detail. Major applications of ALD applied in the FEOL and BEOL process technologies, thin film electronics, energy storage devices, MEMS fabrication are described.

Figure 8: ALD processes reported for pure metals, oxides and nitrides in the literature categorized within the periodic table with different color marks [46].

42

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

2.2.1 ALD of oxides

Cuprous oxide or Cu2O is a p-type semiconductor with a band gap of 2.3 eV [48]. It has attracted scientific and industrial interest for being an applicable material in thin film electronics, such as wide band gap material in solar cells [49], high absorption material for sensors and photocells [50,51], batteries [52], catalysts [53], and semiconducting material for the fabrication of thin film transistors [54,55]. Cu2O deposited by ALD are studied as the active material in thin film solar cells [56]. High-κ materials are key feature in the state-of-the art DRAM capacitors as dielectrics and CMOS FETs as gate oxides. ALD is the key deposition technology for the conformal deposition of high-κ materials, such as HfO2, Al2O3, and ZrO2 [29,57]. In general, the higher band gap the material has, the lower its relative dielectric constant.

Particularly, HfO2 has both high band gap and higher relative dielectric constant. In 2007, Intel first introduced HKMG CMOS FETs using Hf based high-κ dielectrics as shown in Figure 9(a) [58]. The fully-depleted silicon on insulator (FDSOI) CMOS and Fin-FETs [Figure 9(b)] are emerging as new devices for sub-22 nm technology following the Moore’s prediction [32]. For next-generation 3D (three dimensional) Fin-FETs and planar FDSOI CMOS architecture, ALD grown sidewall spacers are investigated for sub-20 nm technology [33,59].

Figure 9: Structure of a planar (a) CMOS and (b) and a Fin-FET, depicting high-k metal gate technology [58].

Metal oxides such as Al2O3, TiOx, and ZnO deposited by ALD have numerous applications in thin film electronics. Pin-hole free and conformally coated Al2O3 is applied as a transparent dielectric material for the fabrication of large area thin film

43

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges electroluminescent displays [29], capping layer to protect organic thin film transistors [60], moisture diffusion barrier in organic light emitting devices [61], and a passivation layer [62,63] for organic solar cells. ALD TiOx is applied as anti-reflection coating for high-performance solar cells [64]. ZnO deposited by ALD is applied as an n-type buffer layer for copper indium gallium sulphide solar cells [35,65]. ALD facilitates specific control over film thickness and deposition at lower temperatures, suitable for MEMS applications. ALD SiO2 is investigated as insulator for

MEMS and integrated circuits [66]. ALD Al2O3 and TiOx are studied as the anti-abrasive and corrosion protection coatings [67], high-refractive index material for fabrication of MEMS Fabry-Perot interferometer [66], monolithic spectrometers [68], narrow bandpass filters, and antireflection coatings [69]. ALD Al2O3 is studied in 3D magnetometers based on Lorentz force [70]. Al2O3 is applied as the passivation material against wet and dry chemical environments [66,71].

2.2.2 ALD of nitrides Barrier materials deposited by ALD are investigated for the coating on high AR structures in ULSI circuit metallization [9]. Ultrathin, smooth, and conductive transition metal nitrides such as TiN [72], TaN [6,73], and WN [74] deposited by ALD are studied as diffusion barriers. In addition, carbon-doped transition metal nitrides such as TiCN, TaCN, and WCN deposited by ALD are studied as the ultrathin barrier material for sub-15 nm technology in microelectronics [9]. In DRAM devices, TiN deposited by ALD is applied as electrode for the metal-insulator-metal (MIM) capacitors [75]. For the realization of planar and trenched MIM capacitors, ALD of nitrides are emerging as a key material providing solution for the ultrathin, conformal coating of gate electrode [75,76]. Ultrathin (< 5 nm) AlN deposited by ALD are also studied as the passivator for the AlGaN/GaN based high-electron-mobility transistors to reduce trapped charge within the active layers [77]. Conformal coated Si3N4 deposited by ALD can be applied as an electrical insulator and moisture barrier [71,78]. Low temperature ALD of SiN is applied for the next generation lithography technology. For example conformal coated SiN deposited by ALD is applied as the mask for spacer defined double patterning technology lithography in sub-10 nm technology [79].

44

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

2.2.3 ALD of metals Metals such as Au, Ru, Pd, and Pt deposited by ALD are studied as high work-function material for DRAM planar and trenched capacitor electrodes [80,81,82,83]. Metals such as W, Ni, Co, and Ru are studied as the liner to enhance the adhesion between the seed and the barriers to enable reliable interconnect circuitry [6,84,85,86,80]. Ultrathin Cu films deposited by ALD are studied as the seed layer for the Cu ECD in ULSI circuits [85,87]. Other metals such as Ru, Co, and Pd have also been studied as the alternative seed layers for the Cu ECD [6,88,89]. Self-forming Co-and Ni-silicides are studied as the alternative contact metal in ULSI circuits [90]. For example, Co and Ni deposited by ALD are investigated for their application as silicide contacts for nanoscale semiconducting devices [91]. Compared to conventional Ti-silicides, Co and Ni-silicides have a low resistivity, higher thermal conductivity, and better scalability [90].

2.3 ALD of metallic Cu and its challenges Compared to metal oxides and nitrides, ALD of pure metals has been limited by the unavailability of an efficient precursor and co-reactant systems [92,93]. Despite the fact that ALD is related to CVD, most of the metal-organic and organo-metallic precursors already applied for CVD cannot be directly used, because ALD necessitates self-limited chemisorption of the precursor with certain limit of temperature [39]. In addition, most of the CVD precursors used for the deposition of pure metals are available in higher oxidation states [46,93,94]. These precursors require either higher temperature or strong reducing co-reactants or plasma based processes for the deposition of pure metal [93,94]. Plasma ALD enhances the reactivity between the precursor and the co-reactant and it has numerous merits compared to conventional thermal ALD process, such as freedom in process conditions and wide range of material properties [95]. However, active radicals can quickly combine on the surface and they are difficult to transport deeper into the trenches [96]. Typically plasma is generated using H2 for the growth of Cu by ALD. For example, direct plasma, radical enhanced plasma, and remote plasma ALD are typically applied plasma processes for the deposition of Cu [44,97,98].

45

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

Figure 10: Precursors applied for the ALD of Cu and discussed in this dissertation.

In this section, the Cu ALD precursors reported in the literatures (Figure 10) are discussed in detail. The metal-organic and organometallic Cu precursors used for the ALD of Cu are primarily Cu beta-diketonates and Cu-amidinates, which are widely applied [94,99]. New Cu precursors have been introduced recently towards ALD of Cu at low temperatures such as Cu-ketiminates, Cu-silylamides, Cu-amides, and Cu-alkoxides [99,100]. The Cu precursors, co-reactants, type of ALD[Plasma (P) or Direct-Thermal (TD) or Indirect-Thermal (TI)], reported in the literatures are listed in Table 4. In the table, Cu layer properties are also highlighted. In indirect thermal ALD process, the template layer which is deposited by ALD is subsequently reduced with the suitable reducing agent [101].

46

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

Table 4: Summary of the ALD processes reported for the deposition of Cu. The ϑsub indicates the process temperature.

Precursor Co- ALD ϑsub Subs. GPC Layer Ref. Reactant type (°C) (Å/cycle) Properties

Cu(acac)2 H2 TD 250 Ti, Al, n.a. Cu2O formation [102] Si Either silicide formation or Cu diffusion into Si

H2 P 140 Si 0.12 Polycrystalline [44] Higher surface roughness

Cu-bis- H2 P 30 Si, TaN 0.2 Agglomeration [98] (aminoalkoxide) H2 P 30 Pd, Ru Smooth [98]

s Cu( Bu-amd)2 H2 TD 185 Co 0.4 Smooth [103] Continuous

H2 TD 185 Ru 0.11 Smooth [103] Continuous

H2 TD 185 SiO2 1.5‒2.0 Agglomeration [103]

NH3, TI 160 Si, Ru, 0.25 Higher roughness [101]

H2 WN after reduction of

Cu3N

CuCl Zn TD 500 Al2O3 1‒5.5 Non-selflimited [104] process TD 360‒410 Ta 0.8‒1.2 [105]

Cu(dki)(vtms) SiH2Et2 TD 120 Au n.a. n.a. [106]

47

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

Precursor Co- ALD ϑsub Subs. GPC Layer Ref. Reactant type (°C) (Å/cycle) Properties

Cu(dmamb)2 H2 P 150 Ta 0.65 Higher surface [107] roughness Higher impurity

Cu(dmap)2 BH3NMe3 TD 150 Ru 0.13 Island growth [108]

HCOOH, TD 135‒165 Pt, Pd 0.2 Higher surface [108]

BH3NMe3 roughness

Et2Zn TD 100‒120 Si 0.2 Ultrapure layer [109] Parasitic CVD of

ZnEt2 above 120°C

Cu(hfac)2 CH2O TD 300 Ta, n.a. F incorporation [87] TaN, TiN

HCOOH, TD 260‒300 Ta, n.a. Higher surface [110]

CH2O TiN, roughness TaN F incorporation

H2, TD 22‒100 TiN 0.19 F incorporation [111] Pyridine

Cu(hfac)(vtmos) H2 P 200‒300 TiN 0.5 F incorporation [112]

Cu(hmds)(nhc) H2 TD 190‒250 Pd 0.45 Higher surface [99] roughness

H2 TD 170‒320 Ru 0.27‒0.3 Island growth [99]

H2 P 225 Si 0.2 Higher surface [100] roughness

48

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

Precursor Co- ALD ϑsub Subs. GPC Layer Ref. Reactant type (°C) (Å/cycle) Properties

Cu(II)- H2 P 30 TaN 0.27 Highly conformal [113] ketoiminate

i Cu( Pr-amd)2 H2 TD 280 SiO2 0.5 Higher surface [84] roughness

H2 P 50‒100 Si, 0.7 Ultrapure [114] Glass Smooth

Cu(pyrim)2 ZnEt2 TD 130 SiO2, n.a. Zn encorporation [115] Ta, Ru

Cu(tmhd)2 H2 TD 190‒260 Pt, Pd 0.36 Selective to Pt and [116] Pd vs. oxidized H2 TD 235 Pt 0.7 [117] metallic surface

H2 P 180 SiO2 0.16 Strong [97] agglomeration

ALD of Cu is generally reported as a method for the deposition of Cu seed layers in ULSI circuit metallization [44,98,108]. First study on Cu ALD process was reported using a CuCl precursor and Zn-vapor co-reactant at 500 °C on Al2O3 [104], however no ALD temperature window has been reported. In this process, the Cu film was contaminated by Zn leading to non-saturative growth of Cu [104]. First study of the ALD of Cu using metal-organic precursor was reported by P. Märtensson and J.-O. Carlsson by applying

[Cu(tmhd)2] as Cu(II) beta-diketonate precursor and H2 as co-reactant on Pt and Pd [116]. Growth window between 190-260 °C has been reported in this process. For 60 nm Cu layer, resistivity of 8.1 µΩcm has been observed. Following this report, many other groups reported thermal ALD for obtaining metallic Cu using Cu(II) beta-diketonates such as

[Cu(hfac)2] and [Cu(acac)2] [87,102,110,111]. Generally, non-fluorinated Cu(II) beta-diketonates have low reactivity, which necessitates higher growth temperatures (> 250 °C) for obtaining clean Cu films. Fluorinated Cu(II) beta-diketonates such as

Cu(hfac)2 have been previously applied for thermal and plasma enhanced CVD of Cu

49

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges because it has higher vapor pressure [118,119]. For example, R. Solanki and B. Pathangey have reported Cu ALD using hydrated [Cu(hfac)2] and molecular H2 as co-reactant on Ta,

TaN, and TiN at 300 °C. However, Cu ALD with [Cu(hfac)2] resulted in fluorine contaminations in the film resulting in lower adhesion to substrate. Furthermore, corrosive hydrofluoric acid (HF) by-products can etch the substrate and stress the vacuum pumps. With enormous interest in the Cu deposited by ALD, a research group led by i R. G. Gordon studied some Cu(I)-amidinate precursors such as [Cu( Pr-amd)]2, s n [Cu( Bu-amd)]2, and [Cu( Pr-amd)]2 [120]. This class of Cu precursors is non-fluorinated, has a relatively high reactivity (also reactive with molecular H2), and has high vapor pressure. First study of the Cu ALD using Cu(I)-amidinate is reported using i i [Cu( Pr-amd)]2 and molecular hydrogen at 280 °C on SiO2 [84]. [Cu( Pr-amd)]2 was solid s under evaporation conditions [120]. Particularly, [Cu( Bu-amd)]2 has higher vapor pressure, stable, and liquid under experiment condition [101]. Numerous studies of the Cu s ALD using [Cu( Bu-amd)]2 have been reported which included direct Cu ALD, plasma Cu

ALD, and indirect Cu ALD by subsequent reduction of ALD Cu3N [103,120,121]. However, the above mentioned processes failed to produce smooth, clean and closed Cu films on arbitrary substrate. With interest in low temperature Cu ALD, new precursor and co-reactant systems have been reported. Clean Cu films have been produced by using[Cu(dmap)2] and ZnEt2 at temperatures between 120-150 °C [109]. ALD has been reported by using [Cu(dmap)2] and borane-dimethylamine as co-reactant on Ru and Pt [108]. It was reported that the Cu film deposited at 120 °C resulted in a surface roughness of 5.8 nm at a film thickness of 17 nm on Ru, Cu film deposited at 140 °C resulted in a surface roughness of 1.9 nm at a film thickness of 20 nm on Pd [108]. These processes are also not suitable for obtaining ultrathin and continuous Cu layers below 5 nm. Plasma ALD has been widely used in the ALD community to reduce the deposition temperatures and decrease the level of impurities [95]. The Cu films produced by plasma based ALD processes exhibited relatively higher surface roughness compared to the film deposited by the thermal ALD processes [95,96,98]. For example, Cu seed layers have been deposited by ALD using [Cu(acac)2] and H2 plasma at temperatures below 150 °C [44]. However, in this process higher surface roughness around 2.5‒3.0 nm for 25 nm thick films was reported [44]. In another report, Cu seed layers have been deposited on

50

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

SiO2 and Ru surfaces by ALD using [Cu(dmap)2] and H2 plasma at temperatures between

40‒150 °C [23]. Strong agglomeration of Cu was observed above 90 °C on SiO2 [23]. Thus, above mentioned plasma ALD processes could be inapplicable for the growth of ultrathin, conformal, and continuous Cu layers. Recently, high purity, smooth, low i resistivity Cu films have been deposited by using [Cu( Pr-amd)]2 and hydrogen plasma at temperatures between 50‒150 °C on Si and glass substrates [114]. In this process, strong agglomeration of Cu has been reported above 120 °C for nearly 20 nm Cu films [114]. Furthermore, another issue related with the direct plasma ALD is the plasma induced substrate damage. Remote plasma ALD is better than direct plasma process as plasma is not directed towards the substrate [122]. Despite the number of works reported with respect to the ALD of Cu, growth of ultrathin, smooth, and continuous Cu layers on arbitrary substrate is still one of the challenging tasks within ALD community. In the above discussed ALD processes, only few studies have been reported monitoring the film growth mechanism and the surface chemistry during the growth of ultrathin Cu films. This is the principle challenge for the development of the precursor suitable for the deposition of ultrathin Cu films by ALD on arbitrary substrate. Another major challenge associated with the Cu ALD is the growth at temperatures below 150 °C [23]. These two challenges associated with the growth of ultrathin Cu films by ALD would be discussed in detail in the following sub-sections.

2.3.1 In-situ monitoring of the thin film growth One of the major challenges associated with the production of an efficient precursor for the growth of metal by ALD is to understand the complex precursor surface chemistry and film growth mechanism. As device feature size goes smaller the material film thickness goes thinner, which necessitates a real-time monitoring and control of the deposition process to improve the production yield. Particularly, ALD process involves complex chemisorption taking place between the precursor molecule and the solid surface. The chemisorbed molecules are very sensitive to ambient air. This requires either real-time or in-vacuu investigation of the material during the thin film growth. For development of the successful metal ALD process, the precursor molecule must not undergo any change of its chemical properties after first half-cycle precursor dosing step [45]. Many issues are not clearly understood especially related to the initial surface chemistry of metal-organic

51

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges and organo-metallic precursors, such as loss of self-limited growth, stepwise conversion of ligands, and decomposition of the precursor in several steps generating different intermediates [24,25]. These individual surface phenomena must be properly understood for the systematic design of the Cu ALD precursors and the improvement of the already existing ALD processes. For this purpose, in-situ growth monitoring of an ALD process is highly desirable in understanding the surface chemistry and the development of new precursors for improved process characteristics [47]. Quadrupole mass spectrometer (QMS), spectroscopic ellipsometry (SE), quartz crystal microbalance, temperature programmed desorption combined with QMS, and Fourier transform infra-red spectroscopy (FTIR) are generally applied real-time characterization of thin film growth [24,45,123]. XPS, AFM, scanning tunneling microscopy tools sharing common vacuum with the ALD reactor are occasionally applied as in-vacuu (or quasi in-situ) investigation techniques [114,123]. Only few studies on the surface chemistry of the Cu precursors are available with reference to the ALD of Cu [45,124,125]. The first half-cycle investigation of some of the Cu precursors listed in Table 5, namely thermal chemistry, thermal decomposition studies, or initial chemisorption has been reported. The investigations were carried out to s understand the thermal chemistry of Cu precursors such as [Cu(acac)2], [Cu( Bu-amd)2],

[Cu(hfac)2], and [Cu(tmhd)2] during first-half cycle reporting the temperature limit for the Cu ALD [45,97,124,125]. Second half-cycle investigation was reported only for s [Cu( Bu-amd)2] precursor to study the agglomeration of Cu [45]. Furthermore, different growth modes such as ligand exchange chemistry, combustion chemistry, are rather important phenomena during second half-cycle leading to better understanding of the growth of Cu during ALD process and designing improved precursor molecules [25].

2.3.2 Low temperature ALD of Cu For the deposition of ultrathin and continuous Cu layers low process temperatures are necessary [23]. At lower temperature the surface mobility of Cu atoms are diminished, so that agglomeration of the films can be avoided and continuous films are potentially obtained already at very low thickness [114]. It has been reported that agglomeration of Cu is due to higher surface-interface energy and strong agglomeration of Cu was reported

52

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

on SiO2, WN, and Si3N4 substrates [126]. In contrast, agglomeration of Cu was diminished on Ru surfaces [127]. With enormous interest in low temperature ALD of Cu, the ALD group in Technische Universität Chemnitz developed the mixed precursor technology for the growth of Cu by subsequent reduction of Ru-doped Cu2O layers deposited by ALD [128]. Small amount of Ru precursor was added into the Cu precursor to get the catalytic amount of Ru into the Cu2O film. Ru-doped Cu2O deposited by ALD has been reported from the n mixture consisting of 99 mol% of [( Bu3P)2Cu(acac)]as Cu(I) beta-diketonate precursor 5 5 and 1 mol% of Ru(η -C7H11)(η -C5H4SiMe3)] as Ru precursor with wet-O2 as co-reactant on TaN [129]. The principal goal of having catalytic amount of Ru precursor was to facilitate low temperature (< 150 °C) reduction of Ru-doped Cu2O films to metallic Cu by applying HCOOH as reducing agent on arbitrary substrate. When ALD of Cu2O from the mixture was carried out on TaN substrate, remarkable changes in the film morphology were seen after subsequent reduction with HCOOH already at 115 °C [129]. Most of the

Cu2O could be converted to Cu and few residual Cu(I) species were observed in the XPS. These Cu(I) species were attributed to the air contact of the samples before ex-situ XPS analysis. Catalytic metals such as ruthenium enable the dissociation of HCOOH into reductants such as atomic hydrogen and carbon monoxide (CO) as shown in Equations 5 and 6 [130,131]. Reduction of Cu2O to Cu as shown in Equation 7 by hydrogen atoms or CO as shown in Equation 8 is favorable at room temperatures and at 180 °C, respectively [132,133,134]. Furthermore, it has been reported that Ru inhibits the agglomeration of Cu by the minimization of surface energy [126]. Thus, it is predicted that the catalytic amount of Ru in the Cu2O film supports, on the one hand, the subsequent reduction to metallic Cu, and on the other hand, promotes the formation of continuous Cu layer.

Ru HCOOH  CO2 + 2 H (5)

Ru HCOOH  CO + H2O (6)

Cu2O + 2 H  2 Cu + H2O (7)

Cu2O + CO 2 Cu + CO2 (8)

53

Chapter 2 Atomic Layer Deposition — Introduction, Applications, and Challenges

The mixed precursor system by deploying the Cu and Ru precursor mixture discussed in this section is found to be the most promising methodology for obtaining ultrathin, smooth, and continuous Cu films by ALD on arbitrary substrate. However, the applicability of Cu(I) beta-diketonate precursor for direct thermal Cu ALD has not been well reported, particularly limited by the disproportionation reaction of this class of molecules on metallic surfaces [135,136,137,138]. In previous studies related to the CVD of Cu from Cu(I) beta-diketonates such as [Cu(hfac)(mhy)], [Cu(hfac)PMe3], [Cu(mhd)(btmsa)], and [Cu(hfac)(vtms)] have depicted thermally induced disproportionation reactions producing Cu(0) and Cu(II) molecules. In these chemical reactions, the volatile Cu(II) by-products, such as [Cu(hfac)2] or Cu(mhd)2 and the dissociated ligands, such as mhy or PMe3 or btmsa or vtms are quite stable below 250 °C and leave the substrate without decomposition. Thus, it is necessary to study the surface chemistry of this precursor for ALD by in-situ investigation. The knowledge of the surface chemistry can be applied to optimize the existing co-reactants and the ALD process. Considering outmost necessity of monitoring the growth of ultrathin Cu films deposited by ALD, the XPS has been applied as the in-situ technique for studying the surface chemistry and understanding the thin film growth modes in this dissertation. In-situ XPS is one of the indispensable measurement techniques for the investigation of chemical properties of ultrathin films. It is highly surface sensitive because it analyses only the upper few nanometers [139]. Elemental composition of atomic species also termed as atomic concentration and chemical state can be identified from the XPS spectra. These special features of in-situ XPS are used in this work. This dissertation will report the ALD of ultrathin Cu layers studying an entire growth life-cycle, starting from the precursor surface chemistry up to the formation of a continuous layer. In addition, surface roughness, surface composition, and film-thickness of Cu layers are also studied by ex-situ measurement techniques such as AFM, SE, and LEIS. Chemical information from the large surface is obtained from the LEIS investigations [140]. Compared to another technique such as TEM, it gives direct information about the atomic species and is not limited to small spot size. Therefore, information about the continuity of a film can be directly obtained by LEIS measurements [140]. Precursor considered, experimental approach, and measurement techniques used for the growth and investigation of ultrathin films will be discussed in the following chapter.

54

Chapter 3 Experimental and Measurement Technique

Chapter 3 Experimental and Measurement Techniques

3.1 Precursor considered n In this dissertation, the mixture consisting of 99 mol% of [( Bu3P)2Cu(acac)] and 5 5 1 mol% Ru(η -C7H11)(η -C5H4SiMe3)] (Figure 11) is applied for the growth of Cu and

Cu2O by ALD. The precursor mixture was synthesized according to the literatures [141,142]. The physical properties of precursors are listed in Table 5.

n 5 5 Figure 11: [( Bu3P)2Cu(acac)] and Ru(η -C7H11)(η -C5H4SiMe3)] precursor, applied for the deposition of Cu and Ru-doped Cu2O by ALD.

Table5: Properties of the Cu precursor and Ru precursor applied for the ALD of Cu and

Ru-doped Cu2O [141,142]. Properties Cu precursor Ru-precursor Molecular wt. (g/mol) 567.3 g/mol 333.5 g/mol Vapor pressure (mbar) 0.02 (at 98 °C) 1.1 (at 100 °C) Phase Liquid at ambient temperature Liquid at ambient temperature Characteristics Decomposition at 237 °C and Decomposition at 196 °C (TG-analysis) 235 °C Storage Stored for longer period in Stored for longer period in inert atmosphere (2 years) inert atmosphere (2 years)

Thermogravimetric (TG) study of the copper and ruthenium precursor showed that the precursors are thermally stable below 200 °C [141,142]. This precursor mixture was

55

Chapter 3 Experimental and Measurement Techniques stored in a sealed stainless steel bubbler in argon atmosphere at room temperature. Both precursors are liquid under ambient conditions.

3.2 In-situ growth monitoring system and the ALD reactor The ALD process and monitoring the growth of material during a deposition process were carried out in the 200 mm cluster deposition tool (Figure 12). The cluster system features sub-systems for automatic control of deposition processes such as ALD, CVD, and ion beam sputter deposition (IBSD), and a sub-system for in-situ XPS.

Figure 12: Scheme of 200 mm cluster deposition tool featuring two ALD chambers, one sputtering chamber (IBSD), one CVD chamber, and an in-situ XPS system. ALD chambers are connected by an in-vacuu transport chamber with the XPS system.

Cluster tool is directly connected to the XPS system through the in-vacuu transport chamber sharing common vacuum between the systems for in-situ surface analysis. Growth monitoring of ALD films were carried out by the in-situ XPS attached to the cluster tool. The cluster system is supported by inline monitoring software, which on the one hand automatically regulates all the sub-systems and on the other hand gives an opportunity to write a recipe for automatic control of the deposition process. The ALD reactor is equipped with direct liquid injection (DLI) type liquid delivery systems (LDS) — Vapbox and controlled evaporation and mixing (CEM) systems, pumping systems, and wafer heating systems, schematically depicted in Figure 13.

56

Chapter 3 Experimental and Measurement Techniques

Ar Formic acid CO O 2 Ar purge gas CEM2 Cu precursor Ar Water Ar

CEM1 Vapbox Control valves

Reactor Wafer Wafer heater

Exhaust pumps

Figure 13: Schematic diagram of the ALD reactor, featuring Vapbox for the evaporation of Cu precursor, CEM1 for the evaporation of water, CEM2 for the evaporation of formic acid, Ar purge gas and O2 gas inlets used for the ALD of Cu2O. The respective vapor and gas lines are connected to the reactor via control valves directly above the wafer.

The perpendicular-flow type ALD reactor is cylindrical in shape. The ALD reactor is provided with exhaust systems supported with turbo-molecular pump and roots pump. By applying the turbo-molecular pump a base pressure of 10-7 mbar is achieved. During the ALD process, the ALD reactor is pumped by the roots pump. Various heating systems are installed to enable the separate temperature control of the multiple components such as LDS, liquid delivery lines, vacuum lines, and the reactor wall. The precursor mixture was evaporated by using a Kemstream Vapbox 500 mounted directly on top of the reactor. The Vapbox mixes the liquid precursor with the Ar carrier gas and delivers the precursor vapor into the ALD chamber. Two injectors are provided separately for the injection of liquid, and the mixture of liquid and the carrier gas. Liquid is evaporated in the Vapbox in the following steps:

Step 1: Pulsed injection of liquid through the injection heads into the mixing head. Step 2: Pulsed injection of mixture of liquid and carrier gas into the evaporator. Step 3: Incoming gaseous mixture is flash evaporated. Step 4: The vaporized mixture is inlet into the ALD chamber.

57

Chapter 3 Experimental and Measurement Techniques

The Cu precursor used for the experiments has a low vapor pressure (psp) of 0.02 mbar at 98 °C, which necessitates the Vapbox to be heated to higher temperatures to obtain sufficient vapor pressure. In addition, the liquid and carrier gas flow into the Vapbox must be matched so that the experimental vapor pressure of the precursor is sufficiently higher than its calculated partial pressure to avoid condensation. A typical pulsing scheme for the Vapbox for the evaporation of the Cu precursor mixture is depicted in Figure 14. For example, total injection time (t) of 600 ms, ton1 of 400 ms, toff1 of 153 ms, ton2 of 2 ms, and toff2 of 150 ms was applied. Using these Vapbox injection parameters the average rate of liquid flow (Fliquid) equals to 8 mg/min and Ar carrier gas flow (Fcarrier) of

1000 sccm was obtained. The average chamber pressure (pchamber) under the above mentioned flow rate conditions was found to be around 1 mbar, when Vapbox was kept at 115 °C.

Figure 14: Pulsing scheme for the evaporation of liquid using a Vapbox. The ton1,2 and toff1,2 represents either on or off duration of either Ar carrier gas or liquid injection per total injection time (t).

The partial pressure of the Cu precursor (pp),under above mentioned flow rate in the Vapbox, can be calculated by applying Riedel equations, as shown by Equations 9 and 10 [143].

8 휂푙푇 푝 = 2 푝 퐹 + 푝2 (9) 푣푎푝푏표푥 0 휋푟4 273.15 퐾 푐푎푟푟푖푒푟 푐푕푎푚푏푒푟

퐹푙푖푞푢푖푑 푝푣푎푝푏표푥 푝푝 = (10) 퐹푙푖푞푢푖푑 + 퐹푐푎푟푟푖푒푟

58

Chapter 3 Experimental and Measurement Techniques

22.4 l/mol 퐹푙푖푞푢푖푑 (푚푔 /푚푖푛 ) 퐹푙푖푞푢푖푑 푠푐푐푚 = (11) 푀푙푖푞푢푖푑 (g/mol )

Where, η represents the viscosity of the carrier gas, T represents the temperature of the delivery line, l represents the length of the delivery line, r represents the inner radius of the delivery line, p0 represents the normal pressure of 1013 mbar, pchamber represents the average pressure of the ALD reactor, and pvapbox represents the pressure at the orifice of the outlet of the Vapbox. Using Equations 9, 10, and 11 the partial pressure of the Cu precursor can be calculated to be 0.006 mbar. The experimentally obtained vapor pressure of the Cu precursor at 115 °C was reported to be 0.076 mbar [22]. It can be calculated that the vapor pressure of the Cu precursor is nearly 13 times higher than the partial pressure, when Vapbox was heated to 115 °C, maintained at 1000 sccm Ar carrier gas and 8 mg/min liquid flow rate. The condition for the evaporation of the Cu precursor in the Vapbox can be satisfied, where the partial pressure of the precursor is found to be much higher than its vapor pressure. The ratio between precursor vapor pressure and partial pressure, when Vapbox was maintained at different temperatures and liquid flow rate is compared in Table 6.

Table 6: Comparison between the Cu precursor partial pressure and the precursor saturated vapor pressure, when Vapbox is kept at different temperatures and maintained at different flow rate.

S. N. pchamber ϑvapbox ptot Flow rate pp psp Ratio

풑풔풑 (mbar) (°C) (mbar) (mbar) (mbar) 풑 Fcarrier Fliquid 풑 (sccm) (mg/min) 1 1 90 18.3 1000 8 0.006 0.02 3 2 1 105 18.4 1000 8 0.006 0.03 5 3 1 110 18.5 1000 8 0.006 0.05 8 4 1 115 18.6 1000 8 0.006 0.076 13 5 1 115 18.6 1000 15 0.010 0.076 7 6 1 115 18.6 1000 20 0.015 0.076 5 7 1 115 18.6 1000 25 0.02 0.076 4

59

Chapter 3 Experimental and Measurement Techniques

The condition of evaporation could not be satisfied in other cases, where the Vapbox was heated between 90-110 °C and the liquid flow was varied between 15-25 mg/min. In these cases the ratio between saturated vapor pressure of Cu precursor and partial pressure of Cu precursor is found to be below ten. In these cases, condensation of the precursor in the Vapbox is expected. This was corroborated by the observation of precursor drop on the substrate. Thus, in all the deposition experiments the Vapbox was heated to a temperature of 115 °C and a precursor flow of 8 mg/min and an Ar carrier gas flow of 1000 sccm were maintained during evaporation in order to obtain sufficient vapor pressure and to prevent condensation of the Cu precursor inside the Vapbox. In this work, Bronkhorst CEM is used for the delivery of water vapor and HCOOH. The Corriflow liquid flow meter continuously measures the amount of liquid supplied into the CEM. Water was evaporated in the CEM heated to 80 °C and the water vapor inlet line was also heated to 80 °C. CEM operates in the continuous mode and the precursor is send to the bypass when not required in the ALD reactor. During the precursor dosing steps and the purging steps of the ALD cycle, the water vapor was introduced into the bypass line. The main disadvantage associated with CEM is the loss of precursor since precursor needs to be sent to the bypass. The bypass line goes into the main exhaust line below the chamber.

3.3 In-situ investigation by XPS XPS is an excellent tool for monitoring the growth of material during a deposition process. The XPS is highly surface sensitive technique because only the electrons from the upper few nanometers of the sample are able to escape from the solid sample [139], since elastic and inelastic scattering of photoelectrons with the samples limit the escape depth to few nanometers. It is based on the photoelectric effect discovered in 1887 and it is also termed as the electron spectroscopy for chemical analysis (ESCA). The XPS system is schematically depicted in Figure 15. When X-rays with an energy which corresponds to hν hit the sample surface, the electrons from the sample surface are excited. The released photoelectrons will have a certain kinetic energy (KE, EK) which depends on the binding energy (BE, EB) of the electrons, the work function of the spectrometer (ϕspec), and the energy of the used X-rays (Equation 12).

60

Chapter 3 Experimental and Measurement Techniques

Figure 15: Schematic diagram of the XPS measurement technique

In Figure 16, the inelastic mean free path (IMFP) of the electron in Cu is depicted as a function of the KE of emitted electron. It can be observed in the figure that the IMFP increases with the increasing kinetic energy of electrons and IMFP of electrons in Cu is only few nanometers, for example 1.3 nm at a KE of 555 eV. It must be noted that the more accurate description of the measure of electron attenuation from the sample surface is the effective attenuation length, as it includes both elastic and inelastic scattering of electrons [144].

Figure 16: IMFP of electron in Cu plotted as the function of KE [144].

The energy level diagram of the electron emitted from the conductive sample and insulating sample is illustrated in Figure 17. In contrast to metallic surface, the surface of

61

Chapter 3 Experimental and Measurement Techniques an insulating sample gets positively charged under exposure of X-ray photons due to release of photoelectrons. This positive charge retards photoelectrons due to Coulomb interaction leading to a lower KE. This change in the KE is termed as charging energy

(Ech). This condition is mathematically represented in Equation 13.

EK = hν – EB – ϕspec (12)

EK = hν – EB – ϕspec – Ech (13)

Without compensation of the surface positive charges, Ech becomes non-linear with time and saturates after finite time period. This results in erroneous measurement of the KE of the emitted electrons. Hence, a low energy electron beam is used for the compensation of the surface charge during XPS measurement in this dissertation [145,146]. Apart from low energy flood guns, magnetic lenses which direct or reflect low energy electrons to the surface have been reported for the compensation of surface charge [147].

Figure 17: Demonstration of the potential level of a conductive surface and an insulating surface. In insulating sample, there would be a shift in the vacuum level of the sample.

When sample surface is exposed to X-ray photons, apart from primary photoelectrons released from the core-level, secondary electrons are released from the valence level termed as Auger emission. Photoelectron emission and Auger emission process is schematically depicted in Figure 18.

62

Chapter 3 Experimental and Measurement Techniques

Figure 18: Theoretical representation of the photo emission and Auger emission observed in the XPS when X-ray with energy (hν) is applied over the surface. Photoemission is the primary emission while Auger emission is the secondary emission during X-ray exposure on to the sample.

The Auger emission necessitates a hole in the valence band, which is generated during X-ray exposure. It must be noted that the Cu Auger KE transition line consists of triplets, and the most intense transition line is termed as Cu L3VV line [139], which was considered as the Auger KE spectrum throughout the analysis in this work. Since the Auger process in the transition metals from scandium to copper involves two valence electrons, the L3VV Auger KE position in these elements is more sensitive to change in chemical environment compared to the photoelectron BE position [139]. For 3d transition metals such as Zn, Cu, Ti, Fe, and Ni distinguishable 1S and 1G 3 satellite peaks along with main P Auger line have been reported in the Auger L3VV spectrum [148]. These 1S and 1G satellite are observed at the lower KE side of the 3P Auger transition which is the distinguishable feature in the XPS. These satellites are due to vacancies in the valence band, and resulted from the Coster-Kronig and super Coster-Kronig transition to deeper levels or a shake-off process [149,150,151]. The atomic concentration, chemical states, and film thickness of ultrathin films deposited by ALD were studied by in-situ XPS in this dissertation.

Instrumentation: The surface chemistry of the Cu precursor and growth of ALD films are investigated using a PREVAC XPS system kept at ultrahigh vacuum conditions of 1×10-9 mbar chamber pressure. Monochromatic aluminum Kα radiation (1486.6 eV) is provided by a VG Scienta MX 650 X-ray source and monochromator system. The energy

63

Chapter 3 Experimental and Measurement Techniques distribution of the photoelectrons is measured by a VG Scienta EW3000 XPS/UPS/ARPES analyzer. This analyzer was operated at 200 eV pass energy. During the XPS measurements low energy electron flood gun- Flood Source 40A1 from PREVAC was applied for the compensation of surface charge. The BE scale of the XPS system is calibrated with respect to the Ag 3d5/2 peak at 368.5 eV. The measurement parameters and conditions were fixed throughout the experiments. For each measurement the BE was shifted to account for residual charging assuming no change in the substrate chemical state with respect to C 1s at 284.6 eV [152] or Si 2p at 103.4 eV [153]. Casa XPS 2.3.16 Pre-rel 1.4 software was used for the analysis of the XPS spectra. For studying the XPS spectra Shirley background has been employed [154,155].

3.3.1 Study of the atomic concentration

The atomic concentration (Xi) of the elemental species (i) is obtained from the XPS survey spectrum by applying Equation 14. The survey spectrum is obtained between the 0-1200 eV BE. The measured intensity (Y) of the elemental species is calculated from the cross section area of the plot between counts per second (CPS) and the BE. The measured intensity from each elemental species is corrected by applying Equation 15. Where, I represents the corrected intensity, S represents the corrected relative sensitive factor (RSF) as listed in Table 7, and x represents the correction factor which depends on the KE of emitted electrons. The factor x that equals 0.75 was used for the escape depth correction in Casa XPS [156].

퐼푖 푋푖 = 푛 100 % (14) 1 퐼푖 푌 퐼 = 푥 (15) 푆퐸퐾

64

Chapter 3 Experimental and Measurement Techniques

Table 7: RSF applied for the calculation of atomic concentration (X), based on Scofield [157] and corrected using the monochromator analyzer angle equals to 52.55° [158]. Corrected RSF Corrected RSF S. N. i S. N. i (Si) (Si) 1 C 1s 1.0 5 P 2p 1.2

2 Co 2p3/2 12.8 6 Ru 3d5/2 7.4

3 Cu 2p3/2 16.9 7 Ru 3d3/2 5.1 4 O 1s 2.9 8 Si 2p 0.8

3.3.2 Study of the surface and layer composition The surface composition represents the layer investigated immediately after ALD. To get the film composition, the upper surface was cleaned by applying a low energy Ar sputter gun for 30 s operated at 4 keV energy, 5 mA current and at an angle of 70° with respect to sample surface. The sputter gun was placed 72 mm away from the sample surface. The sputtered spot size was 2.5 × 2.5 mm. In this dissertation, thicknesses of ALD films investigated are in the range 2-5 nm. Hence, the signals from the ALD film and the substrate are expected in the XPS. The composition belonging only to the ALD film, also named as ALD layer composition, is calculated by the deconvolution of the O 1s core-level spectrum. For example, O 1s core-level spectrum was deconvoluted by Gaussian-Lorentzian mix spectra

(30% Lorentzian [154]) for the calculation of the Cu2O ALD layer composition by deducting the signal from the SiO2substrate. The literature values of the peak positions opted for the deconvolution of the SiO2, CoOx, CuO, Cu2O, and Cu(OH)2 using the O 1s spectrum are listed in Table 8. In this table, CuxO and CoOx represent all Cu-oxides and Co-oxides, respectively.

65

Chapter 3 Experimental and Measurement Techniques

Table 8: Literature reported values of the O 1s BE position in different chemical states observed in the XPS [159,160,161,162].

S. N. Chemical state BE S. N. Chemical state BE (eV) (eV)

1 SiO2 532.8 4 CuO 529.5

2 Cu(OH)2 531.5 5 CuxO 530.5 ± 1.0

3 Cu2O 530.8 6 CoOx 528.5 ± 0.5

Atomic concentration of ruthenium and hydrocarbons was investigated by studying the core-level spectra of C 1s, Ru 3d5/2 and Ru 3d3/2 together. The Ru 3d and C 1s signals are deconvoluted between 280–290 eV BE position [159]. For this purpose, the BE of the carbon and ruthenium in different chemical states reported in the literatures were considered as the reference positions. The BE of the C 1s and Ru 3d in different chemical states are used, which are listed in Table 9.

Table 9: The literature values of the C 1s and Ru 3d BE position in different chemical states observed in the XPS [159,163,164].

S. N. Chemical state BE S. N. Chemical state BE (eV) (eV)

1 Adventitious carbon 284.6 5 Metallic Ru 284.0

(C-C) (Ru 3d3/2)

2 Carbonates and 286.0 6 Ru-oxides 283.3 ± 0.5

bicarbonates (C-O) (RuOx in Ru 3d5/2)

3 Carbonates and 286.8 7 Ru-oxides 287.8 ± 0.5

bicarbonates (C=O) (RuOx in Ru 3d3/2)

4 Metallic Ru 280.0

(Ru 3d5/2)

66

Chapter 3 Experimental and Measurement Techniques

3.3.3 Study of the oxidation state by XPS

Oxidation states of the ALD films were identified by studying the Cu 2p3/2 photoelectron and Cu L3VV Auger spectra together. In order to distinguish the three oxidation states of Cu in Cu(0), Cu(I), and Cu(II) states, both photoelectron and the Auger peaks are studied. The BE position of the peak maxima of Cu(0), Cu(I) and Cu(II) species were identified by the deconvolution of the experimentally obtained Cu 2p3/2 and

Cu L3VV Auger spectra by Gaussian-Lorentzian mix spectra (30% Lorentzian). A Savitzky-Golay linear filtering algorithm with a smoothing width of 1 eV was applied to improve the signal-to-noise ratio of the Cu L3VV Auger spectrum [165]. The BE positions of Cu in different oxidation states and chemical phases reported in the literature are listed in Table 10. In addition, the Cu(OH)2 has been reported to be identified at Cu 2p3/2 of 935.0 eV [160].

Table 10: Literature values of the Cu 2p3/2, Cu L3VV peak positions, and the Cu Auger parameter (α) of the Cu in different chemical states and chemical phase.

Chemical Cu in dispersed phase [166] Cu in thinfilms [159,166] state Cu 2p3/2 Cu L3VV α Cu 2p3/2 Cu L3VV α (eV) (eV) (eV) (eV) (eV) (eV)

Cu(0) 933.1 916.8 363.2 932.7 918.7 364.8

Cu(I) 932.6 915.0 360.8 932.4 917.9 362.4

Cu(II) 935.5 914.0 362.9 933.8 919.0 364.8

The oxidation state of ALD films were studied using the Auger parameter (α) shift. The Auger parameter can be calculated by applying Equation 16, alternatively written as Equation 17 originally proposed by C. D. Wagner [153]. In this work, absolute value of the Auger parameter is calculated from the peak maxima of the Cu 2p3/2 and Cu L3VV spectra, as:

훼 = 퐸퐵 푖 + 퐸퐾 푗푘푙 − 푕휈, or (16)

훼 = 퐸퐾 푗푘푙 − 퐸퐾 푖 (17)

67

Chapter 3 Experimental and Measurement Techniques

Where, EB (i) represents the BE of an electron in orbital i, EK (jkl) represents the Auger transition jkl and EK (i) represents the KE of the photoelectron emitted from the orbital i, and hν represents the X-ray excitation energy that equals 1486.6 eV. According to Equation 17, Auger parameter is the difference between the KE of an Auger transition line and KE of a photoelectron line. Hence, it is independent of the reference level and static charging. Therefore, Auger parameter can be directly taken as the chemical footprint of an atom in a certain oxidation state and chemical phase, equally valid for both insulating and metallic substrates [153,159]. It has been reported that the Auger parameter of Cu is sensitive to the amount of material deposited and the coordination number of Cu [167,168,169]. Thus, Auger parameter can vary between dispersed phase to bulk phase depending on the coverage of material or density of Cu atoms as shown in Table 10 [166]. The Auger parameter is also prone to higher measurement errors compared to the photoelectron BE position. As suggested in the literatures [145,170], errors in Auger parameter were minimized by stabilizing the surface potential using a low energy flood gun and recording the measurement after sufficiently long periods of X-ray exposure (nearly 10 min) to reach equilibrium.

3.3.4 Film thickness measurement by XPS Considering homogeneous thin layer of material (A) on the surface of another material (B), film thickness of material A (t) can be calculated using Hills equation as shown in Equation 18 [171], as:

퐼퐴 퐼퐵 푡 = −휆퐴퐶표푠 훾 푙푛 + 1 (18) 푆퐴 푆퐵

Where, IA represents the corrected intensity of material A, IB represents the corrected intensity of material B, SA represents the corrected relative sensitivity factor of material A,

SB represents the corrected relative sensitivity factor of material B, γ represents the angle between analysator and sample normal (50°), and λA is the IMFP of electrons from material A (depending on the KE of emitted electrons) in the XPS. For studying the growth of Cu films on Co substrate, the λA that equals 1.3 nm was used [144]. The film thickness and GPC measured by the XPS was compared with the measurement from the spectroscopic ellipsometry (SE).

68

Chapter 3 Experimental and Measurement Techniques

3.4 Ex-situ investigations 3.4.1 Film thickness and GPC measurement by spectroscopic ellipsometry (SE) The SE facilitates the non-destructive optical characterization of thinfilms. In this dissertation, film thickness, refractive-index, nonuniformity, and GPC of ALD films were obtained by ex-situ SE. Film thickness nonuniformity is calculated by applying Equation 19, considering 81 point film thickness mapping, as:

ζ 푁표푛푢푛푖푓표푟푚푖푡푦 = ∙ 100% (19) 푡푎푣

Where, ζ represents the standard deviation and tav represents the average film thickness. GPC is obtained by dividing the average film thickness value by the number of cycles. Different SE models were applied for the fitting of the Psi and Delta spectra to get the film thickness. For example, a Cauchy Model was opted for studying SiO2 film thickness and a Lorentz-Drude oscillator model was used for the ALD films [172,173]. The fit was carried out in the spectral range between 380-830 nm. Generally, spectroscopic ellipsometry (SE) works in the principle of Fresnel reflection where the circularly polarized light enters into the layered materials it changes into the elliptically polarized light [174]. The SE measurement can be represented by two terms of Psi (ψ) and Delta (Δ), which is related in Equation 20 as:

푟 푡푎푛 훹 . 푒푖훥 = 휌 = 푝 (20) 푟 푠

Where, rp and rs represents the complex Fresnel reflection coefficients of the sample for in-plane (p) and perpendicular plane (s) polarized light. The complex ellipsometric ratio (ρ) is measured as the function of wavelength (λ). The Psi and Delta are defined as the ratio of phase and the amplitude of the elliptically polarized light and they are used to calculate the complex refractive index of an unknown material, when light enters into the material from air or vacuum. Adding different angles of measurement, the sensitivity of the unknown parameter is optimized and new information about the materials is obtained [172]. Introducing different angle to the measurement of Psi and Delta, SE is also termed

69

Chapter 3 Experimental and Measurement Techniques as variable angle spectroscopic ellipsometry (VASE). The complex dielectric constant (휀 ) is represented by Equation 21, as:

1−휌 2 휀 = 휀 + 푖휀 = (푛 ) 2 = sin ϕ 2 . 1 + tan ϕ 2 . (21) 1 2 1+휌

Where, ϕ is the angle of incidence, 푛 is the complex refractive index.

 Cauchy model The mathematical model applied to describe the optical function of a material is the Cauchy model [173]. Three optical parameters describe the complex refractive index in this model, namely no, n1 and n2, considering only the real part. The general Cauchy model is represented by Equation 22, as:

푛 푛 푛 휆 = 푛 + 1 + 2 (22) 0 휆2 휆4

The SpectraRay/3 analysis software of the SE 850 system utilizes the slightly modified Cauchy model which is represented by Equation 23, as:

푛 푛 푛 휆 = 푛 + 퐶 1 + 퐶 2 (23) 0 0 휆2 1 휆4

The Cauchy model parameters for studying the SiO2 substrate are listed in Table 29.

 Lorentz-Drude (LD) oscillator model The LD oscillator model uses a physical description of the dispersion of the dielectric properties. The Lorentz term in the LD model describes the material function as a superposition of harmonic oscillators. Each harmonic oscillator is represented by a center frequency Ω0, a damping constant Ωτ, and an oscillator strength Ωp. Drude term in the LD model represents the free carriers, for describing the conductivity of the material.

The Drude function is represented by two model parameters, ωp as plasma frequency and

ωτ as damping constant. The SpectraRay/3 analysis software utilizes the LD model, which is represented by Equation 24, as:

70

Chapter 3 Experimental and Measurement Techniques

2 휔푝 푛 Ω푝푘 휀 휈 = 휀∞ − 2 + 푘=1 2 2 (24) 휈 + 푖휔휏휈 Ω0푘 − 휈 −푖Ω휏푘 휈

Where, ν represents the wavenumber, k represents the number of oscillators, and 휀∞ represents the high frequency complex dielectric constant. The LD model parameters for studying the Cu, Co, and Cu2O film thickness are listed in Tables 30–34.

Instrumentation: SE was carried out using a SENTECH SE850 from Sentech Instruments GmbH supported by the SpectraRay/3 analysis software. The spectrometer enable automatic mapping of up to 300 mm wafer diameter.

3.4.2 Study of the continuity of a film by LEIS The LEIS is ultrahigh sensitive technique for the analysis of the solid surface [140]. Layer thickness is also calculated from the LEIS investigations. In principle, the deeper the primary ions have to penetrate into the layer, the higher their energy loss, so they are detected at lower energies. These scattering processes give rise to a background extending from the surface peak to lower energies. The trailing edge of the sub-spectrum scattering signal indicates the thickness of the layer. In this case, to define the trailing edge, 50% intensity is used. Using SRIM software, a mean stopping power of 135 eV/nm for 3 keV + He ions in either CuO or Cu2O material was obtained for the calculation of the film thickness [175]. This value is already corrected for the scattering geometry of the Qtac100, with the primary ions hitting the sample orthogonally and backscattered by 145 °C.

Instrumentation: High sensitivity LEIS investigations were carried out in a Qtac100 LEIS tool from ION-TOF GmbH. LEIS was performed by applying a 4He+ ion with 3 keV energy. Before the measurement, the sample surface was cleaned with atomic oxygen for 5 min to remove organic adsorbents. A primary ion flux of 8.5×1013 ions/cm2 was applied per spectrum, ensuring non-destructive measurements. Sputter depth profiles were recorded by eroding the sample surface with a 500 eV Ar+ beam at an angle of 59°.

3.4.3 Study of the surface roughness of a film by SEM and AFM The SEM and AFM measurement techniques are standard methods for studying morphology of a film. Study of ultrathin films by SEM is rather challenging, since only

71

Chapter 3 Experimental and Measurement Techniques plan view images are obtained and the cross-sectional images of a film can only be obtained above 20 nm film thickness. Furthermore, SEM becomes even more challenging on insulating substrates such as SiO2. AFM is a non-destructive scanning probe method for the study of film morphology and roughness of thinfilms. The AFM investigations of the samples were carried out to obtain information about the film continuity and the root mean square (RMS) surface roughness.

Instrumentation: For studying the morphology of Cu2O and Cu films, SEM was carried out in a Carl Zeiss Supra 60 field-emission SEM system, operated with an acceleration voltage of 1 kV. A high efficiency in-lens electron detector was used as electron detector. An Agilent Technologies 5600LS system operated in the tapping mode was applied for the AFM measurement. A Si cantilever tip with a tip diameter below 10 nm was used.

3.4.4 4-point probe resistivity measurement The 4-point probe resistivity measurement is the destructive methodology for studying the contact resistance of the conductive material. The sheet resistance measurement methodology is simple and easy tool for performing quick test to observe the change in film-resistivity before and after the ALD process of a conductive surface.

Instrumentation: Sheet resistance (Rs) was measured in the Prometrix Omnimap RS50e system.

72

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the In-situ XPS

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the In-situ XPS

As discussed in Chapter 2, development of the Cu ALD process suitable for arbitrary substrate has been limited by the insufficient information about the precursor surface chemistry. In-situ investigation is the indispensable method for the study of precursor surface chemistry, as it avoids the exposure of the test surface to ambient air. In-situ XPS has been applied as the analysis tool for this purpose in this work, since elemental composition and chemical state of material are directly obtained. Surface n chemistry of the [( Bu3P)2Cu(acac)], as Cu precursor for the growth of Cu by ALD is studied in this chapter. A mixture consisting of 99 mol% of the Cu precursor and 1 mol% 5 5 of Ru precursor, [Ru(η -C7H11)(η -C5H4SiMe3)] has been used as the precursor. As discussed in Chapter 3, the ALD of Cu is so far only studied as a method for the deposition of Cu seed layers in ULSI circuit metallization [44,98,108]. Particularly, growth of Cu by ALD on Ta liner is widely studied within ALD community [87,107,110,115] and few works has been reported on Co liner [103]. With respect to the growth of Cu by ALD using Cu(I) beta-diketonate precursor on Ta liner, Waechtler et al. n have studied the direct thermal ALD of Cu using [( Bu3P)2Cu(acac)] on Ta [176], reporting island mode growth. This process is not suitable for the integration of the Cu seed layer on Ta liner for the interconnect applications. Hu et al. have reported the n gas-phase chemistry of [( Bu3P)2Cu(acac)], reporting the stability of precursor in gas-phase [177]. However, the surface chemistry of the studied Cu precursor has not yet been studied in detail. Furthermore, no ALD study has been reported for the application of Cu on Co substrates as a non-ferromagnetic layer for the realization of Cu/Co GMR spin-valve systems. In this chapter, the effect of the substrate temperatures and evaporation temperatures on the surface chemistry of the mentioned copper precursor on SiO2 as non-catalytic substrate and Co as catalytic substrate are studied. The principle goal of this study is to find the temperature limit for the thermal ALD on the respective test surfaces. The systematic evaluation of the chemical composition and oxidation state of the Cu precursor

73

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS molecule at different substrate temperatures are studied by using in-situ XPS. The experiment reflect the condition during the first half-cycle precursor dosing step of the ALD process and allows a detailed view into the surface chemical reaction of the Cu precursor on arbitrary substrate.

When the ALD Cu2O film on SiO2 from the pure Cu precursor was compared with the mixture of Cu and Ru precursors, no remarkable difference in the growth per cycle, film roughness, and morphology was observed [22,129]. Hence, in-situ surface investigations are carried out only with respect to Cu precursor, because it was seen before that the ALD growth of the Cu2O films was not affected by the addition of the catalytic amount of Ru precursor [129].

4.1 Experiments

For the preparation of SiO2 substrate, 200 mm Si wafers received from Siltronix were cleaned in a NH4OH (25%):H2O2 (30%):H2O (ratio 1:1:15 by volume) solution, followed by dipping in HCl (32%):H2O2 (30%):H2O (ratio 1:1:6 by volume) solution.

Approximately 20 nm of SiO2 were grown by dry oxidation using HCl (3%) and O2 at

900 °C. The SiO2 wafers were stored under ambient condition with no further treatment before the experiments. For the preparation of Co substrate, approximately 25 nm Co film was deposited on SiO2 by IBSD with Argon plasma for 20 mins. For the sputtering using IBSD, DC magnetron was operated at 350 W, respective beam voltage applied was 1200 V with the acceleration potential of 120 eV. The plasma current during the deposition was measured to be 2.9 mA and the sputtering chamber was maintained at 9.4×10-5 mbar with 8 sccm of Argon.

To understand the surface chemistry of Cu precursor on SiO2 and Co substrates, the test wafers were kept at different substrate temperatures and the Cu precursor was allowed to interact onto the surface for 15 s. The Vapbox was operated at the Cu precursor flow rate of 8 mg/min and Ar carrier gas 1000 sccm. The average chamber pressure was maintained at 0.25 mbar. Study of a carbon rich sample by XPS is rather challenging, since carbon rich sample are generally covered with negative charges on the surface [178]. Under the exposure of X-ray photons on such samples, the photoelectrons are excited to the vacuum generating positive charge on the sample surface [145]. When these surface positive

74

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS charges are not properly compensated with the sufficient amount of negative charges, they hinder the release of the electrons. This extra energy associated with the charging of sample surface is termed as charging energy as described in Equation 13. In addition, the charging effect is non-linear with time [178], which makes it difficult to re-calibrate the XPS spectrum with respect to adventitious carbon species (-C-C-) of C 1s at 284.6 eV [164]. For analyzing the carbon rich samples, charge compensation using a low energy flood gun was carried out as suggested by Baer et al. [145], where slight overcompensation of the surface charges was achieved by shifting the C 1s peak position to a lower BE position between 280.0–283.0 eV, compared to the BE position of the native carbons at 284.6 eV [164]. For this purpose, the flood gun potential is varied between 0–8 V, keeping the flood gun current fixed at 250 μA. Under sufficient compensation of the surface charges, the BE position between two elements must lock-in. Furthermore, at the locked-in condition, the charging energy varies linearly with the flood gun potential [145]. The linearity between the charging energy and the flood gun potential is verified by studying the C 1s, Cu 2p3/2 peak positions, the difference between the C 1s and Cu 2p3/2 peak positions, and the Auger parameter at different flood gun potentials as shown in Figures 19 and 20. The carbon-rich insulating sample was prepared by the evaporation of Cu precursor on SiO2 kept at 145 °C.

Figure 19: (a) C 1s and (b) Cu 2p3/2 core-level spectra observed in the in-situ XPS on the test sample (prepared by the evaporation of Cu precursor on SiO2), obtained at different flood gun potentials.

75

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

Figure 20: (a) Difference between the BE peak position of Cu 2p3/2 and C 1s, and (b) the Auger parameter plotted against the flood gun potential.

Under no compensation of surface charges (0 V flood gun potential), the C 1s peak position was observed at 302.8 eV [Figure 19(a)]. The observed C 1s peak position is much higher compared to the BE position of the native carbon surface observed at 284.6 eV [164]. This indicates that the test surface has gained positive charge. Furthermore, when flood gun potential difference was increased from 0 V to 3 V, the C 1s spectra shifts to 285.0 eV [Figure 19(a)]. When flood gun potential was increased further above 3 V, the C 1s BE peak position shifts to around 278–280 eV. This condition achieved is termed as over-compensation of the surface charge. Under this condition, the

Cu 2p3/2 peak shifts between 928–930 eV [Figure 19(b)]. Around 5 V flood gun potential difference was necessary to achieve the lock-in condition between C 1s and Cu 2p3/2 BE peak positions [Figure 20(a)]. In the lock-in condition the Auger parameter is independent of the flood gun potential [Figure 20(b)]. Hence, in all the XPS experiments flood gun potential difference of 6 V is opted for having slight over-compensation of the surface charge. In addition, this lock-in condition also satisfies that the C 1s peak position (considering adventitious carbon species at 284.6 eV [164]) can be used to re-calibrate the BE scale of the XPS spectrum.

76

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

4.2 Results and discussions

4.2.1 Characterization of the SiO2 and Co substrates

Thermally grown SiO2 and IBSD Co substrates before ALD process were analyzed by the in-situ XPS as shown in Table 11. The SiO2 substrate indicated the presence of 66.0 at-% O and 34.0 at-% Si and the IBSD Co substrate indicated the presence of 96.2 at-% Co and 3.8 at-% O. The carbon content on both test substrates was below the detection limit.

Table 11: In-situ XPS investigation of the SiO2 and Co substrates.

Substrate Process Atomic concentration (at-%) tav ρsheet O 1s Si 2p Co 2p C 1s nm µΩcm

SiO2 Thermally grown 66.0 34.0 n.a. 0.0 20.0 n.a Co IBSD 3.8 n.a. 96.2 0.0 25.0 33.2

The cross section SEM image and AFM image of the Co substrate is depicted in

Figure 21. An average Co film thickness (tav) of 25.0 nm was measured by the cross-section SEM. The AFM investigation depicted very homogeneous Co layer deposited on SiO2 with a RMS surface roughness of 0.2 nm, which corresponded similar to the SiO2 substrate.

(a) (b) Figure 21: (a) SEM cross-section investigation of the Co layer, (b) AFM surface morphology of the Co layer, deposited by IBSD on SiO2 substrate.

77

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

The specific sheet resistivity (ρsheet) of 33.2 µΩcm was obtained on Co surface. The measured value is nearly six times higher than the literature values (5.25 µΩcm at 20 °C [179]). The resistivity increment was probably caused by the oxidation of Co due to the air exposure during the transport between the IBSD and the ex-situ 4-point resistivity measurement.

4.2.2 Influence of the Vapbox temperature studied on SiO2 substrate

The influence of the Vapbox temperature (ϑvapbox) on the surface chemistry of the Cu precursor is discussed in this section. The Vapbox was heated at temperatures between

75-115 °C and the Cu precursor was allowed to interact with the SiO2 substrate kept at room temperature of 22 °C for 15 s. The chemical composition of the Cu precursor, measured by the XPS, studied at different Vapbox temperatures is listed in Table 12.

Table 12: Chemical composition of the Cu precursor on SiO2 kept at 22 °C, after the Cu precursor was evaporated between 75–115 °C for 15 s.

S.N. ϑvapbox Atomic concentration (at-%) Ratio (°C) Cu C P Si O C/Cu P/Cu 2p3/2 1s 2p 2p 1s 1 75 2.3 12.8 0.2 31.9 52.8 5.6 0.1 2 85 2.0 12.6 0.2 31.1 54.1 6.3 0.1 3 95 2.0 13.2 0.2 32.3 52.3 6.6 0.1 4 105 2.4 13.6 0.2 28.3 55.5 5.7 0.1 5 115 2.2 12.8 0.2 32.0 52.8 5.8 0.1

In all the experiments, XPS did not depict remarkable difference in the atomic concentration of the Cu precursor. In all investigations a C/Cu ratio of nearly 6.1±0.5 was identified on the surface, suggesting that the Vapbox temperature has no influence on the chemical composition of the Cu precursor on the SiO2 surface after the evaporation in the investigated temperature range. Vapbox temperature of 115 °C was chosen as the fixed temperature for all further experiments. This temperature was sufficient to avoid condensation of the precursor in the Vapbox under above mentioned Cu precursor flow rate of 8 mg/min and Ar carrier gas flow rate of 1000 sccm.

78

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

4.2.3 Influence of the substrate temperature studied on SiO2 substrate

The influence of the substrate temperature (ϑsub) of non-catalytic SiO2 substrate on the surface chemistry of the probed Cu precursor is studied by in-situ XPS. For this purpose, the Cu precursor was evaporated at 115 °C and allowed to interact with the SiO2 kept at temperatures between 22–300 °C for 15 s. The chemical composition of the Cu precursor obtained by the XPS is listed in Table 13, and the calculated C/Cu concentration ratios are plotted in dependence of the substrate temperature, as shown in Figure 22.

Table 13: Chemical composition of the Cu precursor on SiO2 kept between 22–300 °C, after the Cu precursor was evaporated at 115 °C for 15 s.

S.N. ϑsub Atomic concentration (at-%) Ratio (°C) Cu C P Si O C/Cu P/Cu 2p3/2 1s 2p 2p 1s 1 22 2.2 12.8 0.2 32.0 52.8 5.8 0.1 2 55 2.0 12.2 0.2 32.3 53.3 6.1 0.1 3 75 2.4 12.6 0.2 32.2 52.6 5.3 0.1 4 100 2.3 13.5 0.1 31.7 52.4 5.9 0.0 5 145 2.3 12.2 0.1 32.4 53.0 5.2 0.0 6 200 2.7 10.1 0.1 32.3 54.8 3.7 0.0 7 300 4.5 10.2 0.1 31.2 54.0 2.3 0.0

In the studied temperature range of 22–100 °C, a concentration ratio C/Cu nearly 5.5±0.4 and P/Cu concentration ratio nearly 0.1±0.02 were observed. This n indicates that the precursor molecule had lost most of the [ Bu3P] phosphines during evaporation in gas-phase or upon chemisorption on the surface.

79

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

Figure 22: Variation of C/Cu atomic concentration ratio, after the Cu precursor was evaporated onto SiO2 kept at substrate temperatures between 22–300 °C.

Figure 23: Bar-diagram comparing the atomic concentrations of the original Cu n precursor molecule, the Cu precursor molecule without the tri-n-butylphosphines [ Bu3P] or [Cu(acac)] molecule, and the Cu precursor molecule evaporated on SiO2 kept at 145 °C. The C/Cu and P/Cu concentration ratio of the gas-phase molecules indicates the theoretical value and the surface molecules indicate the experimental value obtained by the in-situ XPS.

80

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

The comparison of the C/Cu and P/Cu atomic concentration ratio of the Cu n precursor molecule, Cu precursor molecule without [ Bu3P] phosphines, and the Cu precursor after evaporation on SiO2 kept at 145 °C are depicted in a bar diagram as in Figure 23.In the original Cu precursor molecule, the atomic concentration ratio of

C/Cu = 29 and P/Cu = 2 is present. In contrast, Cu precursor after evaporation on SiO2 kept at 145 °C, depicted C/Cu nearly 5 and P/Cu nearly 0. Actually, the identified C/Cu atomic concentration ratio of 5 is comparable to the original Cu precursor molecule n without [ Bu3P] phosphines or [Cu(acac)] molecule only. These results correspond with the theoretical calculations of Hu et al., which shows the loss of one phosphine in the gas-phase [177]. The release of second phosphine is expected during the chemisorption on the surface. At 200 °C substrate temperature, a C/Cu ratio of 3.7 was observed, which could be due to thermally activated disproportionation and subsequent desorption of Cu(II) species from the surface. Similarly, at 300 °C substrate temperature, a C/Cu ratio 2.3 was observed, suggesting even stronger disproportionation and desorption of Cu(II) species from the surface. To verify these speculations, the oxidation state of the Cu precursor molecule chemisorbed on the surface of SiO2 at different substrate temperatures is studied by using Cu 2p3/2 and Cu L3VV Auger spectra, as shown in Figure 24 and Figure 25.

1.5 Cu 2p 3/2 Cu(0) or Cu (I) 1.0

- Tsub=22 °C

- Tsub=145 °C

0.5 - Tsub=300 °C Normalized CPS Normalized 0.0 940 936 932 928 924 Binding Energy (eV)

Figure 24: Cu 2p3/2 spectrum of the Cu precursor evaporated on SiO2 kept at 22 °C, 145 °C, and 300 °C.

81

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

Figure 25: Cu L3VV Auger spectrum of the Cu precursor evaporated on SiO2 at (a) 145 °C, (b) 200 °C, and (c) 300 °C. In (d), the amount of Cu(0)/Cu (%) is plotted against the substrate temperatures.

The amount of metallic Cu obtained on the substrate is found by the deconvolution of the Cu L3VV Auger spectra into Cu(0) and Cu(I) oxidative species [Figures 25(a)–(c)]. The percentage of area belonging to the Cu(0) species is then plotted against the plot from the whole spectrum [Figure 25(d)]. At 22 °C, 145 °C and 300 °C, the Cu 2p3/2 spectra indicated only either Cu(0) or Cu(I) species. In the temperature range 22–100 °C,

Cu L3VV, the Auger spectrum indicated only Cu(I) species on the surface (not shown) and an Auger parameter of 360.0±0.2 eV was obtained. As shown in Figure 25(a), when the

Cu precursor was evaporated on SiO2 kept at 145 °C, the Cu L3VV Auger spectrum depicted only Cu(I) species on the surface and Auger parameter of 359.8 eV was calculated. The calculated value of the Auger parameter is comparable to the Cu(I) species in dispersed phase (see, Table 10). This confirms the presence of [Cu(acac)] molecule on

82

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

the surface. Furthermore, in the Cu L3VV spectrum at substrate temperatures ≥ 200 °C [Figure 25(b, c)], the presence of substantial Cu(0) species in addition to Cu(I) species on the surface could be observed. This confirms that the Cu precursor undergoes disproportionation on the surface, leading to the deposition of Cu(0), while the absence of Cu(II) species indicates complete desorption of Cu(II) compounds from the surface [138].

Amount of Cu(0) species observed in the Cu L3VV Auger spectra, when Cu precursor was chemisorbed on the SiO2 surface kept at temperatures between 22–300 °C is plotted together in Figure 25(d). The amount of Cu(0) increased from 32.5% to 39.3% when the

Cu precursor was evaporated on SiO2 kept at 200 °C and 300 °C respectively. This indicates strong disproportionation of the Cu precursor leaving more metallic Cu on the surface with increasing substrate temperature above 200 °C. No Cu(II) species in the

Cu 2p3/2 spectrum at 300 °C suggests complete desorption of Cu(II) species from SiO2. The result from this dissertation can be directly compared with other Cu(I) beta-diketonate precursors, where CVD has been reported due to thermally induced disproportion reaction leading to the deposition of metallic copper on the SiO2surface and the desorption of Cu(II) by-products. The disproportionation of [Cu(hfac)(PMe3)] above

300 °C was reported on SiO2 leading to the deposition of metallic Cu [136]. Similarly, desorption of [Cu(hfac)2] and [PMe3] species were reported, since these species were stable up to 300 °C and leave clean metallic Cu on the surface [136]. Similarly, no disproportionation of [Cu(hfac)(PMe3)] and [Cu(hfac)(vtms)] below 200 °C on SiO2 surface were reported [135,137,180]. The reported CVD studies of the Cu(I) beta-diketonates are quite consistent with the study of the surface chemistry of the n [( Bu3P)2Cu(acac)] SiO2. Similar to above observations, no disproportionation was reported for [Cu(hfac)(vtms)] on non-catalytic TaN surface [181,182,183]. Hence, a substrate temperature of 145 °C is recognized as the lower temperature limit for the thermal ALD of Cu using the probed Cu precursor on non-catalytic SiO2 substrates.

4.2.4 Influence of the substrate temperature studied on Co substrate The Cu precursor was evaporated at 115 °C and allowed to interact with the Co substrate kept at temperatures between 110–145 °C and the C/Cu and P/Cu ratio was studied at different surface temperatures. The elemental composition of the Cu precursor obtained by the in-situ XPS is listed in Table 14 and the C/Cu atomic concentration ratio is plotted in Figure 26.

83

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

Table 14: Atomic concentration of the Cu precursor on Co substrate kept at substrate temperatures (ϑsub) between 100–145 °C, when the Cu precursor was evaporated at 115 °C.

S.N. ϑsub Atomic concentration (at-%) Ratio (°C) Cu C P Co O C/Cu P/Cu 2p3/2 1s 2p 3d 1s 1 110 1.1 13.3 0.2 60.3 25.1 12.1 0.2 2 117 1.5 8.2 0 59.9 30.4 5.5 0 3 125 1.8 10.1 0 57.1 31.0 5.6 0 4 145 1.7 9.0 0 62.2 27.1 5.3 0

The absolute value of Cu 2p3/2 concentration after the chemisorption of the Cu precursor on Co kept at 110–125 °C was found to be 1.8 at-%. In contrast, the Cu 2p3/2 concentration after the chemisorption of Cu precursor on SiO2 kept at 22–145 °C is slightly higher and equals 2.3 at-%. This indicates that the coverage of Cu precursor on Co surface is lower compared to SiO2 surface. The lower coverage of precursor molecules on Co could be either due to substantial oxygen on the Co surface or different surface reaction of the Cu precursor on the Co surface compared to SiO2 substrate. Thus, it is expected that the growth of Cu2O on oxygen rich Co surface will be different from the one on SiO2 surface. This assumption would be verified in Chapter 6, by studying the ALD of

Cu2O on oxygen rich Co substrate.

Figure 26: Variation of C/Cu atomic concentration ratio, after the Cu precursor was evaporated for 15 s onto Co kept at substrate temperatures between 110–145 °C.

84

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

The C/Cu ratio nearly 12 and P/Cu ratio nearly 0.2 was identified on the surface of n Co at 110 °C, indicating the chemisorption of Cu precursor molecule along with [ Bu3P] phosphines on the Co substrate. Furthermore, at 117 °C and 125 °C, C/Cu nearly 5.5 and P/Cu ratio of 0 was obtained, indicating the presence of [Cu(acac)] molecule only on Co n surface and suggesting release of [ Bu3P] phosphines from the Cu precursor in the gas-phase during evaporation or during the chemisorption.

Figure 27: In-situ XPS core-level Cu 2p3/2 and Cu L3VV Auger spectra of the Cu precursor, which was evaporated on Co for 15 s kept at (a, b) 117 °C, (c, d) 125 °C, and (e, f) 145 °C.

85

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

In all the investigations 25-30 at-% of oxygen was obtained on the Co. The identified oxygen signal in the XPS could be originated from the [Cu(acac)] molecule and the formation of CoOx. The underlying Co surface can potentially reduce the copper precursor molecule to the formation of CoOx with the release of atomic Cu on the surface, since Co has strong negative electrode potential compared to Cu(I) species [184], such as [Cu(acac)] molecule. To understand the oxidation state of the Cu precursor chemisorbed on the surface of Co, the Cu 2p3/2 and Cu L3VV Auger spectra are studied together. For this purpose, the oxidation state of the Cu precursor chemisorbed on the Co kept at 110-145 °C is depicted in Figure 27(a)–(f) and the amount of metallic Cu observed in the

Cu L3VV Auger spectra is plotted in Figure 28.

80

60

40

20 Cu(0)/Cu (%) Cu(0)/Cu

0 110 120 130 140 150

ϑsub (°C) Figure 28: The percentage of Cu(0)in the total Cu concentration in dependence of the substrate temperatures, after Cu precursor was evaporated on Co kept between110-145 °C.

The Cu 2p3/2 and Cu L3VV Auger spectra of the Cu precursor evaporated on the Co kept at 117 °C are depicted around 5% of Cu(II) species on the surface. Around 93% of

Cu(I) species are identified on the surface by Cu L3VV Auger spectrum. In addition, very few Cu(0) species were observed in the Cu L3VV Auger spectrum. This indicates the presence of [Cu(acac)] molecule on the surface, when Cu precursor was evaporated on Co kept at 117 °C. The Auger parameter calculated from the peak maxima from Cu 2p3/2 and

Cu L3VV peak equals 360.9 eV, which is comparable to the Cu(I) species in dispersed phase (see, Table 10). Apart from substantial Cu(I) species, around 15% of Cu(0) species

86

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS were identified on the Co kept at 125 °C. This indicates either slight disproportionation of Cu precursor or reduction of [Cu(acac)] molecule to metallic Cu on Co. The Cu

2p3/2spectrumdepictedaround 5% of Cu(II) species. These Cu(II) species in the Cu 2p3/2 spectrum at 933.8 eV could be due to formation of the CuO species from the reaction between metallic Cu and oxygen from the reactor.

The Cu 2p3/2 spectrum and Cu L3VV Auger spectrum of the Cu precursor evaporated on Co kept at 145 °C depicted around 60 % of Cu(0) species, apart from Cu(I) species. This indicates that the Cu precursor strongly undergoes disproportionation reaction on the surface of Co at 145 °C. It must be noted that, the C/Cu ratio was observed to be 5.3 and phosphorous was below detection limit (Figure 26), which is speculated to be due to adsorption of few [Cu(acac)] molecule along with metallic Cu [136]. This assumption is supported by the absence of P on the surface of Co when kept at 145 °C (Table 14). Similar to this observation on Co surface, CVD growth of Cu via thermally induced disproportion reaction of Cu(I) beta-diketonates has been reported on Pt. Shin et al. have reported the disproportionation of [Cu(hfac)(PMe3)] already above 150 °C on Pt leading to the deposition of metallic Cu [136]. Partial desorption of [Cu(hfac)2] species was thereby reported, since these species could not completely leave the Pt surface resulting in the carbon contamination [136,185]. In contrast, clean Cu film has been reported due to disproportionation of [Cu(hfac)(vtms)] on W at 130–200 °C [135] and on Al at 140-240 °C [180]. It was also reported that [Cu(hfac)(vtms)] dissociates instantaneously at room temperature and decomposes via disproportionation reaction on metallic Ta, W, and

Cu substrates with complete release of Cu(hfac)2 and VTMS ligand in gas-phase [181,182,183]. The reported studies of the Cu(I) beta-diketonates are quite consistent with the study of the surface chemistry of the Cu precursor on Co substrate in this dissertation. Hence, a substrate temperature of 125 °C is recognized as the upper temperature limit for the thermal ALD using probed Cu precursor on catalytic Co substrate.

4.3 Summary n The surface chemistry of the [( Bu3P)2Cu(acac)] as a precursor for the ALD of Cu is studied by the in-situ XPS on non-catalytic SiO2 substrates and catalytic Co substrates. The chemical composition and the oxidation state of the probed copper precursor after interaction with the test substrates kept at different substrate temperatures were studied.

87

Chapter 4 Surface Chemistry of the Cu Precursor Studied by the in-situ XPS

Thereby stepwise change in the precursor concentration and the oxidation state was investigated to identify the temperature limit for thermal ALD using the probed Cu precursor. n The Cu precursor has released most of the [ Bu3P] phosphines either in gas-phase during evaporation or after chemisorption, when SiO2 substrate was kept at temperatures between 22–300 °C and Co substrate kept between 117–145 °C. Thermally induced disproportionation reaction or CVD like growth of the Cu precursor is observed at200 °C on SiO2 substrate. Similarly, the Cu precursor depicted slight tendency of disproportionation on Co already at 117 °C. Strong disproportion of the Cu precursor was observed at 300 °C on SiO2 substrate and at 145 °C on Co substrates. Thus, the Cu precursor depicted different surface chemistry on non-catalytic and catalytic substrates. A lower substrate temperature limit for the thermal ALD is found to be at 145 °C on non-catalytic SiO2 substrate. Similarly, the upper temperature limit for the thermal ALD is found to be 125 °C on catalytic Co substrate. These temperatures are considered for the thermal ALD of either metallic Cu or Cu2O using the probed Cu precursor.

88

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

Direct thermal ALD of Cu on Co using Cu(I) beta-diketonate precursor has not yet n been reported so far. In this chapter, direct thermal Cu ALD using [( Bu3P)2Cu(acac)] as

Cu(I) beta-diketonate precursor and molecular H2 as co-reactant is studied on a catalytic Co substrate. The molecular hydrogen can easily dissociate on the surface of Co to produce hydrogen atoms [186], which reduces the Cu precursor molecule to metallic Cu during co-reactant dosing step. In previous reports, it was found that hydrogen radical can effectively reduce the Cu precursor to form metallic Cu in plasma based ALD processes [97,112].

5.1 Experiments The Co films with a thickness of approximately 25 nm were deposited in-situ by

IBSD on SiO2. In-situ XPS showed that the only impurity of the deposited Co layers was oxygen with a share below 4.0 at-%. The Co substrate was pre-treated with 100 sccm H2 for 1 min to obtain H-terminated surface [186]. The direct thermal ALD of Cu was carried n out applying a mixture consisting of 99 mol% precursor [( Bu3P)2Cu(acac)] and 1 mol% 5 5 of Ru(η -C7H11)(η -C5H4SiMe3)] at 125 °C. ALD was carried out by applying molecular

H2 as co-reactant on Co using the dosing scheme listed in Table 15.

Table 15: ALD cycle for the experiments on Co substrate. Step Process Process Flow Dosing parameter rate length (s) 1 Precursor dosing Cu precursor 8 mg/min 6 Ar carrier gas 1000 sccm 2 Purging Ar 200 sccm 3

3 Co-reactants dosing H2 200 sccm 3 4 Purging Ar 200 sccm 3

89

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

After ALD, the Cu surface was immediately analyzed by in-situ XPS. The growth of Cu was monitored by XPS studying the film thickness and GPC within step of 250 cycles up to 1250 cycles. In addition, the Cu surface was studied by ex-situ AFM and SEM investigations.

5.2 Results and discussions 5.2.1 In-situ XPS investigations In principle the studied ALD process was so initiated that first half-cycle was the dosing of H2 as co-reactant. When 1000 ALD cycles were carried out, 38.6 at-% of Cu was obtained in the XPS immediately after ALD. In addition, 13.6 at-% C or Ru content were also observed in the spectrum. The phosphorus content was below detection limit. The in-situ XPS investigation of the Cu film obtained after 30 s Ar sputter cleaning is depicted in Figure 29 and the results from the XPS investigation are listed in Table 16.

Table 16: Results from the in-situ XPS investigation of the Cu surface (immediately after ALD) and Cu film (after 30 s Ar sputter cleaning) on Co at 125 °C. Process Overall O bonded to ALD layer composition Cu, Co composition (at-%) (at-%) (at-%)

Cu C 1s, P Co O CuxO CoOx Cu O

2p3/2 Ru 3d 2p 3d 1s After ALD 38.6 13.6 0.0 34.4 13.4 n.c. n.c. n.c. n.c. After sputtering 43.5 0.0 0.0 49.8 6.7 4.7 2.0 90.5 9.5

The atomic concentration of copper (XCu) increased to 43.5 at-% and the atomic concentration of oxygen decreased to 6.7 at-% respectively after 30 s Ar sputter cleaning. The carbon, ruthenium and phosphorous contents were below detection limit. Furthermore, the signal from the Co substrate increased to 49.8 at-%.

90

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

Figure 29: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level, and (c) Cu L3VV Auger spectra, of the Cu deposited by ALD on Co at 125 °C.

The O 1s core-level spectrum [Figure 29(b)] depicted the mixture of oxides of Co and Cu. The oxides of Co are attributed to the native oxides present on the surface of Co before ALD. In order to obtain the ALD layer composition, the signal from the Co substrate was subtracted. For this purpose, the O 1s spectrum was deconvoluted to find out the amount of O 1s bonded with either Cu or Co [Figure 29(b)]. The O 1s at 528.8 eV depicted 4.7 at-% of oxygen bonded with Cu (XCuxO) and similarly at 531.8 eV depicted 2.0 at-% of oxygen bonded with Co (see, Table 16). The composition corresponded to

4.7 at-% of oxides of Cu (XCuxO) and 2.0 at-% of oxides of Co. The respective composition of the ALD layer can be calculated by applying Equations 25 and 26. Thus, ALD layer composition is found to be 90.5 at-% Cu and 9.5 at-% O.

91

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

푋 ALD layer composition (Cu at-%) = 퐶푢 (25) 푋퐶푢 +푋퐶푢 푥 푂 푋 ALD Layer composition (O at-%) = 퐶푢 푥 푂 (26) 푋퐶푢 +푋퐶푢 푥 푂

The Cu 2p3/2 core-level and Cu L3VV Auger spectra are depicted in Figure 29(c, d).

The Cu L3VV Auger spectrum depicted only Cu(0) species. In the Cu L3VV spectrum, apart from intense 3P spectra, broad 1S and 1G transition lines associated with the

Cu L3VV Auger spectra are observed [Figure 29(d)]. From the most intense Cu 2p3/2 core-level and Cu L3VV Auger spectra, Auger parameter was calculated to be 364.5 eV. The Auger parameter is comparable to metallic Cu in thinfilms (see, Table 10). This result is very promising in order to get clean Cu film on the surface of Co. Hence, the result suggests strong catalytic effect of the Co substrates for the growth of Cu. Furthermore, Waechtler et al. have studied the direct thermal ALD of Cu using A on Ta substrate, reporting the growth of metallic Cu, however Cu nanoparticles has been obtained suggesting weak nucleation on Ta surface [176]. In a report related with the ALD of Cu on s Co, metallic Cu has been deposited by ALD using [Cu( Bu-amd)]2 and molecular H2 at 150–190 °C substrate temperatures with GPC 0.1‒0.5 Å/cycle [120,121]. Strong initial nucleation of Cu was reported similar to this work which was as low as 4 atomic layers on Co, suggesting catalytic effect of the Co substrate [120,121]. In similar process, Cu growth was investigated on oxygenated Co surface

(30 at-% O) at 125 °C, prepared by the dosing of O2 onto the surface of Co for 30 s. In this process, 250 ALD cycles were carried out and the sample was immediately monitored by the in-situ XPS. The process failed to produce Cu film on the surface, since only 3 at-% of Cu was obtained on the Co. This indicates that the growth of metallic Cu film is sensitive to the amount of oxygen on the Co substrate. Considering homogeneous Cu film on the Co substrate, the Cu film thickness was calculated from the Cu 2p and Co 2p intensity by applying Equation 18.This resulted the in Cu film thickness of 1.3 nm after 1000 cycles. The measured film thickness corresponded to the GPC of 0.013 Å/cycle.

92

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

5.2.2 In-situ growth monitoring The growth of Cu was investigated by studying the atomic concentration of Cu and

Co in the steps of 250 cycles for up to 1250 cycles by in-situ XPS. The Cu 2p3/2 and

Co 2p3/2 signal were recorded and the atomic concentration of Cu and Co is plotted together against the number of cycles as shown in Figure 30. The growth of Cu increased with increasing ALD cycle as expected. However, the growth of Cu is observed to be non-linear with increasing ALD cycles. As depicted in Figure 30, 22 at-% of Cu was obtained after 250 cycles. In contrast, the atomic concentration increased only to 32 at-% after 500 cycles. This suggests strong initial Cu growth on Co substrate. On further increment above 500 cycles, the concentration of Cu increased and the concentration of Co decreased. It can be observed that the Cu concentration did not saturate between 500-1250 cycles, and substantial amount of Co could be obtained also after 1250 cycles. This suggests the effect of the catalytic Co substrate on the growth of Cu. The catalytic effect of the Co substrate can be further investigated by studying GPC within the step of ALD cycles. To study the effect of substrate and the film on the growth of Cu, the GPC was calculated within the step of 250 cycles. Film thickness and GPC (slope between two consecutive film thickness values) are plotted together in dependence of the number of cycles, as shown in Figure 31. During the first 250 cycles, the GPC was found to be 0.025 Å/cycle, suggesting substrate enhanced initial growth. After the substrate enhanced initial growth, the GPC becomes low and drops to 0.008 Å/cycle, suggesting weak catalytic effect of the film on the growth of Cu layer. This indicates weak catalytic effect of the Cu film with respect to the dissociation of molecular hydrogen to atomic hydrogen compared to Co, which results in lower GPC after 500 cycles.

93

Chapter 5 Direct Thermal ALD of Cu on Co Substrate

Figure 30: Atomic concentration of Cu and Co obtained by XPS is plotted in dependence of the number of ALD cycles.

Figure 31: Cu film thickness and GPC obtained by XPS is plotted in dependence of the number of ALD cycles.

Ex-situ ellipsometry measurements have been carried out after 1000 and 1250 cycles, in order to verify the use of Equation 17 for the calculation of the film thickness based on the XPS data as well as the assumption that the ultrathin films are closed. After 1000 and 1250 cycles, 1.38 nm and 1.5 nm of Cu film thickness were

94

Chapter 5 Direct Thermal ALD of Cu on Co Substrate obtained in the ellipsometry. The measured values are only 8% higher compared with the film thickness measured by the XPS, which is within the measurement error. Hence, the measured film thickness value by the XPS is similar to the ellipsometry. This also suggests that the Cu layer is closed, as homogeneous Cu film has been assumed for the calculation of film thickness by the XPS.

5.2.3 Study of the continuity and surface roughness The Cu ALD films after 1000 cycles were studied by the XPS and AFM investigations as shown in Figure 32. The SEM investigation of the Cu film on Co depicted fine and granular morphology. The AFM investigation also depicted granular morphology, with a RMS surface roughness of 0.12 nm. The hypothesis that the Cu film is already closed at thickness of 1.3 nm is confirmed by a very low RMS roughness value. A RMS surface roughness of the Cu film is similar compared to the roughness of the Co substrate of 0.2 nm. This indicates a homogeneous Cu film without agglomeration of the Cu on the Co surface.

(a) (b) Figure 32: (a) SEM topography, (b) AFM investigation of the morphology of a 1.38 nm Cu film deposited by ALD on Co after 1000 cycles. A RMS surface roughness of 0.12 nm was measured, similar to underlying Co substrate.

In a report, Cu layers have been deposited by plasma ALD using [Cu(acac)2] and H2 plasma as co-reactant on Si at 140 °C, but the process resulted higher surface roughness of Cu films around 2.5-3.0 nm for 25 nm film thickness [44]. Compared to mentioned

95

Chapter 5 Direct Thermal ALD of Cu on Co Substrate reports, this approach for obtaining metallic Cu already at 125 °C by direct thermal ALD n using [( Bu3P)2Cu(acac)] and molecular H2is found to be effective in obtaining smooth, ultrathin, and clean Cu films with thickness below 1.5 nm on catalytic substrate such as Co. In addition, 4-point resistivity measurement has been carried out on the 1.5 nm Cu layers deposited on Co substrate. An average sheet resistance value for the 1.38 nm thick Cu film on the Co substrate equals to 12.97 Ω/□ (ζ = 1.1% on 200 mm wafer) was measured. The measured value is similar to the Co substrate sheet resistance of 13.09 Ω/□ (ζ = 1.3% on 200 mm wafer).

5.3 Summary Direct thermal ALD of Cu using a precursor mixture consisting of 99 mol% of n 5 5 precursor [( Bu3P)2Cu(acac)] and 1 mol% of precursor Ru(η -C7H11)(η -C5H4SiMe3)] and

H2 as co-reactant on catalytic Co substrates is reported in this chapter. It was shown that this Cu ALD process is selective to metallic Co surface, as no Cu growth was obtained on oxygenated Co surface. This approach of obtaining metallic Cu by direct thermal ALD using mentioned Cu precursor is effective in obtaining ultrathin Cu films with thickness below 1.5 nm. The ALD of Cu is substrate enhanced with an initial GPC of 0.025 Å/cycles and a GPC of 0.008 Å/cycles after the initial phase. This approach for the deposition of Cu is particularly suitable for GMR Co/Cu multilayered structures and Cu seed layer on Co liner for BEOL ULSI circuit metallization. In order to increase the possible range of application for a Cu ALD process it is necessary to study the process which is suitable for arbitrary substrates. The development of Cu ALD process on arbitrary substrate would be discussed in the following chapters.

96

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

As discussed in Chapter 2, one of the major challenges associated with the growth of Cu by ALD is the agglomeration of the Cu on the liner and barrier materials, which limit the integration of Cu as seed layer for next generation interconnect technology [126,127]. Cu has been grown at low temperature (< 150 °C) by plasma ALD for suppressing agglomeration [23,44,114]. However, strong agglomeration of Cu has been reported on

SiO2 already above 90 °C [23]. As summarized in Chapter 5, continuous Cu layers can be n grown on Co liners by direct thermal ALD using [( Bu3P)2Cu(acac)] at a temperature of 125 °C, however this process failed to produce Cu films on oxygen rich Co surface. For the growth of Cu on arbitrary substrate, indirect thermal ALD of Cu by subsequent reduction of Cu2O would be studied in this chapter and the subsequent chapter by using in-situ and ex-situ investigation techniques. Two different test substrates have been used, SiO2 as non-catalytic substrate and Co as catalytic substrate. The precursor consisting of the mixture of 99 mol% of mentioned Cu precursor and 1 mol % of 5 5 Ru(η -C7H11)(η -C5H4SiMe3)] has been applied for obtaining Ru-doped Cu2O films. Similar to the report from Wächtler et al. [22], also in this experiment wet oxygen is applied as the co-reactant. In addition, continuous Ar purge gas was applied during the

ALD. For the experiments, 500 cycles were carried out on SiO2 and 2000 cycles on Co.

Wächtler et al. have reported that, for the ALD of Cu2O using wet oxygen as co-reactant,

400 cycles were necessary to obtain continuous growth of Cu2O on SiO2, so that the growth was then no longer substrate dependent and a RMS surface roughness was independent on precursor dosing time above 3 s [187]. Nevertheless, the application of wet

O2 as co-reactant on metallic substrates leads to oxidation.

6.1 Experiments

ALD of Ru-doped Cu2O was carried out on SiO2 and Co substrates using the dosing and gas flow schemes depicted in Tables 17 and 18. The ALD of Cu2O on SiO2 was carried out at 145 °C, which was identified as the suitable temperature for thermal ALD

97

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

(see, Chapter 5). Similarly, ALD of Cu2O on Co was carried out at 125 °C, which was also identified as the suitable temperature for the thermal ALD (see, Chapter 5).

Table 17: An ALD cycle for the experiments on SiO2. Step Process Process Flow Dosing parameter rate time (s) 1 Precursor dosing Cu precursor 8 mg/min 3 Ar carrier gas 1000 sccm 2 Purging Ar 200 sccm 5 3 Co-reactants dosing Water vapor 25 mg/min Ar carrier gas 200 sccm 5

O2 50 sccm 4 Purging Ar 200 sccm 5

Table 18: An ALD cycle for the experiments on Co. Step Process Process Flow Dosing parameter rate time (s) 1 Precursor dosing Cu precursor 8 mg/min 6 Ar carrier gas 1000 sccm 2 Purging Ar 100 sccm 3 3 Co-reactants dosing Water vapor 25 mg/min Ar carrier gas 200 sccm

O2 200 sccm 3 4 Purging Ar 200 sccm 3

6.2 Results and discussions

6.2.1 ALD of Cu2O on SiO2 substrate

Cu2O ALD was carried out on SiO2 kept at 145 °C and the composition of the film was studied by the in-situ XPS investigations immediately after ALD and after Ar sputtering. 500 ALD cycles has been carried out. Ar sputtering was carried out to compare the Cu2O surface and the film.

98

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Figure 33: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level, and (d) Cu L3VV Auger spectra of the 3.0 nm Cu2O surface immediately after ALD.

The Cu2O ALD films immediately after ALD are studied in Figure 33 (a-d). The in-situ XPS, the survey, Cu 2p3/2 photoelectron core-level and Cu L3VV Auger spectra are depicted in the figure. The calculated overall composition immediately after ALD is listed in Table 19. The in-situ XPS investigations immediately after ALD on the Cu2O surface depicted substantial amount of copper (21.4 at-%) and oxygen (37.4 at-%). However, the measured atomic concentration of oxygen was more than twice the stoichiometry for

Cu2O. The high oxygen content is due to superposition of the oxygen signal from the SiO2 substrate which is still detectable after the ALD (14.7 at-% Si) and the oxygen signal from the ALD layer. A phosphorous content of 2.4 at-% is also observed in the spectrum [Figure 33(a)].

99

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Table 19: Atomic concentration immediately after ALD and ALD film composition after

30 s Ar sputter cleaning of the Cu2O ALD film on SiO2. ALD layer composition is obtained by using Equations 25 and 26. Process Overall O bonded ALD layer composition to Cu, Si composition (at-%) (at-%) (at-%)

Cu O Si P C Ru CuxO SiO2 Cu O Ru C

2p3/2 1s 2p 2p 1s 3d After ALD 21.4 37.4 14.7 2.4 20.2 3.9 12.1 25.3 n.c. n.c n.c. n.c. After 36.5 45.5 15.9 0.0 1.3 0.8 17.2 28.3 65.4 30.8 1.4 2.4 sputtering

High resolution XPS core-level spectra were studied to enable the deconvolution of the photoelectron peaks between 280–290 eV [Figure 34]. This was necessary to determine the ruthenium and carbon content of the ALD layer since the peaks of these two elements are difficult to separate in the survey spectrum. The Cu2O surface [Figure 34(a)] depicted 3.9 at-% of Ru. The ratio of Ru 3d5/2 to Ru 3d3/2 is nearly 1.5:1, reflected the distinguishable feature of the spin-orbit splitting of the Ru 3d orbital [159]. In addition, the

BE peak position difference between Ru 3d5/2 and 3d3/2 is 4.0 eV. The position of Ru 3d5/2 at 283.8 eV indicated the formation of RuO4 [163]. RuO4 are unstable oxides which might have formed during formation of RuO2 [163], since RuO2 are identified at lower binding energy in the Ru 3d5/2 at 282.8 eV. Furthermore, the amount of carbon content on the top

Cu2O surface immediately after ALD was 20.2 at-% [Figure 34(a)], which was assigned to the mixture of adventitious carbons (-C-C-), carbonates and bicarbonates [-O-C(=O)-O-]

[164]. It has been reported in Chapter 3, when Cu precursor was evaporated on SiO2 kept n at 145 °C, the precursor has lost most of its [ Bu3P] phosphines either in gas-phase or during chemisorption. The substantial carbon (20.2 at-%) and phosphorus contents n (2.4 at %) on the Cu2O surface is assigned to readsorption of released [ Bu3P] phosphines on the Cu2O surface after ALD or incomplete conversion of the Cu precursor molecule during the oxidation half-cycle.

Upper surface of the Cu2O was removed by applying a low energy Ar sputter gun for 30 s and the composition of the film as listed in Table 19. The C 1s and Ru 3d spectra

100

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD are studied as shown in Figure 34(b, c) and the amount of ruthenium atomic concentration in the Cu2O film is plotted in Figure 34(d).

Figure 34: (a) High resolution XPS spectra of the C 1s and Ru 3d photoelectron lines obtained (a) after ALD, (b) after 30 s Ar sputtering, and (c) after 140 s Ar sputtering. (d)The concentration of Ru 3d plotted in dependence of the sputtering time.

101

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Figure 35: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level, and (d) Cu L3VV Auger spectra of the 3.0 nm Cu2O film after 30 s Ar sputter cleaning.

After 15 s Ar sputter cleaning, the amount of ruthenium was found to be 2.5 at-%. Similarly, after 30 s Ar sputter cleaning, amount of ruthenium was found to be 0.8 at-% and the amount of carbon impurity was found to be only 1.3 at-%. Hence, Ru and C signal decreases with sputtering time. This indicates that the ruthenium and carbon agglomerate only on the Cu2O surface. Thus, very pure Cu2O is assumed to be found after 30 s Ar sputter cleaning. These few carbon contents in the Cu2O film can be assigned to adventitious carbons (-C-C-) observed at 284.6 eV [164]. These carbon contents could be n associated to the dissociation of [ Bu3P] phosphines to the carbonic species and PH3 species. The PH3 species are easily released from the substrate and only adventitious carbons get chemisorbed on the surface of Cu2O. Hence, to access the bulk composition of the Cu2O layer, 30 s Ar sputtering was opted in the experiments. Furthermore, the amount

102

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

of Cu and oxygen after 30 s Ar sputter cleaning was 36.5 at-% (XCu) and 45.5 at-% respectively. In addition, 15.9 at-% of Si could also be estimated by the XPS. The O 1s core-level spectrum is studied to identify the amount of oxygen belonging to the SiO2 substrate and the Cu2O layer. The O 1s core-level spectra indicated strong convoluted signal between SiO2 and the Cu2O only, as depicted in Figure 35(b). The Cu2O signal was observed at 530.6 eV, which corresponded to 17.2 at-% of oxygen bonded to

Cu only (XCuxO). The layer composition calculated using Equations 25 and 26 resulted into

65.4 at-% Cu and 30.8 at-% O in the Cu2O layer. A Cu/O composition ratio nearly 2.0 was obtained, which indicates the formation of Cu2O. In addition, the Cu 2p3/2, and Cu L3VV

Auger spectra of the Cu2O film are also studied as shown in Figure 35(c, d).

The Cu 2p3/2 spectrum indicated the presence of either Cu(I) or Cu(0) species at 931.8 eV [Figure 35(c)], and did not depict the presence of Cu(II) species at 933.8 eV suggesting the formation of Cu2O. The Cu L3VV Auger spectrum confirmed Cu(I) species 3 or Cu2O only [Figure 35(d)], where strong single P Auger signal at 915.8 eV resulting from the Cu(I) chemical state could be observed [149,188]. The Auger parameter calculated from the intense Cu 2p3/2 and Cu L3VV Auger peak was found to be 360.6 eV.

This value corresponded to the formation of Cu2O (see, Table 10).

6.2.2 ALD of Cu2O on Co substrate

Cu2O ALD was carried with the process parameters depicted in Table 18. 2000 cycles were carried at 125 °C. In-situ XPS investigation was carried out on the Cu2O surface immediately after ALD and Cu2O film after 30s Ar sputtering. Immediately after

ALD, 17.9 at-% of Cu and 37.8 at-% O has been found on the Cu2O surface (Table 21). The amount of oxygen was twice the amount of Cu. It indicates that the Co has been oxidized during the ALD process. Apart from Cu and oxygen, 17.2 at-% carbon contents have been observed on the Cu2O surface. 30 s Ar sputter cleaning was carried out on the

Cu2O surface to study the bulk composition of the material. The atomic concentration of the Cu2O film after 30 s Ar sputter cleaning are listed Table 20.The survey, O 1s core-level, Cu 2p3/2core-level, and Cu L3VV Auger spectra of the Cu2O film are depicted in Figure 36(a–d).

103

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Figure 36: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level and (c) Cu L3VV Auger spectra of the Cu2O ALD on Co at 125 °C.

Table 20: Atomic concentration immediately after ALD and ALD film composition after

30 s Ar sputter cleaning of the Cu2O ALD film on Co. ALD layer composition is obtained by using Equations 25 and 26. Process Overall O bonded ALD layer composition to Cu, Co composition (at-%) (at-%) (at-%)

Cu O Co Ru P C CuxO CoOx Cu O

2p3/2 1s 2p 3d 2p 1s After ALD 17.9 37.8 24.3 2.8 0.0 17.2 n.c. n.c. n.c. n.c. After sputtering 21.8 41.1 37.1 0.0 0.0 0.0 12.6 28.5 63.0 37.0

104

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

The survey spectrum of the Cu2O film after 30 s Ar sputtering, depicted 21.8 at-% copper (XCu) and 41.1 at-% oxygen (XCuxO). The CuxO layer composition from the deconvoluted CuxO peak [Figure 36(b)] was calculated to be 37.0 at-% O and 63.0 at-% Cu using Equations 25 and 26. The Cu/O ratio of 1.7 was obtained, relatively lower compared to the stoichiometry of Cu2O. Higher amount of Cu could be due to metallic Cu on the Co surface, from the disproportionation of the Cu precursor taking place at the

ALD temperatures of 125 °C. Apart from Cu2O at 531.6 eV, 28.5 at-% of cobalt oxides

(CoOx) have been observed at 529.6 eV [Figure 36(b)], which could be from the mixture of CoO and Co3O4 oxides. It was previously reported that under sufficient dosing of O2 onto Co, it undergoes non-self-limited oxidation on the surface resulting in the formation of CoO [189]. These CoO could easily reorganize to second oxide of Co, i.e. Co3O4, on the surface of CoO [164,190]. To study the composition of Cu2O film, Cu 2p3/2 and

Cu L3VV Auger spectra were investigated [Figure 36(c, d)]. Nearly 15 % of Cu(II) species could be observed in the Cu 2p3/2 spectrum as shown in Figure 36(c) suggesting the formation of CuO. Similarly, the Cu L3VV Auger spectra [Figure 36(c)] also depicted nearly 15% of either Cu(0) or Cu(II) species at 918.0 eV [168]. This can be attributed to the formation of either metallic Cu due to slight disproportionation of Cu precursor on Co or subsequent oxidation of Cu to CuO during the oxidation half cycle. The oxidation of the

Co surface has been further studied by studying the Co 2p3/2 spectra as shown in Figure

37. In the Co 2p3/2 core-level spectra after ALD [Figure 37(b)], broader Co 2p3/2 spectrum was observed, this indicated mixture of metallic-Co and Co-oxides.

Figure 37. Co 2p3/2 core-level spectra obtained on the (a) Co substrate and (b) Co after

Cu2O ALD.

105

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

6.2.3 Study of the film thickness and GPC

The SE of the Cu2O deposited on SiO2 was carried out to obtain the Cu2O layer thickness. The eighty one point film thickness mapping was also carried out and the results from the mapping are listed in Table 20. In Figure 38, the ellipsometric film thickness map of the Ru-doped Cu2O deposited on a 200 mm SiO2 is depicted. The average film thickness (tav) was found to be 3.0 nm after 500 cycles. This corresponded to the

GPC 0.06 Å/cycle. Wächtler et al. have reported the growth of Cu2O by ALD using n [( Bu3P)2Cu(acac)] and wet-O2 as co-reactant on SiO2 with the GPC 0.05 Å/cycle, reporting the ALD window between 115–125 °C [22]. This experiment suggested that the inclusion of catalytic amount of Ru into the Cu precursor compared to when using only Cu precursor does not change the growth rate of the Cu2O on SiO2. The film thickness nonuniformity as described by Equation 19 can be calculated from the 81 point ellipsometry film thickness map (Figure 38) equals to 9.3 % for 190 mm wafer diameter.

Figure 38: Ex-situ ellipsometric film thickness map of the Cu2O layer on SiO2. Thickness histogram is shown at the right.

106

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Table 21: Results from the 81 points ellipsometric film thickness map of the Cu2O layer deposited on SiO2.

Edge exclusion tmax tmin tav σ Non- GPC (nm) (nm) (nm) (nm) uniformity (Å/cycle)

5 mm 4.2 2.7 3.0 0.3 9.3 % 0.064

An average film thickness of 2.5 nm Cu2O on Co was measured in the SE after 2000 cycles, which corresponded to the GPC of 0.013 Å/cycle. The measured GPC of

Cu2O layer on Co is one fifth the GPC on SiO2. Lower GPC on Co could be due to formation of interface CoOx, which leads to lower coverage of molecule A on CoOx compared to SiO2. Furthermore, in Chapter 4 it was observed that Cu concentration after the chemisorption of Cu precursor on oxygenated Co surface was only 1.8 at-% when Co was kept at 125 °C. In contrast, the Cu concentration on SiO2 was 2.3 at-% when SiO2 was kept at 145 °C. This indicated that the coverage of Cu precursor molecules on oxygen rich

Co surface was weak compared to SiO2.

6.2.4 Study of the continuity and surface roughness

Ex-situ LEIS investigation of the Cu2O layer deposited by ALD is depicted in Figure

39. Reference SiO2 and an oxidized Cu surface are depicted additionally in the diagram. The sample was investigated after exposure to atomic oxygen to remove surface hydrocarbon adsorbents. No Si peak was observed in the LEIS investigation confirms that the 3.0 nm Cu2O layer is continuous on the SiO2. The intensity of the Cu peak after ALD is only two-third of the value from the oxidized Cu reference sample. Similarly, the average Cu peak area of the ALD sample is lower compared to the oxidized Cu reference surface. This might be an indication that the Cu2O film deposited by ALD is less dense compared to the reference oxidized Cu sample. Films with a density below the bulk value have been observed in many ALD processes [26,191,192]. In addition, around 5% of phosphorous coverage in the topmost atomic layer was estimated based on the LEIS. The result is nearly twice amount of observed in-situ XPS investigation of Cu2O surface immediately after ALD. This suggested that the phosphorous has mostly agglomerated on the Cu2O surface.

107

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

Figure 39: Ex-situ LEIS measurements of a 3.0 nm Cu2O layer on SiO2 a SiO2 reference sample, and oxidized Cu sample. The LEIS measurements were carried out after exposure to atomic oxygen for the removal of organic adsorbents.

No Ru signal was detected at 2550 eV energy in the LEIS, which could be due to the geometry of Ru oxides which blocked the scattering of 4He+ ions from the Ru atoms resulting in the scattering below detection limit. Probably the oxygen treatment of the

ALD layer before the LEIS measurement resulted in the formation of RuOx which is not detectable by LEIS. Thus, the measurement of Ru was not possible with the existing measurement conditions. Ex-situ SEM investigation revealed a fine, granular, and homogeneous morphology of the Cu2O layer [Figure 40(a) and Figure 41(a)] on both SiO2 and Co substrates. From the AFM investigations [Figure 40(b)], a RMS surface roughness of Cu2O layer was found to be 0.24 nm on SiO2, similar to that of the underlying SiO2 substrate. This suggests that the Cu2O layer is closed resulting in a continuous thin film on SiO2.

108

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

(a) (b)

Figure 40: (a) Plan-view SEM image of the Cu2O layer on SiO2 depicting fine and granular morphology. (b) AFM investigation of the morphology of a 3.0 nm Cu2O layer on SiO2. A RMS surface roughness of 0.24 nm was determined similar to the surface roughness of the underlying SiO2.

(a) (b)

Figure 41: Plan view SEM investigation of the Cu2O ALD film deposited on Co depicting fine and granular morphology. (b) AFM investigation of the morphology of a 2.5 nm

Cu2O layer on Co. A RMS surface roughness of 0.12 nm was measured similar to the roughness of the underlying Co surface.

Similarly, a RMS surface roughness of Cu2O layer was measured to be 0.12 nm on Co [Figure 41(b)], also similar to that of the underlying Co substrate Figure 21(b). This suggested that the Cu2O film is continuous on both Co and SiO2 substrates. An average sheet resistance (Rs) of the 2.5 nm Cu2O film on Co resulted 17.06 Ω/□ (ζ = 1.1% on 200 mm wafer) was measured on the Co substrate. The measured value is higher

109

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD compared to the Co substrate with sheet resistance of 13.09 Ω/□ (ζ = 1.3% on 200 mm wafer). This indicates oxidation of the Co surface during the ALD, which might have resulted in the lower value of the measured sheet resistance.

6.3 Summary

In this chapter, Ru-doped Cu2O films deposited by ALD are studied on non-catalytic

SiO2 substrate and catalytic Co substrate. A mixture consisting of 99 mol% of precursor n 5 5 [( Bu3P)2Cu(acac)] with 1 mol % of precursor Ru(η -C7H11)(η -C5H4SiMe3)] as precursor and wet-O2 as co-reactant was used. The Cu2O ALD was carried out at 145 °C on SiO2 substrates and at 125 °C on Co substrates. As discussed in Chapter 4, above these temperatures the Cu precursor exhibits thermally induced disproportion reaction, which destroys the self-limited behavior of ALD.

Immediately after 500 ALD cycles on SiO2, 21.4 at-% Cu, 37.4 at-% O, 1.8 at-% P,

3.9 at-% Ru, and 21.2 at-% C contents was obtained by the in-situ XPS of the Cu2O deposited on SiO2. Pure Cu2O film was obtained after 30 s Ar sputter cleaning on SiO2 substrate. The ALD layer composition after sputtering of the Cu2O indicated 65.4 at-% Cu, 31.8 at-% O, 1.4 at-% Ru, and 2.4 at-% C. The Cu/O layer composition indicated the presence of Cu2O. In contrast, the Cu2O deposited on Co after 2000 ALD cycles depicted only 17.9 at-% Cu, 37.8 at-% O, 2.8 at-% Ru, 17.2 at-% C contents and P below detection limit in the XPS. The ALD layer composition after sputtering of the Cu2O deposited on Co indicated 63.0 at-% Cu and 37 at-% O. The Cu/O layer composition indicated higher share of the Cu in the layer, suggesting an effect of the disproportionation of the Cu precursor leading to higher Cu proportion in the film. The Ru and C contents were found to be accumulated on the Cu2O surface only after ALD on both SiO2 and Co substrates.

The 3.0 nm Cu2O film thickness was obtained on SiO2, which corresponded to

0.06 Å/cycle GPC. In contrast, only 2.5 nm of Cu2O was obtained on Co, which corresponded to the GPC 0.013 Å/cycle. The SEM and AFM depicted fine, smooth, and granular morphology of Cu2O on SiO2 and Co substrates. The AFM results suggest that the Cu2O films are continuous, since a RMS surface roughness of the Cu2O was considerably smaller than the film thickness. A RMS roughness value was similar to the underlying substrates.

110

Chapter 6 Study of the Ru-doped Cu2O Deposited by ALD

This dissertation reports the LEIS as highly surface sensitive technique to study continuity of the Cu2O films deposited by ALD on SiO2. The LEIS investigation confirmed that the Cu2O film is completely closed on SiO2. Since, no Si signal could be observed in the LEIS spectrum after ALD. The finding is backed up by the AFM results.

Hence, the deposited Ru-doped Cu2O layers could act as good template for obtaining metallic Cu through subsequent reduction using the suitable reducing agents. The growth of Cu films via subsequent reduction of Ru-doped Cu2O with different reductant would be studied in the following chapter.

111

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Thermal reduction of the ultrathin Ru-doped Cu2O films to the metallic Cu by the treatment using either HCOOH or CO is discussed in this chapter. Ru-doped Cu2O were n deposited by ALD using a mixture consisting of 99 mol% of [( Bu3P)2Cu(acac)] and 5 5 1 mol% of [Ru(η -C7H11)(η -C5H4SiMe3)] (Figure 11), as discussed in Chapter 6. As discussed in Chapter 2, catalytic metal such as Ru enables the dissociation of HCOOH into reductants such as hydrogen atoms or CO and the reduction of Cu2O to Cu as shown in Equation 7 by atomic hydrogen, or CO as shown in Equation 8, is favorable at room temperatures and at 180 °C, respectively [132,133,134]. CO has been studied to compare the results obtained by the HCOOH treatment. Continuity and surface roughness of the Cu films are studied by LEIS and AFM investigations. CO has been previously used as the reducing agent for the reduction of Cu2O powders and Cu2O (111) (prepared by oxidation of metallic Cu) by Wang et al. and Yang et al. [132,133]. In the study from Wang et al., latency period more than 100 min was reported during the reduction of Cu2O powders by CO at 180 °C [132]. In the studies from Yang et al., the latency period was reported between 20–30 min for the complete conversion of Cu2O to Cu at 527 °C [133]. Similar to the literature reports, in this work CO dosing time of 40 min was applied.

7.1 Experiments

The 2.5–4.7 nm Ru-doped Cu2O films, which was deposited by ALD, was treated with either HCOOH or CO. The HCOOH was evaporated using a CEM heated at 65 °C. A HCOOH flow rate of 75 mg/min was used together with 100 sccm Ar carrier gas. For the reducing processes using a CO, a flow rate of 100 sccm was applied. The reducing agents were introduced continuously into the reactor for 40 min. The wafer temperature was varied between 100–160 °C. The ALD reactor was maintained at 0.27 mbar during the reduction. After each experiment, the wafer was immediately transferred to the in-situ XPS for analysis, eliminating any cross-contamination of the film due to exposure with ambient air. The in-situ XPS investigations were carried out after 30 s Ar sputtering on the sample surface to remove the surface carbon adsorbents.

112

Chapter 7 Reduction of Ru-doped Cu2O to Cu

7.2 Results and discussions

7.2.1 Effect of reduction temperature for HCOOH treatment on SiO2 substrate

Subsequent reduction of Ru-doped Cu2O to metallic Cu using HCOOH treatment was systematically investigated at reduction temperatures between 100–160 °C by XPS. Overall composition and the calculated ALD layer composition of the reduced films are listed in Table 22. The percentage of the oxygen content in the Cu layer and the Si 2p concentration are plotted against reduction temperatures as shown in Figure 42 (a,b). At

100 °C, HCOOH treatment was less effective with respect to the reduction of Cu2O yielding oxygen content above 20 at-% in the Cu film. Above 110 °C, HCOOH treatment was very effective in reducing the oxygen content below 15 at-%. In contrast, molecular

H2 was also tested as reducing agent at 110 °C, but it was ineffective, and oxygen content similar to the Cu2O film has been obtained.

Table 22: Atomic concentration and layer composition of the films obtained from the in-situ XPS investigation (after 30 s Ar sputter cleaning), when a Cu2O film deposited by

ALD on SiO2 was reduced with HCOOH at different reduction temperatures (ϑred). The ALD layer composition is calculated by applying Equations 25 and 26.

S. N. ϑred Overall O bonded to ALD layer (°C) composition Cu, Si composition (at-%) (at-%) (at-%)

Cu O Si C 1s, P CuxO SiO2 Cu O

2p3/2 1s 2p Ru 3d 2p 1 100 33.8 46.6 15.3 4.3 0.0 8.6 38.0 79.7 20.3 2 110 33.9 46.8 19.3 0.0 0.0 3.9 42.9 89.7 10.3 3 120 29.6 47.6 19.8 3.0 0.0 3.3 44.3 89.9 10.1 4 130 26.7 48.8 19.8 4.7 0.0 3.3 45.5 88.9 11.1 5 145 24.7 50.8 20.3 4.2 0.0 3.8 47.0 86.7 13.3 6 160 20.2 51.6 25.9 2.3 0.0 2.5 49.1 89.0 11.0

113

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 42: (a) Concentration of oxygen in the Cu layer and (b) Si 2p concentration obtained by the in-situ XPS plotted in dependence with the reduction temperatures, when a

3.0 nm Cu2O film deposited by ALD was reduced by applying HCOOH at different reduction temperatures on SiO2. The oxygen content in the Cu2O film is 31.8 at-%. The

Si 2p concentration obtained on the Cu2O film is 15.9 at-%.

In-situ XPS investigation of the Ru-doped Cu2O treated with HCOOH at 110 °C is depicted in Figure 43(a)–(d). The O 1s, Cu 2p3/2, and Cu L3VV Auger spectra are also shown in the diagram. After the HCOOH treatment at 110 °C, the Cu layer depicted the sample composition of 33.9 at-% Cu, 46.8 at-% O, and 19.0 at-% Si. The ruthenium, carbon, or phosphorous contents were below the detection limit. The Cu 2p3/2 spectrum indicated only either Cu(I) or Cu(0) species and ruled out the presence of any Cu(II) species. The Cu 2p3/2 and Cu L3VV Auger spectrum confirmed that the film after reduction is composed of mostly metallic Cu (c, d)]. Auger parameter calculated from the most intense Cu 2p3/2 and Cu L3VV Auger peak positions is found to be 361.6 eV. This value indicates the formation of metallic Cu on SiO2 [166]. Similar to the observations made at 110 °C, at temperatures between 120–160 °C, HCOOH treatment was also found to be effective in obtaining Cu films. The layer composition (calculated using Equations 25 and 26) saturates to below 13 at-% oxygen in the layer in all the cases. The oxygen content can be assigned to the interface oxides between SiO2 and Cu layer which were difficult to remove during the reduction process.

114

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 43: (a) In-situ XPS survey spectrum, (b) O 1s core-level, (c) Cu 2p3/2 core-level, and (d) Cu L3VV Auger spectra of a 3.0 nm Cu2O film deposited by ALD on SiO2, after reduction with HCOOH at 110 °C for 40 min.

The Si 2p concentration in the Cu layer obtained after HCOOH treatment is compared at different reduction temperatures as depicted in Figure 42(b). The Si 2p concentration of the Cu2O layer treated with HCOOH between 110–140 °C depicted nearly 20.0 at-% Si. In contrast, when Cu2O is treated with HCOOH at 160 °C a remarkable change in the XPS signal was observed, compared to the samples treated between 110–140 °C. The Si 2p concentration increased from 19 to 26 at-% when reduced at 110 °C and 160 °C respectively. This indicated that the reduction at higher temperature leaded to either thinner Cu films or Cu agglomerates on the SiO2 substrate. Morphology and thickness of the reduced Cu layers would be studied in Section 7.2.5.

115

Chapter 7 Reduction of Ru-doped Cu2O to Cu

7.2.2 Effect of reduction temperature for CO treatment on SiO2 substrate

Reduction of Ru-doped Cu2O to metallic Cu on SiO2 by CO treatment was systematically investigated at temperatures between 100–160 °C. The XPS investigation was carried out after 30 s Ar sputtering on the sample surface to get the information from the Cu film. Overall composition and the ALD layer composition of the reduced films are listed in Table 23. The oxygen content in the layer and the Si 2p concentration are plotted in dependence with the reduction temperatures as shown in Figure 44 (a, b). In addition,

XPS investigation of the of the Ru-doped Cu2O layers reduced with CO at 145 °C is studied in detail as depicted in Figure 45(a)–(d).

Table 23. Atomic concentration and layer composition obtained from the in-situ XPS investigation after 30 s Ar sputter cleaning, when a Cu2O film deposited by ALD on SiO2 was reduced with CO at different reduction temperatures (ϑred). The ALD layer composition is calculated by using Equations 25 and 26.

S.N. ϑred Overall O bonded to ALD layer (°C) composition Cu, Si composition (at-%) (at-%) (at-%)

Cu O Si C 1s, P CuxO SiO2 Cu O

2p3/2 1s 2p Ru 3d 2p 1 100 38.4 45.2 16.4 0 0.0 13.6 31.6 73.8 26.2 2 110 33.2 47.5 19.3 0 0.0 6.9 40.6 82.7 17.3 3 120 28.0 49.3 20.3 2.4 0.0 6.4 46.9 81.8 18.1 4 130 27.0 52.4 20.6 0 0.0 8.5 43.9 86.0 14.0 5 145 27.7 51.2 20.2 0.9 0.0 3.8 47.4 87.9 12.1 6 160 29.2 47.9 21.4 1.5 0.0 3.4 44.5 89.5 10.5

116

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 44: (a) Concentration of oxygen in the Cu layer is plotted in dependence with the reduction temperatures, when a 3.0 nm Cu2O film deposited by ALD was reduced by CO at different reduction temperatures on a SiO2 substrate. The oxygen content in the Cu2O film is 31.8 at-%. The Si 2p concentration in the Cu2O film is 15.9 at-%.

Figure 45: (a) In-situ XPS survey spectrum, (b) O 1s core-level, (c) Cu 2p3/2core-level, and (d) Cu L3VV Auger spectra of a 3.0 nm Cu2O film deposited by ALD on SiO2, when reduced by CO at 145 °C for 40 min.

117

Chapter 7 Reduction of Ru-doped Cu2O to Cu

The chemical composition of the Cu layer obtained at 145 °C is similar to the Cu layer obtained by the reduction using HCOOH at 110 °C. When Cu2O was reduced with CO at 145 °C, the Cu layer composition (calculated by using Equations 25 and 26) indicated 12.1 at-% O in the layer, which was the only impurity that could be detected in the film. The reduction of Cu2O, by the CO treatment at 145 °C, resulted in the atomic concentration of 27.7 at-% Cu, 51.2 at-% O, and 20.2 at-% Si. The combined ruthenium and carbon signal was below 1 at-% in the Cu layer. The phosphorous content was below the detection limit. The CuxO peak observed at 529.5 eV in the O 1s spectrum as shown in

Figure 45(b) can be assigned to the mixture of CuO, Cu2O, and Cu(OH)2 (see, Table 9).

The Cu 2p3/2 [Figure 45(c)] indicated minor Cu2O or Cu at 931.8 eV, CuO at 932.8 eV and

Cu(OH)2 at 934.0 eV [159,160] species. Majority of the film is composed of metallic Cu. Furthermore, the Si 2p concentration in the Cu layer obtained after subsequent reduction with CO at the temperatures between 110–160 °C depicted nearly constant amount of the silicon (20.4±1 at-%) obtained from the substrate.

7.2.3 Effect of Cu2O film thickness for HCOOH or CO treatment on SiO2 substrate

To study the effect of Cu2O film thickness on the reduction of Cu2O, samples with film thickness between 2.5–4.7 nm were investigated after reduction using O 1s spectra

(Figure 46). Percentage of oxygen content in the layer is plotted against Cu2O film thickness as shown in Figure 47. The Cu2O samples were reduced by HCOOH treatment at 110 °C or CO treatment at 145 °C, since the temperatures were found to be the lowest working temperatures.

As observed in Figure 46(a), when 2.5 nm Cu2O was reduced with HCOOH, no

CuxO species could be found. Furthermore, HCOOH is found to be effective in reducing ultrathin films between 2.5–4.7 nm, because in all the investigations the layer composition indicated lower amount of oxygen in the layer (nearly 10 at-%) as shown in Figure 46(b).

This result suggests that thinner Cu2O can be effectively reduced compared to thicker films.

118

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 46: In-situ XPS depicting O 1s core-level spectra of (a) 2.5 nm, (b) 4.7 nm Cu2O deposited by ALD on SiO2, when reduced by HCOOH vapor at 110 °C for 40 min, (c)

2.5 nm, and (d) 4.7 nm Cu2O deposited by ALD on SiO2 at 145 °C, when reduced by CO at 145 °C for 40 min.

Figure 47: Percentage of the oxygen content in the layer obtained by the in-situ XPS is plotted in dependence with the film thickness, when 2.5–4.7 nm Cu2O deposited on SiO2 ALD is reduced by applying HCOOH (red) at 110 °C and CO (black) at 145 °C.

119

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Very low amount of copper oxides (nearly 5%) was observed in the O 1s spectrum when 2.5 nm Cu2O reduced by CO [Figure 46(c)]. In contrast, the oxygen content in the layer increased with increasing Cu2O film thickness [Figure 46(d)]. The reduction of

4.7 nm Cu2O with CO resulted in around 8% Cu2O, 5% Cu(OH)2, and 1% CuO as shown in Figure 46(d). This indicates that the CO can reduce the Cu2O films only below 3.0 nm.

Nevertheless, CO can be effective for the reduction of Cu2O film above 2.5 nm, when reduction is carried out within steps of 200 cycles. Thus, the effectiveness towards reduction of Cu2O to metallic Cu using either HCOOH or CO depends on the Cu2O film thickness when reduction was carried out at 110 °C or 145 °C respectively.

7.2.4 Study of the HCOOH treatment on Co substrate

When Cu2O was treated with HCOOH kept at 110 °C for 40 min, the O 1s core-level spectra (Figure 48) did not depict remarkable change in the amount of Cu2O. The layer composition (Table 24) indicated 34.0 at-% of oxygen in the film, similar to Cu2O film immediately after ALD. This indicated that the reduction of Cu2O on Co surface under the above mentioned process condition was difficult.

Figure 48: In-situ XPS depicting O 1s core-level spectrum of a 2.5 nm Cu2O film deposited on Co by ALD and treated with HCOOH at 110 °C. 30 s Ar sputter cleaning of the sample surface was carried out before XPS.

120

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Table 24: Atomic concentration and ALD layer composition obtained from the in-situ XPS investigation after 30 s Ar sputter cleaning, when a Cu2O film deposited by ALD on Co was treated with HCOOH at 110 °C. Process Overall O bonded to ALD layer composition Cu, Co composition (at-%) (at-%) (at-%)

Cu O Co C 1s, P CuxO CoOx Cu O

2p3/2 1s 2p3/2 Ru 3d 2p

Cu2O ALD 21.8 41.1 37.1 0.0 0.0 12.6 28.5 63.0 37.0 HCOOH treatment 19.4 39.7 40.9 0.0 0.0 10.3 29.4 66.3 33.7

Similar to this study, in a previous report with respect to the growth of Cu on Co liners, S. Müller has investigated the growth of CuxO deposited by ALD and subsequent treatment with atomic hydrogen at 160 °C for 20 min [129]. This report did not depict any change in the chemistry of the film and Cu(I) species were found also after treatment with atomic hydrogen. In contrast, strong catalytic effect towards the reduction of CuxO on Ru-or Ni-liners has been achieved by using atomic hydrogen as reducing agent [129]. The difficulty in the reduction of the Ru-doped Cu2O film deposited on Co can be attributed to the competitive reduction of cobalt oxides at the interface to the ALD layer. In other words, the surface cobalt oxides hinder the formation of metallic Cu during the reduction of Cu2O.

7.2.5 Study of the film thickness, continuity, and surface roughness of the Cu layers on

SiO2 The average Cu film thickness was also calculated from the SE. The results from the SE film thickness measurement are listed in Table 25. The SE of the Cu film produced by the HCOOH treatment of 3.0 nm Cu2O film at 110 °C, resulted 2.5 nm film thickness and at 160 °C of 2.0 nm film thickness. Similarly, the Cu film produced by CO treatment at 145 °C also resulted 2.0 nm film thickness. It can be observed in Table 25, the Cu film prepared by the reduction using HCOOH at 110 °C and CO at 145 °C is thinner than the initial Cu2O layer.

121

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Table 25: The film thickness of the ALD Cu2O and Cu films obtained by the reduction measured by the SE.

Film Reducing Reduction Film thickness agent temperature (nm)

Ru-doped Cu2O - - 3.0

Cu HCOOH 110 °C 2.5

Cu HCOOH 160 °C 2.0

Cu CO 145 °C 2.0

The LEIS measurement from the surface of Cu2O ALD films, Cu films produced via successive reduction, reference SiO2 and an oxidized Cu surface are depicted together in

Figure 49. No Si peak was observed when Cu2O was reduced with HCOOH at 110 °C.

This confirms that the Cu layers are continuous on SiO2. Similarly, very low Si signal are identified when Cu2O was reduced with CO at 145 °C. The Si signal in the LEIS Spectrum might be due to small voids or defects in the Cu layer, which also suggests continuous Cu layers on SiO2. In addition, app. 5% of phosphorous coverage could be estimated in the spectrum. This result is similar with the in-situ XPS (Table 19), where

2.5 at-% phosphorous was observed on Cu2O surface immediately after ALD on SiO2. The average Cu film thickness was also calculated from the energy loss of the ions scattered within the Cu film in the LEIS. As observed in the LEIS investigation shown in Figure 49, the Cu surface peak is observed at 2345.0 eV. The energy loss trail observed equals: 200.0 eV on the Cu2O ALD sample, 150.0 eV on the HCOOH treated sample, and 155.0 eV on the CO treated sample. The respective energy loss corresponds to 1.5 nm

Cu2O film on SiO2 after ALD, 1.1 nm Cu film after HCOOH treatment, and 1.1 nm Cu film after CO treatment. Cu2O films treated with either HCOOH or CO are found to be thinner compared to untreated Cu2O film. The film thickness measured by LEIS is smaller than measured by ellipsometry. The difference in film thickness could be due to atomic oxygen pre-treatment of samples before the LEIS measurement.

122

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 49: LEIS measurement of a 3.0 nm Cu2O film deposited by ALD at 145 °C on

SiO2, Cu2O treated with HCOOH at 110 °C, Cu2O treated with CO at 145 °C, SiO2 reference sample, and cleaned, oxidized Cu foil. The LEIS measurements were carried out after exposure to atomic oxygen for the removal of organic adsorbents.

Furthermore, LEIS sputter depth profiles of the Cu2O layer deposited by ALD, and subsequently reduced by using HCOOH and CO are depicted in Figure 50.The results from the film thickness measurements are supported by the sputter depth profiles, which clearly showed a thicker Cu layer in the untreated Cu2O sample. Higher sputter ion fluence are necessary to obtain Si-substrate on Cu2O films, compared to Cu films. The LEIS sputtering depicted not only phosphorous but some chlorine contents on surface of Cu2O and Cu films. The chlorine adsorption on the surface of Cu2O could be from the residues present in the precursor during the synthesis of educts [141].

123

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 50: Ex-situ LEIS sputter depth profile of a 3.0 nm Cu2O film deposited by ALD on

SiO2 (■), Cu2O treated with HCOOH vapor at 110 °C (○), Cu2O treated with CO at 145 °C (∆).

The reduced Ru-doped Cu2O layers were investigated by ex-situ AFM investigations (Figures 51 and 52). A RMS surface roughness of 0.3 nm was measured on Cu film produced by the treatment with HCOOH at 110 °C, indicating smooth Cu layer. This indicates no agglomeration of Cu film during the reduction. Similarly, a RMS surface roughness of 0.5 nm was measured on the Cu film produced by the CO treatment at 145 °C, also indicating smooth Cu layer. No big change in the RMS surface roughness of the film was observed when Cu2O was treated with CO at 145 °C, also indicating no agglomeration of Cu film during reduction.

124

Chapter 7 Reduction of Ru-doped Cu2O to Cu

Figure 51: AFM investigation of the morphology of a 3.0 nm Cu2O films deposited by

ALD on SiO2, reduced by using (a) HCOOH at 110 °C and (b) CO at 145 °C. A RMS roughness of 0.3 nm and 0.5 nm were observed in the AFM investigations after reduction using HCOOH or CO.

Figure 52: AFM investigation of the morphology of a 3.0 nm Cu2O film deposited by ALD on SiO2, reduced by using HCOOH at 160 °C. A RMS surface roughness of 0.35 nm was measured similar to underlying SiO2 substrate.

In addition, the AFM investigation of the Cu film, obtained by the HCOOH treatment at 160 °C is also studied as depicted in Figure 52. Since, the Si 2p concentration of the Cu obtained by the reduction with HCOOH treatment at 110 °C and 160 °C depicted 19 at-% and 26 at-% Si from the substrate respectively [Figure 42(b)]. This indicates either thinner Cu films or Cu agglomeration on the SiO2 substrate. A RMS surface roughness of this Cu film was measured to be 0.3 nm, similar to underlying SiO2

125

Chapter 7 Reduction of Ru-doped Cu2O to Cu

substrate. This clearly indicates no agglomeration of the Cu film obtained by the treatment with HCOOH also at 160 °C.

7.3 Summary

The Ru-doped Cu2O films deposited by ALD were successfully reduced to metallic

Cu by the treatment using either HCOOH or CO reductants on SiO2substrates. The chemical composition of the Cu film prepared via subsequent reduction of Ru-doped Cu2O is depicted in Table 26. Cu films were obtained after reduction, with some oxygen impurities. In both cases, it was verified by AFM and LEIS measurements that the obtained Cu layers are continuous on SiO2. This is the only report so far which has demonstrated continuous and ultrathin Cu layers with thickness in the range of 2 nm deposited via indirect thermal ALD on SiO2 substrate.

The reduction of 2.5–4.7 nm Ru-doped Cu2O films was systematically studied to identify the process window. The HCOOH treatment was found to be very effective in reducing Cu2O to metallic Cu already at 110 °C for Cu2O with film thickness between

2.5–4.7 nm. In contrast, the CO treatment was effective in reducing Cu2O to metallic Cu only at 145 °C for the Cu2O films below 3.0 nm thicknesses. In contrast to the results observed on SiO2, no reduction could be achieved on Co substrate, when Ru-doped Cu2O is treated with either HCOOH or H2 at 110 °C for 40 mins.

Table 26: Summary of the physical and chemical properties of the Cu films deposited on

SiO2 by subsequent reduction of Ru-doped Cu2O. Reduction Reduction Physical Cu layer temperature with properties composition

(°C) (nm) (at-%)

ϑred Thickness RMS surface roughness Cu O Other

(t) (Rq)

110 HCOOH 2.5 0.3 89.7 10.3 < 1

145 CO 2.0 0.5 87.6 12.4 < 1

126

Chapter 8 Conclusion and Outlook

Chapter 8 Conclusion and Outlook

Conformally coated ultrathin Cu films deposited by ALD is gaining enormous interests as the Cu seed layer for the ECD in the interconnect technology. Ultrathin Cu films can be applied as the non-ferromagnetic layers in Co/Cu multilayered structures for the realization of the GMR spin valve systems. This dissertation is dedicated to the study and development of an ALD process for the deposition of ultrathin Cu and Ru-doped

Cu2O films on non-catalytic SiO2 and catalytic Co substrates. ALD was carried out by the n mixture consisting of 99 mol% [( Bu3P)2Cu(acac)] as Cu(I) beta-diketonate precursor and 5 5 1 mol% of Ru(η -C7H11)(η -C5H4SiMe3)] as Ru precursor. The catalytic amount of Ru inclusion in the Cu precursor is to obtain Ru-doped Cu2O, which is deposited by ALD, and subsequently reduce it to metallic Cu by using either HCOOH or CO treatment on SiO2 and Co substrates. Two different approaches have been developed for obtaining ultrathin Cu films in this work. In the first approach, Cu films below 1.5 nm were produced by direct thermal ALD on catalytic Co substrates. In the second approach, Cu films with a thickness nearly 2.0 nm were obtained by the subsequent reduction of Ru-doped Cu2O films on non-catalytic SiO2 substrates. The physical and chemical properties of the Cu films obtained in this dissertation are summarized in Table 27.

Table 27: Summary of the physical and chemical properties of the Cu films obtained on

Co and SiO2 substrates in this work.

ALD Substrate ϑsub GPC ϑred Physical Cu type (°C) Å/cycle (°C), with properties layer composition (nm) (at-%)

t Rq Cu O Other

Direct Co 125 0.013 - 1.5 0.1 90.5 9.5 < 1

Indirect SiO2 145 0.06 110, HCOOH 2.5 0.3 89.7 10.3 < 1

145, CO 2.0 0.5 87.9 12.1 < 1

127

Chapter 8 Conclusion and Outlook

Surface Chemistry of the Cu precursor The Cu(I) beta-diketonate precursors have been well studied with respect to the CVD of Cu [135,136,137,138]. However, growth of Cu by direct thermal ALD using this precursor has not been successful, due to thermally induced disproportionation reaction leading to the loss of self-limited growth necessary for the ALD. As discussed in Chapter 2, development of Cu ALD process has been limited by the insufficient information about the precursor chemistry. In-situ investigation as indispensable method for the study of precursor surface chemistry was applied in this work, as it avoids the exposure of test surface with ambient air. Particularly, XPS was suitable analysis tool for this purpose, since elemental composition and chemical state of the material can be directly obtained by XPS. To understand the effect of the substrate temperature and evaporator temperatures on the surface chemistry of the Cu precursor during the first half-cycle, Cu precursor was allowed to interact on SiO2 as non-catalytic substrate and Co as catalytic substrate. The characteristics of the chemisorbed Cu precursor were studied by analyzing chemical composition of the chemisorbed Cu precursor by using in-situ XPS. Immediately after n evaporation the Cu precursor has released most of the [ Bu3P] phosphines either in gas-phase or during chemisorption. The Cu precursor depicted different surface chemistry on non-catalytic and catalytic substrates. Thermally induced disproportionation reaction or

CVD like growth was observed at 200 °C on SiO2 surface, since substantial metallic Cu was obtained. In contrast, the precursor has higher tendency of disproportionation on Co already at 117 °C. Strong disproportion of the Cu precursor is observed above 200 °C on

SiO2 and above 125 °C on Co substrates. The lower substrate temperature limit for the thermal ALD using probed Cu precursor is found to be at 145 °C on non-catalytic SiO2 substrate and upper temperature limit is found to be at 125 °C on catalytic Co substrate.

These substrate temperatures were applied for the ALD of Cu and Ru-doped Cu2O.

Direct thermal ALD of Cu The Cu(I) beta-diketonate precursor has not yet been investigated with respect to the direct thermal Cu ALD in detail. In this dissertation, direct thermal Cu ALD using n [( Bu3P)2Cu(acac)] as Cu(I) beta-diketonate precursor and H2 as co-reactant on catalytic

Co substrate at 125 °C is studied. As Co act as the catalyst for dissociation of H2 to

128

Chapter 8 Conclusion and Outlook hydrogen atoms, the Co-H surface served as a good surface for the ALD of Cu. Direct thermal ALD of Cu using the Cu precursor mixture and molecule H2 as co-reactant system was found to be selective to metallic Co. Since, no Cu growth was obtained on oxygenated Co surface. 90.5 at-% of Cu was obtained after ALD and the oxygen was the only detectable impurity with an amount 9.5 at-% in the Cu film. The approach of obtaining metallic Cu by direct thermal ALD is rather effective in obtaining ultrathin, ultrapure, conformal, and smooth Cu layers, with Cu thickness below 1.5 nm. In addition, the catalytic effect of the Co substrate has been studied by monitoring the growth of Cu within steps of 250 ALD cycles by in-situ XPS. It was found that the growth of metallic Cu can be separated into two different regimes. During the first 250 cycles, substrate enhanced initial growth regime could be assigned and above between 250–1250 cycles a linear growth regime could be assigned. The substrate dependent growth of Cu observed for the first 250 cycles depicted GPC of 0.025 Å/cycle. Above 250 cycles, the GPC lowered to 0.008 Å/cycle. Very smooth, fine, and granular Cu film with a RMS surface roughness of 0.2 nm, similar to underlying Co substrate was observed in the AFM investigations. Thus, this approach for obtaining metallic Cu is suitable for the study of GMR Co/Cu multilayered structures, since film thickness can be precisely controlled in this process. In addition, this ALD process is also suitable for deposition of Cu seed layer on Co liner and growth of the sub-1 nm Cu layers as catalysts on Co.

Thermal ALD of Ru-doped Cu2O

Pure, conformal, and ultrathin Ru-doped Cu2O films were obtained by ALD on SiO2 and Co substrates after 30 s Ar sputter cleaning. The Ru and C contents were found to be accumulated on the Cu2O surface only after ALD on both substrates. These Ru was found in the form of ruthenium oxides. The carbon and phosphorous contents obtained on the

Cu2O film were below the detection limit after sputter cleaning on both test substrates. The

GPC of 0.06 Å/cycle Cu2O was obtained on SiO2. In contrast, Cu2O on Co depicted the GPC of 0.013 Å/cycle. Low GPC on Co was attributed to the strong oxidation of underlying Co surface during ALD and low coverage of Cu precursor molecules on oxidized Co surface. The SEM and AFM depicted fine, smooth, and granular morphology of Cu2O on SiO2 and Co substrates. The AFM suggested that the Cu2O films are continuous. A RMS surface roughness of the Cu2O layer was found to be similar to the underlying SiO2 or Co substrates with the value of nearly 0.2 nm.

129

Chapter 8 Conclusion and Outlook

Reduction of Ru-doped Cu2O to Cu by HCOOH or CO treatment

The reduction of Ru-doped Cu2O by either HCOOH or CO treatment was systematically studied between 100–160 °C, to identify the process window for the reduction of Cu films below 5 nm. Process window obtained for the reduction of

Ru-doped Cu2O by either HCOOH or CO treatment is summarized in Table 28. It was observed that HCOOH treatment was effective in removal of substantial amount of oxygen for the Cu2O films between 2.5–4.7 nm thicknesses already at 110 °C. In contrast, for the reduction of Cu2O films above 3.0 nm by CO treatment, it required reduction to be carried out after specific number of ALD cycles.

Table 28: Process window observed during the reduction of Ru-doped Cu2O on SiO2 by using either HCOOH or CO treatment.

Limit Treatment with (induction time)

HCOOH CO

Temperature ≥ 110 °C (40 min) ≥ 145 °C (40 min)

Cu2O film thickness ≤ 4.7 nm (40 min) ≤ 3.0 nm (40 min)

This is the only report so far which has applied LEIS technique to study the continuity of the Cu2O and Cu layers deposited by ALD. The LEIS was applied as the ultra-surface sensitive technique which directly produced the chemical information about the upper surface of the material. The LEIS investigation confirmed that the Cu2O layer is continuous. Similarly, continuous Cu layers were demonstrated after successive reduction with either HCOOH or CO treatments. The AFM investigation of the Cu films also suggested continuous Cu films. In all the cases, a RMS surface roughness of 0.4±0.1 nm on Cu layer was measured, similar to underlying SiO2 surface. This confirmed no agglomeration of the Cu film. This work has successfully demonstrated continuous, ultrathin, and pure Cu layers deposited by ALD on SiO2substrate. Furthermore, the 3.0 nm

Cu2O films treated with either HCOOH or CO were found to be thinner with film thickness value of 2.5 nm and 2.0 nm respectively by the spectroscopic ellipsometry. The LEIS sputter depth profiles of the Cu films corroborated that these layers were thinner compared to initial Cu2O layers.

130

Chapter 8 Conclusion and Outlook

In contrast to above findings on SiO2, the reduction of Cu2O films deposited on Co was rather difficult. It was attributed to the strong oxidation of Co surface and the formation of interface cobalt oxides which hindered the removal of oxygen during the reduction process. Nevertheless, the goal of this work is to cover the spectrum, from understanding the surface chemistry of a Cu precursor on molecular level to the development of a Cu ALD process for practical applications such as GMR spin valves and Cu seed layer for the future interconnect systems with critical dimensions below 10 nm. Those objectives were fulfilled by the development of two different process schemes for catalytic and non-catalytic substrates either by direct metal ALD using H2 as co-reactant or by the deposition of a Ru-doped Cu2O and the subsequent reduction by HCOOH. The results of this dissertation provide for the first time a process scheme that enables the deposition of ultrathin closed Cu films with a thickness below 3 nm on arbitrary substrates. Such a process was demanded by the microelectronic industry for years.

Outlook For a deeper understanding, the in-situ XPS investigations can be combined with in-situ mass spectrometry in order to compare gas-phase molecules with the chemisorbed molecules resulting from the evaporation of the precursor. This would be an excellent opportunity to study both gas-phase and substrate dependent precursor surface chemistry. Direct thermal ALD of Cu by integration of additional HCOOH or CO reduction step after

Cu2O deposition half-cycles could be interesting. This approach eliminates the formation of any intermediate species during subsequent reduction. To a certain extent, it is still necessary to investigate the integration of the studied Cu ALD process, for example study of the interactions between the thin Cu layer and the electrolyte during the ECD of Cu for interconnect applications.

131

Chapter 8 Conclusion and Outlook

Zusammenfassung und Ausblick

Die Abscheidung von ultradünnen und konformen Cu-Schichten gewinnt an zunehmendem Interesse innerhalb der ALD Gemeinschaft. Ultradünnes Cu-Schichten wird als Nukleationpromoter (Keimschicht) für galvanisatische Metallisierung in der Back-End-of-Line Schaltkreis-Technologie benötigt. Außerdem ist Kupfer als nicht-ferromagnetische Schicht im Co/Cu Multischicht-System nötig, um den Riesenmagnetowiderstand in einem Spin-Valve-System zu realisieren. Diese Doktorarbeit widmet sich mit der Untersuchung und Entwicklung des ultradünnen Cu und Ru-dotiertem

Cu2O ALD-Verfahrens auf dem inerten SiO2- und katalytischen Co-Substraten. Der hierbei verwendete Cu-Präkursor besteht aus einer Mischung von 99 mol% n 5 5 [( Bu3P)2Cu(acac)] und 1 mol% [Ru(η -C5H4SiMe3)(η -C7H11)]. Zwei verschiedene Cu-ALD-Verfahren wurden in dieser Arbeit entwickelt. In der ersten Methode wurden Cu-Schichten von unter 1,5 nm Schichtdicke mittels direkter thermischer ALD auf katalytischen Co-Substraten hergestellt. In der zweiten Methode wurden Cu-Schichten mittels Reduktion von Cu2O einer Schichtdicke von ca. 2,0 nm auf inerten

SiO2-Substraten hergestellt. Die physikalischen sowie chemikalische Eigenschaften der Cu-Schichten sind in Tabelle 27 aufgelistet.

Tabelle 27: Die physikalischen und chemikalischen Eigenschaften der ALD Cu-Schichten.

ALD Substrat ϑsub GPC ϑred Physikalische Chemische Methode (°C) (Å/cycle) (°C), mit Eigenschaften Zusammensetzungen (nm) (at-%)

t Rq Cu O Reste

Direkt Co 125 0.013 - 1.5 0.1 90.5 9.5 < 1

Indirekt SiO2 145 0.06 110, 2.5 0.3 89.7 10.3 < 1 HCOOH

145 0.06 145, CO 2.0 0.5 87.9 12.1 < 1

132

Chapter 8 Conclusion and Outlook

Oberflächenchemie von den Cu-Präkursor Über den Cu(I) Beta-diketonate Präkursors wurden bereits merhrere Publikationen veröffentlicht [135,136,137,138]. Diese beschreiben eine Kupfer-Abscheidung mittels CVD-Verfahren. Jedoch war bisher eine direkte thermische ALD mit diesem Präkursor nicht erfolgreich. Die Komplexe auf der metallischen Oberfläche werden durch eine thermisch induzierte Disproportionierungsreaktion zerstört. Disproportionierungs verhindert die selbstlimitierte Eigenschaft des Präkursors und es führt zur einen CVD-Reaktion. Das maßgebliche Hinernis der Entwicklung eines Cu-ALD-Verfahrens war bisher eine ungenügende Untersuchung über die Öberflächenchemie des Cu-Präkursors. Eine In-situ-Untersuchung wurde in dieser Doktorarbeit umgesetzt, damit die Oberflächenzusammensetzung der Schicht untersucht werden kann. In-situ-Analysen vermeiden die Kontamination der Proben durch die Umgebungsluft. Zu diesem Zweck, wurde die In-situ XPS-Analyse verwendet, damit die atomare Konzentration und der chemikalische Zustand bestimmt werden können.

Der Cu-Präkursor wurde auf dem SiO2- und Co-Substrat zur Chemisoption gebracht; dabei wurden verschiedene Substratentemperaturen verwendet. Der Einfluss von Substrat und Verdampfertemperatur auf die Oberflächenchemie wurde anschließend untersucht, sodass die Eigenschaften des chemisorbierten Präkursors analysiert werden konnten. Zu diesem Zweck wurde die chemische Zusammensetzung mit In-situ XPS analysiert. Direkt n nach dem Verdampfen hat der Cu-Präkursor die [ Bu3P] Phosphine-Gruppe freigeschaltet, welche entweder in der Gas-Phase vorliegt oder chemisorbiert wurde. Es wurde bei definierten Substrattemperaturen die Oberflächenzusammensetzung mittels In-situ-XPS untersucht. Ziel dieser Analysen war es, das Zersetzungsverhalten des Präkursors n [( Bu3P)2Cu(acac)] auf der Oberfläche zu analysieren um ein geeignetes ALD-Fenster zu definieren. Bereits bei 117 °C konnte die Zersetzung des Cu-Präkursors auf einer Co-Oberfläche nachgewiesen werden. Bei einer Temperatur von 200 °C setzt die thermische Zersetzung des Präkursors ein. Dies zeigt sich in der maßgeblichen Cu-Anlagerung auf der

SiO2-Oberfläche. Die in-situ-XPS-Analysen ergaben, dass geeignete Prozestemperaturen für die ALD-Abscheidung auf SiO2 bei 145 °C; bzw. auf Co bei 125 °C liegen.

133

Chapter 8 Conclusion and Outlook

Direkte thermische Kupfer ALD Das direkte thermische ALD-Verfahren mit Cu(I) beta-diketonate Präkursor ist bisher nicht im Detail untersucht. In dieser Arbeit wird die direkte thermische Cu-ALD auf katalytischen Co-Substraten bei 125 °C mit H2 als Reaktionpartner beschrieben. Die metallische Co-Oberfläche wirkt katalytisch auf den H2-Coreaktant. Die entstehende Co-H Oberfläche eignet sich gut für die Cu-ALD. Die Co-Oberfläche zeigt eine starke Selektivität zur Cu Abscheidung. Dies zeigt dich daran, dass Cu auf dem oxidierten Bereich des Co-Substrats gefunden wurde. Diese Methode für Cu-ALD war effektiv, um ultradünne, saubere, konforme, und glatte Cu Schichten mit Filmdicke weniger als 1.5 nm zu herstellen. Die hergestellte Cu-Schicht wies eine Reinheit von ca. 90,5 at-% Cu auf dem Co-Substrat auf. Die einzig mittels XPS Nachweisbare Verunreinigung war Sauerstoff. Des Weiteren wurde der katalytische Einfluss des Co-Substrats untersucht. Zu diesem Zweck wurde die chemische Zusammensetzung der Cu-Schicht, sowie die Wachstumrate zwischen den ALD-Zyklen untersucht. Das Cu-Wachstum wurde in zwei unterschiedlichen Bereichen geteilt. Erste 250 Zyklen wurden als Substrateabhängig-Bereich bezeichnet und die Zyklen zwischen 250–1250 würden als Linear-Bereich bezeichnet. Im substratabhängigen Bereich wurde eine Wachstumsrate von 0.025 Å/Zyklus (Growth per Cycle, GPC) bestimmt. Im Gegensatz dazu wurde im linearen Bereich eine Wachstumsrate von lediglich 0.008 Å/Zyklus ermittelt Die hohe Wachstumsrate während der ersten 250 Zyklen ist auf die katalytische Wirkung des Co-Substrates zurückzuführen. Die Oberflächentopologie der hergestellten Cu-Schicht wurde mittels AFM bestimmt. Es konnte nachgewiesen werden, dass die Schicht glatt und granular aufwächst. Die RMS Oberflächenrauigkeit beträgt 0.2 nm, in etwa die Rauigkeit des Co-Substrats. Dieses Herstellungsverfahren von Cu-Schichten ist geeignet, um die für GMR Co/Cu Multi-Schicht-Systeme notwendige Qualität zu realisieren. Des Weiteren kann dieses Cu-ALD-Verfahren auch zur Herstellung einer Cu-Keimschicht auf einer Co- verwendet werden.

134

Chapter 8 Conclusion and Outlook

Thermische ALD von Ru-dotiertem Cu2O

Konforme, ultradünne und Ru-dotierte Cu2O-Schichten wurden auf SiO2- und Co-Substraten nach 30 s Sputtern abgeschieden. Der Ru und C waren auf den

Cu2O-Oberfläche akkumuliert. Das Ru liegt in oxidiertem Zustand vor. Der Teil der C- und P-Unreinigung war unterhalb Detectionlimit nachdem Sputtern auf beiden

Substraten. Die Analyser der Zusammensetung der Cu2O-Schicht zeigt, dass eine Kontamination von Phosphor und Kohlenstoff unter 1 at-% der Nachweisgrenze dieser

Methode liegt. Die Wachstumsrate von Cu2O auf SiO2 wurde mit 0.06 Å/Zyklus bestimmt.

Im Gegensatz dazu beträgt die Cu2O-Wachstumsrate auf Co nur 0.013 Å/Zyklus. Diese geringere Cu2O-Wachstumsrate auf dem Co-Substrat ist durch die Oxidation der Co n Oberfläche und die geringe Oberflächenabdeckung des Moleküls [( Bu3P)2Cu(acac)] bedingt. Die SEM- und AFM-Messungen hat eine feine, glatte und granulare Morphologie der Cu2O-Schichts auf SiO2 und Co-Substraten dargestellt. Ein RMS-Wert der Oberflächenrauigkeit von ca. 0.2 nm wurde auf beiden Substraten gemessen. Dieser gemessene RMS-Wert ist ähnlich dem, wie er auf dem SiO2 oder Co vorher bestimmt wurde. Die Untersuchung mittels AFM demonstriert, dass die Cu2O-Schicht auf SiO2 und Co geschlossen ist.

Reduktion von Cu2O zum Kupferdurch eine HCOOH oder CO Behandlung

Die Reduktion der Ru-dotierten Cu2O-Schicht mittels HCOOH oder CO-Behandlung wurde bei Substrattemperaturen von 100–160 °C untersucht. Somit konnte das Prozessfenster für die Reduktion der unter 5 nm dicken Ru-dotierten

Cu2O-Schicht erhalten werden. Die Ergebnisse aus der Reduktion von Cu2O sind auf der Tabelle 28dargestellt. Die HCOOH-Behandlung ist effektiv, um die Reduktion von

Cu2O-Schichten, mit einer Schichtdicken zischen 2,5–4,7 nm, schon ab 110 °C vollständig zu reduzieren. Im Gegensatz dazu ist CO-Behandlung nur für Schichten kleiner als 3,0 nm und erst ab einer Temperatur von 145 °C effektiv. Dennoch ist es möglich Cu2O-Schichten über 3,0 nm vollständig zu reduzieren.

135

Chapter 8 Conclusion and Outlook

Tabelle 28: Prozess-Fenster beobachtet für die Reduktion von Ru-dotierte Cu2O mit entweder HCOOH oder CO Behandlung.

Limit Behandlung mit (Induktion Zeit)

HCOOH CO

Temperatur ≥ 110 °C (40 min) ≥ 145 °C (40 min)

Cu2O Schichtdicke ≤ 4.7 nm (40 min) ≤ 3.0 nm (40 min)

Im Rahmen dieser Arbeit wurde LEIS als Methode der ultra-hoch

Oberfläche-Sensitiven-Messtechnik eingesetzt, um die Kontinuität der Cu2O-Schicht und

Cu-Schichten nach der Reduktion auf dem SiO2 Substrate darzustellen. LEIS Messungen ergaben die chemikalische Zusammensetzung der ersten Monolage des Materials.

LEIS-Messungen hat ergeben, dass die Cu2O- und Cu-Oberfläche geschlossen sind, weil kein Silizium-Signal gemessen werden konnte. Die AFM-Messungen hat auch dargestellt, dass Cu2O- und Cu-Schichten geschlossen sind. Bei allen Cu-Schichten wurde die RMS Oberflächenrauigkeit 0.4±0.1 nm gemessen. Es wurde keine Agglomeration innerhalb der Cu-Schichten gefunden. Diese Ergebnisse sind soweit die einzige Arbeit, die kontinuierliche ultradünne Cu Schichten auf dem SiO2 gezeigt hat. Außerdem waren die

Cu-Schichten dünner als Cu2O. Ca. 2,5 nm Cu-Schicht war nach der HCOOH Behandlung auf 110 °C und ca. 2,0 nm Cu-Schicht nachdem CO Behandlung auf 145 °C erhalten. Das bedeutet eine Verringerung des Cu2O Schichts auf 17% nach der HCOOH Behzandlung auf 110 °C und 33% nach der CO Behandlung auf 145 °C. Die Ellipsometrie und LEIS Tiefenprofilmessungen haben auch dargestellt, dass die Cu-Schichten dünner sind, als die

Cu2O-Schichten vor der Reduktion waren.

Im Gegensatz zu den Ergebnissen auf dem SiO2-Substrat, war die Reduktion der

Cu2O-Schichts auf Co nicht erfolgreich. Eine starke Oxidation der Grenzfläche zum Co, hat die Reduktion der Cu2O-Schicht verhindert. Dennoch wurde das Ziel dieser Arbeit - Cu-Schichten mittels ALD auf unterschiedlichen Substraten herzustellen - erfolgreich erfüllt. Das gesamte Spektrum des Cu ALD-Verfahrens ist mit dieser Arbeit abgedeckt. Z.B. das Verständnis über die Oberflächenchemie des Cu-Präkursors, die Herstellung der Cu-Schicht für praktische Anwendungen, wie z.B. als

136

Chapter 8 Conclusion and Outlook

Cu-Keimschicht für zukünftige Schaltkreise mit kritischen Strukturgrößen von unter 10 nm sowie als ultradünne Cu-Schicht für GMR Spin-Valve-Systeme wurden diskutiert. Um das Ziel zu erreichen, wurden zwei verschiedene Methoden des Cu

ALD-Verfahrensentwickelt: eine direkte thermische ALD mit H2 als Reaktionsparter und eine indirekte thermische ALD mit Reduktion von Cu2O. Die Ergebnisse dieser Doktorarbeit haben erstmals zwei verscheidene Methoden beschrieben, mit denen ultradünne, kontinuierliche Cu-Schichten von unter 3,0 nm Dicke auf beliebigen Substraten hergestellt werden können.

Ausblick Die Untersuchung der Oberflächenchemie mit dem Cu(I) Beta-Diketonat Präkursor können direkt zusammen mit dem in-situ-Massenspektrometrie beziehen. Dies würde eine ausgezeichnete Gelegenheit, um sowohl in der Gas-Phase und dem Substratabhängig Präkursorchemie zu untersuchen. Im gewissen Umfang sollte in weiteren Untersuchungen die Interaktion der Cu-Schicht mit der Elektrolyte während Galvanisation für die Metallisierung studiert werden.

137

Appendix

Appendix: Model parameters used in the spectroscopic ellipsometry

Table 29: Cauchy model parameters for studying the SiO2 substrate.

no n1 n2 t RI (nm) at 633 nm 1.47 86.0 -53.7 19.5 1.49

Table 30: LD oscillator model parameters for studying the Co film on SiO2 substrate.

휺∞ 흎풑 흎흉 k Ωok Ωpk Ωτk t RI (real) (eV) (eV) (eV) (eV) (eV) (nm) at 633 nm 3.67 5.09 0 1 1.1 12.9 3.38 24.41 2.58

Table 31: LD oscillator model parameters for studying the Cu films on Co substrate.

ε∞ ωp ωτ k Ωok Ωpk Ωτk t RI (real) (eV) (eV) (eV) (nm) at 633 nm 6.28 10.6 2.4 1 0 0 0 1.5 2.2 7.9 3.81 3.5 1 0 0 0 1.3 2.6

Table 32: LD oscillator model parameters for studying the Cu films on SiO2 substrate.

ε∞ ωp ωτ k Ωok Ωpk Ωτk t RI (real) (eV) (eV) (eV) (nm) at 633 nm 3.9 0 0 1 0 0 0 2.5 2.1 2.5 0 0 1 0 0 0 2.0 1.1

138

Appendix

Table 33: LD oscillator model parameters for studying the Cu2O film on Co substrate.

ε∞ ωp ωτ k Ωok Ωpk Ωτk t RI (real) (eV) (eV) (eV) (nm) at 633 nm 0 1.5 2.0 1 5.5 6.74 1.87 2.5 1.2

Table 34: LD oscillator model parameters for studying the Cu2O films on SiO2 substrate.

ε∞ ωp ωτ k Ωok Ωpk Ωτk t RI (real) (eV) (eV) (eV) (nm) at 633 nm 0 0 0 1 3.09 5.27 2.05 2.5 2.1 0 0 0 1 3.04 7.3 3.96 3.0 2.1 0 0 0 1 4.6 10.1 7.6 4.0 2.0 0 0 0 1 3.13 6.24 3.704 4.7 1.8

139

Bibliography

Bibliography

[1] G. E. Moore, "Craming more components onto integrated circuits," Electronics, vol. 38, no. 8, p. 82, 1965. [2] R. H. Dennard, V. L. Rideout, E. Bassous, and A. R. LeBlanc, "Design of ion-implanted MOSFET's with very small physical dimensions," IEEE Journal of Solid-State Circuits, vol. 9, no. 5, p. 256, 1974. [3] A. Pratt, Overview of the use of copper interconnects in the semiconductor industry. Colorado: Advanced Energy Industries, 2004, pp. 3-4. [4] D. C. Edelstein, G. A. Sai-Halasz, and Y.-J. Mii, "VLSI on-chip interconnection performance simulations and measurements," IBM J. Res. Develop., vol. 39, no. 4, p. 383, 1995. [5] R. Rosenberg, D. C. Edelstein, C.-K. Hu, and K. P. Rodbell, "Copper metallization for high performance silicon technology," Annu. Rev. Mater. Sci., vol. 30, p. 229, 2000. [6] H. Kim, "The application of atomic layer deposition for metallization of 65 nm and beyond," Surf. Coat. Technol., vol. 200, no. 10, p. 3104, 2006. [7] G. Dubois and W. Volksen, "Low-k Materials: Recent advances," in Advanced interconnects for ULSI Technology. Chichester, UK: John Wiley & Sons, Ltd., 2012, pp. 3-4. [8] M. R. Baklanov and M. Karen, "Porous low dielectric constant materials for microlelectronics," Phil. Trans. R. Soc. A, vol. 364, p. 201, 2006. [9] (2011) ITRS International Technology Roadmap for Semiconductors-Interconnects. [Online]. http://www.itrs.net/Links/2011itrs/2011Chapters/2011Interconnect.pdf [10] M. Lehr, "Sponge Bob on a Chip: How to realize the Cu/Low-k Integration (BEoL)?," in Dresden Microelectronics Academy, Dresden, 2012, pp. 139-153. [11] H.-J. Engelmann, "Much ado about nothing: Physica/chemical analysis of least structures, lowest concentrations and invisible defects," in Dresden Microelectronics

140

Bibliography

Academy, Dresden, 2012, pp. 165-168. [12] S. Bystrova, Diffusion barreirs for Cu metallisation in Si integrated circuits deposition and related thin film properties. Enschede: Printpartners Ipskamp, 2004, pp. 129-153. [13] M.-K. Jung et al., "The influence of Cu diffusion on NMOS device characteristics," J. Kor. Phys. Soc., vol. 40, no. 4, p. 692, 2002. [14] J. Reid, "Cu electrodeposition: principles and recent progress," Jpn. J. Appl. Phys., vol. 40, p. 2650, 2001. [15] J. T. Gudmundsson, "Ionized physical vapor deposition (IPVD): magnetron sputtering discharges," J. Phys. Conf. Ser., vol. 100, p. 082002, 2008. [16] A. Dulkin et al., "Improving the quality of barrier/seed interface by optimizing physical vapor deposition of Cu film in hollow cathode megnetron," J. Vac. Sci. Technol. A, vol. 29, p. 041514, 2011. [17] U. Helmersson, M. Lattemann, J. Bohlmark, A. P. Ehiasarian, and J. T. Gudmundsson, "Ionized physical vapor deposition (IPVD): A review of technology and applications," Thin Solid Films, vol. 513, no. 1, p. 1, 2006. [18] C. Cabral et al., "Metallization opportunities and challenges for future back-end-of- the-line technology," in Advanced Metallization Conference, Albany, NY, 2010. [Online]. http://www.sematech.org/meetings/archives/3d/8964/pres/Cabral.pdf [19] K. H. J. Buschow, Handbook of magnetic materials. Netherlands: Elsevier, 1999, pp. 3-17. [20] P. Gruenberg, D.E. Buergler, H. Dassow, A.D. Rata, and C.M. Schneider, "Spin-transfer phenomena in layered magnetic structures: Physical phenomena and materials aspects," Acta Mater., vol. 55, no. 4, p. 1171, 2007. [21] A. E. Kaloyeros and E. Eisenbraun, "Ultrathin diffusion barriers/liners for gigascale copper metallization," Annu. Rev. Mater. Sci, vol. 30, p. 363, 2000. [22] T. Waechtler et al., "Copper Oxide Films Grown by Atomic Layer Deposition from

Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru and SiO2," J. Electrochem. Soc. , vol. 156, no. 6, p. H453, 2009. [23] C. J. Jezewski et al., "Low temperature ALD Cu nucleation and full-fill for BEOL

141

Bibliography

interconnects," in AVS Atomic layer deposition conference, San Diego, California, 2013. [24] F. Zaera, "The surface chemistry of atomic layer depositions of solid thin films," J. Phys. Chem. Lett. , vol. 3, p. 1301, 2012. [25] F. Zaera, "The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing," J. Mater. Chem., vol. 18, p. 3521, 2008. [26] S. M. George, "Atomic layer deposition: An overview," Chem. Rev., vol. 110, no. 1, p. 111, 2010. [27] V. B. Aleskovskii and S. I. Kol’tsov, "Some characteristics of molecular layering reactions," in Abstract of Scientific and Technical Conference, Goskhimizdat, Leningrad (Russia), 1965. [28] T. Suntola, "Atomic layer epitaxy," Mat. Sci. Reports, vol. 4, no. 5, p. 261, 1989. [29] L. Niinisto, M. Ritala, and M. Leskela, "Synthesis of oxide thin films and overlayers by atomic layer Epitaxy for advanced applications," Mat. Sci. Eng. B, vol. 41, p. 23, 1996. [30] T. Suntola and J. Antson, "Method for producing compound thin films," #4,058,430, Nov. 25, 1977. [31] T. Suntola, "Atomic layer epitaxy," This Solid Films, vol. 84, p. 216, 1992. [32] M. Borg, "14 nm technology announcement," 2014. [33] K. Endo et al., "Enhancement of FinFET performance using 25-nm-thin sidewall spacer grown by atomic layer deposition," Solid State Electron., vol. 74, p. 13, 2012. [34] C. Valle, "ALD in semiconductor application," in AVS Atomic Layer Deposition Conference, Kyoto, 2014. [35] R. W. Johnson, A. Hultqvist, and S. F. Bent, "A brief review of atomic layer deposition: from fundamentals to applications," Mater. Today, vol. 17, no. 5, p. 236, 2014. [36] H.-L. Lu and D. W. Zhang, "Issues in high-k gate dielectrics and its stack interfaces," in High-k Gate dielectrics for CMOS Technology. Weinheim: Wiley-VCH Verlag GmbH & Co. KGaA, 2012, p. 37.

142

Bibliography

[37] T. Kääriäinen, D. Cameron, M.-L. Kääriäinen, and A. Sherman, Atomic layer deposition: Principles, characteristics and nanotechnology applications. Massachusetts: John Wiley & Sons Ltd, 2013, pp. 6-15. [38] A. Zangwill, Physics at surfaces. Cambridge: Cambridge University Press, 1988, pp. 183-184. [39] R. L. Puurunen, "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process," J. App. Phys., vol. 97, p. 121301, 2005. [40] P. Ferrin, S. Kandoi, A. U. Nilekar, and M. Mavrikakis, "Hydrogen adsorption, absorption and diffusion on and in transition metal surfaces: A DFT study," Surf. Science, vol. 606, no. 7, p. 679, 2012.

[41] S. W. Lee et al., "Atomic layer deposition of SrTiO3 thin films with highly enhanced growth rate for ultrathigh density capacitors," Chem. Mater., vol. 23, p. 227, 2011. [42] K.-E. Elers et al., "Film uniformity in atomic layer deposition," Chemical Vapor Dep., vol. 12, p. 13, 2006. [43] A. Pakkala and M. Putkonen, "Atomic Layer Deposition," in Handbook of deposition technologies for films and coatings. Oxford: Elsevier Inc., 2010, pp. 376- 381. [44] A. Niskanen et al., "Radical-enhaned atomic layer deposition of metallic copper thin films," J. Electrochem. Soc., vol. 152, no. 1, p. G25, 2004. [45] M. Dai, J. Kwon, M. D. Halls, R. G. Gordon, and Y. J. Chabal, "Surface and interfaces processes during atomic layer deposition of copper on silicon oxide," Langmuir , vol. 26, no. 6, p. 3911, 2010. [46] V. Miikkulainen, M. Leskelä, M. Ritala, and R. L. Puurunen, "Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends," J. Appl. Phys., vol. 113, p. 021301, 2013. [47] M. Leskelä and M. Ritala, "Atomic layer deposition (ALD): from precursors to thin film structures," Thin Solid Films, vol. 409, p. 139, 2002. [48] C., Alivisatos, A. P., Kammen, D. M. Wadia, "Materials availability expands the opportunity for large-scale photovoltaics deployment," Environ. Sci. Technol., vol. 43, no. 6, p. 2072, 2009.

143

Bibliography

[49] A. Roos and B. Karlsson, "Properties of oxidized copper surfaces for solar applications II," Sol. Energ. Mater., vol. 7, no. 4, p. 467, 1983.

[50] J. Zhang, J. Liu, Q. Peng, X. Wang, and Y. Li, "Nearly monodisperse Cu2O and CuO nanospheres: Preparation and applications for sensitive gas sensors," Chem. Mater., vol. 18, no. 4, p. 867, 2006.

[51] J. -Y. Ho and M. H. Huang, "Synthesis of submicrometer-sized Cu2O crystals with morphological evolution from cubic to hexapod structures and their comparative photocatalytic activity," J. Phys. Chem. C, vol. 113, no. 32, p. 14159, 2009. [52] P. Poizot, S. Laruelle, S. Grugeon, L. Dupont, and J.-M. Tarascon, "Nano-sized transition-metal oxides as negative-electrode materials for lithium-ion batteries," Nature, vol. 407, p. 496, 2000. [53] W. Siripala, A. Ivanovskaya, T. F. Jaramillo, S.-H. Baeck, and E. W. McFarland, "A

Cu2O/TiO2 heterojunction thin film cathode for photoelectrocatalysis," Sol. Energ. Mat. Sol. C, vol. 77, p. 229, 2003. [54] D. Muñoz-Rojas et al., "Growth of 5 cm2V-1s-1 mobility, p-type Copper(I) oxide

(Cu2O) films by fast atmospheric atomic layer deposition (AALD) at 225°C and below," AIP Advances, vol. 2, no. 4, p. 042179, 2014.

[55] K. Matsuzaki et al., "Epitaxial growth of high mobility Cu2O thin films and application to p-channel thin film transistor," Appl. Phys. Lett., vol. 93, p. 202107, 2008. [56] J.-D. Kwon et al., "Controlled growth and properties of p-type cuprous oxide films by plasma-enhanced atomic layer deposition at low temperature," App. Surf. Sci., vol. 285, p. 373, 2013. [57] J. Niinistö, K. Lukli, M. Heikkilä, M. Ritala, and M. Leskelä, "Atomic layer deposition of high-k oxides of the group 4 metals for memory applications," Adv. Mat. Eng., vol. 11, no. 4, p. 223, 2009. [58] K. Mistry, C. Allen, C. Auth, and et. al., "A 45nm logic technology with High-k+Metal Gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry pattering, and 100% Pb-free packaging," in Electron Devices Meeting, IEDM 2007, IEEE International, Washington DC, 2007.

144

Bibliography

[59] K. Endo et al., "Atomic layer deposition of SiO2 for the performance enhancement of fin field effect transistors," Jpn. J. Appl. Phys., vol. 52, no. 11R, p. 116503, 2013.

[60] S. Ferrari et al., "Atomic layer deposited Al2O3 as a capping layer for polymer based transistors," Organic Electronics, vol. 8, no. 4, p. 407, 2007. [61] S.-H. K. Park et al., "Ultrathin film encapsulation of an OLED by ALD," Electrochem. Solid-State Lett., vol. 8, no. 2, p. H21, 2005. [62] J. Baker, ''Use of blocking layers deposited by atmospheric pressure ALD to reduce recombination reactions in flexible dye sensitized solar cells (DSSC) and to pattern DSSC titania anodes'' in Atomic Layer Deposition Conference, Belgium, 2008. [63] W. J. Potscavage, S. Yoo, B. Domercq, and B. Kippelen, "Encapsulation of

pentacene/C60 organic solar cells with Al2O3 deposited by atomic layer deposition," Appl. Phys. Lett., vol. 90, p. 253511, 2007.

[64] B. S. Richards, "Single-material TiO2 double-layer antireflection coatings," Sol. Energ. Mat. Sol., vol. 79, no. 3, p. 369, 2003. [65] V. Lujala, J. Skarp, M. Tammenmaa, and T. Suntola, "Atomic layer epitaxy growth of doped zinc oxide thin films from organometals," Appl. Surf. Sci., vol. 82-83, p. 34, 1994. [66] R. L. Puurunen, M. Blomberg, and H. Kattelus, "ALD layers in MEMS fabrication," in AVS Atomic Layer Deposition Conference, Monterey, Canada, 2009. [67] E. Marin, L. Guzman, A. Lanzutti, W. Ensinger, and L. Fedrizzi, "Multilayer

Al2O3/TiO2 atomic layer deposition coatings for the corrosion protection of stainless steel," Thin Solid Films, vol. 522, p. 283, 2012. [68] Y. Li, W. Shen, Y. Zhang, X. Hao, and H., Liu, X. Fan, "Anti-reflection coating at 550 nm fabricated by atomic layer deposition," Chin. Optics Lett., vol. 11, p. S10205, 2013.

[69] A. Szeghalmi et al., "Atomic layer deposition of Al2O3 and TiO2 multilayers for applications as bandpass filters and antireflection coatings," Appl. Optics, vol. 48, no. 9, p. 1727, 2009. [70] R. L. Puurunen, J. Saarilahti, and H. Kattelus, "Implementing ALD layers in MEMS processing," ECS Trans., vol. 11, no. 7, p. 3, 2007.

145

Bibliography

[71] C. Lin et al., "ALD-enabled hermetic sealing for polymer-based wafer level packaging of MEMS," in 2010 IEEE International Conference in Micro Electro Mechanical Systems (MEMS), Wanchai, Hong Kong, 2010. [72] H. C. M. Knoops et al., "Deposition of TiN and TaN by remote plasma ALD for Cu and Li diffusion barrier applications," J. Electrochem. Soc., vol. 155, no. 12, p. G287, 2008. [73] B. B. Burton, A. R. Lavoie, and S. M. George, "Tantalum nitride atomic layer deposition using (tert-Butylimido)tris(diethylamido)tantalum and hydrazine," J. Electrochem. Soc., vol. 155, no. 7, p. D508, 2008. [74] J. S. Becker, S. Suh, S. Wang, and R. G. Gordon, "Highly conformal thin films of tungsten nitride prepared by atomic layer deposition from a novel precursor," Chem. Mater., vol. 15, p. 2969, 2003. [75] E. Gerritsen et al., "Evolution of materials technology for stacked-capacitors in 65 nm embedded-DRAM," Solid State Electron., vol. 49, no. 11, p. 1767, 2005. [76] T. Ando, Y. Ohta, H. Ashihara, and T. Imai, "A silicon nitride MIM capacitros for analog/mixed-signal integrated circuit using manufacturable atomic layer deposition equipment," ECS Trans., vol. 11, no. 7, p. 55, 2007. [77] A. D. Koehler et al., "Investigation of AlGaN/GaN HEMTs passivated by AlN films grown by atomic layer epitaxy," in CS MANTECH Conference, New Orleans, Louisiana, 2013. [78] B. H. Choi and J. H. Lee, "Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures," Appl. Phys. Lett., vol. 105, p. 053302, 2014. [79] W. Stephen, "Atomic layer deposition of silicon nitride using trisilylamine and an ammonia plasma," in AVS Atomic Layer Deposition Conference, Kyoto, 2014. [80] H. Kim, "Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing," J. Vac. Sci. Technol. B, vol. 21, no. 6, p. 2231, 2003. [81] S. T. Christensen et al., "Nanoscale structure and morphology of atomic layer

146

Bibliography

deposition platinum on SrTiO3 (001)," Chem. Mater., vol. 21, no. 3, p. 516, 2009. [82] T.-K. Eom et al., "Low temperature atomic layer deposition of ruthenium thin films

using isopropylmethylbenzene-cyclohexadiene-ruthenium and O2," Electrochem. Solid-State Lett., vol. 12, no. 11, p. D85, 2009. [83] W.-H. Kim, S.-J. Park, D. Y. Kim, and H. Kim, "Atomic layer deposition of

ruthenium and ruthenium-oxide thin films by using a Ru(EtCp)2 precursor and oxygen gas," J. Korean Phys. Soc., vol. 55, no. 1, p. 32, 2009. [84] B. S. Lim, A. Rahtu, and R. G. Gordon, "Atomic layer deposition of transition metals," Nature Mat., vol. 2, p. 749, 2003. [85] T. J. Knisley, T. C. Ariyasena, T. Sajavaara, M. J. Saly, and C. H. Winter, "Low temperature growth of high purity, low resistivity copper films by atomic layer deposition," Chem. Mater., vol. 23, no. 20, p. 4417, 2011. [86] H. Kim, H. - B.- R. Lee, and W. -J. Maeng, "Applications of atomic layer deposition to nanofabrication and emerging nanodevices," Thin Solid Films, vol. 517, no. 8, p. 2563, 2009. [87] R. Solanki and B. Pathangey, "Atomic layer deposition of copper seed layers," Electrochem. Solid-State Lett., vol. 3, no. 10, p. 479, 2000. [88] G. A. T. Eyck et al., "Plasma-assisted atomic layer deposition of palladium," Chem. Vap. Deposition, vol. 11, no. 1, p. 60, 2005. [89] O.-K. Kwon, J.-H. Kim, H.-S. Park, and S.-W. Kang, "Atomic layer deposition of ruthenium thin fiilms for copper glue layer," J. Electrochem. Soc., vol. 151, no. 2, p. G109, 2004. [90] A. Lauwers et al., "Silicides for the 100-nm node and beyond: Co-silicide, Co(Ni)-silicide and Ni-silicide," Microelectron. Eng., vol. 64, no. 1, p. 131, 2002. [91] Kim Hyungjun, "Atomic layer deposition of transition metals for silicide contact formation: Growth characteristics and silicidation," Microelectron. Eng., vol. 106, p. 69, 2013. [92] K. B. Ramon, M. J. Saly, and Y. J. Chabal, "Precursor design and reactions mechanisms for the atomic layer deposition of metal films," Coord. Chem. Rev., vol. 257, p. 3271, 2013.

147

Bibliography

[93] D. J. H. Emslie, P. Chadha, and J. S. Price, "Metal ALD and pulsed CVD: Fundamental reactions and links with the solution chemistry," Coord. Chem. Rev., vol. 257, p. 3282, 2013. [94] P. G. Gordon, A. Kurek, and S. T. Barry, "Trends in copper precursor development for CVD and ALD applications," ECS J. Solid State Sci. Technol., vol. 4, no. 1, p. N3188, 2015. [95] H. B. Profijt, S. E. Potts, M. C. M. van de Sanden, and W. M. M. Kessels, "Plasma- assisted atomic layer deposition: Basics, opportunities and challenges," J. Vac. Sci. Technol. A, vol. 29, no. 5, p. 050801, 2011. [96] H. C. M. Knoops, E. Langereis, M. C. M. van de Sanden, and W. M. M. Kessels, "Conformality of plasma-assisted ALD: Physical processes and modeling," J. Electrochem. Soc., vol. 157, no. 12, p. G241, 2010. [97] C. Jezewski et al., "Inductively coupled hydrogen plasma-assisted Cu ALD on metallic and dielectric surfaces," J. Electrochem. Soc., vol. 152, no. 2, p. C60, 2005. [98] D. J. Hagen et al., "Plasma enhanced atomic layer deposition of copper: A comparison of precursors," Surf. Coat. Technol., vol. 230, p. 3, 2013. [99] D. J. Hagen et al., "Atomic layer deposition of Cu with a carbene-stabilized Cu(I) silylamide," J. Mater. Chem. C, vol. 2, p. 9205, 2014. [100] J. P. Coyle et al., "Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-heterocyclic carbene precursor," Chem. Mater., vol. 25, no. 7, p. 1132, 2013. [101] Z. Li, S. T. Barry, and R. Gordon, "Synthesis and characterization of copper(I) amidinates as precursors for atomic layer deposition," Inorg. Chem., vol. 44, no. 6, p. 1728, 2005. [102] M. Utriainen, M. Kröger-Laukkanen, L.-S. Johansson, and L. Ninistö, "Studies of

metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M=Ni, Cu, Pt) precursors," App. Surface Sci., vol. 157, no. 3, p. 151, 2000. [103] Z. Li and R. G. Gordon, "Thin, continuous, and conformal copper films by reduction of atomic layer deposited copper nitride," Chemical Vapor Deposition, vol. 12, no. 7, p. 435, 2006.

148

Bibliography

[104] M. Juppo, M. Ritala, and M. Leskelä, "Deposition of copper films by an alternate supply of CuCl and Zn," J. Vac. Sci. Technol. A, vol. 15, p. 2330, 1997. [105] P. Maartensson and J.-O. Carlsson, "Atomic layer epitaxy of copper on tantalum," Chem. Vap. Deposition, vol. 3, no. 1, p. 45, 1997. [106] K.-H. Park, A. Z. Bradley, J. S. Thompson, and W. J. Marshall, "Nonfluorinated volatile copper(I) 1,3-diketiminates as precursors for Cu metal deposition via atomic layer deposition," Inorg. Chem, vol. 45, no. 21, p. 8480, 2006. [107] D.-Y. Moon et al., "Effects of the substrate temperature on the Cu seed layer formed using atomic layer deposition," Thin Solid Films, vol. 519, no. 11, p. 3636, 2011. [108] L. C. Kalutarage, S. B. Clendenning, and C. H. Winter, "Low-temperature atomic layer deposition of copper films using borane dimethylamine as the reducing co- reagent," Chem. Mater., vol. 26, no. 12, p. 3731, 2014. [109] B. H. Lee et al., "Low-temperature atomic layer deposition of copper metal thin films: Self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc," Angew. Chem. Int. Ed., vol. 48, no. 25, p. 4536, 2009. [110] J. Huo, R. Solanki, and J. McAndrew, "Characteristics of copper films produced via atomic layer deposition," J. Mater. Res., vol. 17, no. 9, p. 2394, 2002. [111] S.-W. Kang, J.-Y. Yun, and Y. H. Chang, "Growth of Cu metal films at room temerature using catalyzed reactions," Chem. Mater., vol. 22, no. 5, p. 1607, 2010. [112] D.-Y. Moon et al., "Atomic layer deposition of copper seed layers from a (hfac)Cu(VTMOS) precursor," J. Korean Phys. Soc., vol. 54, no. 3, p. 1330, 2009. [113] J. Mao, E. Eisenbraun, V. Omarjee, A. Korolev, and C. Dussarrat, "Copper-ALD seed layer as an enabler for device scaling," ECS Tras., vol. 41, no. 2, p. 33, 2011. [114] Z. Guo et al., "Low-temperature atomic layer deposition of high purity, smooth, low resistivity copper films by using amidinate precursor and hydrogen plasma," Chem. Mater., vol. 27, no. 17, p. 5988, 2015.

[115] B. Vidjayacoumar et al., "Investigation of AlMe3, BEt3, and ZnEt2 as Co-reagents for low-temperature copper metal ALD/pulsed-CVD," Chem. Mater., vol. 22, no. 17, p. 4844, 2010. [116] P. Maartensson and J.-O. Carlsson, "Atomic layer epitaxy of Copper growth and

149

Bibliography

selectivity in the Cu(II)-2,2,6,6-tetramethyl-3,5-heptanedionate/H2 process," J. Electrochem. Soc., vol. 145, no. 8, p. 2926, 1998. [117] I. J. Hsu, B. E. McCandless, C. Weiland, and B. G. Willis, "Characterization of ALD copper thin films on palladium seed layers," J. Vac. Sci. Technol. A, vol. 27, no. 4, p. 660, 2009. [118] N. Awaya and Y. Arita, "Accelerated-deposition rate and high-quality film copper chemical vapor deposition using a water vapor addition to a hydrogen and

Cu(HFA)2 reaction system," Jpn. J. Appl. Phys., vol. 32, p. 3915, 1993. [119] B. Zheng, E. T. Eisenbraun, J. Liu, and A. E. Kaloyeros, "Device-quality copper using chemical vapor deposition of β-diketonate source precursors in liquid solution," Appl. Phys. Lett., vol. 61, no. 18, p. 2175, 1992. [120] Z. Li, A. Rahtu, and R. G. Gordon, "Atomic layer deposition of ultrathin copper metal films from a liquid copper(I) amidinate precursor," J. Electrochem. Soc., vol. 153, no. 11, p. C787, 2006. [121] Z. Li, R. G. Gordon, D. B. Farmer, Y. Lin, and J. Vlassak, "Nucleation and adhesion of ALD copper on cobalt adhesion layers and tungsten nitride diffusion barriers," Electrochem. Solid-State Lett., vol. 8, no. 7, p. G182, 2005. [122] N. Pinna and M. Kney, "Plasma atomic layer deposition," in Atomic layer deposition of nanostructured materials. Weinheim: Wiley-VCH, 2012, pp. 131-149. [123] G. Koster and G. Rijnders, In situ characterization of thin film growth. NY: Woodhead publishing, 2011. [124] Q. Ma, H. Guo, R. G. Gordon, and F. Zaera, "Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes," Chem. Mater., vol. 23, no. 14, p. 3325, 2011. [125] Q. Ma, H. Guo, R. G. Gordon, and F. Zaera, "Uptake of copper acetamidiante ALD precursors on nickel surfaces," Chem. Mater. , vol. 22, p. 352, 2010. [126] B. Han et al., "Ab initio molecular dynamics simulation on the aggregation of a Cu monolayer on a WN(001) surface," J. Phys. Chem. C, vol. 112, no. 26, p. 9798, 2008. [127] B. Han et al., "First-principles simulations of conditions of enhanced adhesion

150

Bibliography

between copper and TaN(111) surfaces using a variety of metallic glue materials," Angew. Chem. Int. Ed., vol. 49, no. 1, p. 148, 2010. [128] T. Waechtler et al., "Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method," US20130062768 A1, Mar 2013, 2013.

[129] S. Mueller et al., "Thermal ALD of Cu via reduction of CuxO films for the advanced metallization in spintronic and ULSI interconnect systems," in IEEE Semiconductor Concenference Dresden (SCD), Dresden, 2011. [130] Y.-K. Sun and W. H. Weinberg, "Catalytic decomposition of formic acid on Ru(001): Transient measurements," J. Chem. Phys., vol. 94, no. 6, p. 4587, 1991. [131] M. R. Colombia and P. A. Thiel, "The interaction of formic acid with transition metal surfaces, studied in ultrahigh vacuum," J. Electroanal. Chem., vol. 369, no. 1- 2, p. 1, 1994. [132] X. Wang, J. C. Hanson, A. I. Frenkel, J.-Y. Kim, and J. A. Rodriguez, "Time-resolved studies for the mechanism of reduction of copper oxides with carbon monoxide: Complex behavior of lattice oxygen and the formation of suboxides," J. Phys. Chem. B, vol. 108, no. 36, p. 13667, 2004. [133] F. Yang, Y. Choi, P. Liu, J. Hrbek, and J. A. Rodriguez, "Autocatalytic Reduction of

a Cu2O/Cu(111) Surface by CO: STM, XPS, and DFT Studies," J. Phys. Chem. C, vol. 40, no. 114, p. 17042, 2010. [134] D. R. Lide, CRC Handbook of chemistry and physics. Boca Raton: CRC, 1995, vol. 76th Edition. [135] A. Jain, K. -M. Chi, T. T. Kodas, and M. J. Hampden-Smith, "Chemical vapor deposition of copper from hexafluoroacetylacetonato copper(I) vinyltrimethylsilane," J. Electrochem. Soc., vol. 140, no. 5, p. 1434, 1993. [136] H.-K. Shin et al., "Selective, low-temperature chemical vapor deposition of copper

from (Hexafluoroacetylacetonato)copper(I)trimethylphosphine,(hfa)CuP(Me)3," Adv. Mater., vol. 3, no. 5, p. 246, 1991. [137] M. Joulaud, C. Angekort, P. Doppelt, T. Mourier, and D. Mayer, "Evaluation of (hfac)Cu(MHY) for Cu CVD," Microelectron. Eng., vol. 64, no. 1, p. 107, 2002.

151

Bibliography

[138] P. D. Tran and P. Doppelt, "Evaluation of a novel fluorine free copper (I) precursor for Cu CVD," Surf. Coat. Technol., vol. 201, no. 22, p. 9066, 2007. [139] D. Briggs and M. P. Seah, Practical surface analysis. Chichester, 1996, vol. 1, pp. 25-30. [140] P. Bauer, "Low-Energy ion scattering (LEIS)," in Surface and thin film analysis: a compendium of principles, instrumentation, and applications. Weinheim: Wiley-VCH Verlag GmbH & Co. KGaA, 2011, pp. 160-176. [141] Y.-Z. Shen et al., "Synthesis of tri-n-butylphosphine Cupper(I) β-diketonates and their us in chemical vapour deposition of copper," Chin. J. Inorg. Chem., vol. 20, no. 11, p. 1257, 2004. [142] A. Tuchscherer et al., "Ruthenocenes and half-open ruthenocenes: Synthesis, characterization, and their use as CVD precursors for ruthenium thin film deposition," Eur. J. Inorg. Chem., vol. 2012, no. 30, p. 4867, 2012. [143] S. Riedel, Untersuchungen zur Integration von MOCVD-Titannitridbarriere- und Kupfer.schichten in Leitbahnsysteme der Mikroelektronik. Aachen: Shaker-Verlag, 2002, pp. 27-28. [144] H. Bluhm, "X-ray photoelectron spectroscopy (XPS) for in situ characterization of thin film growth," in In situ characterization of thin film growth. Cambridge: Woodhead Publishing Limited, 2011, pp. 76-92. [145] D. R. Baer, M. H. Engelhard, D. J. Gaspar, A. S. Lea, and C. F. Windisch Jr., "Use and limitations of electron flood gun control of surface potential during XPS: two non-homogeneous sample types," Surf. Interface Anal., vol. 33, p. 781, 2002. [146] P. E. Larson and M. A. Kelly, "Surface charge neutralization of insulating samples in x-ray photoemission spectroscopy," J. Vac. Sci. Technol. A, vol. 16, p. 3483, 1998. [147] J. B. Metson, "Charge compensation and binding erergy referencing in XPS analysis," Surf. Interface Anal., vol. 27, no. 12, p. 1069, 1999. [148] L. I. Yin et al., "Widths of atomic M-shell vacancy states and quasiatomic aspects of radiationless transitions in solids," Phys. Rev. A, vol. 9, p. 1070, 1974. [149] S. M. Thurgate, "Auger photoelectron coincidence experiments from solids," J.

152

Bibliography

Electron Spectrosc. Relat. Phenom., vol. 81, no. 1, p. 1, 1996. [150] Z.-T. Jiang, S. M. Thurgate, and P. Wilkie, "Line structure in photoelectron and

Auger electron of CuOx/Cu and Cu by Auger photoelectron coincidence spectroscopy (APECS)," Surf. Interface Anal., vol. 31, no. 4, p. 287, 2001.

[151] S. R. Barman and D. D. Sarma, "Investigation of the L3M45M45 Auger spectra of Cu,

Cu2O and CuO," J. Phys.-Conden. Mat., vol. 4, no. 37, p. 7607, 1992. [152] P. Swift, "Adventitious carbon - the panacea for energy referencing?," Surf. Interface Anal., vol. 4, no. 2, p. 47, 1982. [153] C. D. Wagner, "Chemical shifts of Auger lines, and the Auger parameter," Faraday Discuss. Chem. Soc. , vol. 60, p. 291, 1975. [154] S. Evans, "Curve synthesis and optimization procedures for X-ray photoelectron spectroscopy," Surf. Interface Anal., vol. 17, no. 2, p. 85, 1991. [155] D. A. Shirley, "High-resolution X-Ray photoemission spectrum of the valence bands of gold," Phys. Rev. B, vol. 5, p. 4709, 1972. [156] S. Tanuma, C. J. Powell, and D. R. Penn, "Calculations of electron ineleastic mean free paths. IX Data for 41 elemental solids over the 50 eV to 30 keV range," Surf. Interface Anal., vol. 43, no. 3, p. 689, 2011. [157] J. H. Scofield, "Hartee-Slauter Subshell photoionization cross-sections at 1254 and 1487 eV," J. Electron Spectosc. Relat. Phen, vol. 8, p. 129, 1976. [158] P. C. Zahlm, "Overlayer corrections in XPS," Surf. Interface Anal., vol. 26, p. 352, 1998. [159] S. D. Waddington, "Auger chemical shifts and the Auger parameter," in Practical Surace Analysis- Auger and X-ray Photoelectron Spectroscopy, Vol. 1. Chichester: John Wiley & Sons, 1996, pp. 587-600. [160] N. S. McIntyre, S. Sunder, D. W. Shoesmith, and F. W. Stanchell, "Chemical information from XPS-applications to the analysis of electrode surfaces," J. Vac. Technol., vol. 18, no. 3, p. 714, 1981. [161] J. C. Otamiri, A. Andersson, S. L. T. Andersson, J. E. Crow, and Y. Gao, "Effect of

Zn substitutions in YBa2Cu3O6+x phases on reactivity during the ammoxidation of toluene," J. Chem. Soc., Faraday Trans., vol. 87, p. 1265, 1991.

153

Bibliography

[162] A. Hartmann, G. J. Russel, D. N. Matthews, and J. W. Cochrane, "Electronic

Structure of Nd0.5Y0.5Ba2Cu3O7-δsingle crystals," Surf. Interface Anal., vol. 24, no. 9, p. 657, 1996. [163] K. S. Kim and N. Winograd, "X-ray photoelectron spectroscopic studies of ruthenium-oxygen surfaces," Jour. Cat., vol. 35, p. 66, 1974. [164] J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray photoelectron spectroscopy. Minnesota: Physical Electronics Inc., 1992, pp. 40-41. [165] A. Savitzky and M. J. E. Golay, "Smoothing and differentiation of data by simplified least squares procedures," Anal. Chem., vol. 36, no. 8, p. 1627, 1964.

[166] P. Espinos et al., "Interface effects for Cu, CuO, and Cu2O deposited on SiO2 and

ZrO2. XPS determination of the valence state of copper in Cu/SiO2 and Cu/ZrO2 catalysts," J. Phys. Chem. B, vol. 106, no. 27, p. 6921, 2002. [167] A. G. Akimov et al., "Peculiarities of the Cu LVV Auger line in copper oxide cuprates and HTSC-materials studied by x-ray photoelectron and Auger electron spectroscopies," Surf. Interface Anal., vol. 18, p. 705, 1992. [168] J. Morales, J. P. Espinos, A. Caballero, A. R. Gonzales-Elipe, and J. A. Mejias,

"XPS study of interface and ligand effects in supported Cu2O and CuO nanometric particles," J. Phys. Chem. B, vol. 109, no. 16, p. 7758, 2005. [169] M. Satta and G. Moretti, "Auger parameters and Wagner plots," J. Electron Spectrosc., vol. 178, p. 123, 2010. [170] S. Oswald, A. R. Gonzalez-Elipe, R. Reiche, J. P. Espinos, and A. Martin, "Are measured values of the Auger parameter always independent of charging effects?," Surf. Interface Anal., vol. 35, no. 12, p. 991, 2003. [171] J. M. Hill, D. G. Royce, C. S. Fadley, L. F. Wagner, and F. J. Grunthaner, "Properties of oxidized silicon as determined by angular-dependent x-ray photoelectron spectroscopy," Chem. Phys. Lett., vol. 44, no. 2, p. 225, 1976. [172] H. Fujiwara, Spectroscopic Ellipsometry: Principles and Applications. Chichester: John Wiley & Sons, Ltd, 2007, pp. 279-280. [173] G. A. Al-Jumaily, Optical Meterology- Overview of variable angle spectroscopic ellipsometer (VASE), Part I: Basic theory and typical applications. Washington: The

154

Bibliography

Society of Photo-Optical Instrumentation Engineers, 1999, pp. 3-12. [174] H. G. Tompkins and E. A. Irene, Handbook of Ellipsometry. NY: William Andrews Publishing, 2005, pp. 125-132. [175] J. F. Ziegler, J. P. Biersack, and U. Littmark, The stopping and range of ions in matter. NY: Pergamon, 1984. [176] T. Waechtler, Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices. Chemnitz: Technische Universität Chemnitz, 2010, pp. 79-80. [177] X. Hu, J. Schuster, S. E. Schulz, and T. Gessner, "Simulation of ALD chemistry of n ( Bu3P)2Cu(acac) and Cu(acac)2 precursors on Ta(110) surface," Microelectron. Eng., vol. 137, p. 23, 2015. [178] G. Vereecke and P. G. Rouxhen, "Surface charging of insulating samples in X-ray photoelectron spectroscopy," Surf. Interface Anal., vol. 26, p. 490, 1998. [179] D. E. Tyler and W. T. Black, "Introduction to Copper and Copper Alloys," in AMS Handbook- Properties and selection: Nonferrous alloys and special-purpose materials.: ASM International, 1990, vol. 2, pp. 216-240. [180] J. B. Webb, D. Northcott, and I. Emesh, "Growth, selectivity and adhesion of CVD- deposited copper from Cu+1 (hexafluroacetylacetonate trimethylvinylsilane) and dichlorodimethylsilane," Thin Solid Films, vol. 270, no. 1, p. 483, 1995. [181] E. Machado et al., "Interaction of copper organometallic precursor with barrier layers of Ti, Ta and W and their nitrides: a first-principles molecular dynamics study," J. Mol. Model, vol. 13, no. 6, p. 861, 2007. [182] E. Machado, M. Kaczmarski, P. Ordejon, and D. Garg, "First-principles analyses and predictions on the reactivity of barrier layers of Ta and TaN toward organometallic precursors for deposition of copper films," Langmuir, vol. 21, no. 16, p. 7608, 2005. [183] Y. S. Chung, H. S. Lee, Y. S. Lee, and S. Kim, "Adsorption and chemical reaction of Cu(hfac)(vtms) on Cu(111)," Surf. Science, vol. 482-485, p. 312, 2001. [184] W. M. Haynes, CRC Handbook of Chemistry and Physics. New York: CRC Press, 2012-2013, pp. 5-80.

155

Bibliography

[185] J. E. Parmeter, "Copper CVD Chemistry on a Reactive Substrate: Cu(hfac)2 and hfacH on Pt(111)," J. Phys. Chem. , p. 11530, 97 1993. [186] E. A. Lewis et al., "Dissociative hydrogen adsorption on close-packed cobalt nanoparticle surfaces," J. Phys. Chem. C, vol. 116, no. 49, p. 25868, 2012. [187] T. Waechtler et al., "Copper oxide ALD from a Cu(I) β-diketonate: Detailed growth

studies on SiO2 and TaN," ECS Trans., vol. 25, no. 4, p. 277, 2009.

[188] J. Ghijsen et al., "Electronic structure of Cu2O and CuO," Phys. Rev. B Condens Matter., vol. 38, no. 16, p. 11322, 1988. [189] T. Nowitzki et al., "Oxidation of alumina-supported Co and Co-Pd Model catalysts for the Fischer-Tropsch Reaction," J. Phys. Chem. C, vol. 111, no. 24, p. 8566, 2007. [190] B. V. Crist, Handbook of Monochromatic XPS Spectra, Commercially Pure Binary Oxides.: XPS Internatioal LLC, 1992, vol. 2, pp. 72-78.

[191] J. L. van Hemmen et al., "Plasma and thermal ALD of Al2O3 in a commercial 200 mm ALD reactor," J. Electrochem. Soc., vol. 154, no. 7, p. G165, 2007. [192] G. Dingemans, M. C. M. van de Sanden, and W. M. M. Kessels, "Influence of the

deposition temperature on the c-Si Surface passivation by Al2O3 films synthesized by ALD and PECVD," Electrochem. Solid-State Lett., vol. 13, no. 3, p. H76, 2010.

156

List of Figures

List of Figures

Figure 1: Signal Delay observed in different technology nodes (Source: ITRS Roadmap, 1997) ...... 18 Figure 2: (a) Cross-section of an IBM chip depicting the transistor level, tungsten contact plugs (W), and the first Cu metallization layer (M1) [10]. (b) Cross-section of an AMD LianoTM 32 nm processor. This chip consists of 500 million transistors/cm2, 800 million contacts/cm2, 11 metallization layers and nearly 1 km Cu-interconnects/cm2 [11] ...... 19 Figure 3: Schematic diagram of a trenched line structure of a microprocessor interconnect technology, featuring (a) Cu seed layer profile in a trench structure (b) conformal coating of Cu via ECD ...... 21 Figure 4: Cross-section of the ferromagnetic/non-ferromagnetic layered structures and the spin dependent electron transport in parallel and antiparallel configuration. Two ferromagnetic Co films with magnetic moments depicted by the →/← symbols are separated by non-ferromagnetic Cu film ...... 21 Figure 5: Process flow for the growth of Cu by ALD in this dissertation. Experiment 1 is described as first-half cycle precursor dosing investigation, experiment 2 is described as the direct thermal ALD, and experiments 3,4 are described as the indirect thermal ALD ...... 24 Figure 6: Schematic diagram representing an ALD cycle ...... 39 Figure 7: (a) Difference growth modes observed in the ALD. Schematic drawing to represent the dependency of the GPC with (b) substrate temperatures, (c) precursor dosing time, and (d) purging time [39] ...... 40 Figure 8: ALD processes reported for pure metals, oxides and nitrides in the literature categorized within the periodic table with different color marks [46] ...... 42 Figure 9: Structure of a planar (a) CMOS and (b) and a Fin-FET, depicting high-k metal gate technology [58]...... 43 Figure 10: Precursors applied for the ALD of Cu and discussed in this dissertation ...... 46

157

List of Figures

n 5 5 Figure 11: [( Bu3P)2Cu(acac)] and Ru(η -C7H11)(η -C5H4SiMe3)] precursor, applied for

the deposition of Cu and Ru-doped Cu2O by ALD ...... 55 Figure 12: Scheme of 200 mm cluster deposition tool featuring two ALD chambers, one sputtering chamber (IBSD), one CVD chamber, and an in-situ XPS system. ALD chambers are connected by an in-vacuu transport chamber with the XPS system .... 56 Figure 13: Schematic diagram of the ALD reactor, featuring Vapbox for the evaporation of Cu precursor, CEM1 for the evaporation of water, CEM2 for the evaporation of

formic acid, Ar purge gas and O2 gas inlets used for the ALD of Cu2O. The respective vapor and gas lines are connected to the reactor via control valves directly above the wafer ...... 57

Figure 14: Pulsing scheme for the evaporation of liquid using a Vapbox. The ton1,2 and

toff1,2 represents either on or off duration of either Ar carrier gas or liquid injection per total injection time (t) ...... 58 Figure 15: Schematic diagram of the XPS measurement technique ...... 61 Figure 16: IMFP of electron in Cu plotted as the function of KE [144] ...... 61 Figure 17: Demonstration of the potential level of a conductive surface and an insulating surface. In insulating sample, there would be a shift in the vacuum level of the sample...... 62 Figure 18: Theoretical representation of the photo emission and Auger emission observed in the XPS when X-ray with energy (hν) is applied over the surface. Photoemission is the primary emission while Auger emission is the secondary emission during X-ray exposure on to the sample ...... 63

Figure 19: (a) C 1s and (b) Cu 2p3/2 core-level spectra observed in the in-situ XPS on the

test sample (prepared by the evaporation of Cu precursor on SiO2), obtained at different flood gun potentials ...... 75

Figure 20: (a) Difference between the BE peak position of Cu 2p3/2 and C 1s, and (b) the Auger parameter plotted against the flood gun potential ...... 76 Figure 21: (a) SEM cross-section investigation of the Co layer, (b) AFM surface

morphology of the Co layer, deposited by IBSD on SiO2 substrate...... 77 Figure 22: Variation of C/Cu atomic concentration ratio, after the Cu precursor was

evaporated onto SiO2 kept at substrate temperatures between 22–300 °C ...... 80

158

List of Figures

Figure 23: Bar-diagram comparing the atomic concentrations of the original Cu precursor n molecule, the Cu precursor molecule without the tri-n-butylphosphines [ Bu3P] or

[Cu(acac)] molecule, and the Cu precursor molecule evaporated on SiO2 kept at 145 °C. The C/Cu and P/Cu concentration ratio of the gas-phase molecules indicates the theoretical value and the surface molecules indicate the experimental value obtained by the in-situ XPS ...... 80

Figure 24: Cu 2p3/2 spectrum of the Cu precursor evaporated on SiO2 kept at 22 °C, 145 °C, and 300 °C ...... 81

Figure 25: Cu L3VV Auger spectrum of the Cu precursor evaporated on SiO2 at (a) 145 °C, (b) 200 °C, and (c) 300 °C. In (d), the amount of Cu(0)/Cu (%) is plotted against the substrate temperatures ...... 82 Figure 26: Variation of C/Cu atomic concentration ratio, after the Cu precursor was evaporated for 15 s onto Co kept at substrate temperatures between 110–145 °C. .. 84

Figure 27: In-situ XPS core-level Cu 2p3/2 and Cu L3VV Auger spectra of the Cu precursor, which was evaporated on Co for 15 s kept at (a, b) 117 °C, (c, d) 125 °C, and (e, f) 145 °C...... 85 Figure 28: The percentage of Cu(0) in the total Cu concentration in dependence of the substrate temperatures, after Cu precursor was evaporated on Co kept between 110-145 °C...... 86

Figure 29: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level,

and (c) Cu L3VV Auger spectra, of the Cu deposited by ALD on Co at 125 °C ...... 91 Figure 30: Atomic concentration of Cu and Co obtained by XPS is plotted in dependence of the number of ALD cycles...... 94 Figure 31: Cu film thickness and GPC obtained by XPS is plotted in dependence of the number of ALD cycles...... 94 Figure 32: (a) SEM topography, (b) AFM investigation of the morphology of a 1.38 nm Cu film deposited by ALD on Co after 1000 cycles. A RMS surface roughness of 0.12 nm was measured, similar to underlying Co substrate...... 95

Figure 33: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level,

and (d) Cu L3VV Auger spectra of the 3.0 nm Cu2O surface immediately after ALD ...... 99

159

List of Figures

Figure 34: (a) High resolution XPS spectra of the C 1s and Ru 3d photoelectron lines obtained (a) after ALD, (b) after 30 s Ar sputtering, (c) after 140 s Ar sputtering. The concentration of Ru 3d plotted in dependence of the sputtering time (d) ...... 101

Figure 35: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level,

and (d) Cu L3VV Auger spectra of the 3.0 nm Cu2O film after 30 s Ar sputter cleaning ...... 102

Figure 36: In-situ XPS depicting (a) survey, (b) O 1s core-level, (c) Cu 2p3/2 core-level

and (c) Cu L3VV Auger spectra of the Cu2O ALD on Co at 125 °C ...... 104

Figure 37. Co 2p3/2 core-level spectra obtained on the (a) Co substrate and (b) Co after

Cu2O ALD...... 105

Figure 38: Ex-situ ellipsometric film thickness map of the Cu2O layer on SiO2. Thickness histogram is shown at the right ...... 106

Figure 39: Ex-situ LEIS measurements of a 3.0 nm Cu2O layer on SiO2 a SiO2 reference sample, and oxidized Cu sample. The LEIS measurements were carried out after exposure to atomic oxygen for the removal of organic adsorbents ...... 108

Figure 40: (a) Plan-view SEM image of the Cu2O layer on SiO2 depicting fine and

granular morphology. (b) AFM investigation of the morphology of a 3.0 nm Cu2O

layer on SiO2. A RMS surface roughness of 0.24 nm was determined similar to the

surface roughness of the underlying SiO2 ...... 109

Figure 41: Plan view SEM investigation of the Cu2O ALD film deposited on Co depicting fine and granular morphology. (b) AFM investigation of the morphology of a 2.5 nm

Cu2O layer on Co. A RMS surface roughness of 0.12 nm was measured similar to the roughness of the underlying Co surface ...... 109 Figure 42: (a) Concentration of oxygen in the Cu layer and (b) Si 2p concentration obtained by the in-situ XPS plotted in dependence with the reduction temperatures,

when a 3.0 nm Cu2O film deposited by ALD was reduced by applying HCOOH at

different reduction temperatures on SiO2. The oxygen content in the Cu2O film is

31.8 at-%. The Si 2p concentration obtained on the Cu2O film is 15.9 at-% ...... 114

Figure 43: (a) In-situ XPS survey spectrum, (b) O 1s, (c) Cu 2p3/2, and (d) Cu L3VV Auger

spectra of a 3.0 nm Cu2O film deposited by ALD on SiO2, after reduction with HCOOH at 110 °C for 40 min...... 115

160

List of Figures

Figure 44: (a) Concentration of oxygen in the Cu layer is plotted in dependence with the

reduction temperatures, when a 3.0 nm Cu2O film deposited by ALD was reduced

by CO at different reduction temperatures on a SiO2 substrate. The oxygen content

in the Cu2O film is 31.8 at-%. The Si 2p concentration in the Cu2O film is 15.9 at-% ...... 117

Figure 45: (a) In-situ XPS survey spectrum, (b) O 1s, (c) Cu 2p3/2, and (d) Cu L3VV Auger

spectra of a 3.0 nm Cu2O film deposited by ALD on SiO2, when reduced by CO at 145 °C for 40 min ...... 117

Figure 46: In-situ XPS depicting O 1s core-level spectra of (a) 2.5 nm, (b) 4.7 nm Cu2O

deposited by ALD on SiO2, when reduced by HCOOH vapor at 110 °C for 40 min,

(c) 2.5 nm, and (d) 4.7 nm Cu2O deposited by ALD on SiO2 at 145 °C, when reduced by CO at 145 °C for 40 min ...... 119 Figure 47: Percentage of the oxygen content in the layer obtained by the in-situ XPS is

plotted in dependence with the film thickness, when 2.5–4.7 nm Cu2O deposited on

SiO2 ALD is reduced by applying HCOOH (red) at 110 °C and CO (black) at 145 °C ...... 119

Figure 48: In-situ XPS O 1s core-level spectrum of a 2.5 nm Cu2O film deposited on Co by ALD and treated with HCOOH at 110 °C. 30 s Ar sputter cleaning of the sample surface was carried out before XPS ...... 120

Figure 49: LEIS measurement of a 3.0 nm Cu2O film deposited by ALD at 145 °C on

SiO2, Cu2O treated with HCOOH at 110 °C, Cu2O treated with CO at 145 °C, SiO2 reference sample, and cleaned, oxidized Cu foil. The LEIS measurements were carried out after exposure to atomic oxygen for the removal of organic adsorbents ...... 123

Figure 50: Ex-situ LEIS sputter depth profile of a 3.0 nm Cu2O film deposited by ALD on

SiO2 (■), Cu2O treated with HCOOH vapor at 110 °C (○), Cu2O treated with CO at 145 °C (∆) ...... 124

Figure 51: AFM investigation of the morphology of a 3.0 nm Cu2O films deposited by

ALD on SiO2, reduced by using (a) HCOOH at 110 °C and (b) CO at 145 °C. A RMS roughness of 0.3 nm and 0.5 nm were observed in the AFM investigations after reduction using HCOOH or CO ...... 125

161

List of Figures

Figure 52: AFM investigation of the morphology of a 3.0 nm Cu2O film deposited by

ALD on SiO2, reduced by using HCOOH at 160 °C. A RMS surface roughness of

0.35 nm was measured similar to underlying SiO2 substrate ...... 125

162

List of Tables

List of Tables

Table 1: ITRS roadmap for Interconnects: Microprocessor requirements for M1 level [9] ...... 20 Table 2: Comparison between different vapor phase thin film deposition techniques (with inputs from [36]) ...... 37 Table 3: General requirements of the ALD precursor [43] ...... 41

Table 4: Summary of the ALD processes reported for the deposition of Cu. The ϑsub indicates the process temperature ...... 47 Table 5: Properties of the Cu precursor and Ru precursor applied for the ALD of Cu and

Ru-doped Cu2O [141,142] ...... 55 Table 6: Comparison between the Cu precursor partial pressure and the precursor saturated vapor pressure, when Vapbox is kept at different temperatures and maintained at different flow rate ...... 59 Table 7: RSF applied for the calculation of atomic concentration (X), based on Scofield [157] and corrected using the monochromator analyzer angle equals to 52.55° [158] ...... 65 Table 8: Literature reported values of the O 1s BE position in different chemical states observed in the XPS [159,160,161,162] ...... 66 Table 9: The literature values of the C 1s and Ru 3d BE position in different chemical states observed in the XPS [159,163,164] ...... 66

Table 10: Literature values of the Cu 2p3/2, Cu L3VV peak positions, and the Cu Auger parameter (α) of the Cu in different chemical states and chemical phase ...... 67

Table 11: In-situ XPS investigation of the SiO2 and Co substrates ...... 77

Table 12: Chemical composition of the Cu precursor on SiO2 kept at 22 °C, after the Cu precursor was evaporated between 75–115 °C for 15 s ...... 78

Table 13: Chemical composition of the Cu precursor on SiO2 kept between 22–300 °C, after the Cu precursor was evaporated at 115 °C for 15 s ...... 79

163

List of Tables

Table 14: Atomic concentration of the Cu precursor on Co substrate kept at substrate

temperatures (ϑsub) between 100–145 °C, when the Cu precursor was evaporated at 115 °C ...... 84 Table 15: ALD cycle for the experiments on Co substrate...... 89 Table 16: Results from the in-situ XPS investigation of the Cu surface (immediately after ALD) and Cu film (after 30 s Ar sputter cleaning) on Co at 125 °C ...... 90

Table 17: An ALD cycle for the experiments on SiO2...... 98 Table 18: An ALD cycle for the experiments on Co...... 98 Table 19: Atomic concentration immediately after ALD and ALD film composition after

30 s Ar sputter cleaning of the Cu2O ALD film on SiO2. ALD layer composition is obtained by using Equations 25 and 26...... 100 Table 20: Atomic concentration immediately after ALD and ALD film composition after

30 s Ar sputter cleaning of the Cu2O ALD film on Co. ALD layer composition is obtained by using Equations 25 and 26...... 104

Table 21: Results from the 81 points ellipsometric film thickness map of the Cu2O layer

deposited on SiO2...... 107 Table 22: Atomic concentration and layer composition of the films obtained from the

in-situ XPS investigation (after 30 s Ar sputter cleaning), when a Cu2O film

deposited by ALD on SiO2 was reduced with HCOOH at different reduction

temperatures (ϑred). The ALD layer composition is calculated by applying Equations 25 and 26...... 113 Table 23. Atomic concentration and layer composition obtained from the in-situ XPS

investigation after 30 s Ar sputter cleaning, when a Cu2O film deposited by ALD on

SiO2 was reduced with CO at different reduction temperatures (ϑred). The ALD layer composition is calculated by using Equations 25 and 26...... 116 Table 24: Atomic concentration and ALD layer composition obtained from the in-situ

XPS investigation after 30 s Ar sputter cleaning, when a Cu2O film deposited by ALD on Co was treated with HCOOH at 110 °C ...... 121

Table 25: The film thickness of the ALD Cu2O and Cu films obtained by the reduction measured by the SE ...... 122 Table 26: Summary of the physical and chemical properties of the Cu films deposited on

SiO2 by subsequent reduction of Ru-doped Cu2O ...... 126

164

List of Tables

Table 27: Summary of the physical and chemical properties of the Cu films obtained on

Co and SiO2 substrates in this work...... 127

Table 28: Process window observed during the reduction of Ru-doped Cu2O on SiO2 by using either HCOOH or CO treatment...... 130

Table 29: Cauchy model parameters for studying the SiO2 substrate ...... 138

Table 30: LD oscillator model parameters for studying the Co film on SiO2 substrate. ... 138 Table 31: LD oscillator model parameters for studying the Cu films on Co substrate. .... 138

Table 32: LD oscillator model parameters for studying the Cu films on SiO2 substrate .. 138

Table 33: LD oscillator model parameters for studying the Cu2O film on Co substrate. . 139

Table 34: LD oscillator model parameters for studying the Cu2O films on SiO2 substrate ...... 139

165

Theses

Theses

of the dissertation

‘Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition’ for attainment of the title ‘Dr.-Ing.’ At Technische Universität Chemnitz, Faculty for Electrical Engineering and Information Technology, presented by M. Sc. Dileep Dhakal

Chemnitz,

1. Ultrathin and conformal Cu layers are required for the electrochemical deposition (ECD) in the interconnect technology. Ultrathin Cu layers can be applied as the non-magnetic layers in Co/Cu multilayered structures for the realization of the giant magnetoresistive (GMR) spin valve systems. 2. Atomic layer deposition (ALD) is the most suitable technology for the conformal deposition of Cu seed layers for the subsequent ECD in very high aspect ratio structures, also for sub-20 nm technology nodes. 3. To realize Co/Cu multi-layered giant magneto resistive (GMR) spin valve systems ultra-pure and Å-scale resolved ultrathin Cu films are required. For these requirements, ALD is the most suitable technology for the implementation of GMR spin valve systems on both planar and structured substrates. 4. For growing Cu films, ALD was carried out by a mixture consisting of 99 mol% n [( Bu3P)2Cu(acac)]as Cu(I) beta-diketonate precursor and 1 mol% of 5 5 Ru(η -C7H11)(η -C5H4SiMe3)] as Ru precursor. 5. The primary goal of the catalytic amount of Ru inclusion in the Cu precursor is to

obtain Ru- in the Cu2O layer, and reduce these Cu2O layers to metallic Cu by

166

Theses

using formic acid (HCOOH) at lowest possible temperatures and on arbitrary substrate.

6. Non-catalytic and catalytic substrates such as SiO2 and Co were investigated as the test surfaces for the growth of Cu in this work. 7. Development of suitable Cu ALD process has been limited by the insufficient information about the precursor surface chemistry. 8. The Cu(I) beta-diketonate precursors have been well studied with respect to the chemical vapor deposition of Cu. Growth of Cu by direct thermal ALD using this precursor has not been successful, due to thermally induced disproportionation reaction leading to the loss of self-limited growth necessary for the ALD. 9. Surface chemistry of the Cu precursor for the growth of Cu by ALD has been investigated by using in-situ X-ray photoelectron spectroscopy (XPS). 10. A substrate temperature limit for the thermal ALD using probed Cu precursor was

found at 145 °C on SiO2 and 125 °C on Co. These temperatures were applied for the thermal ALD of Cu on these substrates. 11. Two different approaches have been developed for obtaining ultrathin Cu. 12. In the first approach, Cu films below 1.5 nm were produced by direct thermal ALD

using H2 as co-reactant.

13. Direct thermal ALD of Cu using the studied precursor mixture and molecule H2 was found to be selective to metallic Co. 14. The catalytic effect of the Co substrate has been studied by monitoring the growth of Cu by using in-situ XPS. 15. The growth of metallic of Cu can be separated into two different growth regimes. During the first 250 cycles, substrate enhanced growth regime can be assigned and above between 250–1250 cycles a linear growth regime can be assigned. 16. Above mentioned approach for obtaining metallic Cu is suitable for the study of GMR Co/Cu multilayered structures, since film thickness can be precisely controlled below 1.5 nm. 17. In the second approach, Cu films with a thickness nearly 2.0 nm were obtained by

the subsequent reduction of Ru-doped Cu2O films deposited by ALD. 18. HCOOH was effective in removal of substantial amount of oxygen for the films between 2.5–4.7 nm thicknesses already at 110 °C. In contrast, carbon monoxide

167

Theses

(CO) was only effective for the film thickness below 3.0 nm when reduction was carried out at 145 °C. 19. In all the Cu layers, a RMS surface roughness of 0.4±0.1 nm in the atomic force

microscopy (AFM), similar to underlying SiO2 surface. This confirmed no agglomeration of the Cu film. 20. This is the only report so far which has applied highly surface sensitive low energy

ion scattering (LEIS) technique to study the continuity of the ALD Cu2O and Cu

layer grown by the subsequent reduction of Cu2O on SiO2.

21. The LEIS investigation confirmed that the Cu2O and Cu layers are continuous. 22. The ellipsometry and the LEIS sputter depth profiles of the Cu films suggested that

the ultrathin films were thinner compared to initial Cu2O layers.

23. The reduction of Cu2O films deposited on Co was rather difficult. It was attributed to the strong oxidation of Co surface and the formation of interface cobalt oxides which hindered the removal of oxygen during the reduction process. 24. The results of this dissertation provide for the first time a process scheme that enables the deposition of ultrathin closed Cu films with a thickness below 3 nm on arbitrary substrate. Such process was demanded by the microelectronic industry for years.

168

Biography

Biography

Personal Information Name Dileep Dhakal Nationality Nepalese Date of birth 24th Feb. 1986 Marital Status Married Place of birth Dhading, Nepal

Professional Experiences 05/2012-12/2015 Fraunhofer Institute of Electronic and Nano Systems, Chemnitz, Germany 09/2014-11/2014 School of Microelectronics, Fudan University, Shanghai, China

Education 05/2012-12/2015 Doctor of Philosophy (PHD) in Electronics and Information Engineering Technische Universität Chemnitz, Germany Title of thesis: Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition Supervisor: Prof. Dr. Thomas Geßner 08/2009-08/2011 Masters of Science (M. Sc.) in Nanomolecular Sciences Jacobs University Bremen, Germany Title of thesis: Study of Single Grains in the Nanoscale P3HT OFETs Supervisor: Prof. Dr. Veit Wagner 04/2005-09/2009 Bachelor of Technology (B. Tech.) in Electronics Engineering S. V. National Institute of Technology, India 10/2002-10/2004 11th and 12th Standard National Institute of Science and Technology, Nepal 03/1990-08/2002 Up to 10th Standard Gauri Shankar High School, Nepal Chemnitz Dileep Dhakal

169

Own Publications

Own Publications

Journal Publications 1. Dhakal, Dileep; Assim, Khaybar; Lang, Heinrich; Bruener, Philipp; Grehl, Thomas; Georgi, Colin; Waechtler, Thomas; Ecke, Ramona; Schulz, Stefan E.; Geßner,

Thomas: Atomic layer deposition of ultrathin Cu2O and subsequent reduction to Cu studied by in-situ X-ray photoelectron spectroscopy, Journal of Vacuum Science and Technology A, 34, 01A111 (2016). 2. Dhakal, Dileep; Waechtler, Thomas; Schulz, Stefan E.; Geßner, Thomas; Lang, Heinrich; Mothes, Robert; Tuchscherer, Andre: Surface chemistry of a Cu(I)

Beta-Diketonate precursor and the ALD of Cu2O, Journal of Vacuum Science and Technology A, 32, 0415051 (2014).

Conference Contributions 1. Dhakal, Dileep; Hu, Xiao.; Georgi, Colin.; Schuster, Jörg.; Ecke, Ramona.; Schulz, Stefan E.; Geßner, Thomas: Growth monitoring by XPS and LEIS investigations of ultrathin copper films deposited by atomic layer deposition, SEMICON Europa Dresden, Symposium of the ALD Lab Dresden (2015). 2. Dhakal, Dileep; Georgi, Colin; Ecke, Ramona.; Assim, Khaybar.; Schulz, Stefan E.; Bruener, Philipp; Grehl, Thomas; Lang, Heinrich; Geßner, Thomas: XPS and LEIS

investigation of the Cu2O deposited by ALD and after successive reduction, Festkörper Analytik Tagung- FKA 18, Vienna, Austria (2015). 3. Schulz, Stefan. E.; Dhakal, Dileep; Waechtler, Thomas; Melzer, Marcel; Sharma, Akhil; Hermann, Sascha; Geßner, Thomas: ALD of metals and metal Oxides for advanced interconnect and sensor technology: In-situ investigations for the ALD of Copper, SEMICON Europa, Grenoble, France (2014). 4. Dhakal, Dileep; Waechtler, Thomas; Schulz, Stefan E.; Moeckel, Stefan; Lang,

Heinrich; Geßner, Thomas: In-situ Growth monitoring of Cu2O and Cu after successive reduction, 2nd International Conference on ALD Applications & 3rd China ALD Conference, Shanghai, China (2014).

170

Own Publications

5. Dhakal, Dileep; Waechtler, Thomas; Schulz, Stefan.E.; Mothes, Robert.; Moeckel,

Stefan; Lang, Heinrich; Geßner, Thomas: In-situ XPS investigation of ALD Cu2O and Cu thin films after successive reduction, 14th International Conference on Atomic Layer Deposition, Kyoto, Japan (2014). 6. Dhakal, Dileep; Waechtler, Thomas; Mothes, Robert; Schulz, Stefan E.; Lang, Heinrich; Geßner, Thomas:In-situ XPS investigation of the surface chemistry of a

Cu(I) Beta-Diketonate precursor and the ALD of Cu2O, Materials for Advanced Metallization - MAM 2014, Chemnitz, Germany (2014). 7. Dhakal, Dileep; Waechtler, Thomas; Melzer, Marcel; Mothes, Robert; Schulz, Stefan E.; Lang, Heinrich; Geßner, Thomas: In-situ XPS investigation of the surface

chemistry of a Cu(I) Beta-Diketonate precursor with reference to the ALD of Cu2O, Festkörper Analytik Tagung - FKA 17, Chemnitz, Germany (2013). 8. Waechtler, Thomas; Dhakal, Dileep; Melzer, Marcel; Sharma, Akhil; Ahner, Nicole; Gummenscheimer, Andreas; Hermann, Sascha; Fiedler, Holger; Schulz, Stefan E.; Geßner, Thomas: ALD of transition metals and metal oxides for applications in electronics and sensor Devices, SEMICON Europa Dresden, Symposium of the ALD Lab Dresden (2013).

171