APRIL 2021 VOL. 28, NO. 2 ISSN: 1074 1879 EDITOR-IN-CHIEF: DANIEL TOMASZEWSKI

Table of Contents T e c h n i c a l B r i e f s TECHNICAL BRIEFS...... 1 • Developing EUV Lithography for High-Volume Manufacturing • A Review of the 2020 ESSDERC ESSCIRC Conference Developing EUV Lithography UPCOMING TECHNICAL MEETINGS...... 10 • 2021 IEEE International Flexible Electronics for High-Volume Technology Conference (IFETC) • 2021 IEEE International Interconnect Technology Manufacturing—A Personal Conference (IITC) • 2021 IEEE International Vacuum Electronics Conference (IVEC) Journey • 2021 Symposium on VLSI Technology Anthony Yen SOCIETY NEWS...... 15 • Message from Editor-in-Chief • In Memory of Nino D. Stojadinovi´c • Congratulations to the 16 Newly Elected IEEE Electron Devices Society Fellows Among the papers presented by TSMC at the annual IEEE Interna- • EDS Humanitarian Programs tional Electron Devices Meeting (IEDM) held in San Francisco in AWARDS AND CALLS FOR NOMINATIONS ...... 19 December 2019, one reported that “we started the volume ramp • 2021 IEEE Robert Bosch Award Winner Announcement • 2022 IEEE Robert Bosch Award—Call for Nominations of the enhanced 7 nm technology with EUV insertion in 2019,” and • 2020 IEEE EDS Lester F. Eastman Award Winner another one announced that the “true 5 nm platform technology is • 2021 IEEE EDS Lester F. Eastman Award— Call for Nominations on schedule for high volume production in 1 H 2020... more than • 2020 IEEE EDS J.J. Ebers Award Winner ten EUV layers are employed to replace at least 4 times more im- • 2021 EDS J.J. Ebers Award Call for Nominations • 2020 EDS Distinguished Service Award Winner mersion layers at cut, contact, via and metal line masking steps • 2020 EDS Education Award Winner • 2021 EDS Education Award—Call for Nominations for process simplification, faster cycle time and better reliability & • 2020 EDS Early Career Award Winner yields.” Presentation of these two papers signified the entry of the • 2021 EDS Early Career Award—Call for Nominations world’s semiconductor manufacturing into the EUV era. At the De- EDS WOMEN IN ENGINEERING...... 29 cember 2020 IEDM, • Leda Lunardi—Her Journey and Words of Motivation for Women in Science and Engineering TSMC further states in • Manijeh Razeghi—Pioneering Leader in the Develop- a paper that its “5 nm ment of Semiconductor Materials • Mercedes Arruiz—Webinar “Self-Empowerment” node presents ~30% EDS YOUNG PROFESSIONALS...... 34 minimum metal pitch • Can Bayram: Reflections from an scaling through the EDS Young Professional • Shimeng Yu: Reflections from an finest of EUV ap- EDS Young Professional proaches coupled • Young Professionals Germany Section Elections • Call for Nominations—EDS Student Fellowships with innovative barri- CHAPTER NEWS...... 40 er/liner, ESL/ELK, and • ED Kanpur Chapter—2020 EDS Chapter of the Year Cu reflow engineer- Award Winner • Spotlight on the ED Spain Chapter ing.” A clear example Apple’s A14 processor, from TechInsights’ presenta- • Bringing STEM to life (Amazing Graphite) of EUV lithography in tion at SEMI Industry Strategy Symposium 2021. REGIONAL NEWS...... 43 EDS MEETINGS CALENDAR...... 51 (continued on page 3) CALL FOR PAPERS FOR TWO SPECIAL ISSUES OF IEEE ELECTRON DEVICES LETTERS ...... 54 EDS MISSION, VISION AND FIELD OF INTEREST...... 56 Your Comments Solicited Your comments are most welcome. Please write directly to the Editor-in-Chief of the Newsletter at [email protected] ELECTRON DEVICES NEWSLETTER SOCIETY EDITORIAL STAFF

Editor-In-Chief President Vice President of Membership Daniel Tomaszewski Ravi Todi and Services Institute of Microelectronics and Photonics Western Digital Technologies Patrick Fay Email: [email protected] Email: [email protected] University of Notre Dame E-mail: [email protected] Associate Editor-in-Chief Treasurer Manoj Saxena Bin Zhao Vice President of Publications Deen Dayal Upadhyaya College Freescale Semiconductor and Products E-mail: [email protected] Joachim Burghartz University of Delhi Institute for Microelectronics Email: [email protected] Secretary Stuttgart REGIONS 1–6, 7 & 9 United Kingdom, Middle M.K. Radhakrishnan E-mail: [email protected] Eastern, Northeastern & East & Africa NanoRel Stewart Smith E-mail: [email protected] Vice President of Regions/ Southeastern USA Chapters (Regions 1, 2 & 3) Scottish Microelectronics Centre Jr . Past President Murty Polavarapu Rinus Lee E-mail: [email protected] Fernando Guarin Space Electronics Solutions GlobalFoundries GlobalFoundries E-mail: [email protected] E-mail: [email protected] Western Europe E-mail: [email protected] Mike Schwarz Vice President of Strategic Central USA & Canada Technische Hochschule Sr . Past President Directions (Regions 4 & 7) Mittelhessen University of Samar Saha Paul Berger Michael Adachi Applied Sciences Prospicient Devices The Ohio State University E-mail: mike.schwarz1980@ E-mail: [email protected] E-mail: [email protected] Simon Fraser University E-mail: [email protected] googlemail.com Vice President of Education Vice President of Technical Navakanta Bhat Committees Southwestern & Western USA REGION 10 Indian Institute of Science John Dallessase (Regions 5 & 6) Australia, New Zealand & Email: [email protected] University of Illinois at Urbana- Muhammad Mustafa Hussain South East Asia Champaign University of California—Berkeley Sharma Rao Balakrishnan Vice President of Meetings Email: [email protected] E-mail: MuhammadMustafa. Universiti Sains Islam Malaysia Kazunari Ishimaru [email protected] E-mail: [email protected] Kioxia Corporation Email: [email protected] Latin America (Region 9) North East and East Asia Edmundo A. Guiterrez-D. Ming Liu INAOE Institute of Microelectronics E-mail: [email protected] E-mail: [email protected]

REGION 8 South Asia Eastern Europe Soumya Pandit IEEE prohibits discrimination, harassment, and bullying. For more information, Kateryna Arkhypova University of Calcutta visit http://www.ieee.org/web/aboutus/whatis/policies/p9-26.html. IRE NASU E-mail: [email protected] E-mail: [email protected]

Scandinavia & Central Europe Marcin Janicki Lodz University of Technology E-mail: [email protected]

EDS Board of Governors (BoG) Contributions Welcome Elected Members-at-Large Elected for a three-year term (maximum two terms) with ‘full’ voting privileges Readers are encouraged to submit news items concerning the Society and its members. Please send your ideas/articles directly to either Editor-in- 2021 Term 2022 Term 2023 Term Chief or the Regional Editor for your region. The email addresses of all Paul Berger (1) Constantin Bulucea (1) Roger Booth (2) Regional Editors are listed on this page. Email is the preferred form of Navakanta Bhat (2) Daniel Camacho (1) Xiojun Guo (1) submission. Merlyne De Souza (1) John Dallesasse (1) Edmundo A. Gutierrez-D. (2) Newsletter Deadlines Kazumari Ishimaru (1) Mario Lanza (1) Francesca Iacopi (1) William (Bill) Nehrer (1) Geok Ing Ng (1) Benjamin Iniguez (2) Murty Polavarapu (2) Claudio Paoloni (1) P. Susthitha Menon (1) Issue Due Date Camilo Velez Cuervo (1) Hitoshi Wakabayashi (1) Manoj Saxena (2) Sumant Sood (2) October July 1st January October 1st April January 1st July April 1st

The EDS Newsletter archive can be found on the Society web site at http://eds.ieee.org/eds-newsletters.html. The archive contains issues from July 1994 to the present.

IEEE Electron Devices Society Newsletter (ISSN 1074 1879) is published quarterly by the Electron Devices Society of the Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016–5997. Printed in the U.S.A. One dollar ($1.00) per member per year is included in the Society fee for each member of the Electron Devices Society. Periodicals postage paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE Electron Devices Society Newsletter, IEEE, 445 Hoes Lane, Piscataway, NJ 08854.

Copyright © 2021 by IEEE: Information contained in this Newsletter may be copied without permission provided that copies are Promoting Sustainable Forestry not used or distributed for direct commercial advantage, and the title of the publication and its date appear on each photocopy. SFI-01681

2 IEEE Electron Devices Society Newsletter ❍ April 2021 Developing EUV Lithography for High-Volume Manufacturing—A Personal Journey

(continued from page 1) high-volume manufacturing (HVM) The responsibility of developing is the application processor inside EUV lithography for HVM at TSMC every Apple iPhone 12 in the world, fell on my shoulders. To identify all fabricated using TSMC’s EUV-­ the bottlenecks, experiments had to enabled 5 nm process. be carried out on the then available EUV exposure tools, called scanners. Secure the Future There were two in the world. Mark- of Moore’s Law ing the end of the 20+ year research Developing EUV lithography for phase of EUV, ASML shipped in 2006 HVM at TSMC started in 2007. Prior two prototype EUV scanners, called to this, EUV had already had a 20+ alpha demo tools (ADT), one to a con- year history, mostly in research labs sortium in Albany, New York and the in the US, Japan, and Europe, in- other one to imec in Belgium. Since cluding those at ASML and Cymer— TSMC was a core partner of imec, I a specialist in lithographic light dispatched a researcher there for a sources later acquired by ASML, long-stay. The imec ADT had a very my current employer. Laboratory low throughput and was very often research demonstrated its supe- down. However, we saw encourag- ASML, the NXE3300, would have an rior resolution and concluded that ing images on the few exposed wa- imaging optics with 0.33 NA, mean- the technology had no showstop- fers. Under the leadership of then ing even better resolution! Encour- pers; it also finalized on the use of head of R&D (later co-COO of TSMC) aged, and again with Shang-yi’s 13.5 nm-wavelength extreme-ultravi- Shang-yi Chiang, we ordered a de- support, we marched on and ordered olet (hence the name EUV) radiation velopment tool from ASML, model the NXE3300 which would arrive at from laser-produced plasma (LPP) of NXE3100, the successor of the ADT. TSMC in 2013. Towards the end of tin as the light source and vacuum- Because the LPP light source was put 2012, I was asked by Chairman ­Morris deposited molybdenum-silicon bi- to use for the first time, its reliabil- Chang on the success rate of EUV li- layers as the reflective coating for ity was poor and the source power thography. I replied “80%.” He said the mirrors making up the imaging never went above 10 watts, less than “You are optimistic! So-and-so told optics. While 193 nm immersion li- 1/25 of the output power of the cur- me only 50%!” I was indeed being thography, whose development in rent EUV exposure tools. But I was optimistic; but I had my reasons. 2007 was nearly complete, would convinced that this would not be the provide near-term extension of op- killer roadblock to the project, for the We Are All In tical lithography, a new lithography next-generation light source under ASML knew from the beginning that technology with much better reso- development in Cymer’s laboratory EUV development was a high-risk lution would be needed in the long had already demonstrated an out- and high-reward undertaking, to the term to secure the future of Moore’s put power several times higher than degree that its competitors never Law. With the use of 4x-reduction 10 watts, though only in bursts. The planned to develop this technology projection imaging employing the most important thing to scrutinize at for HVM. The president of one ASML 6-inch square photomask, EUV li- that time was the quality of the im- competitor said to me, “EUV will not thography, though using entirely aging optics, made by ASML’s part- work and ASML will have lost all its reflective rather than mainly refrac- ner ZEISS. Although both ADT and development money.” It was quite tive optics, could be considered an NXE3100 had a numerical aperture normal to hold this view at that time. extension of optical lithography and (NA) of 0.25, the quality of NXE3100’s But I knew that ASML had the best was thus most attractive among the optics was much improved over that chance to succeed. First, ASML went several next-generation lithogra- of the ADT, for we could already ob- all in, investing the substantial re- phy technologies being pursued at tain from it lithographic patterns sources the project demanded. Sec- that time. In fact, ASML by then had (see SEM photo below; P stands for ond, CTO Martin van den Brink was decided on EUV as the next-gener- the minimum pitch) intended for on top of this technology to the mi- ation technology it would offer to the 10 nm generation! Besides, the nutest detail. Third, co-development its customers.­ next-generation exposure tool from with future users of this technology

April 2021 ❍ IEEE Electron Devices Society Newsletter 3 periments led us to 40 watts by the gaseous tin hydride that is pumped spring of 2014. Further experimenta- out of the vessel. So the idea is to use tion could not lead to higher power: EUV generated by tin to clean up the power could be made higher but sta- mess left by tin! bility suffered. For the entire summer The watershed moment came on of 2014, we carried out experiment an evening in October 2014, I went ­after experiment in Hsinchu, the into the clean room after a quick meal Netherlands, and the US. in TSMC’s cafeteria to meet up with EUV light is generated by LPP in my people and an ASML team led by the source vessel, at one focus of the Bruno La Fontaine for a joint experi- Author on the way to the cleanroom 0.65m-diameter ellipsoidal collector ment, using the latest set of parame- mirror—the most important compo- ters coming from Cymer in San Diego. like TSMC both spread risk and in- nent in the vessel. Light is then direct- With real-time fine adjustments, the creased resources and drive. For its ed to the mirror’s other focus where digital readout of the power meter part, TSMC also directed its resourc- a very small opening lets it into the continued to climb, steadily. By 9 pm, es to maximize its chance of suc- scanner proper. To maintain the EUV we reached 90 watts of output pow- cess. Our EUV effort was guided by output power, the mirror has to be kept er. I immediately realized: EUV HVM Shang-yi, with full support from the clean from tin debris and vapor that is would be a success. Why? From 90 chairman. As for me, I put my whole generated by the laser zapping of mol- watts to 250 watts, the multiplication heart into developing the technol- ten tin droplets, 50,000 times per sec- factor was less than 3, while we had ogy. Every day I donned my bunny ond, which can quickly contaminate managed to climb from 10 watts to suit and went into the clean room the mirror’s surface and reduce its re- 90 watts, a multiplication factor of to spend time with my scanners. I flectivity. The billion-dollar game was 9! Walking out of the clean room was told that I was the only director- to find the optimal operating condi- towards the gowning area, I sighed level person at TSMC who entered tion to minimize tin contamination of and felt euphoric. I will not forget the clean room every day, not sure the collector mirror at the highest pos- this event for the rest of my life. whether such a remark was meant sible stable output power. The inter- I asked for more resources to as a compliment. But I knew that I play of the laser power, gas pressure, continue my development of EUV could not give orders from my office gas flow and hardware configuration lithography. The senior VP replied, or meeting rooms; I had to see the around the mirror determined how “500 wafers a day! I want to see machine in order to assess whether high the stable EUV output power you 500 wafers per day from your ma- to stop it for a new experiment or let could have for the scanner. Here is the chine for a month before giving you it continue to run so that more wa- chemistry behind it: atomic hydrogen more resources!” Though emotional, fers could be exposed on that day, is produced by EUV irradiating the this was a fair request, as the 90 watt based on its prevalent condition. To molecular hydrogen gas introduced power output had to translate to a speed up development, we carried into the space around the collector sustainable tool throughput. I pow out joint development with ASML: mirror; the reactive atomic hydrogen wowed with Frits van Hout who at many experiments were carried out then removes the tin deposited on the the time led ASML’s EUV business on TSMC’s NXE3300, after initial tri- mirror’s surface by forming with it the unit. We decided to tackle the main als in the Netherlands or the US. On the road to EUV HVM, the big- gest fear in people’s mind was the inability to eventually attain the 250 watt output power from the light source, which was required for a rea- sonable throughput of these expen- sive scanners. TSMC’s first NXE3300 had its first light at the end of Octo- ber 2013. I mentioned above that the most important aspect was the reso- lution of the imaging optics; this was no longer an issue by then. And we turned our focus to the source power. For some time, we struggled with no more than 10 watts. But continued ex- A setup for generation of EUV beam

4 IEEE Electron Devices Society Newsletter ❍ April 2021 ­roadblock: frequent scheduled ma- sist and photomask. In fact, photo- the exclusive inspection of defects in chine downs in order to replace emp- mask itself is a sub-ecosystem. By mask blanks. Once this tool became tied tin droplet generator and fogged then, resists for 193 nm lithography available, we were able to give con- (with tin) collector mirror and the based on the mechanism of chemi- stant feedback to Hoya’s engineers ensuing alignment of their replace- cal amplification were quite mature every time we received a new batch ments; to get to 500 wafer exposures and the same technology could be of blanks so that processing param- per day, we had to minimize the fre- extended to formulate EUV resists. eters could be adjusted and improve- quencies of these replacements. We However, resist suppliers soon real- ments made on the next batch. We formed the so-called One Team of ized that EUV HVM could not happen also worked closely with Gudeng ASML and TSMC engineers, worked in the next couple of years and be- to develop the dual-pod, the special day and night, and finally succeeded came hesitant to direct resources to storage case for EUV masks to keep in implementing a new tin droplet the development of EUV resists, ex- them clean, and with Holon to adapt generator along with a new algorithm cept JSR, supported by its visionary its mask SEM for elemental identi- for the laser bombardment, halving president Nobu Koshiba. With JSR, fication of mask fall-on defects. In the volume of every tin droplet that we carried out a long-term collabora- 2011, TSMC became members of SE- shot out while not losing the EUV tion that ensured the supply of qual- MATECH (for the second time) in the energy it generated. With only 50% ity EUV resists to my scanners for US and EIDEC in Japan which were of tin consumption in every bom- years, for which I am very grateful. dedicated to the development of the bardment, the new droplet generator Developing masks for EUV lithog- EUV infrastructure. EUV aerial-image solved the problems of too-frequent raphy at TSMC was also my respon- measurement (AIMS) tool and actinic droplet generator replacement and sibility. For the multilayer reflective mask blank inspection tool were spe- aggravated mirror contamination at mask blanks, we worked closely with cific pieces of equipment whose de- the same time, and achieved 500 wa- Hoya, whose blanks had many de- velopment was supported by funds fer exposures per day on average for fects in the beginning. Nonetheless, from the member companies of a consecutive 4-week period in the Junichi Horikawa, director of Hoya’s these consortia. second quarter of 2015. mask blanks business, asked us to Developing EUV for HVM con- Thereafter, One Team made con- purchase a fixed number of blanks sists of developing the scanner, the tinual improvements on many fronts, per month in order to maintain his infrastructure, and the lithography including further extension of the mini-production line of EUV blanks. processing technology. Although this collector mirror’s lifetime by carry- We agreed to his request, for other- article tries to recount my personal ing out many rounds of experiments wise we would only get mask blanks journey with EUV, credits should go with improved operating parameters. produced in a laboratory environ- to all hard-working members of my I want to thank the many ASML col- ment! In order to identify and locate former team at TSMC, tenacious col- leagues with whom I worked closely these defects accurately, we worked leagues at ASML, ZEISS, and Cymer, throughout my years at TSMC as the with KLA’s engineers to adapt one of R&D colleagues at other semicon- leader of EUV development. its 193 nm mask inspection tools to ductor companies, relevant consortia

A New Infrastructure EUV lithography has so far been semiconductor industry’s biggest technology development program. To ensure its success, TSMC, Intel, and Samsung together gave ASML over €1 B of R&D money in ensuing five years starting 2013. For itself, ASML acquired Cymer in 2012 for $2.5 B, and paid €1 B to acquire 25% of ZEISS SMT in 2016 and promised to provide it with €760 M of R&D money in the next six years. All this was to ensure the success of EUV lithography. But EUV lithography is more than just the scanner, it also requires a dedicated infrastructure including, among other components, photore- Native defects in EUV mask blanks were driven down over time

April 2021 ❍ IEEE Electron Devices Society Newsletter 5 and research institutes, imec, all the was saved. Otherwise, the roadmap out chips beyond the 1 nanometer suppliers in this ecosystem, and the of logic semiconductor technology technology generation. supportive top management in these would have ended at the HVM of the organizations. This was a grand joint 7 nm generation, in 2018. Instead, we Anthony Yen is effort. But to make the EUV technol- are seeing the HVM of the 6 nm and Vice President ogy successful, this was our one 5 nm technology generations, and and Head of Tech- and only choice. At the 2015 SPIE CC Wei, the present CEO of TSMC, nology Develop- Advanced Lithography symposium, announced in Q3 of 2020 that the ment Center at a question came from the audience, 3 nm generation would be in HVM in ASML. He was “What’s your plan B?” “There is no the second half of 2022. with TSMC and plan B!” I replied, for I already had Just as 193 nm immersion lithog- led its develop- confidence that our plan A would raphy extended Moore’s Law by ten ment of EUV lithography for HVM succeed. years (five generations of integrated prior to joining ASML. He did his un- circuit technology), EUV lithography, dergraduate studies in electrical en- EUV Saves Moore’s Law with the next-generation 0.55 NA gineering at Purdue University and With 20+ years of research and 12+ scanner already under development, his graduate studies at MIT, earning years of intensive development, is set to extend it by at least another his master’s, engineer’s, doctoral, EUV lithography finally succeeded ten years. By then, the world’s semi- and MBA degrees there. He is a fel- in its adoption in HVM. Moore’s Law conductor industry will be churning low of the IEEE and SPIE.

A Review of the 2020 ESSDERC— ESSCIRC Conference Annual European Forum for the Presentation and Discussion of Recent Advances in Solid-State Devices and Circuits Thomas ERNST (CEA-Leti, General Chair) François ANDRIEU (CEA-Leti, ESSDERC TPC Chair) Andreia CATHELIN (STMicroelectronics, ESSCIRC TPC Chair)

The aim of ESSCIRC and ESS- conference. We would like to DERC is to provide an annual thank the organizing team who European forum for the presen- created and generated the new tation and discussion of recent conference format in collabora- advances in semiconductor tion with two IEEE societies. As devices and circuits. The level well as the administrative team of integration for system-on-chip de- CEA-Leti, STMicroelectronics, set up around the company Sistema sign is rapidly increasing. Grenoble INP and SOITEC were very Congressi. This is made available by ad- proud to organize the 50th Europe- We hope that a large number of vances in semiconductor technol- an Semiconductor Device Research speakers scheduled to speak-face, will ogy. Therefore, more than ever Conference (ESSDERC) and the 46th be able to accept invitations to present before, a deeper interaction among European Semiconductor Circuits at the 2021 conference. Even in a vir- technologists, device experts, IC Conference (ESSCIRC), which was tual environment, the conference was designers and system designers is to be held in Grenoble in September still able to offer two excellent tutori- necessary. ESSCIRC and ESSDERC 2020. Unfortunately, faced with the als, eleven workshops, with 100 invited are governed by a common Steer- global pandemic situation, the orga- speakers. The educational events were ing Committee and Plenary nizing committee of ESSDERC 2020 organized on demand and live. Partici- Keynote Presentations and Joint Grenoble and the ESSCIRC-ESSDERC pants were able to access the various Sessions bridging both device and steering committee decided to offer a educational sessions from 7 Septem- circuit communities. virtual reduced format for the 2020 ber 2020 to November 15, 2020.

6 IEEE Electron Devices Society Newsletter ❍ April 2021 The peer selected paper submis- topics that could become the next all students, researchers and industry sion section was replaced with IEEE big thing in imaging, with the possi- partners who wanted to learn more journals special issues for ESSCIRC: bility to link these to relevant applica- about 5G and beyond, its opportuni- IEEE SSC-L Special issue with 140 tion cases. ties and challenges. papers submitted vs. 200 papers sub- Hold by Gabriel Molas (CEA-Leti) Growing adoption of electric ve- mitted regularly to the physical con- and Mahmut Sinagi (TSMC), the hicles along with the rising trend ference and for ESSDERC: IEEE T-ED workshop (divided into seven ses- of lightweight vehicles has been a brief Special Issue with 45 papers sions) Non-volatile memories: op- key driver for power semiconductor submitted vs. 90 papers submitted to portunities and challenges from devices, including diodes, silicon- the physical conference. devices to systems, interested a wide controlled rectifiers (SCRs), thyris- The first tutorial Is Quantum Com- range of spectators; from device us- tors, gate turn-off thyristors, power puting a myth or reality? was chaired ers to circuit designers and system MOSFETs, and many others used by Maud Vinet (CEA-Leti) and Far- architectures and will aim to improve for control and conversion of electric hana Sheikh (Intel), divided into an the interdisciplinary understanding power. Recent progress in the wide- introduction and four sessions, each of the potential and challenges of band-gap semiconductor such as offering the industrial and academic non-volatile memory technologies. GaN and SiC, combined with tradi- point of view. The presenters in this Memories continue to be one of the tional Si-based insulated gate bipolar tutorial provided a strong founda- keys to achieving better performance transistors (IGBTs) and MOSFETs of- tion in physics and engineering to and energy efficiency at the system fers multiple choices for system de- the audience, demystifying the field level. While NAND Flash has been the signers of each specific application. of Quantum Computing. The broader widely used non-volatile memory so- This workshop Advances in device electrical engineering community lution, new NVM technologies have technologies for automotive indus- from materials to devices and circuits emerged that offer interesting power / try (power devices, SiC, GaN) chaired were invited to learn more about this performance/area tradeoffs. This by Ionut Radu (Soitec) and Stefan emerging area of research and devel- workshop focused on non-volatile Decoutere (IMEC) brought together opment. The objective was to provide memory technologies and discussed experts from both academia and in- a global picture of current hot topics: the opportunities they can offer for dustry providing a comprehensive Materials, Control, Error Correction, system implementations as well as view of power devices, technologies Semiconductor-based Spin Qubits, the challenges associated with differ- and applications. Superconducting Spin Qubits, and ent technologies. The sixth workshop chaired by Design Tools (such as simulation, The next generations of wireless Sylvain Clerc (STMicroelectronics) CAD, modeling, and emulation). communication, 5G and beyond, will and Keith Bowman (Qualcomm), was The workshop Emerging solu- bring a revolution in the way people dedicated to the Embedded moni- tions for imaging devices, circuits will be connected. For the first time, toring and compensation design for and systems, was divided into seven mm-wave frequencies are being energy or safety constrained applica- sessions. It discussed leading edge considered providing the required tions . Integrated circuit performance and frontier technologies for image bandwidth, high data-rates and low has been long constrained by power sensors opening up new dimensions latency for enhanced mobile broad- and reliability. This trend is expect- in the current landscape, chaired by band, massive IoT and mission- ed to continue in future SoCs and Matteo Perenzoni (FBK) and Albert critical control. This will bring new emerging IoT processors in autono- Theuwissen (Harvest Imaging). Im- challenges for the technologies en- mous vehicles and other applications. aging as usually conceived—visual abling this next generation of mo- Meanwhile, technology scaling faces pictures—is going to remain just a bile communication. The workshop: an increased sensitivity to device subset of the possibilities. The work- New 5G integration solutions, and variability, ageing and environmental shop addressed which technologies, related technologies (from materials changes. In this context, adaptive de- devices, circuits and systems are to system), chaired by Nadine Col- signs have emerged to compensate needed to explore the dimension of laert (IMEC) and Stefan G Andersson. these variations to mitigate the costs, wavelength, beyond visible to un- (Ericsson), addressed topics going performance penalties, and energy- cover invisible details, and of modal- from the system level all the way efficiency losses. This embedded ity from quantum to computational down to the technologies that will be monitoring forum was organized imaging, to overcome the limitations key for 5G applications. It included for recent key contributors in the of classical imaging. The content was presentations of on-going and start- field to present their views for how tailored to image sensor designers ing EU projects Taranto and Beyond to tackle these variability challenges. and device engineers willing to stay 5 as well as leading companies from The aspects of monitoring system up-to-date with the latest emerging industry. This workshop was open to architecture, placement, accuracy

April 2021 ❍ IEEE Electron Devices Society Newsletter 7 and matching were described along such as Density Functional Theory ties of close to 108 per mm². Conse- with the time to react to improve (DT), Molecular Dynamics (MD) and quently, inter-tier vias do no longer performance, reliability and energy Non-Equilibrium Green’s Functions require any significant sacrifice of efficiency. (NEGF). Novel simulation techniques layout space. Combining 3D CMOS Machine learning and AI continue to determine atomistic details of tiers of different technology nodes to grow across almost all areas of ap- bulk and device properties were ad- offers now a unique opportunity plication. While initially confined to dressed, with special emphasis on for cost effective and high perfor- data centers, AI now is pushed more applications to materials for nano/ mance mixed signal architectures and more to the extreme edge to pro- opto electronics. The tutorial explored in particular. Technology develop- cess various types of sensor infor- the potentialities of atomistic meth- ment and architecture showcases mation directly to avoid the need for ods in predicting the physics of these of the 3D-MUSE EU-project were energy-hungry data transfer to the new materials and structures, includ- presented by Philip Häfliger (UiO cloud. To be efficient, the energy ef- ing defects and their electrical and university of Oslo) at the workshop ficiency requirements in these “tiny- optical properties. It also triggered a High density 3D CMOS Mixed-sig- AI” use cases are stringent and range discussion how to bridge the results nal opportunities. from milliwatts (for example for em- of simulations with experiments and Chaired by Wladek Grabinski bedded image and video process- spectroscopic signatures. (MOS-AK), MOS-AK is a HiTech fo- ing) all the way down to microwatts Borivoje Nikolic (University of rum to discuss the frontiers of elec- for (example for keyword spotting or California, Berkeley) in his workshop tron device modeling with emphasis other always-on functions). For the RISC-V cooking session detailed step- on FOSS simulation-aware compact/ design of corresponding circuits two by-step a generation and implementa- SPICE models and its Verilog-A stan- approaches are competing: highly tion of a Berkeley University RISC-V dardization. The specific workshop optimized, digital Von Neumann ar- SoC mapped on a demonstration tech- goal was to classify the most impor- chitectures and emerging alterna- nology. The attendance went through tant directions for the future develop- tive computing paradigms based on each generation/configuration steps ment of the electron device models, in-memory computing (IMC) and as- until RTL level design simulation. not limiting the discussion to com- sociated analog computations. While Thierry Baron (CEA, LTM/UGA) pact models, but including physical, the Von Neumann approach has a and Audrey Dieudonné (UGA) in their analytical and numerical models, to head start, these new ideas are catch- workshop Toward sustainable IoT clearly identify areas that need further ing up. Which one prevails for what from rare materials to big data, pro- research and possible contact points kind of applications and how to solve posed to bring together academics between different modeling domains. many of the issues with a totally dif- and industrials in Social, Economics, This workshop was designed for de- ferent architectural approach was the and Physics/Chemistry and Techno- vice process engineers (CMOS, SOI, central theme of this workshop Edge logical Sciences to exchange knowl- BiCMOS, SiGe, GaN, InP, and others) AI and In-Memory-Computing for en- edge about innovative solutions to who are interested in device model- ergy efficient AIoT solutions chaired develop a more sustainable nanoelec- ing; ICs designers (RF/Analog/Mixed- by Andreas Burg (EPFL) and Marion tronics ecosystem. The access to raw Signal/MEMS/SoC/Bio/Med and Verhelst (KU Leuven). It is moreover materials is a major economic and related) and those starting in that area important to realize that both para- geopolitical stake for the 21st cen- as well as device characterization, digms can also strongly take advan- tury. Key resources/materials being modeling and parameter extraction tage of emerging technologies, such used today in the emerging devices engineers. The content was beneficial as 3D stacking and novel memory for the Internet of Things (IoT) must for anyone who needs to learn what devices. As such, the workshop ad- be substituted or drastically reduced is really behind the IC simulation in dressed both the ESSDERC and ES- in the near future. Tens of billions of modern device models. SCIRC communities and targeted electronics objects are being dissemi- Finally, Dominique Thomas (ST- discussions among circuit and archi- nated all over the world in homes, Microelectonics), Klaus Pressel tecture experts, but as well technolo- buildings, cars, roads, etc., therefore (Infineon) and Rainer Pforr (Zeiss) gy and device experts, to explore the it is obviously a major concern to de- closed this event by a presentation potential of beyond CMOS technolo- velop a sustainable electronic indus- on IPCEI on Microelectronics: In- gies for edge AI solutions. try mindful of its impact right from the novative technologies for shaping Denis Rideau (STMicroelectronics) conception of these objects to their fi- the future. In December 2018 the and Philippe Blaise (Silvaco) present- nal use/consumption. European Commission approved a ed a tutorial Ab-initio new materials Emerging monolithic/sequen- project proposal of four EU mem- and process developments. This tu- tial 3D integration of CMOS circuits ber states—France, Germany, Italy torial introduced atomistic methods reaches high interconnection densi- and the UK—to start an Important

8 IEEE Electron Devices Society Newsletter ❍ April 2021 Project of Common European Inter- tion project involves 27 direct partici- sentations illustrated each of the 5 est (IPCEI) on Microelectronics. The pants involved in 5 complementary Technology Fields: Energy efficient project’s overall objective is to en- Technology Fields, and involves a chips; Power semiconductors, Smart able research and development and large number of partners. The IPCEI sensors; Advanced Optical equip- first industrial deployment of inno- on microelectronics will have com- ment and Compound materials vative technologies and advanced pleted its first two and a half years semiconductors. electronics components (e.g. chips, at the time of the webinar. The direct It is with these time constraints in integrated circuits, and sensors etc.) participants presented highlights of mind, that we are offering the 2021 that can be integrated in a large set the technologies and devices devel- ESSDERC—ESSCIRC conference in of downstream device applications. oped within the project, as well as a hybrid format .We invite you all to The integrated research and innova- cooperation spurred by it. The pre- participate .

Did You Know? IEEE and EDS provide temporary Open Access to top papers from the IEEE Electron Device Letters (EDL) and the IEEE Journal on Microelectromechanial Systems (J- MEMS). Every month, EDL Editors select a small number of particularly remarkable articles as Editors' Picks. These are highlighted on the issue cover and enjoy temporary (one month) Open Access. One of these articles is further selected as Cover Article and prominently featured in its main cover graphics. Visit the EDS website for links to the current EDL Editors' Picks. Stay up to date with the latest developments in the MEMS areas with IEEE RightNow Access for J-MEMS. Enjoy temporary Open Access (3 months) to select featured papers from the latest J-MEMS edition. New selections are available quarterly. Join us on social media to receive release announcements.

April 2021 ❍ IEEE Electron Devices Society Newsletter 9

U p c o m i n g T e c h n i c a l M e e t i n g s

2021 IEEE International Flexible Electronics Technology Conference (IFETC)

On behalf of the IFETC Commit- .org/web/present-abstracts.php) web- ing to Shanghai, PRC in 2022. IFETC tees, we would like to invite you to pages to learn more about abstract aims to bring together a wide variety submit a paper for the 2021 IEEE submission and the different topical of stakeholders, from chemists, ma- International Flexible Electronics areas. You may also download the full terials scientists, physicists, to me- Conference (IFETC-3). The IFETC-3 Call for Papers (https://ifetc.org/web/ chanical and electrical engineers, the will be held August 8–11, 2021, at downloads/2021-IFETC-CALLFOR- fabrication and manufacturing com- the Columbus Convention Center PAPERS.pdf) to learn more about munities, as well as end-users, e.g. in downtown Columbus, Ohio. We our plans for this year’s conference. I packaging and medical communities. intend to present this meeting in a am pleased to inform you that IFETC The IFETC-3 extended abstract hybrid format with short courses, 2021 is present also on social media, submission deadline was March 15, plenary & invited talks all being si- i.e. LinkedIn: https://www.linkedin 2021. On behalf of the Conference, mulcast for remote viewing. In per- .com/company/75516602/admin/ and International Advisory and Steering son-attendees will also be able to Twitter: https://twitter.com/IIfetc. Committees, we look forward to wel- attend contributed talk and poster IFETC-3’s Technical Program cov- coming you to IFETC-3! sessions with presentations from ers a wide range of cutting-edge de- both in-person and remote present- velopments in printed and flexible ers. If needed, due to COVID-19, we electronics. IFETC, started in Ottawa, are prepared to pivot to full hybrid Canada (2018) is a new EDS-lead in- to keep everyone safe. ternational conference, focusing Please visit the Invitation from the upon printed and flexible hybrid and Prof. Paul R. Berger, Ph.D. Conference Chair (https://ifetc.org/ non-hybrid materials, devices and General Chair, 2021 IEEE program-invitation.php) and the systems. It will rotate between the ­International Flexible Electronics Abstract Submission (https://ifetc Americas, Asia and Europe, head- Conference, https://ifetc.org

10 IEEE Electron Devices Society Newsletter ❍ April 2021 2021 IEEE International Interconnect Technology Conference (IITC)

https://iitc2021.org/

The 24th edition of IITC is sponsored A decision will be made in April interconnect research and trends for by the IEEE Electron Devices Society whether to hold the IITC in Kyoto in a 2 nm and beyond in order to solve and is co-sponsored by the Japan virtual only or hybrid format. RC bottleneck” at the Focus Session Society of Applied Physics as the The conference presents various “Advanced Logic Technology—Fu- premier conference for interconnect papers describing innovative re- ture Interconnect Technology” of technology devoted to leading-edge search and development on all as- IEDM 2020. New materials replacing research in the field of advanced pects of BEOL/MOL/3D interconnects Cu and new integration processes metallization and 3D integration for and metallization, including design, replacing damascene are attracting ULSI applications. unit process, integration, and reli- attention. Attention is also being ability. The conference attracts pro- paid to the importance of backside IITC 2021 Will Be Held fessionals from industry, academia, power delivery, heterogeneous inte- Virtually, July 6–9, 2021 and national laboratories in semi- gration, STCO, and so on. IITC 2021 To reflect the international character conductor processing, interconnect will discuss the latest interconnect of the conference expressed, among design, materials and equipment and 3D integration technologies for others, by the participation of ­development. “inflection point.” around 300 people from many coun- Over the past 20 years, minia- tries, IITC is organized sequentially turization of interconnects has Workshop and Technical in Asia, Europe, and North America. been promoted based on Cu dam- Program IITC was held for the last time in Asia ascene process. However, the Cu Prior to the technical program, a in 2018 in Taiwan and for the last damascene interconnect faces per- workshop on “Metallization Technol- time in Japan in 2013. IITC 2021 will formance barrier due to further min- ogies and Their Applications in IoT be back in Japan in the historic city iaturization. Zsolt To´´kei of imec told and AI Devices” by seven leading of Kyoto, Kyoto Research Park. about this in “Inflection points in experts will be held on July 6, 2021.

Workshop Agenda Title Speakers Innovation to Open New Paradigm for ICAC5/GX/DX Manabu Tsujimura (EBARA) Reliability challenges in advanced interconnects Olalla Varela Pedreira (imec) Metallization challenges in 3D NAND Masayoshi Tagami (KIOXIA) STT-MRAM Technology—Applications and Scalability Challenges Kang Ho Lee (Samsung) 3D Stacking Technologies for Advanced CIS Yoshihisa Kagawa (Sony Semiconductor ­Solutions) Extending Silicon Technology for High-Bandwidth Optical Bert Jan Offrein (IBM) ­Communications and Neuromorphic Computing NanoBridge Technology for Low-power and Rad-hard Munehiro Tada (Nanobridge Semicon.) AIoT ­Applications

April 2021 ❍ IEEE Electron Devices Society Newsletter 11 In the technical program, our key- Confirmed Invited Speakers notes will be given by two outstand- Topics Invited Speakers ing technology leaders: Advanced Interconnect Kichul Park (Samsung) • Prof . Mitsumasa Koyanagi, Senior Research Fellow, New Industry Koichi Motoyama (IBM) Creation Hatchery Center, Tohoku Integration/pattering Nelson Felix (IBM) University, “3D Heterogeneous Bob Socha (ASML) Integration for Intelligent Mobile DTCO Gary Lauterbach (Cerebras) System” RC Scaling Mauro Kobrinsky (Intel) • Dr . Gitae Jeong, Corporate EVP, Head of Corporate Office/Tech- MOL Contacts Nicolas Breil (AMAT) nology Development, Samsung Reliability TBD Electronics, “Foundry Challenges 3D Perrine Batude (CEA-Leti) and Opportunities Near the End Memory David Lehninger (Fraunhofer) of Moore’s Era” During the opening ceremony, Sumio Ikegawa (Everspin) the IITC committee will bestow the Novel System Elisa Vianello (CEA-Leti) Michel Lerme Best Paper Award and Beyond Cu Junichi Koike (Tohoku Univ.) Lam Research Best Student Paper Award to the 2020 recipients. be opportune moments to network IITC committee members look for- The technical program also in- and chat with industry peers and ward to seeing you virtually at IEEE cludes invited, contributed, and meet the conference exhibitors and IITC 2021! poster papers presenting original and sponsors. state-of-the-art work. For more information, please vis- Susumu Matsumoto Throughout the conference, it IITC2021 website https://iitc2021 Tower Partners Semiconductor whether virtual or hybrid, there will .org/. Co., Ltd.

22nd International Vacuum Electronics Conference (IVEC 2021)

By Natanael Ayllon, Roberto Dionisio, Felix Mentgen, John Jelonnek, Philippe Thouvenin

We are very delighted to announce This year, the organizers are very The organizers are looking forward to that this year’s International Vacuum excited to connect the classic vacu- the participation of a large number of Electronics Conference (IVEC 2021) um electronics community with the researchers from the field of vacuum will be held as a virtual conference research community on vacuum na- nano electronics. during the time frame April 27–30, noelectronics and a specific focus Originally planned to take place 2021. At the conference, senior sci- session is dedicated to this upcom- in the heart of the city of Rotterdam, entists and young researchers from ing topic. The organizers are very the conference will have to be held all over the world will share and dis- thankful for the strong support of the virtually for the second time in a row. cuss their latest research and inno- vacuum nanoelectronics community Having the ESA Conference Bureau vations in vacuum electronics. for helping to set up this connection. as partner for the organization of this

12 IEEE Electron Devices Society Newsletter ❍ April 2021 year’s conference, the organizers are wireless communication and imag- young professionals in the field of convinced that this will be an exciting ing systems, particularly in China. Vacuum Electronics. virtual event. As in earlier years, another high- In the tradition of IVEC, there will The IVEC mini courses will be light will be the talk of this year’s be unique opportunities to establish dedicated to technologies that are recipient of the John R. Pierce new and maintain existing friend- necessary to develop and operate Award for excellence in vacuum ships with colleagues, interact with vacuum tubes and related systems, electronics. Special attention is customers and end-users, and meet with a focus on space applications. also devoted to the support of en- students and academic researchers. The plenary talks will provide a thusiastic young scientists to en- All of this will be facilitated by setting broad overview of exciting research sure a bright future for the field. up interactive virtual rooms for dis- topics, such as vacuum nanoelec- This is recognised by the presen- cussion and exchange. tronics based on Si and III-Nitride tation of the prestigious IVEC Best The conference website (www semiconductor and the role of vac- Student Paper Award and comple- .ivec2021.org) is the best source of uum electronics for future accelera- mented by the presentation of the information on technical subject cat- tors and electric propulsion. These Vacuum Electronics Young Scientist egories, paper submission, registra- will be complemented by a talk on Award (VEYS) that is aimed at rec- tion and other important news. new developments in THz vacuum ognizing outstanding contributions We are looking forward to meeting electron devices and applications in from early career researchers and you virtually at IVEC 2021!

“VLSI systems for Lifestyles Transformation” in New Normal Society envisioned at the 2021 Symposium on VLSI Technology, in KYOTO, JAPAN

https://vlsisymposium.org/

The 2021 Symposia on VLSI Technolo- gy & Circuits will deliver a unique per- spective built on the theme of “VLSI system for Lifestyle Transformation” . The conference will be held from June 13th to 19th. Originally planned in Kyoto, JAPAN, this week-long event will be offered in a fully virtual for- mat due to COVID-19 pandemic, like the event last year. The symposia are jointly sponsored by the IEEE Electron KIYOMIZU temple, Kyoto, JAPAN. Nearby VLSI symposia hotel Device Society (EDS) in cooperation with the IEEE Solid-State Circuits So- ciety (SSCS) and the Japan Society of and systems, VLSI symposia cover in- in the following topics: “Circuit and Applied Physics (JSAP). novation in a wide range of domains technology for quantum computing”, VLSI technology and circuits will such as artificial intelligence, connect- “Advanced packaging and 3D integra- provide global opportunity promoting ed objects, autonomous vehicles, and tion”, and “Photonics interconnects & interactions between technologists biomedical applications. compute”. Four plenary talks are pro- and designers discussing the real- To illustrate this interaction, this grammed for the overall symposia. ity of applications nowadays and the year the Symposia will propose For Technology side: break-throughs for the future. From three special joint focus sessions to • Siyoung Choi (President and process integration and advanced de- facilitate discussion and exchanges Head of the foundry business, vices architecture to circuits designs between designers & technologists Samsung)

April 2021 ❍ IEEE Electron Devices Society Newsletter 13 • Om Nalamasu (CTO, Applied croelectronic foundation are pro- ogy. For further information please Material). posed to attendees, again this year, in visit the VLSI Symposia website relation with most important applica- mention below: www.vlsisymposium And for Circuit side: tions of our industry: .org. • Prof. Satoshi Matsuoka (Director, • for technology side: “Advanced Riken Center for Computer Sci- process and device technology Committee organization staff: ence) toward 2 nm-CMOS and emerg- Technology Symposium Chairman: • Mr. (CTO and ing memory” Shinya Yamakawa (Japan) EVP, Technology and Engineer- • for circuit side: “Advanced ­sensors SONY semiconductor solutions ing, AMD) circuits and systems ­for IoT” Three evening panel discussions • for joint side: “Computing para- Technology Symposium Co-Chairman: are foreseen: “Science, technology digm shift including memory and Tomas Palacios (USA) and education for new normal soci- new devices”. MIT ety”, “New Generation Chip Makers Following the symposia, a full-day vs. the Incumbents?” and “3D hetero- Forum is arranged discussing about Technical Program Chairman: genius integration overview”. the post-COVID19 era, exhibiting tech- Katsura Miyashita (Japan) Helping the participants for the nology for a “new normal life or remote Toshiba Electronic Devices & Storage better understanding on advanced work”, and “Virtual Everything from ro- devices & process architecture, VLSI botic to low-power communication”. Technology Program Co-Chairman: symposia will start with full-day short We cordially invite you to attend to Gosia Jurczak (Belgium) courses. Three themes based on mi- the 41st Symposium of VLSI Technol- Lam Research

IEEE Resource Centers

EDS is excited to announce we have joined with IEEE Resource Centers to offer electron device educational content. Find short courses, technical panels, workshops, and more on our Society’s new resource center! Make this your first stop to find electron device technical training. New offerings added as they become available. Visit: https://resourcecenter.eds.ieee.org/

14 IEEE Electron Devices Society Newsletter ❍ April 2021 S o c i e t y N e w s

Message from the Editor-in-Chief

Dear Readers, Soumya is with brid mode, namely: 22nd Internation- Members of the Institute of Radio al Vacuum Electronics Conference IEEE EDS Com- Physics and Elec- (IVEC), 2021 Symposium on VLSI munity, welcome tronics, University Technology (VLSI), 24th International to the IEEE EDS of Calcutta, India. Interconnect Technology Conference Newsletter issue Dr. Sharma Rao (IITC), 2021 IEEE International Flex- April 2021. Balakrishnan has ible Electronics Conference (IFETC). Daniel Tomaszewski First of all, Soumya Pandit, EDS been appointed as We wish the attendees and the orga- EDS Newsletter I would like to Newsletter Regional the Regional Edi- nizers great events, interesting topics Editor-in-Chief share with you Editor tor for Region 10, and fruitful discussions. sad information, South East Asia, Thanks to Manoj Saxena we are that Prof. Ninoslav Stojadinovi´c, the Australia & New willing to intensify the presentation former EDS Newsletter Editor-in-Chief Zealand. Sharma of Young Professionals and Women in passed away at the end of 2020. is with Faculty of Engineering in the Newsletter. Follow- From a number of EDS members we Engineering and ing the idea dated 2015 of M K Rad- received requests to commemorate Built Environment, hakrishnan, Editor-in-Chief, we will Prof. Stojadinovi´c in the Newsletter. Universiti Sains Is- interview outstanding young mem- This shows how a respected person lam Malaysia. It is bers of EDS. Prominent women— he was. In this issue we publish the Sharma Rao my great pleasure scientists and engineers will present obituary of Prof. Stojadinovi´c, writ- Balakrishnan, EDS to welcome them their careers and achievements as Newsletter Regional ten by M K Radhakrishnan, his friend, and wish a fruitful well. They all will show students, Editor and successor on the EiC position. work for the EDS young engineers, and researchers, The second important message Newsletter. At this point I would like opportunities of work in a demand- regards changes in the EDS News- to express our gratitude to the outgo- ing, though fascinating domain of letter editorial team. Manoj Saxena ing Regional Editor P. Susthitha Me- electron device development, as well has been appointed as EDS News- non for her dedication and excellent as advantages of EDS membership. letter Associate Editor-in-Chief. This job. Susi, all the best to you! In this issue you can read four articles position has been established by As usually, the issue contains ar- in these series. I hope that you will the Newsletter Oversight Commit- ticles in the Technical Briefs Section. find them interesting. tee. Manoj is an Associate Professor The feature one, on development of As the topic of YPs is discussed, I in Department of Electronics, Deen the EUV Lithography in TSMC in close would like to share with you informa- Dayal Upadhyaya College, University cooperation with ASML, was written tion, that the article on an impressive of Delhi, New Delhi, India. Until the by Anthony Yen. I would like not to progress of semiconductor R&D in In- end of 2020 he served as the EDS reveal the details of this article, how- dia, written by Udayan Ganguly and Newsletter Regional Editor for Region ever I was personally impressed with Sandip Lashkhare, and published in 10—South Asia. He holds a position Tony’s care and attention to detail. the previous issue of the Newsletter of EDS Distin- The second Technical Brief reports aroused a lively discussion on Linke- guished Lecturer. the ESSDERC’2020 conference which dIn. The discussion has shown the Moreover, since was held in a completely non-stan- relevance of this topic for the electron January 1 we dard virtual format due to COVID-19. device-related community in India. have two new Re- Since 2020 the pandemic has been The Regional News section brings gional Editors. Dr. strongly affecting the technical con- articles on daily activities (meetings, Soumya Pandit ferences. It is reflected by the con- workshops) of several Chapters in US,

Manoj Saxena, has been appoint- tents of the Upcoming Technical South America, Asia, Europe. Among EDS Newsletter ed as the Regional Meetings section, which announces them there is a pretty broad, techni- Associate Editor-in- Editor for Region this time four important events which cal report on the 3rd IBM IEEE CAS/ Chief 10, South Asia. will be held either virtually or in a hy- EDS AI Compute Symposium, and the

April 2021 ❍ IEEE Electron Devices Society Newsletter 15 ­article on VIII Congresso Brasiliero de our concern to address this issue in Finally, I would like to express Energia Solar (CBENS), accompanied the coming months. my thanks to all the Authors of ar- by interesting impressions from the Dear Readers, if you have any sug- ticles in this issue, to the Regional IEEE member delegate. gestions, comments regarding the Editors and all the members of the Regarding the Regional News sec- Newsletter contents, please do not Editorial Team. tion, a drop of contributions from the hesitate to contact me or Manoj Sax- Chapters is noticeable. Probably it is ena. We will be very glad to receive Sincerely, a consequence of the pandemic. It is your feedback. Daniel Tomaszewski

In Memory of Prof. Ninoslav D. Stojadinovic´ By MK Radhakrishnan

NINOSLAV D. Griffith University (Australia), Brown tronics and Energetics. Also, he was STOJADINOVIC,´ University (USA), National Techni- a member of Scientific and/or Pro- a dynamic aca- cal University of Athens (Greece) gramme Committees of more than demician who and Technical University of Sofia 50 international scientific meetings pioneered in (Bulgaria) as a member of expert’s including MIEL conference, which he developing­­ mi- commissions. Nino was a member of groomed as its Chair (1989-onwards) croelectronics re- European Expert Commission for the to become a prominent microelec- Prof. Ninoslav D. search in central Seventh Research Framework Program tronics conference in Europe. Stojadinovi´c Europe (Serbia EC FP7 (from 2005) and consultant of Nino was one of the very active Montenegro) passed away on 25 National Science Foundation of Taiwan IEEE EDS volunteers in the Europe December 2020 as a result of Government (NSFTG) from 2008. Region from mid 1980s and was COVID-19 infection. Nino was a ded- Nino mentored 48 Dipl. Ing., 13 Chair of IEEE Serbia & Montenegro icated volunteer instrumental in Master and 17 Ph.D. theses during Section (2002–05). He was the found- initiating a number of EDS activities his four decades of academic career. er Chair of IEEE EDS/SSC Chapter in the Region including Chapters He authored more than 250 technical in Niš Serbia. He was a member of and Conferences. papers and authored/co-authored 3 EDS AdCom (2001–2005) and Region Ninoslav was born in Niš, Serbia books. He was a member of the Edi- 8 SRC Chair. Nino was Regional Edi- on 20 September 1950. He received torial Board of Microelectronics Jour- tor (1998–2001) and Editor-in Chief his B.SM.S. and Ph.D., all in Electrical nal (Elsevier) and its Editor-in-Chief (2002–2012) of the IEEE EDS News- Engineering from University of Niš in (1993-1995). Nino was a Regional letter, during which the Newsletter 1974, 1977 and 1980 respectively. Lat- Editor and the Editor-in Chief of Mi- was redesigned to the present for- er he joined the Faculty of Electronic croelectronics Reliability (Elsevier) mat. Nino served as IEEE EDS Dis- Engineering in University of Niš, journal from 1996 to 2017. Since 2013, tinguished Lecturer for more than 20 where he became a Full Professor in he has been Editor-in-Chief of Facta years and was IEEE Life Fellow. 1991. He was Head of the Department Universitatis journal Series: Elec- Ninoslav Stojadinovi´c had signifi- of Microelectronics and Dean of the cant political and diplomatic experi- Faculty. Nino led the Serbian Acad- ence. He was a member of Assembly emy of Science and Arts (SASA) in of the Republic of Serbia (1997–2000), various capacities: as Vice-Director of member of Assembly of the State SASA Research Center and President Union of Serbia and Montenegro, of SASA Branch in Niš (2016–20). and its representative to the Parlia- Stojadinovi´c was a visiting profes- mentary Assembly of the Council of sor at Technical University of Wien Europe (2004–2006). Nino was Am- and was a member of International bassador of the Republic of Serbia to Scientific Advisory Boards at Center the Kingdom of Sweden (2005–2011) for Nanotechnologies, Clemson Uni- and Bosnia and Herzegovina (2011– versity (USA) and Center of Excel- 2013). He was Deputy Speaker of lence in Micro and Nanotechnology, Assembly of the Republic of Serbia Warsaw University of Technology (2014–2016). Nino is survived by his (Poland). He was associated with wife Andelka and son Dragan.

16 IEEE Electron Devices Society Newsletter ❍ April 2021 Congratulations to the 16 Newly Elected IEEE Electron Devices Society Fellows Effective January 1, 2021

Deji Akinwande Ali Keshavarzi Tetsuya Suemitsu for contributions to wafer-scale gra- for contributions to low-power cir- for contributions to high-frequency phene synthesis and application to cuits and devices in scaled CMOS high-electron-mobility transistors flexible devices technologies Takatoshi Tsujimura Hideaki Aochi Chang-jin Kim for contributions to the development of for contributions to three dimension- for research of surface-tension- organic-light-emitting diode systems al flash memories based microelectromechanical Yifeng Wu Benton Calhoun Gourab Majumdar for contributions to Gallium Nitride for contributions to sub-threshold for contribution to power semicon- microwave and power conversion integrated circuits and self-powered ductor devices and intelligent power devices systems module Shinji Yuasa Yogesh Chauhan Jun Ohta for contributions to MgO-based for contributions to compact model- for contributions to CMOS image magnetic tunnel junctions ing of Si and GaN transistors sensors and devices for biomedical applications Samar Saha Vasilis Fthenakis EDS Fellows Chair for contributions to photovoltaics Bryan Root technology for leadership in improving semi- conductor reliability test methods Robert Henderson for contributions to solid-state single Ashwin Seshia photon imaging for contributions to resonant-based inertial and mode-localized sensors

New Eds Service

NEW! EDS Podcasts Available to Everyone!

EDS is pleased to announce our new podcast series. Join us as we host interviews with some of the most successful members of our Society sharing their lives and careers. Their insight and wisdom will be invaluable inspiration and knowledge for those in the engineering field. Stay tuned to our social media channels and website for future announcements on upcoming events.

April 2021 ❍ IEEE Electron Devices Society Newsletter 17 EDS Humanitarian Programs

IEEE EDS members, the world’s mer schools on renewable energy, rural communities with water qual- leading electron device engineers, robotics and circuits, designed es- ity monitoring, irrigation systems, bringing to life the IEEE mission of pecially for young students; commu- environmental disaster emergency advancing technology for the benefit nity technology literacy workshops; alerts, and solar-powered desaliniza- of humanity. funding to cover registration fees tion projects. The Society funds many volunteer- for engineering students to attend Note that some projects were com- designed projects, with a focus on un- IEEE technical conferences; as well pleted before the Covid-19 pandemic, der-served communities around the as sponsored placement opportuni- but others were reprogramed to be world. Recent initiatives have includ- ties for current and newly graduated held virtually when possible. Several ed low-cost ventilators and cashier students to spend time in industry on projects are temporarily on hold until sanitizer boxes developed for use topics aligned with our Society’s field it is safer for in-person events to re- during the Covid-19 pandemic; en- of interest. sume. Visit our Society website often gineering short courses for students Many EDS members collabo- to learn about new projects as they and professionals; student labs at rated with other IEEE programs and are completed: https://eds.ieee.org/ universities; STEM classes and sum- volunteers to assist indigenous and about-eds/eds-humanitarian-projects

18 IEEE Electron Devices Society Newsletter ❍ April 2021 Awards & Calls for Nominations

2021 IEEE EDS Robert Bosch Micro and Nano Electro Mechanical Systems Award Winner

The 2021 IEEE EDS Robert Bosch Foundation), Prof. Kim has served on Micro and Nano Electro Mechanical numerous professional and govern- Systems Award was presented to mental activities, including General Professor Chang-Jin Kim, Univer- Chair of the 2014 Institute of Electri- sity of California, Los Angeles, CA, cal and Electronics Engineers (IEEE) USA, at the 2021 IEEE MEMS virtual International Conference on MEMS. Conference, January, 2021. This An American Society of Mechani- prestigious award recognizes and cal Engineers (ASME) Fellow and an honors advances in the invention, American Institute for Medical and design, and/or fabrication of micro- Biological Engineering (AIMBE) Fel- or nano-electromechanical systems low, he is currently serving on the and/or devices. Editorial Board of the IEEE Journal Professor Chang-Jin “CJ” Kim re- of MEMS, on the Editorial Advisory ceived his B.S. from Seoul National Board for the Institute of Electrical University, M.S. from Iowa State Engineers of Japan (IEEJ) Transac- University, and Ph.D. from the Uni- tions on Electrical and Electronic versity of California, Berkeley, all Engineering (TEEE), on the Editorial Professor Chang-Jin “CJ” Kim in mechanical engineering. After a Board of Micro and Nano Systems For pioneering surface-tension-based micro- postdoctoral visit to the University electromechanical systems (MEMS) that led Letters, as a Co-Editor-in-Chief of of Tokyo, he joined the faculty at the to electrowetting digital microfluidics and su- Functional Composites and Struc- University of California, Los Ange- perhydrophobic drag reduction tures, on the International Steer- les (UCLA) in 1993, where he is a ing Committee of the International Distinguished Professor, holds the Conference on Solid-State Sensors, Volgenau Endowed Chair in Engi- structures, actuators, and systems, Actuators and Microsystems (Trans- neering, and has an appointment in with a focus on utilizing surface ten- ducers), and on the International Mechanical and Aerospace Engineer- sion as a mechanical force. The re- Steering Committee of Electrowet- ing Department, a joint appointment cipient of Research Excellence Award ting Conference. A member of the in Bioengineering Department, and a (Iowa State Univ.), TRW Outstand- Council of Korean Americans (CKA), membership in California NanoSys- ing Young Teacher Award (UCLA), Prof. CJ Kim has also been active in tems Institute (CNSI). Directing the National Science Foundation (NSF) the commercial sector as a scientific Micro and Nano Manufacturing Lab, CAREER Award, Association for Lab- advisor, consultant, and founder of Prof. Kim performs research in micro oratory Automation (ALA) Achieve- start-up companies. electro mechanical systems (MEMS) ment Award, Samueli Outstanding and Nanotechnology, including de- Teacher Award (UCLA), and Ho-Am Osamu Tabata sign and fabrication of micro/nano Prize in Engineering (the Ho-Am EDS Bosch Award Chair

April 2021 ❍ IEEE Electron Devices Society Newsletter 19

IEEE ROBERT BOSCH MICRO AND NANO ELECTRO MECHANICAL SYSTEMS AWARD

Robert Bosch (1861-1942) Inventor, Entrepreneur, Founder of Robert Bosch GmbH

The Robert Bosch Micro and Nano Electro Mechanical Systems Award was established by the IEEE Electron Devices Society in 2014, to recognize and honor advances in the invention, design, and/or fabrication of micro- or nano-electromechanical systems and/or devices. The contributions to be honored by this award should be innovative and useful for practical applications.

This award is sponsored by the IEEE Electron Devices Society, with financial support from Robert Bosch LLC. It is intended that the award will be presented annually to an individual or to as many as three individuals whose achievements and contributions are judged to meet the selection criteria for the award. The award will be presented at an IEEE conference of the winner's choice. It is not necessary for the recipient(s) to be a member(s) of IEEE.

The recipient will receive a US$10,000 honorarium, travel expenses to attend the award presentation, a bronze medal, and a certificate. In the event that more than one awardee is selected the cash honorarium will be equally divided among the recipients. Each recipient will receive a bronze medal and a certificate.

Please visit the EDS website for more information on this award: https://eds.ieee.org/awards/robert-bosch-micro-and-nano-electro-mechanical-systems-award.

Nominations for this award should be made using our online nomination form, and submitted before midnight (EST) on October 2nd.. Letters of recommendation must be sent directly to [email protected] according to the same schedule.

20 IEEE Electron Devices Society Newsletter ❍ April 2021 Congratulations to Asif Khan 2020 IEEE EDS Lester F. Eastman Award Winner

Professor Asif Khan, University trawide bandgap AlGaN-channel of South Carolina, Columbia, SC, HEMTs and integrated photonic cir-

USA, was selected as the first IEEE cuits of AlxGa1−xN-based UV-C LEDs, EDS Lester F. Eastman Award win- photodetectors, and waveguides. ner. The Lester F. Eastman Award Asif was the founding member is given to recognize individuals of two South Carolina small busi- with outstanding achievement in nesses which commercialized the high-performance semiconductor technology that his South Carolina devices and is named after the late research group developed. These Professor Lester F. Eastman. businesses, Nitek Inc. and Sensor Asif Khan is a Carolina Distin- Electronic Technology Inc. were later guished Professor in the Electrical En- acquired by Seoul Semiconductor gineering Department at University of and Seoul Viosys Company as their South Carolina. From 1979 to 1985 he US subsidiary. He is the recipient worked at Honeywell Inc. in Minneap- of the University of South Carolina olis, Minnesota as a Senior Principal Asif Khan Russell Research Award for Science Research Scientist. There, he initiated University of South Carolina, and Engineering (2002) and the research in metal-organic chemical Columbia, SC, USA State of South Carolina Governor’s vapor deposition and fabrication of Award for Excellence in Scientific

Al­ xGa1−xN solar-blind ultra-violet (UV) building blocks for III-N high-frequen- Research (2015). sensors. From 1985 to 1987 he worked cy and high-power electronic devices Asif received his B.S. (Hons) and on the optical recording project at 3M and deep ultraviolet light emitting M.S. degrees from the University of Corporation in Saint Paul, Minnesota. diodes (LEDs). These first demon- Karachi and his Ph.D. from MIT. He is For the next 10 years (1987–1997) he strations included: (i) GaN metal- a Fellow of the IEEE. He serves as a served as the Vice President of the Op- semiconductor field-effect transistor member of the international advisory toelectronics Division of APA Optics (1992); (ii) 2-dimensional electron gas committee of the International Work- in Blaine, Minnesota. Since 1997 he in GaN-AlGaN heterojunction (1992); shop on Nitride Semiconductors has been working at the University of (iii) GaN-AlGaN high electron mobil- (IWN) and the International Confer- South Carolina. ity transistor (HEMT) (1993); (iv) GaN- ence on Nitride Semiconductors, the His research at APA Optics and AlGaN insulated-gate HEMT (1999); two major gatherings of the III-N re- South Carolina resulted in the first (v) AlInGaN-based UV-B/UV-C LEDs search community. He lives with wife demonstrations of high-quality (2001); and (vi) high-power sub-280- Rubina in Irmo, South Carolina. AlGaN-GaN heterostructures. This nm UV-C LEDs (2002). More recently, enabled him and his teams to dem- his South Carolina group has pio- James C.M. Hwang onstrate for the first time the key neered novel ultra-high voltage ul- EDS Lester F. Eastman Award Chair

April 2021 ❍ IEEE Electron Devices Society Newsletter 21 IEEE EDS LESTER F. EASTMAN AWARD CALL FOR NOMINATIONS

The IEEE Electron Devices Society invites the submission of nominations for the Lester F. Eastman Award. This award is presented annually to honor an individual who has made an outstanding achievement in high-performance semiconductor devices. The recipient is awarded a certificate and a check for $2,000, presented at the IEEE International Electron Devices Meeting (IEDM).

Description: To recognize individuals with outstanding achievement in high-performance semiconductor devices

Prize: $2,000 and a plaque

Funded: Funded by the Lester F. Eastman endowment fund and the IEEE Electron Devices Society

Eligibility: Any person active in the field of semiconductor devices, whether or not they are members of the IEEE Electron Devices Society, are eligible for the award. The EDS Lester Eastman Award cannot be given to a candidate for the same work for which an IEEE Technical Field Award, IEEE Medal, or other society level award was previously received.

Basis for Judging: Criteria considered by the selection committee will include an impact on the field of semiconductor devices. Evidence should include examples of leadership and professional interaction. Tangible supporting evidence in the form of publications, patents, and/or transition(s) to practice s hould be provided.

Presentation: Annually, at the International Electron Devices Meeting (IEDM)

Visit: https://eds.ieee.org/awards/lester-f-eastman-award

Donate: https://www.ieeefoundation.org/Eastman

Contact: If you have additional questions, contact the EDS Executive Office at [email protected]

Nomination form: Nomination form link

Deadline to submit nominations: July 1st

22 IEEE Electron Devices Society Newsletter ❍ April 2021 2020 IEEE EDS J.J. Ebers Award Winner

Professor Arokia Nathan of Universi- ergy devices for wearable technolo- ty of Cambridge, Cambridge, United gies. He is currently a Bye-Fellow and Kingdom, was selected as the 2020 Tutor at Darwin College, University J.J. Ebers Award winner. The J.J. of Cambridge. Ebers Award is the most prestigious In recognition for his role in TFT award of the IEEE Electron Devices technology and flexible electron- Society for outstanding technical ics, he was awarded the NSERC contributions to electron devices. E.W.R. Steacie Fellowship in 2001, This award recognizes Professor the Royal Society Wolfson Research Nathan “For contributions to thin Merit Award 2006, and the BOE Dis- film transistors and flexible/foldable tinguished Contribution Award for electronics integration strategies”. TFT Compact Modeling and Circuit Arokia Nathan is a leading pioneer Design 2016. He was a China 1000 in the development and application Talent Plan Laureate 2013 and re- of thin film transistor technologies ceived the Jiangsu Friendship Award to flexible electronics, display and 2014. In 2019, the University of Wa- sensor systems. In a research career terloo bestowed upon him Doctor of Arokia Nathan, 2020 IEEE EDS J.J. Ebers spanning over thirty years, he has Science honoris causa in recognition Award Winner had a profound impact on the de- for his leadership in undergraduate sign and development of TFT circuit program development in Nanotech- architectures that greatly enabled USA working on advanced multi-chip nology Engineering. the realization of commercial OLED packaging. Subsequently he was at Arokia Nathan had leadership roles displays, high-resolution image sen- the Institute of Quantum Electronics, in IEEE with service to EDS, Photonics sors, and large area 3D touch sensor ETH Zürich, Switzerland, before join- Society and the Solid State Circuits So- systems. His research has resulted in ing the Electrical and Computer En- ciety. He served on the Board of Gov- 600 publications including 4 books. A gineering Department, University of ernors of EDS and on Editorial Boards prolific inventor, his ideas led to over Waterloo, Canada. Here he held the of IEEE Proceedings, IEEE Trans. De- 110 patents and the establishment DALSA/NSERC Industrial Research vices, Materials, and Reliability, and of four companies to commercial- Chair in sensor technology and then IEEE Electron Device Letters. He also ize the technology: IGNIS Innova- the Canada Research Chair in nano- served as Editor-in-Chief of IEEE/OSA tion developing fully-compensated scale flexible circuits, and in 2000 Journal of Display Technology and as TFT-OLED rigid and flexible displays, led the establishment of the Giga- Guest Editor for a two-part Special Is- Cambridge Touch Technologies on 3D to-Nanoelectronics Centre. In 2006, sue on Flexible Electronics Technology smart-skin for human-machine inter- he joined the London Centre for in the Proceedings of the IEEE in 2004. activity, ACXEL Technology on high- Nanotechnology, University College He is a Fellow of IEEE (USA), an IEEE/ resolution digital fluidics for in-vitro London as the Sumitomo Chair of EDS Distinguished Lecturer, a Char- and single- diagnosis, and CamXT Nanotechnology. He moved to Cam- tered Engineer (UK), and Fellow of the on TFT compact modeling and pa- bridge University in 2011 as the Chair Institution of Engineering and Technol- rameter extraction. of Photonic Systems and Displays, ogy (UK). Following his PhD in Electrical and directed a large multi-disciplin- Engineering, University of Alberta, ary research team on heterogeneous Samar Saha Canada in 1988, he joined LSI Logic integration of sensors, TFTs and en- EDS J.J. Ebers Award Chair

April 2021 ❍ IEEE Electron Devices Society Newsletter 23

IEEE ELECTRON DEVICES SOCIETY J.J. EBERS AWARD

Nominate: J.J. Ebers Award on-line nomination form:

https://ieeeforms.wufoo.co m/forms/xl0lxns05xzwir/

CALL FOR NOMINATIONS Submission Deadline: July 1, 2021 The IEEE Electron Devices Society (EDS) invites the submission of nominations for the 2021 J.J. Ebers Award. This award is Contact: presented annually by EDS to honor an If you have any questions regarding the individual(s) who has made either a single EDS J.J. Ebers Award, or a series of contributions of recognized please contact Laura scientific, economic, or social significance to Riello of the EDS the broad field of electron devices. The Executive Office at recipient(s) is awarded a plaque and a [email protected] check for $5,000, presented at the

International Electron Devices Meeting Visit: (IEDM) or any one of the EDS’s flagship https://eds.ieee.org/awar conferences. ds/j-j-ebers-award

24 IEEE Electron Devices Society Newsletter ❍ April 2021 2020 IEEE EDS Distinguished Service Award

The IEEE Electron Devices Society rials, fabrication processing, device (EDS) is extremely proud of the ser- characterization and modelling and vices that it provides to its members. ASIC design. He is ranked as fellow EDS members generate the leading researcher, level 1A (highest) at CNPq edge developments in the field of and is a Fellow of the São Paulo State electron devices and share these re- Academy of Science and of National sults with their peers and the world- Engineering Academy. at-large by publishing their papers in He is a Life Fellow of IEEE and EDS journals and presenting results served on different positions for EDS, in its meetings. This is a global activ- as secretary, BoG member, Distin- ity that is effective because of the ef- guished Lecturer, member of many forts of numerous volunteers. Many committees, Region 9 Subcommit- of these dedicated volunteers labor tee for Regions and Chapters (SRC) in relative obscurity, with their only Chair, founder and chair of South reward being the satisfaction that Brazil EDS chapter and advisor of they receive in being an important EDS student chapter at UNICAMP. He part of a successful organization, was member and/or chair of many Jacobus Swart, 2020 IEEE EDS Distinguished namely of the IEEE Electron Devic- organizing conference committees in Service Award winner es Society. One means of thanking Region 9, especially in Brazil, includ- these volunteers is to recognize their ing conferences as SBMicro, ICCDCS contributions through the EDS Dis- at State University of Campinas, and LAEDC. He was president of SB- tinguished Service Award. as Full Professor until retirement in Micro Society for two terms, and pro- The recipient of the 2020 EDS Dis- 2013, when he assumed a position as moted the SBMicro conference as a tinguished Service Award was Profes- collaborator professor. He served as technically co-sponsored conference sor Jacobus Swart, State University director of the Center for Semicon- of EDS. of Campinas, FEEC/UNICAMP, South ductor Components, from April 1998 Jacobus was born in The Nether- Brazil. Please visit the IEEE EDS web- to April 2005. From May 2007 until lands in 1950 and emigrated to Brazil site to view the award presentation June 2011 he was on leave from the with his parents and ten brothers and video. University to serve as General Direc- sisters in 1958, as farmers. He was the Jacobus W. Swart received his B. tor of CTI, a national research center. only one that did not follow the farm- Engineering and Dr. Engineering De- During this time he was the coordina- ing profession. He met and married grees in 1975 and 1981 respectively, tor of the IC Brazil program, leading Nilza during undergraduate studies from the Polytechnic School of the ASIC design training centers and a and they have three children: Hugo, University of São Paulo, Brazil. Af- network of local design houses. He Laura and Julia, two Electronics En- ter his Dr. Degree, he worked at the was the leader of a large research gineers and one Economist. Pres- following institutions: K. U. Leuven, network in Brazil, called INCT NA- ently, he and Nilza are blessed with Belgium, 1982-83, as a post-doc, CTI, MITEC, from 2001 until 2016. Since ten grandchildren. EDS is proud to Campinas, 1984; University of São 2013 he provides service to imec, Bel- extend this recognition for his many Paulo, 1985-88, as Assistant Profes- gium, as their representative in Brazil. years of selfless dedicated service. sor; SID Microeletrônica, 1986, as Dr. Swart has published around 300 process engineering; RTI, USA, 1991, papers in Journals and Conferences Fernando Guarin as a Visiting Scientist and since 1988 covering his research work on mate- EDS Awards Chair

April 2021 ❍ IEEE Electron Devices Society Newsletter 25 2020 IEEE Electron Devices Society Education Award Winner

The EDS Education Award recog- tect and the Chief Investigator for nizes an IEEE/EDS Member from an the Indian Nanoelectronics Users academic, industrial, or government Program (INUP), which initiated Na- organization with distinguished con- noelectronics activities in over 200 tributions to education within the institutions in the country. The INUP fields of interest of the IEEE Electron program has impacted the research Devices Society. Professor Valipe activities of over 10,000 researchers Ramgopal Rao was selected as the in India so far. 2020 EDS Education Award winner. Prof. Rao played a key role in driv- The award cites Professor Rao “For ing the emergence of a vital indus- educational leadership and estab- try-academia collaboration in India lishing Nanoelectronics research through the Ministry of Electronics programs in India”. & Information Technology (MeitY) Prof. V. Ramgopal Rao is currently and the Department of Science & the Director at IIT Delhi. Before join- Technology, Govt. of India programs. ing IIT Delhi as the Director in April Based on his leadership in education 2016, Dr. Rao served as a P. K. Kelkar and research and through his in- Professor Valipe Ramgopal Rao Chair Professor for Nanotechnology volvement with the institutes in for- in the Department of Electrical En- mulating the proposals, MeitY has gineering, IIT Bombay. Dr. Rao has ogy area and currently serves on set up seven National Centres of Ex- over 450 research publications in the the Editorial Advisory Board of ACS cellence in the field of Nanoelectron- area of nano-scale devices & sensors Nano Letters. He is a Distinguished ics across the country, leading to a and is an inventor on 45 patents and Lecturer, IEEE Electron Devices Soci- significant growth of this vital sector patent applications. For his research ety and interacts closely with many in India. Prof. Rao is also responsible contributions, Dr. Rao is elected a semiconductor industries both in for setting up the country’s first Pro- Fellow of IEEE, a Fellow of the Indian ­India and abroad. totype Manufacturing Facility at IIT National Academy of Engineering, Prof. Ramgopal Rao has helped Bombay for use by the Small & Me- the Indian Academy of Sciences, the create a vibrant ecosystem for nano- dium scale industries for developing National Academy of Sciences, and electronics education, research and products and prototypes in the area the Indian National Science Acad- entrepreneurship in India. Prof. Rao of Nanoelectronics. For more infor- emy. Prof. Rao’s work is recognized was the Chief Investigator for the mation about Prof. Ramgopal Rao’s with many awards and honors in the path-breaking Center of Excellence current research activities, please country and abroad. Prof. Rao was an in Nanoelectronics (CEN) project at visit https://www.ee.iitb.ac.in/~rrao/. Editor for the IEEE Transactions on IIT Bombay, set up jointly with IISc Electron Devices during 2003–2012 Bangalore, by the Government of Muhammad Ashraful Alam for the CMOS Devices and Technol- India. Prof. Rao was also the archi- 2020 EDS Education Award Chair

26 IEEE Electron Devices Society Newsletter ❍ April 2021 2021 EDS Education Award Call For Nominations

The IEEE Electron Devices Society invites the submis- trial or governmental sectors. Specific accomplishments sion of nominations for the EDS Education Award. include effectiveness in the development of innovative This award is presented annually by EDS to honor an education, continuing education programs, authorship individual who has made distinguished contributions of textbooks, presentation of short-courses at EDS spon- to education within the field of interest of the Electron sored conferences, participation in the EDS Distinguished Devices Society. The recipient is awarded a plaque and Lecturer program, and teaching or mentoring awards. a check for $2,500, presented at the IEEE International Since this award is solely given for contributions to Electron Devices Meeting (IEDM). education, the nomination should exclude emphasis on The nominee must be an EDS member engaged in technical contributions to engineering and physics of education in the field of electron devices, holding a pres- electron devices. ent or past affiliation with an academic, industrial, or gov- The nomination form can be found on the EDS web- ernment organization. Factors for consideration include site: https://eds.ieee.org/awards/education-award achievements and recognition in educating and mentor- The deadline for the submission of nominations for ing students in academia or professionals in the indus- the 2020 award is September 1, 2021.

2020 IEEE Electron Devices Society Early Career Award Winners

The EDS Early Career Award rec- BSIM group as post-doc fellow cum consecutive months, while topping ognizes young IEEE/EDS members Center Manager of Berkeley Device the list for 3 months. who have made outstanding contri- Modeling Center, University of Cali- Dr. Agarwal has developed SPICE butions in an EDS field of interest fornia, Berkeley, USA for 3 years. compact models for the logic, ana- during the early years of their pro- Dr. ­Agarwal is highly fascinated with log, and RF applications, which are fessional career after graduation. Nanoelectronics. In this era of the used worldwide both in academia The 2020 EDS Early Career Award Internet of Things (IoT) and Artificial as well as in industry for circuit winners are as follows: Harshit Intelligence (AI), there is a need of design, simulations and device- Agarwal from the Indian Institute of faster and low power transistors. His circuit co-optimization. He is a lead Technology, Jodhpur, India and Max research group at IIT-Jodhpur works developer of the BSIM based com- Shulaker of Massachusetts Institute in compact modeling, simulation pact model of high-voltage devices. of Technology, Cambridge, MA,USA. and characterization of emerging These models are rigorously tested Please visit the IEEE EDS website to transistor and memory devices for for accuracy and numerical robust- view the award presentation video. AI, IoT and neuromorphic applica- ness before being used for circuit tions. His other research interest design prior to actual fabrication, Harshit Agarwal, Indian Institute includes steep-slope devices, ad- thereby saving lots of cost and time. of Technology, Jodhpur, India vanced CMOS architecture including Dr. Agarwal is a member of IEEE Dr. Harshit Agarwal nanosheet and nanowire for sub-3 nm Electron Devices Society Compact is Assistant Pro- technology nodes, 2D semicon- Modeling Committee, is recipient of fessor in the ductor devices and their compact two IEEE best paper awards, has de- Dept. of Electri- modeling, modeling for millimeter livered talks on compact modeling cal Engineering, wave applications etc. His work on at various workshops and featured Indian Institute of development of new capacitance twice in IEEE EDS list of golden Technology (IIT), matching technique for negative ca- reviewers of EDL and TED. He has Jodhpur, India. pacitance transistors selected for the published one book and more than He received the PhD degree from front cover of IEEE Electron Device 60 articles in journals and confer- IIT-Kanpur in 2017. Before joining Letters (EDL). It also featured in EDL ences of international repute includ- IIT-Jodhpur, he worked with the list of popular documents for nine ing IEDM, EDL, TED etc.

April 2021 ❍ IEEE Electron Devices Society Newsletter 27 Max Shulaker, Massachusetts the broad area of nanosystems: tal scientific advances into working Institute of Technology, understanding and optimizing mul- systems that promise to impact and Cambridge, MA, USA tidisciplinary interactions across improve our daily lives. Through Max Shulaker is an the entire computing stack to en- their unique approach, Max and his Associate Profes- able the next generation of ener- students have demonstrated some sor in the Depart- gy-efficient computing systems. of the most advanced beyond- ment of Electrical Key breakthroughs from the group silicon electronic systems realized Engineering and range from low-level nanomate- to-date, with applications ranging Computer Sci- rial synthesis to novel fabrication from next-generation computers to ence, where he processes and circuit designs for healthcare. leads the NOVELS emerging nanotechnologies, up to (Novel Electronic Systems Group) at new system architectures. His pas- Ravi Todi MIT. His research group focuses on sion lies in transforming fundamen- EDS Early Career Award Chair

2021 IEEE EDS Early Career Award Call For Nominations

Description: Awarded annually to individuals to promote, recognize and support Early Career Technical Develop- ment within the Electron Devices Society’s field of interest

Prize: An award of US$1,000, a plaque; and if needed, travel expenses not to exceed US$1,500 for each recipient residing in the US and not to exceed US$3,000 for each recipient residing outside the US to attend the award presentation.

Eligibility: Candidates must be an IEEE EDS member and must have received his/her first professional degree within the 10th year defined by the August 15 nomination deadline and has made contributions in an EDS field of interest. Nominators must be IEEE EDS members. Previous award winners are ineligible.

Selection/Basis for Judging: The nominator will be required to submit a nomination package comprised of the following: • The nomination form can be found on the EDS web site, containing such technical information as the nomi- nee’s contributions, accomplishments and impact on the profession or economy and a biographical descrip- tion. • A minimum of two and a maximum of three letters of recommendation from individuals familiar with the candidate’s technical contributions and other credentials, with emphasis on the specific contributions and their impacts.

The basis for judging includes such factors as: the demonstration of field leadership in a specific area; specific technical contribution(s); impact on the profession or economy; originality; breadth; inventive value; publica- tions; honors; and other appropriate achievements.

Schedule: Nominations are due to the EDS Executive Office on August 15th each year. Candidates will be selected by the end of September, with presentation to be made in December.

Presentation: At the EDS Awards Dinner that is held in conjunction with the International Electron Devices Meet- ing (IEDM) in December. The recipients will also be recognized at the December EDS BoG Meeting. Nomination Form: Complete the nomination form by August 15, 2021. All endorsement letters should be sent to [email protected] by the deadline.

For more information contact: [email protected] or visit: http://eds.ieee.org/early-career-award.html

28 IEEE Electron Devices Society Newsletter ❍ April 2021 W o m e n i n E n g i n e e r i n g

Leda Lunardi—Her Journey and Words of Motivation for Women in Science and Engineering

Leda Lunardi, Professor, Electrical and Computer Engineering North Carolina State University

My journey: In my on InP-based HBT integrated receiv- No matter what applications are wildest dreams, I ers. There we demonstrated the first needed: new advances in materials, could never imag- InP-based monolithically integrated new developments on processes, ined to have the photoreceiver operating at 10 Gb/s new improvements on design tools, career I have to- and 20 Gb/s followed by multichan- new architectures and new manufac- day even if I have nel wavelength division multiplexing turing techniques to overcome power tried. In my family, (WDM) photoreceivers. The photore- dissipation, improve energy efficien- I am the first gen- ceiver operating at 10 Gb/s was out- cy, or increase switching speed, new eration not only to attend college but standing, yet these results were even devices will be invented. also to obtain advanced degrees: more significant because it was the first a M.Sc. in Physics from Univer- first time that a monolithically inte- Words of Motivation for Women EDS sity of São Paulo, Brazil, and then a grated photoreceiver outperformed Student Members to pursue Science PhD in Electrical Engineering from hybrid versions by more than 6 dB in and Engineering Cornell University, New York. At the signal-to-noise ratio. Looking at my career in Science time I finished my PhD, it was the Since then, after more efficient and Engineering, I wish I could trans- first thesis in the USA on the topic modulation formats and new op- late the satisfaction in working in Sci- of AlGaAs/GaAs Heterojunction tical fibers had emerged, my ence and Engineering. The constant Bipolar Transistors for microwave research interest has changed con- learning and challenges that I faced operation, giving me a few opportu- siderably. Some of the important made me learn and grow. I enjoyed nities to start a professional career results obtained with students and all discussions, the profound knowl- in the USA. collaborators as at NC State are: edge of my colleagues and all the As a member of the technical staff integrated circuits with amorphous professionals I met. in AT&T (then Bell Labs) in Murray Indium Gallium Zinc Oxide (IGZO) For women in particular, engi- Hill, I joined the heterojunction high thin film transistors made by neering is a liberating profession mobility transistors’ group. Achieve- pulsed laser deposition, compact because you can have a well-paid ments of this group were then modeling of InAs–based Tunnel- job and be independent. As an elec- among the best results in the digital ing Field Effect Transistor, and the trical engineer, there are many areas circuits domain. The goal was to de- polymer-based dielectric mirrors for specializations with the option to velop HBT-based digital circuits for able to regulate the light intensity be multidisciplinary. The further you telecommunication applications. The and to tune incident wavelengths educate yourself the greater your job work started almost from scratch. for display applications. responsibility will be. You have the With talented collaborators, we pub- ability to improve yourself, and see lished the first demonstration of Future research: There are several how far you can advance in your ca- GaAs-based HBTs decision circuits opportunities already happening reer. As an engineer, you can change along novel transistor structures in devices’ research, which is a rich and influence our society. facilitating the circuit manufactur- field and maintains solid since the Still there is some progress to ing. At the same time, other groups invention of the bipolar junction make in gender diversity in Science started reporting advanced progress transistor almost 70 years ago. To- and Engineering. In the USA, women in different InP-based HBTs because day the difference is that digital tech- make up less than 30% of the science of the compatibility with the optical nology permeates every bit of the and engineering college educated wavelength. infrastructure of the human life in workforce. It is changing though. Be- Next, I moved to another group our society, with electronic devices fore the pandemic started in 2020, in Holmdel that was doing research embedded into everything. some universities like USC and NC

April 2021 ❍ IEEE Electron Devices Society Newsletter 29 State reported that their colleges of academia, she spent several years committees for grants and projects engineering enrollment included a doing R&D at AT&T (then Bell Labs), reviews. Among her present activities, larger percentage of women in their and JDS Uniphase. In addition to her she is the chair for the 2021 IEEE Fel- first year classes. research, Dr. Lunardi has managed low Committee, chair of the 2021 IEEE several NSF grants for STEM research Jun-ichi Nishizawa Medal Committee, Leda Lunardi has been a professor and education to encourage women and member of the 2021 IEEE Cledo since 2003 at the Electrical and Com- and underrepresented minority stu- Brunetti Award and the 2021 IEEE EDS puter Engineering Department at dents to graduate in engineering and Lester Eastman Award committees. North Carolina State University science and pursue graduate degrees. She has authored and co-authored in Raleigh, NC. She holds a Ph.D. de- Dr. Lunardi has been a volunteer for more than 100 publications and con- gree in electrical engineering from more than three decades in profes- ference proceedings, been granted 5 Cornell University in Ithaca, NY. Her sional organizations. She has served patents, and given invited talks, ple- research areas are in fabrication and on numerous IEEE executive and tech- nary addresses, and short courses at modeling of electronic and optoelec- nical committee conferences, editorial conferences. She is an IEEE Fellow and tronic devices, and microelectrome- board of journals, and national and co-shared the IEEE Photonics Society chanical devices. Before joining international governments’ ad-hoc Engineering Achievement Award.

Women in Electron Devices Society Manijeh Razeghi, Doctorate d’état ES Sciences Physiques

Professor Manijeh the scientific study, development, physics. This included the first quan- Razeghi is a pio- and implementation of most major tum well heterostructures, the first neering leader modern epitaxial growth techniques., superlattices, observation of the in the area of Prof. Razeghi is especially known as quantum Hall effect in this material III-V compound a pioneer in the development of the system, the first demonstration of semiconductor MOCVD (Metal-Organic Chemical Va- two-dimensional electron gas and materials and por Deposition) crystal growth tech- two-dimensional hole gas in this Manijeh Razeghi optoelectronic de­­ nique for the growth of InP, GaInAs/ material, and the first observation vices. For more InP and GaInAsP/InP based materials. of room temperature excitons in than 40 years she has been blazing This semiconductor growth technique GaInAs/InP superlattices. These con- a trail, developing new semiconduc- is still the leading method for mass tributed to the realization of a pleth- tor materials and then using them production of telecommunication op- ora of novel optoelectronic devices to realize practical semiconductor toelectronics. The key to successfully including lasers, optical waveguides, optoelectronic devices including a taking advantage of these materials InP based Gunn diode for radar sys- wide range of light emitting diodes is that the physical properties and tems, a number of field effect tran- and lasers emitting at wavelengths quantum structures need to be truly sistors, InP-based photodiodes, and from the terahertz, to the infrared, dominated by the physics of the ma- their monolithic integration. The all the way to the deep ultraviolet. terial and not by uncontrolled defects, capstone of these transformative dis- Prof. Razeghi performed her gradu- dislocations and surface states. This coveries was the realization of the im- ate studies at Université de Paris is exactly where Razeghi’s artistry for portant 1.3 and 1.55 μm laser diodes where she obtained her M.S. in Ma- materials magic comes into play. She, and photodetectors necessary to fuel terials Science in 1976, her Ph.D in for the first time, managed to produce the coming optical fiber telecommu- Solid State Physics in 1978, and her the material quality with MOCVD that nication revolution. Docteur ès Sciences in Physics in was good enough to observe the Her scholarly work in France both 1980. From 1981 to 1992 she was a subtle quantum-physical effects pre- generated and stimulated scientific Senior Research Scientist and then dicted and calculated by theoreticians research in this field for many years Head of the Exploratory Materials with such excitement. and was being relentlessly studied Laboratory at Thomson-CSF (Or- She was able to use this material by world scientists from all over the say, France). There she pioneered to investigate basic semiconductor Americas to Asia and Europe. This

30 IEEE Electron Devices Society Newsletter ❍ April 2021 early work leading up to, and includ- lasers emitting at 808 and 980 nm. based on the GaAs-GaInAsP material ing, the development of the first 1.3 There were existing AlGaAs designs system. Again this is book received and 1.55 μm laser diodes was docu- at these wavelengths, but they were rave reviews with Jerome B. Cohen, mented in what has become one inherently unable to meet all the strin- the Dean of the McCormick School of of the most seminal volumes ever gent requirements imposed by mod- Engineering at Northwestern, writing: written on MOCVD growth: “The ern applications. The main failing was “This book is another example of her MOCVD Challenge: Volume 1: A that aluminum oxidizes very easily, ability to do cutting-edge research Survey of GaInAsP-InP for Photonic and during laser fabrication and high- and her desire to educate. It is a text and Electronic Applications” (1989) power operation these oxides would of the fundamentals of semicon- Adam Hilger Publishing and Institute form dark defects that absorbed pow- ductor crystal growth and materials of Physics Publishing. This book re- er and destroyed the laser. The crucial characterization leading into many of ceived rave reviews, with Pierre Ai- technology was the development of the important concepts in advanced grain in the Foreword of this book the material and design to eliminate device design and fabrication.” writing: “Manijeh­ Razeghi has been aluminum from the lasers (“alumi- Since then Manijeh Razeghi has recognized all over the world for num-free”). The lasers that Manijeh continued to demonstrate herself as her ability to achieve astonishingly Razeghi developed did not suffer a world renowned pioneer of III-V brilliant results with MOCVD tech- from aluminum oxidation, and thus semiconductor materials research, nologies. Simply reading the book showed long-lifetime and very high quantum device physics, and photon- may not be quite enough for every output power. The availability of these ic source technology. In every mate- engineer to do fully as well as she lasers revolutionized the high power rial system she has worked, she has does. But it is a necessary step in diode laser industry and their appli- not only developed the fundamental that direction.” cations in the early 1990’s, including material, but has created a wide array Then in 1991, Manijeh Razeghi diode pumped solid-state lasers and of practical optoelectronic devices, left France and came to the United erbium doped optical fiber amplifi- many of which have required new States, where she joined Northwest- ers for telecommunications. She also physics—pushing the boundaries of ern University in Evanston, Illinois, used the GaInAsP/GaAs material sys- what was thought possible using III-V from among a long list of major US tem to realize heterostructures and semiconductors. institutions attempting to attract her. superlattices, demonstrating for the Speaking of new physics, Razeghi She was appointed as a Walter P. first time a two-dimensional electron has also become a world leader Murphy Chair Professor of Electrical gas in this system. This discovery led in the pioneering development of Engineering and Computer Science. to the first heterojunction field effect Quantum Cascade Lasers (QCLs). There, she founded the Center for transistor (HFET), modulation-doped QCLs are based on the same InP ma- Quantum Devices to continue her FET (MODFET), two-dimensional terial system which she first devel- pioneering works, and devoted her electron gas FET (TEGFET) based on oped while working in France. These research activities to studying III-V this material. She also started to use devices are unipolar lasers that use semiconductor materials for a wide the GaInAs/InP material system to intersubband transitions in quantum range of quantum optoelectronic realize the first Quantum Well Infra- structures, the novel physicals effects devices, including lasers, LEDs, pho- red Photodetectors (QWIPs), the first which have become possible with the todetectors, and focal plane arrays, multi spectral QWIPs, and the first fo- growth of complex hetero-structures. covering a very wide spectral band cal plane array, based on this material They have made it possible to pro- from the deep ultraviolet (down to a system. duce lasers emitting at nearly arbi- wavelength of ~0.2 μm) to the very Based on the pioneering work lead- trary wavelengths ranging from the long wavelength infrared (~32 μm), ing up to and including this discovery, mid-infrared all the way into the THz and most recently all the way out into Prof. Razeghi released her second spectral regions. These spectral re- the terahertz (the elusive boundary major book: “The MOCVD Challenge: gions have been utilized in industry between radio and photonic sources). Volume 2: A Survey of GaInAsP- for many years for chemical/biologi- Within a few years of joining GaAs for Photonic and Electronic cal spectroscopy, but the old source Northwestern University, Prof. ­Razeghi Applications” (1995), Adam Hilger technologies (like gas lasers and established herself anew and contin- Publishing and Institute of Physics parametric oscillators) were bulky ued generating a whole impact on Publishing. The content of this vol- and far from mass manufacturability. optoelectronics. Within three years, ume, like Volume 1, is her own work The QCL technology is transformative she had used her MOCVD skills to and achievements for the MOCVD in that QCLs are capable of ultrasen- develop GaInAsP/GaAs semiconduc- growth, characterization and fabrica- sitive chemical/ biological detection tor materials and designs for the first tion of optoelectronic devices, includ- but have the size, weight, and pow- high-power aluminum-free diode ing high-power aluminum-free lasers, er of standard semiconductor­ ­laser

April 2021 ❍ IEEE Electron Devices Society Newsletter 31 diodes. This has ushered in a new gap AlInGaN semiconductor material ing wide bandgap AlInGaN based era of portable sensing. In addition, system. This material system has rev- semiconductors and their quantum thanks to other beneficial properties olutionized visible photonics sources structures led her to demonstrate of this wavelength range, there has with the recent introduction of blue high efficiency photodetectors, Gei- been a significant development of LEDs and lasers. For the first time, it ger-mode avalanche photodiodes, these sources for free-space commu- has become possible to have practi- and solar-blind focal plane arrays nications and as an infrared counter- cal high-efficiency, long-lifetime, with world record characteristics. measure to safeguard aircrafts from semiconductor-based, solid-state In addition to her unparalleled heat-seeking missiles. lighting. Razeghi played a role in the contributions to basic and applied Soon after QCLs had been intro- development of this material system research related to photonic source duced as only a cryogenically-cooled for blue lasers, which have gone on technology, Prof.Razeghi has also laboratory curiosity, Manijeh Razeghi to be used in next generation data demonstrated herself as an accom- drove the technology forward, ma- storage. Her contributions have more plished educator. She has created turing it into a commercial technol- recently focused on LEDs in the deep and manages the Graduate and Un- ogy capable of room temperature, ultraviolet (<280 nm), leading to the dergraduate Programs in Solid State high power, continuous-wave op- first demonstration of LEDs at 280 nm Engineering (SSE) at Northwestern eration over a wide range of wave- or shorter. All wavelengths are at the University. She directly oversees lengths (3–12 μm). This included heart of the next generation of fast many assistant and research profes- both the highest power and highest and reliable chemical and biological sors, and many adjunct professors. efficiency QCLs ever demonstrated. agent sensors, and portable water She regularly takes time out of her Other novel developments included purification systems. tireless research schedule to teach two-dimensional photonic crystal In addition to her pioneering work fundamental undergraduate courses distributed feedback (PC-DFB) sourc- on photonic source technology, Prof. and generate interest and motivate es for high power, single-frequency Razeghi has also found time to pur- the next generation of scientists. She operation and multi-wavelength QCL sue detectors and focal plane array prepared several text books, includ- arrays for wide wavelength coverage imagers based upon these novel III-V ing Fundamentals of Solid State En- and rapid tunability. compound semiconductor materi- gineering (4th edition), Technology Based on this pioneering quantum als. She realized the first InAs and of Quantum Devices, Introduction to cascade laser work, Prof. Razeghi de- InGaAs Quantum Dot Infrared Pho- Carbon Atom, to name a few. veloped recently novel THz sources todetectors and focal plane arrays. operating at room temperature. The In parallel, she pioneered in the area Manijeh Razeghi received the Doc- terahertz is a novel region of the elec- of QWIPs on InP for mid, long and torate d’état ES Sciences Physiques tromagnetic spectrum situated be- very long wavelength spectral bands from the Université de Paris, France, tween the infrared and microwave. (4~19 μm) and demonstrated the first in 1980. She was the Head of the Ex- The unique properties of materials at multi spectral QWIP on InP. Moreover, ploratory Materials Laboratory at these wavelengths allow new sens- she developed the molecular beam Thomson-CSF (France) during the ing applications that will revolution- epitaxy of InAs/GaSb type II quantum 80’s where she developed and imple- ize chemical/biological sensing and heterostructures, photodetectors mented modern metalorganic chemi- airport/border security, as well as and even focal plane arrays operat- cal vapor deposition (MOCVD), vapor applications for a non-destructive ing in the mid, long, and very long phase epitaxy (VPE), molecular beam package inspection and astronomy. wavelength infrared spectral bands epitaxy (MBE), GasMBE, and MOMBE However, up until recently, the lack (3~32 μm). This pioneering work en- for entire compositional ranges of of convenient, compact diode laser abled the demonstration of the first III-V compound semiconductors for sources has prevented realization of infrared camera based on this mate- spectrum range from deep UV to these applications. Razeghi devel- rial system. This technology is begin- THz. Developing these tools was fun- oped the highest power terahertz la- ning to reshape the infrared sensing damental in enabling her to achieve sers, and though still in development, industry and it is possibly leading to high purity semiconductor crystals she projects that mW-class, compact, a technological revolution, with a di- with a consistency and reliability that room temperature THz sources will rect impact on medical applications, was often unmatched, thereby lead- be available soon. Once realized the manufacturing thermal imaging, fire- ing to new physics phenomena in InP, inherent mass production potential fighting, pollution monitoring, sur- GaAs, GaSb, and AlN based semicon- of semiconductor lasers will enable veillance, law enforcement, as well as ductors and quantum structures. She many new exciting applications. Fi- dense applications. In the deep ultra- realized the first InP Quantum wells nally, Razeghi played a crucial role in violet spectral band (200–400 nm), and Superlattices and demonstrated the development of the wide band- Razeghi’s innovative ideas concern- the marvels of quantum mechanics

32 IEEE Electron Devices Society Newsletter ❍ April 2021 in the low dimensional­ world. She Media, Inc., New York, NY U.S.A. devices. Dr. Razeghi is a Fellow of joined Northwestern University, 2018). Two of her books, MOCVD MRS, IOP, IEEE, APS, SPIE, OSA, Fel- Evanston, IL, as a Walter P. Murphy Challenge Vol. 1 (IOP Publishing Ltd., low and Life Member of Society of Professor and Director of the Center Bristol, U.K., 1989) and MOCVD Chal- Women Engineers (SWE), Fellow of for Quantum Devices in Fall 1991, lenge Vol. 2 (IOP Publishing Ltd., Bris- the International Engineering Con- where she created the undergraduate tol, U.K., 1995), discuss some of her sortium (IEC). She received the IBM and graduate program in solid-state pioneering work in InP-GaInAsP and Europe Science and Technology Prize engineering. She has authored or GaAs-GaInAsP based systems. The in 1987, the Achievement Award from co-authored more than 1000 papers, MOCVD Challenge, 2nd Edition (Tay- the SWE in 1995, the R.F. Bun shah more than 34 book chapters, and 20 lor & Francis/CRC Press, 2010) repre- Award in 2004, IBM Faculty Award books, including the textbooks Tech- sents the combined updated version 2013, the Jan Czochralski Gold Med- nology of Quantum Devices (Spring- of Volumes 1 and 2. She holds more al in 2016, the 2018 Benjamin Frank- er Science Business Media, Inc., New than 60 U.S. patents and gave more lin Medal in Electrical Engineering, York, NY U.S.A. 2010) and Fundamen- than 1000 invited and plenary talks. and many best paper awards. She is tals of Solid State Engineering, 4th Her current research interest is in an elected life-Fellow of SWE, IEEE, Edition (Springer Science Business nanoscale optoelectronic quantum and MRS.

Mercedes Arruiz—Webinar “Self-Empowerment” A Joint WIE and YP Event By Mike Schwarz

The Affinity Group Women in En- the San Juan National University, nar was attended by 16 participants gineering (WiE) and Young Profes- leading groups not only in the stu- from different countries and had a sionals of the IEEE Germany Section dent branch, but also in Region 9, fruitful exchange of experiences on organized a webinar with Mercedes Student Professional Awareness Ac- how to self empower. Especially, Arruiz. The WebEx seminar took tivities (SPAA) and RoadShow. points like “Inner peace”, “Self truth”, place on October 13, 2020. For 5 years she delivered con- “Awareness”, and many more were Mercedes is from Argentina. She ferences about leadership, team- addressed and discussed. It was a is an entrepreneur woman always work and motivation at Nationals pleasure to share the thoughts. The searching how to grow during crises and Regional Meetings and now audience enjoyed the webinar and and who developed as an empower- she is sharing webinars with stu- gave a huge “thank you” to Mercedes ment coach after years of personal dents from R9 about conscious and the organizers. development. leadership. More webinars and joint events She was an IEEE member while The webinar discussion had the of WiE and the YPs are very studying biomedical engineering in title: “Self-Empowerment”. The webi- welcome!!!

WebEx webinar organized by WiE and YP Germany - Mercedes Arruiz and participants

April 2021 ❍ IEEE Electron Devices Society Newsletter 33 E D S Y o u n g P rofessionals

Can Bayram: Reflections from an EDS Young Professional

The Young Pro- work. After almost a decade of re- conferences, topical meetings, and fessional guest in search and development, we are now special issues. this issue of the exploring commercialization of our Newsletter is Can technologies, which could bring our MS: As an YP, how do you consider Bayram, Electron awarded research into our daily lives. the EDS Society as a whole and what Devices Society’s are the changes or developments 2014 Early Career MS: As a Young Professional, how you would like to see in evolving this Award winner do you position your interest in your professional body as a group devot- and a faculty member at University own field with the activities and ser- ed to humanity and its causes? of Illinois at Urbana-Champaign. His vices you perform as an EDS mem- CB: Today, internet connectivity is perceptions about EDS and views ber/volunteer? rapidly becoming a basic utility for regarding professional development CB: EDS membership benefits al- the world’s population. Digitization and career growth are reflected in low me to gain discounted confer- of valuable EDS content will enable the discussion. Here are the excerpts ence registration, unique access to timely and scaled access, enabling of the interview with Can Bayram valuable and timely content (e.g. the opportunity for members to grow made by Manoj Saxena, the News- newsletters and webinars), and net- through online learning, remote em- letter Associate Editor-in- Chief. working. In reciprocity, I volunteer as ployment, and networking. Increased the Chair of the IEEE EDS Optoelec- engagement through social plat- Manoj Saxena: What was the specific tronics Technical Committee and as forms, encouragement of regional temptation, if any, which made you an Editor in the IEEE Transactions on activities, and traveling speaker join IEEE EDS, the premier global Electron Devices. As a service, I am events might help members and the society in the field of electron device giving, what EDS provides me (e.g. public stay up to date with the EDS engineering, at first? time and funds savings, technical and and its impact. Can Bayram: I joined EDS because professional peer expertise), back to my mentors have been EDS mem- the EDS. MS: What are your suggestions and bers. EDS being a major influencer in recommendations for those young my research field (through its highly MS: What are your thoughts about professionals who may aspire to respected conferences and impactful the EDS membership and its pay- join EDS? journals) is the reason I have been a backs? Whether the EDS member- CB: EDS will inspire you for what member since then. ship benefited you at any time in you can accomplish. Societies such your career growth? If so, how? as EDS offer many platforms for pro- MS: You won the prestigious EDS CB: I am a seasoned IEEE mem- viding young professionals valuable Early Career Award, an honor most ber for the last 17 years, and an EDS insights on career options. Engag- of the young professionals aspire. member during the last 13 years. ing early is not a requirement, but How do you consider this recogni- I have been a student, young pro- certainly has its benefits. I encour- tion and what are your plans to fur- fessional, regular, and, now, senior age young professionals to focus ther develop your research career? member. EDS is one of the few so- on their careers and let their work CB: I am honored to have been cieties where each career level can inspire many. recognized as the 2014 Early Career find valuable technical, educational, Award Winner. Early Career Awards and networking content. Given its MS: As an EDS Young Profession- are essential mechanisms for encour- large member size and diverse geo- al and a young researcher in the aging young professionals to conduct graphical and technical member da- field, how do you consider the pros- impactful research. This recognition tabase, it also provides many career pects of scientific research in this not only celebrates our impact but growth and leadership opportunities field for the progress of Humanity as also brings a spotlight to our future in various forms such as organizing a whole?

34 IEEE Electron Devices Society Newsletter ❍ April 2021 CB: The NAE President John Can Bayram is an Associate Professor and electronic devices. Particularly, ­Anderson once said, “Science is about in the Department of Electrical and his research group explores III-V ma- discovery, engineering is about creat- Computer Engineering, a resident terials and novel devices, hetero-in- ing”. Since the discovery of the electron faculty at the Nick Holonyak, Jr Micro tegration of III-Vs on unconventional in 1897 by Thomson, we have been and Nanotechnology Laboratory, and platforms such as graphene, silicon exploring its unique properties and an affiliate faculty of the Carle Illinois and diamond, heat transport across/ creating new methods to tailor them. College of Medicine of University through semiconductors, efficiency The NAE’s Great Engineering Achieve- of Illinois at Urbana-Champaign, IL, drop mechanisms and remedies in ments of the 20th Century highlight USA. He is an expert in III-V materials AlInGaN emitters, and ultra-fast THz how much we have progressed. Still, and photonic and electronic devices. photonics/electronics. Prof. Bayram’s there is much to discover, and many He has performed more than 3,000+ work has been recognized widely. He engineering challenges to overcome. epitaxial growths with metalorganic is the recipient of the International The NAE’s Grand Challenges for Engi- chemical vapor deposition systems Union of Pure and Applied Physics neering specifically cite making solar and fabricated detectors, light emit- Young Scientist Prize in Optics, IEEE energy economical, enhancing virtual ting diodes, solar cells, resonant Nanotechnology Council Early Ca- reality, reverse-engineering the brain, tunneling diodes, and transistors in reer Award, an NSF CAREER Award, and developing the tools of scientific class 100 and 1000 cleanrooms total- a CS Mantech Best Paper Award, an discovery. These specific challenges ing 20,000+ hrs equipment usage. AFOSR Young Investigator Award, and others can only be overcome His current research interests lie in the IEEE Electron Devices Society through scientists and engineers, the intersection of novel III-V materi- Early Career Award, and many Best working together. als, hetero-structures, and photonic Paper Awards.

Shimeng Yu: Reflections from an EDS Young Professional

The Young Pro- suing the master’s degree at Stanford tion and what are your plans to fur- fessional guest University. In that year, I had opportu- ther develop your research career? in this issue of nities to present my research papers SY: It was my great honor to re- the Newsletter is on resistive random-access-memory ceive the prestigious EDS Early Career ­Shimeng Yu, Elec- (RRAM) in the EDS sponsored con- Award in 2017, 4 years after I started tron Devices So- ferences such as IEEE International my own research group. I regarded it ciety’s 2017 Early Memory Workshop (IMW) and IEEE In- as an appreciation by the community Prof. Shimeng Yu Career Award ternational Electron Devices Meeting for my technical achievements as a Georgia Institute of winner and a fac- (IEDM). Those were my initial experi- junior faculty member. At that time, Technology, Atlanta, ulty member at ences attending technical conferenc- I had been fully engaged with EDS Georgia, USA 30332 Georgia Institute es, interacting with peer students, and activities with dozens of publications of Technology, learning from senior professionals. I in IEDM, IEEE Electron Device Letters Atlanta. His perceptions about EDS was grateful to receive the EDS Mas- and IEEE Transactions on Electron and views regarding professional de- ters Student Fellowship in 2010. This Devices. Meanwhile, I have extended velopment and career growth are re- student fellowship deeply motivated my research from devices to circuits flected in the discussion. Here are the me to further pursue the research in and systems as well. I believe a holis- excerpts of the interview with Shi- the semiconductor devices. Later I tic approach to solve the fundamental meng Yu made by Manoj Saxena, the was fortunate to receive the EDS PhD challenges in the technology scaling Newsletter Associate Editor-in- Chief Student Fellowship in 2012. My EDS is critical, therefore a design-technol- journey started as a student member ogy co-optimization or even system- Manoj Saxena: What was the specific in those years. technology co-optimization is highly temptation, if any, which made you join demanding in the future. EDS which is the largest professional MS: You won the prestigious EDS organization in the globe, at first? Early Career Award, an honor most MS: As a Young Professional, how Shimeng Yu: I joined EDS as a stu- of the young professionals aspire. do you position your interest in dent member in 2010 when I was pur- How do you consider this recogni- your own field with the activities

April 2021 ❍ IEEE Electron Devices Society Newsletter 35 and ­services you perform as an EDS you would like to see in evolving this For example, fast genomic sequenc- member/volunteer? professional body as a group devot- ing requires domain-specific hardware SY: My volunteer roles in EDS in- ed to humanity and its causes? accelerators for processing TB data. To clude reviewers for EDS journals, and SY: Frankly speaking, I see there analyze the virus from raw sequenced technical program committee member is a declining interest among the data to biologically interpretable output for EDS sponsored conferences such new generations of students towards is critical to understand how COVID-19 as IEDM, Symposium on VLSI technol- semiconductor devices (or in gen- has mutated and what that means for ogy and IEEE Electron Devices Tech- eral hardware), simply because of the its spread and eventual treatment. nology and Manufacturing (EDTM). blooming in machine learning (or in By serving the community, I could general software). But we have to keep Shimeng Yu is an associate professor learn the latest research trends in the in mind that the technological founda- of electrical and computer engineering field and also I have an opportunity to tion of new applications powered by at the Georgia Institute of Technology. shape the future research directions AI today is still the integrated circuits He received the B.S. degree in micro- (by selecting/promoting good papers). and semiconductor devices. Also I electronics from Peking University in I am glad to see many ideas that my re- would like to point out that many 2009, and the M.S. degree and Ph.D. search group pioneered have become traditional Internet (or system) com- degree in electrical engineering from popular among EDS colleagues later, panies (Google, Facebook, Amazon, Stanford University in 2011 and 2013, including using RRAM for physical un- Microsoft, and even Tesla) are devel- respectively. From 2013 to 2018, he clonable function and customizing 3D oping their own silicon program. My was an assistant professor at Arizona NAND for compute-in-memory. Fur- suggestion for our EDS educators and State University. Prof. Yu’s research in- thermore, our open-source model and researchers is to embrace the wave of terests are the semiconductor devices simulator (i.e. RRAM compact model AI/machine learning and train the stu- and integrated circuits for energy-effi- and NeuroSim benchmark framework) dents with interdisciplinary skills. The cient computing systems. His exper- are being widely used in both aca- opportunities are two-folded: 1) De- tise is on the emerging non-volatile demia and industry today. vices for AI, meaning developing new memories (e.g., RRAM, ferroelectrics) device technologies that suit AI hard- for applications such as deep learn- MS: What are your thoughts about ware acceleration (e.g. new multibit ing accelerators, in-memory comput- the EDS membership and its pay- memories); 2) AI for devices, mean- ing, 3D integration, and hardware backs? Whether the EDS member- ing applying AI techniques to improve security. Among Prof. Yu’s honors, he ship benefited you at any time in device technologies optimization (e.g. was a recipient of NSF Faculty Early your career growth? If so, how? materials screening, manufacturing Career Award in 2016, IEEE Electron SY: The EDS membership is re- process control). Devices Society (EDS) Early Career warding, and I have benefited a lot Award in 2017, ACM Special Interests from the EDS Masters and PhD Fel- MS: What are your suggestions and Group on Design Automation (SIGDA) lowships as well as Early Career recommendations for those young Outstanding New Faculty Award in Award. It not only gives us the oppor- professionals who may aspire to join 2018, Semiconductor Research Cor- tunities to earn these awards, but also EDS? poration (SRC) Young Faculty Award provides us the access to the online SY: Young professionals including in 2019, ACM/IEEE Design Automation educational resources. Recently, EDS graduate students and even under- Conference (DAC) Under-40 Innova- has promoted a series of webinars to graduate students are all welcome tors Award in 2020, and IEEE Circuits help a wider participation in virtual to join EDS and get the benefits of and Systems Society (CASS) Distin- lectures during the pandemic, and I the educational resources as afore- guished Lecturer for 2021-2022, etc. gave a presentation in one of the we- mentioned. Attending premier EDS Prof. Yu served or is serving many binars about the recent progress on conferences, and subscribing EDS premier conferences as technical pro- compute-in-memory technologies. flagship journals are the first steps to gram committee member, including Also, I enjoyed the new initiative that success. IEEE International Electron Devices EDS launched on the podcast inter- Meeting (IEDM), IEEE Symposium on view, and the first interview was with MS: As an EDS Young Professional and VLSI Technology, ACM/IEEE Design Prof. Chenming Hu, a well-respected a young researcher in the field, how do Automation Conference (DAC), ACM/ pioneer in our field, who gave many you consider the prospects of scientific IEEE Design, Automation & Test in Eu- useful advices to young professionals. research in this field for the progress rope (DATE), ACM/IEEE International of Humanity as a whole? Conference on Computer-Aided-De- MS: As an YP, how do you consider SY: I feel that semiconductor tech- sign (ICCAD), etc. He is a senior mem- the ED Society as a whole and what nologies can do more especially in ber of the IEEE. Lab website: https:// are the changes or developments the context of the pandemic today. shimeng.ece.gatech.edu/

36 IEEE Electron Devices Society Newsletter ❍ April 2021 IEEE YP Germany—Elections

The IEEE Young Professionals Ger- Ahmed Hussein railway transportation. Sheikh has many affinity group has elected Dr.-Ing. Ahmed also served as research assistant at new ExCom members for the term Hussein received University of Stuttgart. He has been 2021/2022. An exciting new leader- the BSc Degree associated with IEEE since past six ship team has been built to estab- in Mechatronics years with focus towards students lish YP activities in Germany. Two Engineering from activities, membership drives, hu- members of the former ExCom en- the German Uni- manitarian projects and goal-orient- able smooth transition. Past ExCom versity in Cairo ed workshops. He has also served leadership team succeeded in their (GUC), Egypt, in as Section Student Representative term from mid 2019 to 2020 with 31 June 2012.In May 2013 he received (SSR) of IEEE Karachi Section in events, including the MSc Degree in Mechatronics En- 2016. Currently, he is enrolled in the • DL on Autonomous Driving Test- gineering from the German Univer- class of 2020 of IEEE Volunteer Lead- ing & Validation, sity in Cairo (GUC), Berlin campus, ership Training program. • Carlos Soares (NASA Jet Propul- Germany, working on optimization sion Laboratory) lecture on JPL of multiple robot systems coopera- Giulio Sistilli Missions, tion. In September 2018, he received Giulio is an En- • IEEE YP Germany AG company the PhD Degree in Electrical Engi- gineer expert in visit at Bosch (virtual), neering, Electronics and Automa- Computers, Tele- • Mercedes Arruiz Webinar “How tion from Universidad Carlos III de communication do we properly manage our ­Madrid (UC3M), Spain. During the and Ground sta- working and living situation after doctoral degree period, he worked tions. Through COVID-19” a Joint WIE & YP Event, with the Intelligent Systems Lab his career he had • IEEE MQ “Non-Conventional De- (LSI) research group, where he was the opportunity vices and Technologies”, one of the team leaders of the Intel- to work for important players in the • Symposium on Schottky barrier ligent Campus Automobile (iCab) telecommunication sector like Erics- MOS devices. project, working on the development son and Huawei, and later in space and implementation of localization, agency DLR. He pursued a Master The new ExCom consists of: mapping, planning, control, com- in Business Administration leading • Chair—Ahmed Hussein munication and cooperative driving him to be a technical director. Giulio • Vice Chair—Ashan Sheik techniques for multiple self-driving has international experience having • Treasurer—Giulio Sistilli vehicles. Currently he is an Autono- worked in different countries and in • MAG Lead—Ertug Olcay mous Driving Concept Developer at different positions. He is Senior Mem- • Secretary—Prithvi Thyagarajan IAV GmbH in Berlin, Germany. ber of IEEE and MENSA member. • Activities Lead—Marc Vizcarro i Carretero Ahsan Qamer Sheikh Ertug Olcay • Webmaster—Alan Blumenstein Ahsan Qamer Ertug Olcay re- They established a healthy lead- Sheikh received ceived the B.Sc. ership team, well organized and his Bachelor’s in degree in Auto- highly motivated to be part of the engineering from motive and Com- IEEE community. Joint events and NED University bustion Engine close collaboration with WIE were of Engineering Technology and established. Furthermore, the new & Technology, M.Sc. degree in ExCom chair, Ahmed Hussein wel- Karachi, Pakistan Mechatronics and comes the past ExCom members to in 2017. He started to work with Information Technology from Techni- participate in the regular meetings a U.S. based consultant firm Pin- cal University of Munich, Germany, and contribute with innovative ideas nacle. In 2018, his interest in re- in 2013 and in 2016, respectively. as in the past. search brought him to Germany to From 2016 to 2020, he worked as a Further information is available at pursue his Master’s degree in In- research assistant at the Chair of Au- https://www.ieee.de/groups-programs/ frastructure Planning at University tomatic Control, Technical University young-professionals.html of Stuttgart. His research interest of Munich towards his Ph.D. degree. Here are some brief bios on the focuses towards signal processing He spent some time at the Univer- new ExCom members: and use of artificial intelligence for sity of Texas in Austin as a visiting­

April 2021 ❍ IEEE Electron Devices Society Newsletter 37 researcher. In 2020, he became a Post- Radar Imaging, Radar Polarimetry Master’s degree Doctoral researcher at the Chair of Ag- and Remote Sensing. in Universidad de rimechatronics, Technical University Oviedo and the of Munich. Dr. Olcay is an IEEE mem- Marc Vizcarro i Carretero Karlsruhe Univer- ber and served as reviewer for IEEE Marc Vizcarro sity of Applied Sci- Transactions on Systems, Man and i Carretero is a ences in the area Cybernetics, and for IEEE Transactions young radar en- of Mechatronics on Neural Networks and Learning gineer actively and Micro-Mecha- Systems. His current research inter- involved in the tronics Systems. During his Bachelor’s ests are AI-based predictive main- European De- he was involved in the creation of the tenance for agricultural machinery, fense industry. He first student branch of Paraguay at guidance and navigation of agricul- holds a BSc and Universidad Nacional de Asuncion. tural vehicles. His hobbies are read- MSc (cum laude) in Electrical Engi- He was the chapter vice president ing books, jogging and cycling. neering from the Polytechnic univer- and president. He helped with the sity of Catalonia in Barcelona and TU organization of the National Branch Prithvi Thyagarajan Delft respectively. Marc possesses a reunion of Argentina and the third Prithvi Laguduvan broad range of international profes- National Sumo Robot competition. Thyagarajan re- sional experience from companies As the chapter President he repre- ceived in 2016 such as in Indra Sistemas (Madrid, sented the students of mechatronics her Bachelor’s Spain), Thales Nederland (Delft, the and also as the career coordinator. in Engineering Netherlands) and Fraunhofer FHR Besides, he was also an Assistant Pro- from Visvesva- (Bonn, Germany). In them he was fessor in several subjects. After that raya Technologi- mainly involved in radar develop- he got involved in the creation of the cal University, ment activities for both defense and Paraguayan section. He was also in Bangalore, India. She worked as a civil applications such as: valida- charge of the awards in the section research assistant for the Center for tion of SSR and IFF systems, signal and also in charge of the first ever Na- airborne systems (2016-2017) in the processing for drone detection and tional Robotic Competition and also field of radar signal processing. With polarimetric phased array design for of the first edition of the National Con- a keen interest in the field, she went weather radar. gress of Paraguay, Aranducon. During on to pursue her Master’s in 2017 in In October 2019, Marc became an his Master’s degree he was shortly Signals and Systems at the Technical antenna design engineer at HENSOL- involved with the student branch of University of Delft. She graduated DT Sensors (Ulm, Germany) work- Universidad de Oviedo. in 2019 with a thesis focusing on ex- ing in the Active & Passive Antennas As a professional Alan was in ploring the design and performance department. In this new role he is charge of the robotic laboratory of the analysis of STEREOID which is one mainly involved in state-of-the-art Universidad Nacional de Asuncion, of the candidates of ESA’s Earth Ex- Active Electronically Scanned Array and started his own company Deda- plorer 10 mission. Currently, she is an (AESA) conceptual design, develop- lo that worked mostly in the area of early-stage researcher in the Marie ment and validation activities for non-conventional marketing. Today Skłodowska-Curie Innovative Train- military sensors (namely radar and Alan works at Swarco Traffic Systems ing Network Project MENELAOSNT electronic warfare). as an embedded software developer. (Multimodal Environmental Explora- He is a passionate in robotics and as- tion Systems Novel technologies) in Alan Blumenstein trophysics, he enjoys learning about the field of Tomographic Synthetic Alan Blumenstein made his Bach- new technologies such as quantum Aperture Radar Reconstruction. Her elor’s degree in the Universidad computers and artificial intelligence. research interests include Synthetic Nacional De Asuncion, Paraguay Aperture Radars, Array Processing, in the area of Mechatronics and his ~Mike Schwarz, Editor

38 IEEE Electron Devices Society Newsletter ❍ April 2021

Call for Nominations – EDS Student Fellowships for 2021

The IEEE Electron Devices Society invites nominations for the 2021 PhD, Masters and Undergraduate Student Fellowships. These annual awards are given to promote, recognize, and support graduate, masters, and undergraduate level study and research within the EDS field of interest. For both Masters and PhD, it is expected that at least one fellowship will be awarded to a student in each of the following geographical regions: Americas, Europe/Middle East/Africa, and Asia/Pacific. For the Undergraduate, it is expected that at least one fellowship to each eligible student in each of the IEEE geographical Regions 8, 9, and 10 and two fellowships in Regions 1-7 not exceeding one from Region 7.

Please visit the EDS website links below to access information about these Fellowships.

EDS Masters Student Fellows hip Prize: US $2,000 and an award plaque Submissio n Deadline: May 15, 2021

EDS PhD Student Fellowship Prize: US $5,000 and travel funds to attend the IEDM for presentation of an award plaque Submissio n Deadline: May 15, 2021

EDS Undergraduate Student Scholarship Prize: US $1,000 and an award plaque Submissio n Deadline: May 15, 2021

Please help to promote the EDS Student Fellowships by distributing this information to your colleagues and students. If you have any questions or need further information, please do not hesitate to contact Stacy Lehotzky by email at [email protected]. Thank you!

April 2021 ❍ IEEE Electron Devices Society Newsletter 39 C h a p t e r N e w s

ED Kanpur Chapter—2020 EDS Chapter of the Year Award Winner By Amit Verma, Past Chapter Chair and Yogesh S. Chauhan, Current Chapter Chair

Our chapter is located in the city is also worth mentioning that two ings to be held online. IEEE activi- of Kanpur in Uttar Pradesh, India, of the former EDS members—Pra- ties in our chapter including planned A city with a population of over 3 gya Kushwaha and Harshit Agarw- mini-colloquia in summer 2020 were Million, located on the banks of the al, from our chapter were awarded also disrupted and cancelled. As the Ganges River. We are located inside IEEE EDS Early Career Awards in saying goes, “In every adversity, the green campus of Indian Institute 2019 and 2020, respectively, and there is an opportunity,” Covid times of Technology Kanpur (better known one member (Nilesh Pandey) was also have bought the opportunity of as IIT Kanpur). This EDS chapter was awarded IEEE EDS Master Fellow- hosting the speakers online. We ac- established in 2016. The motivation ship in 2019. tively contacted EDS Distinguished for starting the chapter came after The regular activities of the chap- Lecturers and other researchers with we attended several EDS meetings ter include mini-colloquia and na- matching research themes for giving especially with IEDM. Senior EDS noelectronics workshops in the online seminars and many of them colleagues such as Renuka Jindal, subsequent years. They are quite kindly agreed. Online seminars are Samar Saha and M K Radhakrishnan, popular. Attendance of some of these convenient for the speakers as they etc. motivated us to start the chapter events was going up to 200 includ- don’t have to take a break from their once we had sufficient number of ing both students and faculty mem- teaching/research/administrative re- active EDS members which consist- bers from universities and institutes sponsibilities and travel over long ed of faculty members and students. all over India. Additionally, we also distances. At the same time, chap- Founding chair Y. S. Chauhan worked regularly host EDS Distinguished ters can host many more speakers hard to make it active and organized Lecturers and other speakers for with limited funds and members can several workshops, short-courses technical seminars and interaction. join the seminars no matter where and MQs. Within four years of start- Last year brought unprecedented they are located. Even after this pan- ing the chapter, we were thrilled to challenges with campuses shutting demic ends, online activities and hear that our chapter was selected down, students sent back to their seminars will continue to be a big for the Chapter of the Year Award. It homes, forcing classes and meet- part of our activities.

Spotlight on the ED Spain Chapter’s Activities and Awards By Benjamin Iñiguez

The ED Spain Chapter, with more the Spain Section, which led it to an EDS Mini-Colloquium is held as than 40 members, has been one of obtain the Best IEEE Spain Chapter a registration-free satellite event of the most active ED chapters world- in 2013. CDE. Held one day prior to CDE, this wide in the last years. As a result Some activities organized by the MQ uses to include 4 talks conducted of the numerous activities it orga- ED Spain Chapter became periodical. by EDS Distinguished Lecturers (DLs) nized, and its efforts to attract new The Spanish Conference on Electron working in other countries, as well members, the ED Spain Chapter Devices (CDE), held every two years as additional presentations given by obtained the ED Chapter of the Year in Spanish cities since 1997, is co-or- the DLs affiliated with Spanish insti- Award at worldwide level in 2012, ganized by the Chapter. It is the ma- tutions. This MQ, usually attended by and the SRC EDS Region 8 Chapter jor Electron Device event in Spain at many PhD students in Spain, is also a of the Year Award in 2016. Further- national level, being also open to re- tool to explain to the public the struc- more, it demonstrated to be one of searchers worldwide since the work- ture and goals of EDS, and promote the most active IEEE Chapters in ing language is English. Besides, EDS membership. The next edition

40 IEEE Electron Devices Society Newsletter ❍ April 2021 of CDE and its satellite MQ will take virtually, but hopefully it will be held taics (with 3 DLs, July 31), and one place on June 9–11 2021 in Seville. as an in-person (fully or partially) about Compact Device Modeling Another important periodical ac- event in 2021. (with 6 DLs, December 18). We hope tivity is the Annual Graduate Student The ED Spain Chapter participat- that in-person events organized by Meeting on Electronic Engineering. ed in the organization of the Spring the Chapter will restart around the Held in Tarragona in June or July EDS Governance Meeting, held in middle of 2021. since 2003, it consists of several Tarragona on May 25–26 2019. The ED Spain Chapter uses social plenary talks delivered by top re- A Mini-Colloquium with 6 DLs was media for internal communication. searchers in different areas of Elec- held one day before that Gover- In particular, there is one Whatsapp tronic Engineering (with emphasis on nance Meeting. group for the members of the Chap- Electron Devices and Sensors) and The Chapter also has a large expe- ter, and one more for the members PhD student presentations in oral or rience in training events. In particular, of the Executive Board. There is poster form. This event offers PhD it created and organized two editions also a Messenger Group for the ED students an opportunity to give some of a Training Course on Compact Spain Chapter. of their first oral/poster presentations Modeling, and sponsored one edi- Since 2019, Prof Benjamin Iñiguez of their research results, and to inter- tion of the SINANO Modeling Sum- (University Rovira i Virgili, Tarragona) act among them as well as with the mer School (September 25–28 2018), is the Chair of the ED Spain Chapter. invited top researchers. This event is with a MQ associated to it. The other members of the Executive organized by the University Rovira I Besides, every year, the ED Spain Board of this Chapter are: Vice-Chair Virgili (URV) and the ED Spain Chap- Chapter organizes DL talks and usu- Lluís F. Marsal (University Rovira ter, which uses to invite at least one ally at least one MQ not related to i Virgili), Secretary Rodrigo Picos EDS Distinguished Lecturer, who in- bigger events. Due to the pandemic, (University of the Balearic Islands), troduces EDS to the attendees (most- during 2020, all events had to be Vice-Secretary Tomás González (Uni- ly PhD activities) and encourages virtual, but the Chapter managed to versity of Salamanca) and Treasurer EDS membership. In 2020 the Elec- sponsor two virtual thematic MQs: Enrique Miranda (Autonomous Uni- tronic Engineering had to take place one about Photonics and Photovol- versity of Barcelona).

Bringing STEM to life (Amazing Graphite)—Train the trainers online session By: Aliza Aini Md Ralib, Rosminazuin Ab Rahim, Sharifah Fatmadiana Wan Muhammad Hatta and Maizatul Zolkapli

In the spirit of promoting the ad- cated in the city of Kuala Lumpur, parallel circuits using graphite pencils. vancement of technology to the Sekolah Kebangsaan Lelaki Jalan The module was designed by referring schoolteachers and students, IEEE Batu is among the underprivileged to IEEE resources such as TryEngineer- Electron Devices Society (EDS) schools selected under ‘Sekolahku ing and Teacher-in-Service (TISP) Les- ­Malaysia Chapter organized a Universitiku (MySchool MyUniver- son Plans on graphite modules. The community service and educa- sity)’ program. Due to the COVID-19 session certainly helped the children tional event, Bringing STEM to life pandemic situation, the session was to experience the real world applica- (Amazing Graphite) with the spon- conducted online on 23 September tion of electronics and boost their in- sorship from IEEE Region 10 EA 2020. Two trainers (IEEE EDS mem- terests in electronics. Furthermore, we Fund and IEEE Malaysia Section. bers) from Kulliyyah (Faculty) of believe that the teachers will dissemi- In the spirit of leveraging technol- Engineering, International Islamic nate the knowledge to the students to ogy for a better tomorrow, the event University Malaysia and six teachers spark their interest in STEM by expos- aimed to expose the world of elec- from Sekolah Kebangsaan Lelaki Ja- ing them to the various applications of tronics to the children at Sekolah lan Batu participated in the session. graphite. In addition, the engagement Kebangsaan Jalan Batu through Sci- The session began with the in- of IEEE members with the local com- ence, Technology, Engineering and troduction on the basic concept of munity will be cultivated through this Mathematics (STEM) learning using conductivity with graphite and nano- program. Elenco Snap Circuits Kits provided technology. The hands-on session by the IEEE EDS-ETC Program. Lo- focused on the concept of series and ~Sharma Rao Balakrishnan, Editor

April 2021 ❍ IEEE Electron Devices Society Newsletter 41 Train the trainers - participants were Science Teachers from Sekolah Kebangsaan Lelaki Jalan Batu while the trainers were IEEE EDS member and lecturers from International Islamic University Malaysia

42 IEEE Electron Devices Society Newsletter ❍ April 2021 R e g i o n a l N e w s

USA, Canada challenges, the next generation of and Computing,” focused on the computers will define and respond discovery of the memory effect in & Latin America to these and future crises. The IBM 2D atomically-thin nanomaterials, (Regions 1–6, Research AI Hardware Center is fo- providing a greater scientific under- cusing on the development of a new, standing and advancements for en- 7 & 9) scalable computing platform that gineering applications. Non-volatile uses the power of AI and the flex- memory devices based on 2D ma- 3rd IBM IEEE CAS/EDS AI Com- ibility of the hybrid cloud to create a terials are an application of defects pute Symposium (AICS’2020) virtually limitless pool of computing and is a rapidly advancing field with —by Rajiv Joshi , Arvind Kumar, power and capabilities that can be rich physics that can be attributed Matt Ziegler, Xin Zhang, and applied to the challenges we face. to vacancies combined with metal Krishnan Kailas Prof . Rob Knight followed up with diffusion. In particular, the talk high- a keynote “Using AI to Understand lighted his group’s pioneering work The 3rd IBM IEEE CAS/EDS AI the Human Microbiome and its Role on monolayer memory (atomristors) Compute Symposium, known as in COVID-19.” The human microbi- that could enable various applica- (AICS’20), was held over two days on ome harbors an immensely compli- tions including zero-power devices, 21–22 October 2020. The symposium cated collection of genes and cells non-volatile RF switches, and mem- was also an initiative supported by that outnumber “our” own and are re- ristors for neuromorphic computing. IBM Academy of Technology ( https:// defining the concept of what it means Next, Prof . James J . DiCarlo, MD, www..com/blogs/academy-of- to be human. Grappling with data of PhD (Professor, Co-director at Quest technology/). Dr. Joshi has been the this complexity requires artificial in- for Intelligence, Department head, main interface for CAS and EDS for telligence techniques. Highlighting investigator of Institute for Brain organizing this successful event. The the collaboration between IBM and Research, M I. T). enlightened the au- symposium was very well attended UCSD in the Artificial Intelligence for dience with “Reverse Engineering Vi- and received positive responses Healthy Living, he showed applica- sual Intelligence.” from the audience. It was organized tions of cutting-edge techniques to The brain and cognitive sciences as a virtual symposium for the first understand the microbiome, discov- are hard at work on a great scientific time due to the pandemic situation ering how it changes with age and quest—to reverse engineer the hu- across the globe. More than 650 disease to recommend modifications man mind and its intelligent behavior. folks from academia, industry, re- to diet, lifestyle and medications that Yet these fields are still in their infancy. search organizations including 380 can increase health throughout the Not surprisingly, forward engineering IEEE members registered from over lifespan. Of particular interest dur- approaches that aim to emulate hu- 45 countries. The salient features of ing today’s unprecedented COVID-19 man intelligence (HI) in artificial sys- the symposium included high quali- pandemic are studies regarding how tems (AI) are also still in their infancy. ty keynotes and invited talks, over 40 the microbiome affects those who are Yet the intelligence and cognitive flex- student posters, best paper poster the most impacted by SARS-CoV-2, ibility apparent in human behavior is awards, and excellent panel discus- including the elderly and those with an existence proof that machines can sions. The theme of the symposium specific microbiome-lined comorbidi- be constructed to emulate and work was “From Atoms to Applications.” ties. These techniques will be gener- alongside the human mind. Keynote talks were delivered by ally applicable to other illnesses and The talk predicted that these chal- Dr . Mukesh V . Khare (VP IBM Re- will help us bridge the previously dis- lenges of reverse engineering human search), Prof . Rob Knight (Director parate fields of infectious and chronic intelligence would be solved by tightly and Professor at UC San Diego) and disease. combining the efforts of brain and cog- Pamela Norton (CEO of Borsetta) . Following Prof. Knight’s keynote, nitive scientists (hypothesis genera- Dr . Mukesh V . Khare presented an Prof . Deji Akinwande (Endowed Pro- tion and data acquisition), and forward exciting vision of “What’s Next in AI: fessor Electrical and Computer Engi- engineering aiming to emulate intel- Our Vision for the Future of AI Hard- neering University of Texas, Austin) ligent behavior (hypothesis instan- ware.” The talk highlighted the theme described how atomic level research tiation and data prediction). As this that we have reached a turning point can expand the applications of AI. His approach discovers the correct neural in computation. As the global pan- talk entitled “Atomic Memory: From network models, those models will not demic and warming climate present Single Defects to Analog Switches only encapsulate our understanding

April 2021 ❍ IEEE Electron Devices Society Newsletter 43 of complex brain systems, they will data demonstrated that training for vice’s physical behavior and the syn- be the basis of next-generation com- large-scale hashtag prediction led to aptic modulation is achieved through puting and novel brain interfaces for excellent results. Improvements on a custom training scheme. therapeutic and augmentation goals several image classification and ob- Jay Gambetta (IBM Fellow and VP (e.g., brain disorders). ject detection tasks were shown and of Quantum Computing, IBM) next Further, the talk focused on one the highest ImageNet-1k single-crop, presented a quantum roadmap in a aspect of human intelligence—vi- top-1 accuracy to date: 85.4% (97.6% talk entitled “Quantum circuits, and sual object categorization and detec- top-5) reported. Extensive experi- the Future of Quantum Technology tion—and how work in brain science, mentation provided novel empirical in the Cloud.” In the past few years, cognitive science and computer sci- data on the relationship between quantum computing has moved be- ence converged to create deep neu- large-scale pretraining and transfer yond the laboratory setting and has ral networks that could support such learning performance. been accelerated through cloud ac- tasks. These networks not only reach On the second day of the sympo- cess. It is a new kind of computing human performance for many im- sium Pamela Norton (CEO, Borsetta) that uses the same physical rules that ages, but their internal workings are gave a keynote on the importance of atoms follow to manipulate informa- modeled after—and largely explain security, entitled “Securing the Fu- tion. At this very fundamental level, and predict—the internal workings ture of AI on the Edge with Intelligent quantum computers execute quan- of the primate visual system. Yet, the Trusted Chips.” To usher in this new tum circuits much like a computer ex- primate visual system (HI) still out- edge economy, we need to ensure ecutes logic circuits, but by using the performs current generation artificial that the convergence of AI, new com- physical phenomena of superposi- deep neural networks (AI), suggest- pute processing and intelligent chips tion, entanglement, and interference, ing that the brain and cognitive sci- migrating to the edge will protect our to implement mathematical calcula- ences can offer new clues. privacy and security. This keynote tions that are out of the reach of even These recent successes and re- explored a framework to protect our the most advanced supercomputers. lated work suggest that the brain privacy, security, and create new op- He gave an overview of the IBM quan- and cognitive sciences community is portunities for all in this emerging tum effort to increase the device per- poised to embrace a powerful new re- new economy. formance of superconducting qubit search paradigm. More broadly, our The following speaker, Prof . Dheer- systems to produce quantum circuits species is at the beginning of its most isha Kudithipudi (Director of the MA- with higher fidelity and how IBM is important science quest—the quest TRIX AI Consortium and the Robert linking the computational difficulty to understand human intelligence. In F McDermott Endowed Chair in En- of these circuits towards quantum short, his talk motivated the audience gineering and Professor in ECE & CS applications. This is an exciting era to engage that frontier alongside us. University of Texas, San Antonio) gave where cutting edge research, system The following speaker, Dr . Laurens a talk entitled “Neuro-Inspired AI: and software development are push- van der Maaten (Research Director at Compact and resilient models for the ing the frontier forward, bolstered by Facebook AI Research in New York) Edge.” Neural plasticity offers insights an engaged and growing quantum talked about “Exploring the Limits into designing artificial intelligent sys- computing community. of Weakly Supervised Pretraining.” tems. As the convergence of these In the final talk of day two Prof . State-of-the-art visual perception two fields is in a nascent phase, there Laurent Daudet (CTO and Co-Found- models for a wide range of tasks rely is a critical knowledge gap in design- er, LightOn; Professor at Universite on supervised pre training. ImageNet ing neuromorphic systems that can de Paris) presented “Unlocking Trans- classification is the de facto pretrain- support on-device learning with het- formative AI with Photonic Comput- ing task for these models. Yet, Ima- erogeneous plasticity. She presented ing.” Recent large-scale AI models, geNet is now nearly ten years old and a recent in-silico learning system from such as OpenAI’s GPT-3 for NLP, open is by modern standards “small.” Even the Nu.AI lab based on a CMOS/Mem- a wide range of economic opportuni- so, relatively little is known about the ristor architecture. It emulated a bio- ties, with possibly even more impact behavior of pretraining with datasets mimetic sequence memory algorithm than deep learning had in the last de- that are multiple orders of magnitude inspired by the neocortex with struc- cade. However, training these models larger. The reasons are obvious: such tural and intrinsic plasticity mecha- requires massive amounts of com- datasets are difficult to collect and nisms. The salient feature included a puting power. This talk covered Ligh- annotate. This talk covered a unique synthetic synapse representation that tOn’s view on how future AI hardware study of transfer learning with large supports dynamic synaptic pathways should be designed for addressing convolutional networks trained to for compact memory. The structural some of the hardest computing chal- predict hashtags on billions of so- plasticity in the synaptic pathways lenges, such as in natural language cial media images. The experimental was emulated in the memristor de- processing (NLP), recommender­

44 IEEE Electron Devices Society Newsletter ❍ April 2021 ­systems, and big science. In particu- cure translation between ‘currencies’ lar, the talk highlighted how Light- would be needed. Technical questions Impressions from an IEEE mem- On’s Optical Processing Units (OPUs) were posed on a range of topics, such ber delegate: I was privileged to can be seamlessly integrated into a as the combination of approaches, be able to be part of CBENS in For- variety of hybrid photonics/silicon emulation of Hebbian learning, plas- taleza—yes I did travel specifically pipelines implementing state-of-the- ticity and its importance, the longev- to be part of this important con- art Machine Learning algorithms. ity of current DNNs, and the role of ference during this difficult time. The symposium also featured a Quantum Computing in AI. The panel Certainly, I was motivated not poster session. Out of 44 poster pa- ended with some questions from the only by my interest in PV R&D, but pers, 4 best poster papers were award- audience seeking advice from the also by my longtime association ed. The list of winners was as follows: panelists for early researchers in with the IEEE, the EDS, and the • Tianyu Jia, Yuhao Ju, Russ Joseph the field. IEEE PVSC—going back 50-years. and Jie Gu,” NCPU: A Binary Special thanks to Cindy Goldberg With the help and encouragement Neural Network that Emulate (IBM) and Brittian Parkinson (CAS) for from Brazilian and IEEE PV col- RISC-V CPU at the Conjunction all the publicity help. leagues Ricardo Rüther (ABENS of Neuromorphic and Von-Neu- Please visit the symposium web- Chair) and Antonia Sonia Diniz mann Architectures”, Northwest- page for more information about this (also a member of the EDS Tech- ern University, Evanston, Illinois year’s symposium and future edi- nical Committee), we brought up • Seah Kim and Hasan Genc, tions: https://www.zurich.ibm.com/ the need for expanding the EDS “Gemmini: Enabling Systematic thinklab/AIcomputesymposium.html influence and student member- Deep-Learning Architecture Eval- ship into South America with the uation via Full-Stack Integration”, ~Rinus Lee, Editor EDS Technical Committee headed University of California, Berkeley, by Dr . Juzer Vasi and EDS Jr . Past California VIII Congresso Brasiliero de President Fernando Guarin. Their • Sanjeev T. Chandrasekaran, Energia Solar (CBENS) A Hybrid interest and endorsement at the Sumukh Bhanushali, Imon Experiment Serving the Solar IEEE PVSC in Chicago, and the Banerjee and Arindam Sanyal, “To- and EDS South American incredible help (and patience!) of wards intelligent wearable health Communities Dr . Jacobus Swart at UNICAMP in monitors using reservoir comput- —by Carla Andrade1, Campinas, the EDS Brazil repre- ing CMOS IC”, State University of Ricardo Rüther2, and sentative, made it possible for us New York at Buffalo, New York Lawrence L. Kazmerski3 to make this IEEE link to the VIII • Minh Truong,” Data-Oriented Pro- 1Congress Chair, Federal University CBENS. COVID, of course, was a cessing-Using-Memory with at Fortaleza (UFF) Brazil, concern for me and the other par- Emerging Memory Technology”, 2Chair ABENS, Federal University at ticipants. But safety was a major Carnegie Mellon University, Pitts- Santa Catarina (UFSC) Brazil, concern: my temperature was burgh, Pennsylvania 3University of Colorado Boulder USA taken at 2 separate places before The symposium closed with a entering the meeting hall; ev- panel discussion entitled “What will The “VIII Congresso Brasileiro de eryone was mandated to wear a be the currency of future AI compu- Energia Solar (CBENS)” took place mask—even when speaking; and tation?” The panel, moderated by Dr. October 26 to 29, 2020. This is the pre- delegates’ seats were separated Arvind Kumar, consisted of Pamela mier solar-energy conference in Brasil >2-meters. No banquets; no so- Norton, Prof. Dheerisha Kudithipudi, and serves many academic through cial events; no receptions. But the Dr. Sidney Tsai, Prof. Laurent Daudet, industry technical organizations design of the hybrid meeting was Prof. James J. DiCarlo, and Dr. Kristan throughout South America. For the quite professional and successful Temme, representing expertise in se- first time, CBENS was sponsored by in both technical exchanges and curity, analog AI, optical computing, the IEEE EDS—specifically because of bringing the community together. brain science, and quantum comput- its technology focus on photovoltaics These are difficult times—but this ing. Each panelist gave an initial point and solar technologies and the link of meeting serves as an example of of view on the panel topic, followed many participants to the IEEE PVSC. how professionals continue over- by questions from the audience. The This was the 8th in the series of these come even such unanticipated initial points of view suggested ben- solar energy conferences—held on a barriers. Thank you Fernando, Ja- efits for each approach, but there 2-year schedule. But like so many con- cobus, and Juzer—but especially was a general consensus that no ferences scheduled around the world, the IEEE EDS! one approach would dominate, and this was not “business-as-usual” in heterogeneous computing with se- its design and operation. The serious ~L.L. Kazmerski, Fellow IEEE

April 2021 ❍ IEEE Electron Devices Society Newsletter 45 COVID situation caused a delay from the original date in early June 2020. Then, the format for the conference had to be altered—to a well-designed hybrid format, with a simultaneous “in-person” event in Fortaleza, Brasil, and a “virtual” venue. The on-site For- taleza participation was limited to 100, with typically 60 attending. Comple- menting were 400 participants via the internet. There were 209 oral and 90 poster presentations. All these were viewed during the conference time— and available for 30 days following the event. The Congress included 2 workshops, 6 plenary sessions, 4 roundtable panels—as well as 3 busi- ness and networking sessions and 6 Prof. Eddy Simoen lecture “Impact of defects on semiconductor materials short-courses. The virtual component and devices”—one of the slides was very successful in engaging a larger student participation in the CBENS—estimated to be about 1/3 ductor materials and devices” was Patrick Fay DL—III-N Nanowire of the web-attendees. A special high- held on 7 December 2020. It was or- FETs for Low-Power-Applications light was the well-organized Women ganized by the EDS Germany Chap- —by Mike Schwarz in Solar Energy session that was for- ter and co-sponsored by the NanoP matted to provide lively interactions from THM—University of Applied The IEEE EDS Distinguished Lecture among the participants. An ingenious Sciences. The DL was attended by on III-N Nanowire FETs for Low-Pow- “word prioritization matrix” was used 17 participants, with 10 IEEE partici- er-Applications was held on 23 No- to identify areas of concern for these pants and 7 guests. vember 2020. It was organized by the scientist and engineers for career and Prof. Mike Schwarz, ED Germany EDS Germany Chapter and co-spon- professional development. Certainly, Chapter chair, kindly introduced Prof. sored by the NanoP from THM—Uni- this session had benefit and impact on Simoen from imec and the University versity of Applied Sciences. The DL the more than 150 women and men of Ghent, Ghent, Belgium . was attended by 17 participants, with who were part of this special offering. Prof. Simoen started with a moti- 9 IEEE participants and 8 guests. ABENS (the Associação Brasileira de vation, in which he stressed an im- The IEEE DL was opened by Prof. Energia Solar, www.abens.org.br) is pact of defects on semiconductor Mike Schwarz, ED Germany Chapter the Brazil professional organization material and device characteristics. Chair. He kindly introduced Prof. Fay responsible for CBENS and voted to Afterwards, he continued his lec- from the University of Notre Dame, hold the next CBENS in Florianópo- ture with a detailed overview on the Indiana, USA, and the participants. lis, Santa Catarina, in the April–June defect formation in hetero-epitaxy Prof. Fay started with a motivation timeframe 2022. systems. Then he explained the elec- of III-N compounds application in low trical impact of defects and gave a lot power system applications. He pointed of insights in various measurement out that heterogeneous integration of Europe, Middle methods, e.g. DLTS. He discussed III-N FETs sets new challenging fields GR noise and GR noise spectroscopy for researchers. The question arises, ast frica E & A as further measurement methods if GaN can play a role in low power (Region 8) to detect defects. Then he presented systems? The speaker continued with several case studies, e.g. “Impact on some background information and Eddy Simoen DL—“Impact of leakage InGaP & GaAS p-i-n diodes” properties of GaN and III-N semicon- Defects on Semiconductor and “GR noise spectroscopy of GaN- ductors. He presented their advantag- Materials and Devices” on-Si MOSHEMTs. Finally, he con- es and challenges. It is well known that —by Mike Schwarz cluded his talk by a summary with all material characteristics strongly influ- important effects. ence the device parameters. However, The IEEE EDS Distinguished Lecture A huge “thank you” to all partici- a key factor determining applicability on “Impact of defects on semicon- pants and Eddy Simoen. of III-N FETs in low power applications

46 IEEE Electron Devices Society Newsletter ❍ April 2021 The IEEE EDS Distinguished Lecture on Counter Intuitive Physics of Bal- listic Transport in the “State-of-the- Art Electronic Devices” was held on 16 November 2020. It was organized by the EDS Germany Chapter and co-sponsored by the NanoP from THM—University of Applied Scienc- es. The DL was attended by 30 par- ticipants, with 17 IEEE participants and 13 guests. Prof. Mike Schwarz, ED Germa- ny Chapter Chair, opened the IEEE DL in Zoom. He warmly welcomed Prof. Shur from Rensselaer Poly- technic Institute, New York, USA, and the participants to be part of this DL. Prof. Patrick Fay during the lecture III-N Nanowire FETs for Low-Power-Applications Prof. Shur started with a motiva- tion on the “industrial face of nano- is low enough subthreshold slope of such III-N Nanowire TFET, published in technology”. He continued with the the transistor characteristics. This re- 2020 by A. Chaney et al. at Cornell. question “What is ballistic trans- quirement is common for all the field- After the presentation several ques- port?” and answered it from the effect devices in low power solutions. tions allowed for a fruitful discussion physics point of view. Furthermore, If achieved then not only logic, but also on various topics. A huge “Thank you” he addressed the question “Why is analog and further emerging applica- to all participants and Patrick Fay. it important?”, and gave examples tions can benefit from the superior on ballistic mobility and ballistic III-N properties. Michael Shur DL—Counter impedance. Afterwards, he shared Prof. Fay continued and presented Intuitive Physics of Ballistic knowledge on plasmonic devices GaN-based nanowires for low power Transport in the “State-of-the- and THz electronics technology. applications. He offered GaN nanowire Art Electronic Devices” A huge “Thank you” to all partici- FETs, details on the process, e.g. mate- —by Mike Schwarz pants and to the lecturer. rial growth, oxide deposition, etc. Fur- thermore, the lecturer discussed the gate capacitances for c- and m-plane substrates. Afterwards, experimental results as transport characteristics and output characteristics with remarkable on-current of 130µA/µm and with Ion/ Ioff ratio larger than 108 were present- ed. Possible enhancements of the de- vices were also shown. A second part of the lecture dealt with TFETs made of III-N semiconduc- tors. After a short introduction into the basic principles, Prof. Fay promised such devices to be another option for low power solutions. He referred to TFET design possibilities and showed some simulation results for GaN/In- GaN/GaN heterostructures and their TFET performances. Further investiga- tions of III-N Nanowire TFET scalability were presented. Finally, the speaker Prof. Michael Shur during the lecture Counter Intuitive Physics of Ballistic Transport in the “State- showed actual experimental results of of-the-Art Electronic Devices”

April 2021 ❍ IEEE Electron Devices Society Newsletter 47 ESSCIRC-ESSDERC 2020 The presentation materials are Summary available for registered users on —by Francis Balestra the ON24 digital platform provided by IEEE. Moreover, the video of the Under the current sanitary situation Chairs: Ionut Radu (Soitec) and interactive, Live Sessions are now worldwide, the organizing commit- Stefaan Decoutere (IMEC) available on the ON24 platform. tee decided earlier in 2020 to orga- Regarding the attendees, 400+ nize a virtual event in September 6 . WORKSHOP | Embedded moni- registrations have been recorded 2020 with only educational events. toring and compensation design with a good balance between Indus- The peer selected paper submis- for energy or safety constrained try (~40%), Academia (~40%) and Re- sion section has been replaced with applications search Institutes (~20%). Information IEEE journals special issues: Chairs: Sylvain Clerc (ST) and on the conference can be found here: • For ESSCIRC: IEEE SSC-L Spe- Keith Bowman (Qualcomm) https://www.esscirc-essderc2020.org/ cial issue: 140 papers submitted (vs. 200 papers submitted to the 7. WORKSHOP | Edge AI and In- Asia & Pacific “non-virtual” conference) Memory-Computing for energy egion • For ESSDERC: IEEE T-ED Special efficient AIoT solutions​ (R 10) Issue: 45 papers submitted (vs. Chairs: Andreas Burg (EPFL) and ED Malaysia Kuala Lumpur 90 papers submitted to the “non- Marian Verhelst (KUL) Chapter virtual” conference) —by Aliza Aini Md Ralib, Thirteen educational events have 8 . WORKSHOP | Ab-initio simula- Rosminazuin Ab Rahim, been organized with overall 100 tions supporting new materials Sharifah Fatmadiana Wan speakers covering both electronic & process developments Muhammad Hatta and circuit and device technologies. Very Chairs: Denis Rideau (ST) and Maizatul Zolkapli high levels of educational agenda and Philippe Blaise (Silvaco) presentations have been carefully pre- Online Webinar on Final Year pared by the session chairs together 9. WORKSHOP | RISC-V cooking Project Preparation with the corresponding speakers. session The ED Malaysian Chapter together Chairs: Bora Nikolic (BWRC) with Kulliyyah (Faculty) of Engineer- 1. TUTORIAL | Quantum Comput- ing, International Islamic University ing: Myth or Reality? 10. DISSEMINATION WORKSHOP | Malaysia (IIUM) conducted on 30 Oc- Chairs: Maud Vinet (CEA) and Toward sustainable IOT from rare tober 2020, a webinar for IIUM ECE Farhana Sheikh (Intel) materials to big data final year project (FYP). This discourse Chairs: Thierry Baron (CEA, LTM/ is one of the requirements to obtain an 2 . W ORKSHOP | Emerging Solu- UGA) and Audrey Dieudonné (UGA) undergraduate degree in the Kulliyyah tions for Imaging Devices, Cir- (Faculty) of Engineering, IIUM. Two cuits and Systems 11. DISSEMINATION WORKSHOP speakers shared their experiences on Chairs: Matteo Perenzoni (FBK) and | High Density 3D CMOS Mixed- how to conduct the final year project. Ir. Albert Theuwissen (Harvest Imaging) Signal Opportunities Ts. Dr. Maizatul Zolkapli from Univers- Chair: Philipp Häfliger (UiO Uni- iti Teknologi MARA presented on “How 3 . WORKSHOP | Non-Volatile Mem- versity of Oslo) to write a good literature review,” while ories: Opportunities and Chal- Prof. Teddy Surya Gunawan from IIUM lenges from Devices to Systems 12 . MOS-AK WORKSHOP | Compact/ shared on “How to write a good FYP Chair s: Gabriel Molas (CEA) and SPICE Modeling and its Verilog-A report.” The webinar managed to at- Mahmut Sinangil (TSMC) Standardization tract 77 audiences. The program lasted Chair: Wladek Grabinski (MOS- for two hours and received positive 4. WORKSHOP | New 5G integration AK) and Daniel Tomaszewski (ITE feedback from the audience. solutions, and related technolo- Warsaw) gies (from materials to system) Online Webinar on MIMOS Chairs: Nadine Collaert (imec) and 13 IPCEI. on Microelectronics: Inno- Semiconductor (MYSEM): An Stefan G. Andersson (Ericsson) vative Technologies for Shaping Overview of Wafer Fabrication the Future —by Dr. Ir. Hazian Mamat 5 . WORKSHOP | Advances in device Chairs: Dominique Thomas (ST), technologies for automotive in- Klaus Pressel (Infineon), Rainer On 4 December 2020, The ED Malay- dustry (power devices, SiC, GaN) Pforr (Zeiss) sian Chapter together with the ECE

48 IEEE Electron Devices Society Newsletter ❍ April 2021 Department, Kulliyyah (Faculty) of gram lasted for an hour and received ED Tainan Chapter—IEEE EDS Engineering, IIUM successfully con- positive feedback from the audience. Invited Lecture ducted an online webinar entitled —by Wen-Kuan Yeh “MIMOS Semiconductor (MYSEM): IEEE Regional Symposium on An overview of wafer fabrication” Micro and Nanoelectronics The ED Tainan Chapter held one in- by Ir. Dr. Hazian Mamat who is a se- (RSM) 2021 vited lecturer presentation at Hsin- nior engineer from MIMOS Berhad The ED Malaysia Chapter is pleased chu, Taiwan on 21 October 2020. Prof. Malaysia. The webinar managed to to welcome everyone to participate Ting-Chang Chang (IEEE Fellow, attract 73 participants. The audience in the 2021 IEEE Regional Sympo- Chair Professor, Department of Phys- were mainly from final year project sium on Micro and Nanoelectronics ics, National Sun Yat-Sen University) engineering students, postgraduate (RSM) on 2–4 August 2021. This bi- gave a talk in Taiwan Semiconductor students from Kulliyyah (Faculty) of annual technical conference since Research Institute (TSRI). The lecture Engineering, IIUM and also IEEE EDS 1997 aims to bring together research- topic was “Low Temperature Passiv- members. An overview of the wafer ers from industry and academia to ation for Semiconductor Devices.” fabrication process in the cleanroom gather and explore various issues This talk focused on the high pres- was presented by the invited speak- and trends in the field of semicon- sure/low temperature technology for er. Dr. Ir. Hazian also explained about ductor electronics. This will be the the improvement of semiconductor the facilities available at MIMOS as 13th RSM organized by the Electron devices and applications including an exposure to the students which Devices Chapter of IEEE Malaysia Si-based CMOSFET, Resistive RAM, are related to the wafer fabrication. Section and technically co-spon- high power SiC and some bio-chips. The program lasted for an hour. The sored by the IEEE Electron Devices Prof. Chang’s technical contributions program received positive feedback Society. Over the last twenty-four in non-volatile memory, advanced from the audience. years, RSM conference series has MOSFET and thin film transistors become the prominent international have had a major impact on the field, Let’s Talk: Electronics Reliability forum on semiconductor electronics as is evidenced by his patents which The ED Malaysia Chapter initiated a embracing numerous aspects of the are in use by Taiwan’s leading tech new technical talk series called “Let’s semiconductor technology includ- companies. Prof. Chang is an expert in Talk” which is an online program ing circuit and device modelling and NVM and TFT research who has made with three panels of speakers, on simulation, photonics and sensor many technology breakthroughs to the 4 December 2020—two panels technology, MEMS technology, pack- enhance device performance and to were from academia and one was aging technology, failure analysis solve reliability issues. These break- from the industry. The first edition and reliability, materials and devices throughs have had an important im- of this series focused on the topic for nanoelectronics. Please visit the pact on the global semiconductor of “Electronics Reliability,”and man- website for further details https:// industry. To date, more than 80 doctor- aged to attract 40 participants.The ieeemalaysia-eds.org/rsm2021. al students and 200 master’s students participants consisted of academics have graduated under his guidance, from Malaysia, United Kingdom and ~Sharma Rao Balakrishna, Editor and many of them have followed his Turkey. The first panelist was Profes- sor Ir. Dr. Norhayati Soin (University of Malaya) whose topic was “Over- view of Reliability in Semiconduc- tor Electronics.” The second panelist was Ir. Ts. Dr. Azrif Manut (Universiti Teknologi MARA) whose topic was “MOSFET Reliability,’’ while the third panelist was Mr. Mohd Hanif Kama- ruddin, a Principal Engineer from In- fineon Technologies (Kulim), whose topic was “Fast Wafer Level Reliabil- ity Testing.” The moderator was Dr. Sharifah Fatmadiana (University of Malaya). Each panelist was allocated a 10 minutes’ session to talk on the topic and a Q&A session was allocat- ed at the end of the final talk. The pro- Prof. Ting-Chang Chang; invited talk for ED Tainan Chapter; 21 October 2020

April 2021 ❍ IEEE Electron Devices Society Newsletter 49 footsteps and expanded his influence tee selected five of the best student was held virtually from 5–9 October in the semiconductor industry. About papers, based on the quality of the 2020. Six talks were given during the 40 attendees and several professors manuscripts and presentations. Each week-long Mini-Colloquium. The MQ of universities from Hsinchu, Taiwan awardee received a certificate and inaugural talk was delivered by Prof. attended this talk. a cash award of HKD 500. The nomi- Hiroshi Iwai, Tokyo Institute of Tech- nated awardees were originally from nology, Japan, on “End of CMOS ED/SSC Hong Kong Chapter - Hong Kong, mainland China, and miniaturization and technology de- 2020 Student Symposium on foreign countries, showing a good di- velopment before and after.” Prof. Electron Devices and Solid-State versity in terms of a geographical af- Iwai discussed the evolution of the Circuits filiation. The attendees exhibited their CMOS technology, the innovations —by Qiming Shao interests by asking many questions and emerging trends that are expect- and provided a very positive feedback ed to lead to a paradigm shift in tech- On 25 October 2020, the 2020 Student on the 2020 s-EDSSC. More informa- nology. The second talk was also held Symposium on Electron Devices and tion about the 2020 s-EDSSC can be on 5 October 2020 and was delivered Solid-State Circuits (s-EDSSC) was found on the IEEE HK ED/SSC Chapter by Prof. Ajit Panda, NIST Berham- held online via the Zoom platform. It website: https://r10.ieee.org/hk-edssc/. pur, Odisha, India, on “Semiconduc- was organized by the IEEE Hong Kong tor Devices for 5G Communication.” Joint Chapter of ED/SSC Societies ~Ming Liu, Editor The next day Prof. Sorin Cristoloveanu, and the Department of Electronic and IMEP - INP Grenoble MINATEC, Computer Engineering at the Hong ED Delhi Chapter France, delivered a talk on “Sharp Kong University of Science and Tech- Mini-Colloquium on “Emerging switching transistors based on elec- nology. The s-EDSSC Symposium Nano Devices and Circuits—The trostatic doping.” On 7 October 2020, serves as a platform for undergradu- Roadmap Ahead” Prof. Joachim N. Burghartz, Institute ate and graduate students who work —by Harsupreet Kaur for Microelectronics Stuttgart (IMS on, or have an interest in the field of CHIPS), Germany delivered a talk ED/SSC to present their results and The Department of Electronic Sci- “Ultra-thin Chips—a New Paradigm exchange ideas with peers and se- ence, University of Delhi South Cam- in Silicon Technology.” Both speak- nior researchers in the community. pus and ED Delhi Chapter jointly ers apprised the participants about The participants were from differ- organized the IEEE Electron Devices various novel aspects and emerging ent universities in Hong Kong and Society Distinguished Lecture Mini- trends in these areas. On 8 October mainland China. Thirteen submitted Colloquium on “Emerging Nano 2020, Prof. Xing Zhou, Nanyang Tech- papers were selected for presenta- Devices and Circuits—The Road- nological University, Singapore gave tions. After presentations, the commit- map Ahead.” The Mini-Colloquium a talk “Future III-V/CMOS Co-Inte- grated Technology and Hybrid Circuit Design.” Recent advancements made in the area of III-V/ CMOS co-inte- grated technology were also high- lighted. The concluding talk was held on 9 October 2020 and was given by Prof. Frank Schwierz, Technische Uni- versität Ilmenau, Germany, on the topic “2D Devices and 2D Electron- ics.” Prof. Schwierz discussed various aspects related to 2D materials and devices and also apprised the par- ticipants about the upcoming trends in this area. The Mini-Colloquium was widely attended by over 100 re- searchers, engineers and students who enjoyed this series of interest- A screenshot from s-EDSSC presentation (via Zoom) titled “Compact Modeling of Current-Assist- ing lectures. ed Photonic Demodulator (CAPD) for Time-of-Flight CMOS Image Sensor” (given by Cristine Jin Delos Santos Estrada, one of the best student paper award winners) ~Soumya Pandit, Editor

50 IEEE Electron Devices Society Newsletter ❍ April 2021 E D S MEE e t i n g s c a l e n D a r EDS Meetings Calendar The complete EhDSttp://eds.ieee.org/ Calendar can be found at our web site: http://eds.ieee.org. please visit.

2021 22nd International 07 April – 08 April 2021 Virtual Event Symposium on Quality Electronic Design (ISQED)

5th IEEE Electron Devices 08 April – 11 April 2021 Chengdu, China Technology and Manufacturing Conference (EDTM) Hybrid Event

2021 IEEE 34th International 12 April – 15 April 2021 Cleveland, OH Conference on Microelectronic Test Structures (ICMTS) Postponed to 2022

2021 IEEE Latin America Electron 19 April – 21 April 2021 Virtual Event Devices Conference (LAEDC)

2021 International Symposium on 19 April – 22 April 2021 Hsinchu, Taiwan VLSI Technology, Systems and Applications (VLSI-TSA)

2021 International Symposium on VLSI Design, Automation and Test (VLSI-DAT)

2021 22nd International Vacuum 27 April – 30 April 2021 Virtual Event Electronics Conference (IVEC)

2021 32nd Annual SEMI Advanced 10 May – 13 May 2021 Virtual Event Semiconductor Manufacturing Conference (ASMC)

2021 International Siberian 12 May – 14 May 2021 Kazan, Russia Conference on Control and Communications (SIBCON)

2021 IEEE International Memory 15 May – 18 May 2021 Virtual Event Workshop (IMW)

2021 33rd International Symposium 29 May – 02 June 2021 Nagoya, Japan on Power Semiconductor Devices and ICs (ISPSD) Hybrid Event

2021 IEEE Radio Frequency 06 June – 08 June 2021April 2021 Atlanta,❍ IEEE Electron GA Devices Society Newsletter 51 Integrated Circuits Symposium (RFIC) Hybrid Event EDS Meetings Calendar http://eds.ieee.org/

2021 22nd International 07 April – 08 April 2021 Virtual Event Symposium on Quality Electronic Design (ISQED)

5th IEEE Electron Devices 08 April – 11 April 2021 Chengdu, China Technology and Manufacturing Conference (EDTM) Hybrid Event

2021 IEEE 34th International 12 April – 15 April 2021 Cleveland, OH USA Conference on Microelectronic Test Structures (ICMTS) Postponed to 2022

2021 IEEE Latin America Electron 19 April – 21 April 2021 Virtual Event Devices Conference (LAEDC)

2021 International Symposium on 19 April – 22 April 2021 Hsinchu, Taiwan VLSI Technology, Systems and Applications (VLSI-TSA)

2021 International Symposium on VLSI Design, Automation and Test (VLSI-DAT)

2021 22nd International Vacuum 27 April – 30 April 2021 Virtual Event Electronics Conference (IVEC)

2021 32nd Annual SEMI Advanced 10 May – 13 May 2021 Virtual Event Semiconductor Manufacturing Conference (ASMC)

2021 International Siberian 12 May – 14 May 2021 Kazan, Russia Conference on Control and Communications (SIBCON)

2021 IEEE International Memory 15 May – 18 May 2021 Virtual Event Workshop (IMW)

2021 33rd International Symposium 29 May – 02 June 2021 Nagoya, Japan on Power Semiconductor Devices and ICs (ISPSD) EDS MeetingsHybrid EventCalendar 2021 IEEE Radio Frequency http://eds.ieee.org/06 June – 08 June 2021 Atlanta, GA Integrated Circuits Symposium (RFIC) Hybrid Event

2021 20th International Workshop 11 June – 12 June 2021 Virtual Event on Junction Technology (IWJT)

2021 Silicon Nanoelectronics 12 June – 13 June 2021 Kyoto, Japan Workshop (SNW)

2021 Symposium on VLSI 13 June – 16 June 2021 Virtual Event Technology and Circuits

2021 Device Research Conference 20 June – 23 June 2021 Virtual Event (DRC)

2021 IEEE 48th Photovoltaic 19 June – 24 June 2021 Virtual Event Specialists Conference (PVSC)

2021 International EOS/ESD 23 June – 25 June 2021 Chengdu, SICHUAN, China Symposium on Design and System (IEDS)

2021 IEEE International 05 July – 08 July 2021 Kyoto, Japan Interconnect Technology Conference (IITC)

2021 9th International Symposium 10 July – 12 July 2021 Changsha, China on Next Generation Electronics (ISNE)

2021 IEEE International Flexible 08 Aug – 11 Aug 2021 Columbus, OH Electronics Technology Conference (IFETC) Hybrid Event 2021 35th Symposium on 22 Aug – 26 Aug 2021 Campinas, Brazil Microelectronics Technology and Devices (SBMicro)

2021 28th International Workshop 01 Sept – 04 Sept 2021 Kyoto, Japan on Active-Matrix Flatpanel Displays and Devices (AM-FPD)

2021 IEEE 32nd International 12 Sept – 14 Sept 2021 Virtual Event Conference on Microelectronics (MIEL)

522021 IEEE ElectronInternational Devices Society Semiconductor Newsletter ❍ April 202106 Oct – 08 Oct 2021 Virtual Event Conference (CAS) EDS Meetings Calendar http://eds.ieee.org/

2021 20th International Workshop 11 June – 12 June 2021 Virtual Event on Junction Technology (IWJT)

2021 Silicon Nanoelectronics 12 June – 13 June 2021 Kyoto, Japan Workshop (SNW)

2021 Symposium on VLSI 13 June – 16 June 2021 Virtual Event Technology and Circuits

2021 Device Research Conference 20 June – 23 June 2021 Virtual Event (DRC)

2021 IEEE 48th Photovoltaic 19 June – 24 June 2021 Virtual Event Specialists Conference (PVSC)

2021 International EOS/ESD 23 June – 25 June 2021 Chengdu, SICHUAN, China Symposium on Design and System (IEDS)

2021 IEEE International 05 July – 08 July 2021 Kyoto, Japan Interconnect Technology Conference (IITC)

2021 9th International Symposium 10 July – 12 July 2021 Changsha, China on Next Generation Electronics (ISNE)

2021 IEEE International Flexible 08 Aug – 11 Aug 2021 Columbus, OH USA Electronics Technology Conference (IFETC) Hybrid Event

2021 35th Symposium on 22 Aug – 26 Aug 2021 Campinas, Brazil Microelectronics Technology and Devices (SBMicro)

2021 28th International Workshop 01 Sept – 04 Sept 2021 Kyoto, Japan on Active-Matrix Flatpanel Displays and Devices (AM-FPD) 2021 IEEE 32nd International EDS12 Sept Meetings– 14 Sept 2021 Virtual EventCalendar Conference on Microelectronics (MIEL) http://eds.ieee.org/

2021 International Semiconductor 06 Oct – 08 Oct 2021 Virtual Event Conference (CAS) 2021 16th European Microwave 10 Oct – 11 Oct 2021 London, United Kingdom Integrated Circuits Conference (EuMIC)

2021 IEEE/ACM International 31 Oct – 03 Nov 2021 Munich, Germany Conference On Computer Aided Design (ICCAD)

2021 IEEE 8th Workshop on Wide 06 Nov – 08 Nov 2021 Redondo Beach, CA Bandgap Power Devices and Applications (WiPDA)

2021 IEEE BiCMOS and 05 Dec – 08 Dec 2021 Monterey, CA Compound Semiconductor Integrated Circuits and Technology Sympos ium (BCICTS)

2021 IEEE 52nd Semiconductor 08 Dec – 11 Dec 2021 San Diego, CA Interface Specialists Conference (SISC)

2021 IEEE International Electron 11 Dec – 16 Dec 2021 San Francisco, CA Devices Meeting (IEDM)

April 2021 ❍ IEEE Electron Devices Society Newsletter 53 Call for Papers for a Special Issue of IEEE Transactions on Electron Devices on “Solid-State Image Sensors”

Over the last decade, solid-state image sensors have sustained impressive technological developments as well as growth in existing markets such as camera phones, automotive cameras, security and industrial cameras and medical/scientific cameras. This has included; sub-micron pixels, high dynamic range sensors for automotive and machine vision, time-of- flight sensors for 3D imaging, 3-dimensional integration (wafer level stacking) for small and efficient imaging systems on a chip, sub-electron read noise pixels and avalanche photodetectors for single-photon imaging, detector structures for non-cooled infrared imaging, and many others. Solid-state image sensors are also taking off into new applications and markets (IoT, 3D imaging, medical, biometrics and others). Solid-state image sensors are now key components in a vast array of consumer and industrial products. This special issue will provide a focal point for reporting these advancements in an archival journal and serve as an educational tool for the solid-state image sensor community. Previous special issues on solid- state image sensors were published in 1968, 1976, 1985, 1991, 1997, 2003, 2009 and 2016.

Topics of interest include, but are not limited to:

• Pixel device physics (New devices and structures, Advanced materials, Improved models and scaling, Advanced pixel circuits, Performance enhancement for QE, Dark current, Noise, Charge Multiplication Devices, etc.) • Image sensor design and performance (New architectures, Small pixels and Large format arrays, High dynamic range, 3D range capture, Low voltage, Low power, High frame rate readout, Scientific-grade, Single-Photon Sensitivity) • Image-sensor-specific peripheral circuits (ADCs and readout electronics, Color and image processing, Smart sensors and computational sensors, System on a chip) • Non-visible “image” sensors (Enhanced spectral response e.g., UV, NIR, High energy photon and particle detectors e.g., electrons, X-rays, Ions, Hybrid detectors, THz imagers) • Stacked image sensor architectures, fabrication, packaging and manufacturing (two or more tiers, back-side illuminated devices) • Miscellaneous topics related to image sensor technology

Submission Instructions: When submitting your manuscript through the IEEE’s web-based ScholarOne Author Submission and Peer Review System (https://mc.manuscriptcentral.com/ted), please indicate that your submission is for this special issue.

Manuscripts should be submitted in a double column format using an IEEE style file. Please visit the following link to download the templates: http://www.ieee.org/publications_standards/publications/authors/author_templates.html

Submission deadline: July 30, 2021 Publication date: June 2022

Guest Editors: 1. Mr. R. Michael Guidash, R.M. Guidash Consulting, USA 2. Dr. Daniel Van Blerkom, Forza Silicon – Ametek, USA 3. Dr. Guy Meynants, Photolitics, KU Leuven, Belgium 4. Dr. Rihito Kuroda, Tohoku University, Japan

54 IEEE Electron Devices Society Newsletter ❍ April 2021 Call for Papers for a Special Issue of IEEE Transactions on Electron Devices on “Spintronics-Devices and Circuits”

Spintronics is one of the emerging fields for the next-generation nanoscale devices offering better memory and process- ing capabilities with improved performance levels. It demonstrates great potential in the post-Moore era. Ever since the discovery of Giant Magneto-Resistance (GMR) effect in 1988, spintronics has shown a rapid progress. Recent advances has expanded this technology to the entire electronics industry of sensors, memories, oscillators, quantum information pro- cessors, computer architecture, brain inspired computing and various other fields. Spintronics is now one of the most researched areas and is on the verge of becoming a mainstream technology. A (HDD) invented by IBM in 1956, now has a global market revenue of approximately $12bn. Other emerging field of application for this technology is magnetic field sensors that showcased a market revenue of ~ $19b in 2018. The magnetic memory production at major foundries such as Samsung, Globalfoundries, Western Digital and TSMC marks the adoption of spintronics technology. However, in order to meet the ever-increasing demands of the industry, innovation in terms of modeling, design, materials, processes, circuits and applications are required. This Special Issue of the IEEE Transactions on Electron Devices will feature the most recent developments and the state-of- the-art in the field of spintronic devices, circuits and new architectures for high performance. Topics of interest include, but are not limited to: Materials: Ferromagnets, Antiferromagnets, 2D material for better spin manipulation and spin logic devices, Heusler alloys, dilute magnetic semiconductors (DMS), half-metallic ferromagnet (HMF)… Transport mechanism: Spin accumulation, injection and detection in spin devices, spin pumping techniques, angular momentum transportation by spin polarized currents, spin waves, magnons, spin hall effect, spin transfer torque, enhance- ment in spin diffusion length and coherence time… Spintronics devices: STT-MRAM, SOT-MRAM, VCMA-MRAM, domain-wall, skyrmions, nano-oscillators, sensors etc. Low power and high-speed switching schemes for spintronic devices. Optoelectronics and Spintronics: All-optical switching of magnetization, inverse magneto- optical effects, single shot optical switching, modeling circuit and architecture level design for ultra-fast laser excitation… Memories: High storage density MRAM, enhancement in power efficiency and speed. In-memory computing: Spintronics based in-memory computing/ processing circuits/ architectures and applications… Quantum Computing: Quantum information processing, protocol for communication, computation and sensing, ­algorithms, spin qubit, systems and applications, spintronics-based quantum memories... Neuromorphic computing: Hardware implementation of neural networks, analog and digital, architectures and ­applications… Fabrication: Fabrication and characterization of novel materials and devices, hybrid spintronics integration and fabrication… Spintronics based circuits: Reconfigurable and programmable spintronics based circuits, Security applications includ- ing RNG and PUF, ADC/DAC, reliability and power performance analysis of spintronics based devices and circuits… Submission instructions: Please visit the following link to download the templates: http://www.ieee.org/publications_ standards/publications/authors/author_templates.html In your cover letter, please indicate that your submission is for this special issue. Submission site: https://mc.manuscriptcentral.com/ted The papers must present original material that has not been copyrighted, published or accepted for publications in any other archival publications, that is not currently being considered for publications elsewhere, and that will not be submitted elsewhere while under considerations by the Transactions on Electron Devices.

Submission deadline: 30 September, 2021 Publication date: April 2022

Guest Editors: 1. Prof. Brajesh Kumar Kaushik, Department of Electronics and Communication Engineering, Indian Institute of Technology Roorkee, INDIA (Lead Guest Editor) 2. Dr. Sanjeev Aggarwal, Everspin Technologies Inc., USA 3. Prof. Supriyo Bandyopadhyay, Department of Electrical and Computer Engineering, VCU College of Engineering, USA 4. Prof. Debanjan Bhowmik, Department of Electrical Engineering, Indian Institute of Technology Delhi, INDIA 5. Dr. Vivek De, Circuits Research Lab, Intel, USA 6. Dr. Bernard Dieny, SPINTEC, IRIG/CEA Grenoble, FRANCE 7. Prof. Wang Kang, School of Microelectronics, Beihang University, CHINA 8. Prof. S.N. Piramanayagam, School of Physical & Mathematical Sciences - Division of Physics & Applied Physics, Nanyang Technological University, SINGAPORE 9. Prof. Kaushik Roy, School of Electrical and Computer Engineering, Purdue University, USA 10. Prof. Ashwin A. Tulapukur, Department of Electrical Engineering, Indian Institute of Technology Bombay, INDIA

April 2021 ❍ IEEE Electron Devices Society Newsletter 55 EDS Vision, Mission and Field of Interest Statements

Vision Statement Promoting excellence in the field of electron devices for the benefit of humanity.

Mission Statement To foster professional growth of its members by satisfying their needs for easy access to and exchange of tech- nical information, publishing, education, and technical recognition and enhancing public visibility in the field of Electron Devices.

EDS Field of Interest The EDS field-of-interest includes all electron and ion based devices, in their classical or quantum states, using environments and materials in their lowest to highest conducting phase, in simple or engineered assembly, interacting with and delivering photo-electronic, electro-magnetic, electromechanical, electro-thermal, and bio- electronic signals. The Society sponsors and reports on education, research, development and manufacturing aspects and is involved in science, theory, engineering, experimentation, simulation, modeling, design, fabrica- tion, interconnection, reliability of such devices and their applications.

56 IEEE Electron Devices Society Newsletter ❍ April 2021