applied sciences

Review Mini-Review: Modeling and Performance Analysis of Nanocarbon Interconnects

Wen-Sheng Zhao 1 , Kai Fu 1, Da-Wei Wang 2, Meng Li 3, Gaofeng Wang 1,* and Wen-Yan Yin 2,* 1 Key Lab of RF Circuits and Systems of Ministry of Education, School of Electronics and Information, Hangzhou Dianzi University, Hangzhou 310018, China; [email protected] (W.-S.Z.); [email protected] (K.F.) 2 Key Lab of Advanced Micro-Nano Electronic Devices and Smart Systems of Zhejiang Province, College of Information Science and Electronic Engineering, Innovative Institute of Electromagnetic Information and Electronic Integration, Zhejiang University, Hangzhou 310058, China; [email protected] 3 Beijing Aerospace Technology Institute, Beijing 100074, China; [email protected] * Correspondence: [email protected] (G.W.); [email protected] (W.-Y.Y.)

 Received: 25 April 2019; Accepted: 24 May 2019; Published: 28 May 2019 

Abstract: As the interconnect delay exceeds the gate delay, the (IC) technology has evolved from a transistor-centric era to an interconnect-centric era. Conventional metallic interconnects face several serious challenges in aspects of performance and reliability. To address these issues, nanocarbon materials, including (CNT) and graphene, have been proposed as promising candidates for interconnect applications. Considering the rapid development of nanocarbon interconnects, this paper is dedicated to providing a mini-review on our previous work and on related research in this field.

Keywords: carbon nanotube (CNT); graphene nanoribbon (GNR); circuit modeling; interconnects; nanocarbon; electrothermal co-simulation

1. Introduction The breakthrough development of the industry has revolutionized human society, from personal electronic gadgets, commercial and industrial equipment to military and aeronautical facilities. As predicted by Moore’s law, the number of transistors within a chip doubles about every two years, while the cost comes down [1]. According to the International Technology Roadmap for (ITRS) projection, a 10 nm minimum feature size could support a tera-scale chip with a trillion transistors by 2020 [2]. Such phenomenal progress has been achieved through scaling of digital integrated circuit (IC) feature size to smaller physical dimensions. The ongoing miniaturization of the IC feature size has had a significant benefit in increasing the transistor speed. However, different from the transistor, the interconnect performance would be degraded due to the reduced conduction area and increased scattering probability for electrons [3,4]. Under such circumstances, the chip performance is restricted by the shrinking interconnect dimensions on account of both the interconnect delay and the power dissipation. Moreover, the interconnect reliability has been becoming a more and more important problem, as the ampacity of conventional Cu wire cannot satisfy the increasingly stringent requirements [2,5,6]. Therefore, interconnects have become the major challenge in the design of modern ICs, thereby leading to the transition of IC technology from transistor-centric to interconnect-centric [7]. To address the interconnect challenges for next-generation ICs, diverse improvements of interconnect optimization and design methods have been reported, such as a low-k dielectric structure, three-dimensional integration, and inter-chip optical interconnects [8–10].

Appl. Sci. 2019, 9, 2174; doi:10.3390/app9112174 www.mdpi.com/journal/applsci Appl. Sci. 2019, 9, 2174 2 of 20

Appl.and Sci. design2019, 9, 2174methods have been reported, such as a low-k dielectric structure, three-dimensional2 of 21 integration, and inter-chip optical interconnects [8–10]. Nanocarbon materials have attracted much attention since the carbon nanotube (CNT) was discoveredNanocarbon by an materials arc-discharge have attractedevaporation much method attention in 1991 since [11]. the Graphene, carbon nanotube a Nobel Prize (CNT) honored was discovereddiscovery, by has an further arc-discharge promoted evaporation the research method in this infield 1991 [12]. [11 It]. was Graphene, found that a Nobel nanocarbon Prize honored materials discovery,have many has furtherextraordinary promoted physical the research properties. in this For field example, [12]. It wasthe foundultrahigh that thermal nanocarbon conductivity materials of havenanocarbon many extraordinary materials can physical help heat properties. dissipation For example,in high-density the ultrahigh integrated thermal systems conductivity [13–15]. ofThe nanocarbonmaximum materialscurrent-carrying can help density heat dissipationof a CNT is inmore high-density than two orders integrated higher systems than that [13 –of15 Cu]. Thewires, maximumthereby mitigating current-carrying the -induced density of a CNT is more reliability than two ordersproblems higher [16]. than It is that natural of Cu to wires, apply therebynanocarbon mitigating materials the electromigration-inducedas an alternative option to potentially reliability problemsreplace Cu [ 16for]. interconnects It is natural and to applypassive nanocarbondevices in materialsICs [17–22]. as anIn recent alternative years, option there tohave potentially been many replace publications Cu for interconnects in the literature and devoted passive to devicesthe design, in ICs modeling/analysis, [17–22]. In recent years, and fabrication/integration there have been many publicationsof nanocarbon in theinterconnects. literature devoted This paper to theseeks design, to review modeling recent/analysis, research and efforts fabrication and progress/integration in the of nanocarbonnanocarbon interconnects.interconnects. In This particular, paper seeksthis topaper review focuses recent on research the modeling efforts andand progressdirect current in the (DC) nanocarbon performance interconnects. analysis Inof particular,nanocarbon thisinterconnects paper focuses for onnext-generation the modeling digital and direct ICs. current (DC) performance analysis of nanocarbon interconnects for next-generation digital ICs. 2. Graphene Nanoribbon (GNR) Interconnects 2. Graphene Nanoribbon (GNR) Interconnects Physically, graphene is a 2D monolayer of carbon atoms packed into a honeycomb lattice, and Physically, graphene is a 2D monolayer of carbon atoms packed into a honeycomb lattice, the quasi-1D graphene nanoribbon (GNR), as shown in Figure 1a, can be utilized as on-chip and the quasi-1D graphene nanoribbon (GNR), as shown in Figure1a, can be utilized as on-chip interconnects [23]. Depending on the edge shape, a GNR can be zigzag, armchair, or chiral (other interconnects [23]. Depending on the edge shape, a GNR can be zigzag, armchair, or chiral (other shapes). The zigzag GNR is always metallic, whereas the armchair GNR is metallic or shapes). The zigzag GNR is always metallic, whereas the armchair GNR is metallic or semiconducting, semiconducting, depending on the number of carbon atoms across its width. Different from the GNR, depending on the number of carbon atoms across its width. Different from the GNR, a CNT’s chirality a CNT’s chirality is defined by its circumferential edge shape. A single-walled carbon nanotube is defined by its circumferential edge shape. A single-walled carbon nanotube (SWCNT) can be (SWCNT) can be visualized as a seamlessly rolled-up GNR, on the basis of which a novel fabrication visualized as a seamlessly rolled-up GNR, on the basis of which a novel fabrication method has been method has been developed to unzip the CNT to form a GNR [24]. A multi-walled carbon nanotube developed to unzip the CNT to form a GNR [24]. A multi-walled carbon nanotube (MWCNT) is a (MWCNT) is a parallel assembly of coaxial SWCNTs, and the neighboring shells in an MWCNT are parallel assembly of coaxial SWCNTs, and the neighboring shells in an MWCNT are separated by the separated by the van der Waals gap. van der Waals gap.

(a) (b) (c)

FigureFigure 1. Schematics1. Schematics of (a )of monolayer (a) monolayer graphene graphene nanoribbon nanoribbon (GNR), ( b(GNR),) single-walled (b) single-walled carbon nanotube carbon (SWCNT),nanotube and (SWCNT), (c) multi-walled and (c) multi-walled carbon nanotube carbon (MWCNT). nanotube (MWCNT).

2.1.2.1. Multilayer Multilayer Graphene Graphene Nanoribbon Nanoribbon (MLGNR) (MLGNR) Interconnects Interconnects InIn comparison comparison to to the the CNT, CNT, the the GNR GNR can can be be easily easily controlled controlled horizontally horizontally and and is moreis more compatible compatible withwith conventional conventional lithography lithography [24 [24].]. By By using using the the tight-binding tight-binding approximation, approximation, the the conductance conductance ofof a a monolayer GNR has been calculated as a function of width W, Fermi level E , and specularity monolayer GNR has been calculated as a function of width 𝑊, Fermi level F𝐸 , and specularity parameterparameterp for 𝑝 edge for edge diffuse diffuse scattering scattering in Reference in Reference [25]. It [2 was5]. It demonstrated was demonstrated that the that atomically the atomically thick monolayerthick monolayer GNR could GNR outperform could outperform Cu wire forCu widths wire for below widths 8 nm. below Figure 8 2nm.a shows Figure the 2a schematic shows the Appl. Sci. 2019, 9, 2174 3 of 21 Appl. Sci. 2019, 9, 2174 3 of 20 schematicdiagram of diagram a monolayer of a monolayer GNR interconnect GNR interconnect with its equivalent with its equivalent circuit model. circuit In model. the model, In theR model,c is the

𝑅contact is the resistance, contact resistance, and it can and be calculatedit can be calculated by: by:

𝑅 =𝑅 +𝑅 (1) Rc = Rmc + RQ (1) where 𝑅 is the imperfect contact resistance, and it highly depends on the fabrication technology. where Rmc is the imperfect contact resistance, and it highly depends on the fabrication technology. 𝑅 is the quantum contact resistance, and it is calculated as 𝑅 =ℎ ⁄2𝑒 𝑁, where ℎ is the = 2 Planck’sRQ is the constant quantum and contact 𝑒 is resistance,the electron and charge. it is calculated The number as R ofQ conductingh/ 2e Nch channels, where hinis athe monolayer Planck’s GNRconstant can andbe calculatede is the electron by [26,27]: charge. The number of conducting channels in a monolayer GNR can be calculated by [26,27]: 1 1 𝑁 = + X 1+e1⁄ X 1+e1⁄ (2) Nch = + (2) (En EF)/(kBT) (En+EF)/(kBT) n 1 + e − n 1 + e where 𝑘 is the Boltzmann’s constant and 𝑇 is the temperature. For a given width of the metallic armchairwhere kB GNRis the with Boltzmann’s 𝑊 > 10 constant nm and 𝐸 and >0.1T is eV the, 𝑁 temperature. can be approximated For a given as width 1.2𝑊𝐸 of the, where metallic 𝑊 𝐸 andarmchair are GNR in withunitsW of> nanometers10 nm and E andF > 0.1electroneV, N chvolts,can berespectively approximated [28]. as The1.2WE totalF, whereresistanceW and of EaF monolayerare in units GNR of nanometers is given by: and electron volts, respectively [28]. The total resistance of a monolayer GNR is given by: ℎ  𝐿  1 𝑅 =𝑅 +𝑅 𝐿= X 1 + !1 − (3) 2𝑒h  𝜆L −  Rtotal = Rc + RSL =  1 + ,  (3) 2e2  λ  i eff,i where 𝐿 is the interconnect length, and 𝜆, is the effective MFP (mean free path) for the 𝑖th L i subband.where is The the interconnectMFP is determined length, andby variousλeff,i is the scattering effective mechanisms, MFP (mean free and path) it is fora function the th subband. of the specularityThe MFP is parameter determined 𝑝 by [29]. various Herein, scattering the fully mechanisms, diffusive GNR and itcan is a be function represented of the specularityby 𝑝=0, p p = p = meanwhile,parameter 𝑝=1[29]. Herein, represents the that fully the di ffGNRusive is GNRfully specular. can be represented by 0, meanwhile, 1 represents that the GNR is fully specular.

Rc/2 RSdz LKdz LMdz Rc/2 CQdz

CEdz

Side contact N=1+Inter(H/δ) Top contact Contact R layer W δ MLGNR W SLGNR Rperp MLGNR H

Ground plane Ground plane Ground plane (a) (b) (c)

FigureFigure 2. 2. SchematicsSchematics of of(a) (monolayera) monolayer GNR GNR interconnect, interconnect, (b) top-contacted (b) top-contacted MLGNR, MLGNR, and (c and) side- (c) contactedside-contacted MLGNR MLGNR interconnect. interconnect.

However,However, it it is is worth worth noting noting that that the the physical physical properties properties of monolayer of monolayer GNR GNR is susceptible is susceptible to the to substratethe substrate influence, influence, which which can canbe beavoided avoided for for the the case case of of multilayer multilayer GNR GNR (MLGNR). (MLGNR). Moreover, Moreover, MLGNRMLGNR has has superior superior characteristics characteristics for for signal signal propagation propagation as as the the multilayer multilayer structure structure can can effectively effectively reducereduce the the interconnect interconnect resistance resistance [29–32]. [29–32]. Figu Figureres 22b,cb,c showshow thethe schematicschematic diagramsdiagrams ofof MLGNRMLGNR interconnectsinterconnects with with top top contacts contacts and and side side contacts, contacts, respectively. respectively. In In the the figure, figure, 𝛿δ denotes the the van van der der Waal’sWaal’s gap betweenbetween thethe adjacentadjacent graphene graphene layers, layers,H 𝐻is the is the thickness thickness of theof the MLGNR MLGNR interconnect, interconnect, and andthe numberthe number of graphene of graphene layers layersN = 1𝑁=1+Inter+ Inter(H/δ),𝐻𝛿 where⁄, where “Inter (“Inter)” represents⋅” represents that only that the only integer the · integerpart is considered.part is considered. ToTo date, most of the experimentsexperiments onon multilayermultilayer graphene graphene have have employed employed top top contacts, contacts, as as shown shown in inFigure Figure2b [2b33 –[33–35].35]. For For the top-contactedthe top-contacted MLGNR MLGNR interconnect, interconnect, Kumar, Kumar, et al. [et36 ]al. developed [36] developed a resistor a resistorcircuit model circuit with model the considerationwith the consideration of in-plane resistance of in-planeRlayer resistanceand perpendicular 𝑅 and resistance perpendicular between resistanceadjacent layers betweenRperp adjacent. It was found layers that 𝑅 the. perpendicularIt was found that resistance the perpen makesdicular the conductance resistance amakes nonlinear the conductancefunction of the a nonlinear number function of graphene of the layers. number Further, of graphene Pan, etlayers. al. [37 Further,] conducted Pan, et a benchmarkal. [37] conducted study, awhich benchmark indicated study, such top-contactedwhich indicated MLGNR such cantop- exhibitcontacted advantages MLGNR under can certainexhibit circumstances.advantages under With certain circumstances. With the increasing interconnect length, however, the in-plane resistance increases, while the perpendicular resistance decreases continually. It can be seen in Figure 3, that as Appl. Sci. 2019, 9, 2174 4 of 21

Appl.the increasingSci. 2019, 9, 2174 interconnect length, however, the in-plane resistance increases, while the perpendicular4 of 20 resistance decreases continually. It can be seen in Figure3, that as the length exceeds a certain value, the theinfluences length exceeds of the contact a certain type value, and interlayer the influences coupling of the can contact be ignored, type and interlayer the top-contacted coupling MLGNR can be ignored,can be treated and the as top-contacted a side-contacted MLGNR one [28 can]. be treated as a side-contacted one [28].

100 1000 μm ) Ω 10 100 μm

L=10 μm

1 Resistance (k Top-contacted Side-contacted 0.1 20 40 60 80 Number of Layers FigureFigure 3. EffectiveEffective resistances resistances of of top-contacted top-contacted and and side-contacted side-contacted MLGNR MLGNR interconnects interconnects with Fermi levellevel E𝐸F ==0.60.6 eV,eV, specularity parameter p𝑝=1= 1,, andand cc-axis-axis resistivityresistivityρ 𝜌c ==0.30.3 Ω Ω⋅cmcm [28[28].]. ·

Figure4 shows the multi-conductor transmission line model and the simplified equivalent single-conductor (ESC) transmission line model of a side-contacted MLGNR interconnect. In the multi-conductorFigure 4 shows model, the the multi-conductor mutual capacitance transmission and inductance line model between and the the adjacent simplified graphene equivalent layers single-conductorhave been considered. (ESC) transmissi The MLGNRon line interconnects model of area side-contacted assumed to be MLGNR decoupled, interconnect. because severalIn the multi-conductordecoupled graphene model, layers the havemutual been capacitance grown experimentally and inductance in between References the [ 33adjacent,34], and graphene demonstrated layers have been considered. The MLGNR interconnects are assumed to be decoupled, because several theoreticallyAppl. Sci. 2019, 9, in 2174 Reference [39]. In this case, the resistance of the MLGNR interconnect is a parallel5 of 20 decoupled graphene layers have been grown experimentally( in) References [33,34], and demonstrated = PN i,i connection of the resistance of each layer, i.e., RS i=1 RS . The per-unit-length (p.u.l.) magnetic theoreticallyand 100 times in larger Reference than the [39]. minimum-sized In this case, the gate, resistance respectively, of the at MLGNR these levels. interconnect The imperfect is a parallel contact inductance LM and electrostatic capacitance CE are determined , by the MLGNR geometry and its connectionresistance isof neglected,the resistance and ofthe each Fermi layer, level i.e., is 𝑅 set = as∑ 0.6𝑅 eV.. TheAs shownper-unit-length in Figure (p.u.l.) 5, the magneticMLGNR surrounding dielectrics. By applying the boundary conditions, the equivalent kinetic inductance LK inductanceinterconnects 𝐿 with and fullyelectrostatic specular capacitance edges show 𝐶 su areperior determined performance by the overMLGNR Cu wiresgeometry at both and theits and quantum capacitance CQ in the ESC model can be obtained by recursive schemes [40,41]. As the surrounding dielectrics. By applying the boundary conditions, the equivalent kinetic inductance 𝐿 kineticintermediate inductance and global is much levels. larger Such than an the advantage magnetic inductance,can be enhanced the equivalent with the kinetic feature inductance size scaling in and quantum capacitance 𝐶 in the ESC model can be obtained by recursive schemes [40,41]. As the thedown. ESC However, model can when be expressed the specularit as [28y]: parameter decreases to 0.8, the electrical performance of the kineticMLGNR inductance interconnects is much will larger degrade than theto themagnetic comparable inductance, level theof equivalentCu wires. kineticTherefore, inductance it can bein theconcluded ESC model that canthe MLGNRbe expressed interconnects as [28]: have 20the potential to outperform conventional Cu wires at LK nH/µm (4) an advanced technology node, and more attention≈ 3NWE20 shouldF be paid to the edge quality. 𝐿 ≈ nH⁄ 𝜇m (4) 3𝑁𝑊𝐸

(1,1)The equivalent(1,1) (1,2) quantum(2,3) capacitance(N-1,N) is mainly(N,N) determined by the mutual coupling one between RS dz L K dz LM dz LM dz L M dz LM dz the1 adjacent layers. As the number of layers is larger than eight, the equivalenti quantum capacitance (1,1) C dz RSdz LKdz LMdz CQdz (2,2) L(2,2)dz L(2,3)dz L(N-1,N)dz L(N,N)dz Q canR beS dz givenK by: M M M v 2 CEdz (1,2) (2,2) C E dz z z+dz CQ dz (N,N) (N,N) (N,N) iin1 R dz L dz L dz i iout S K 𝐶M ≈ 120𝑊𝐸 1(2,3) + 1+ aF⁄ 𝜇m (5) N C E dz 2.76𝐸 Rc/2 Rc/2 C(N,N)dz Q (N-1,N) vin v vout C E dz (N,N) z=0 z=L Based on the extracted parameters,C E dz the 50% propagation delay can be calculated by [42]:

.. (a) 𝜏% = 1.48𝜉 + e 𝐿𝐿𝐶𝐿+𝐶(b) (6) whereFigure 𝑅 is 4. (the(aa)) Multi-conductor driver resistance, transmission transmission 𝐶 is the line line load model model capacitance, and ( b) equivalent 𝐿 =𝐿 single-conductor +𝐿 , 𝐶 =1𝐶 (ESC) ⁄ + transmission line model ofof side-contactedside-contacted MLGNRMLGNR interconnectinterconnect [[38].38]. 1𝐶⁄ , and

The equivalent quantum capacitance is mainly determined by the mutual coupling one between 1 𝐶𝐿 𝑅𝐿 𝑅 𝐶 𝑅 𝐶 the adjacent layers.𝜉= As the+𝐶 number𝐿 of layers+ is+𝑅 larger than eight,+𝑅𝐿+ the equivalent+𝑅 quantum capacitance (7) 7 2 𝐶 2 2 𝐿 14 nm node2 𝐿 𝐶 𝐿 can be given by:14 nm node 22 nm node 4 22 nm node Due to large driver resistance, nanocarbon interconnects will not exhibit superior performance compared5 to conventional Cu wires at the local level. So our attention is focused on the intermediate 3 level and global level MLGNR interconnects. Figure 5 shows the time delay ratios of MLGNR and Cu wires at the intermediate level and global level, respectively. The inverterτ /τ size, p=1 is assumed as 50 τ τ Cu MLGNR / , p=1 Delay ratio Delay ratio Delay 3 Cu MLGNR 2 τ /τ , p=0.8 Cu MLGNR τ /τ , p=0.8 Cu MLGNR 1 1 10 100 1000 100 1000 2000 μ Length [μm] Length [ m] (a) (b)

Figure 5. Time delay ratios of MLGNR interconnects and Cu wires at the (a) intermediate level and (b) global level [28].

2.2. Vertical Graphene Nanoribbon (VGNR) Interconnects Although the MLGNR interconnects show excellent electrical properties in terms of low resistance and high reliability, they may hinder the heat dissipation in vertical direction due to the anisotropic property [43]. To resolve this issue, the scheme of vertical graphene nanoribbon (VGNR) interconnect, as shown in Figure 6, was proposed and studied in [44]. Unlike the top-contacted MLGNR interconnect shown in Figure 2b, each layer in VGNR interconnect can participate in the electron transport, thereby reducing the contact resistance. Appl. Sci. 2019, 9, 2174 5 of 21

 r   1  CQ 120WEF1 + 1 + aF/µm (5) Appl. Sci. 2019, 9, 2174 ≈  2.76EF  5 of 20

andBased 100 times on the larger extracted than parameters,the minimum-sized the 50% gate, propagation respectively, delay at can these be levels. calculated The byimperfect [42]: contact resistance is neglected, and the Fermi level is setq as 0.6 eV. As shown in Figure 5, the MLGNR  2.9ξ1.35  interconnects with fullyτ 50%specular= 1.48 edgesξ + e −show superiorLESCL (performanceCESCL + CL) over Cu wires at both(6) the intermediate and global levels. Such an advantage can be enhanced with the feature size scaling wheredown.R dHowever,is the driverwhen the resistance, specularitCyL parameteris the load decreases capacitance, to 0.8, theLESC electrical= LK performance+ LM, CESC of= the   1 1/MLGNRCQ + 1/ CinterconnectsE − , and will degrade to the comparable level of Cu wires. Therefore, it can be concluded that the MLGNR interconnects have the potential to outperform conventional Cu wires at  s  r r 2 an advanced1 technologyC L node, andR L moreR attention C should be paidR to the edge quality.C  ξ = ESC + C L S + c + R ESC + R L + c + R L  (7) ESC  d S d 2  2 CL  2 2 LESC 2 LESCCESCL 

(1,1) (1,1) (1,2) (2,3) (N-1,N) (N,N) RS dz L K dz LM dz LM dz L M dz LM dz 1Due to large driver resistance, nanocarbon interconnects will noti exhibit superior performance (1,1) RSdz LKdz LMdz CQdz (2,2) (2,2) (2,3) (N-1,N) (N,N) CQ dz comparedRS dz toL K conventionaldz LM dz Cu wiresL M dz at theL localM dz level. So our attention isv focused on the intermediate 2 CEdz level and global level MLGNR interconnects. Figure5 shows(1,2) the time delay ratios of MLGNR and Cu (2,2) C E dz z z+dz CQ dz wires at(N,N) the intermediate(N,N) level and(N,N) global level, respectively. Theiin inverter size is assumed as 50 and 100 i iout R S dz L K dz LM dz (2,3) N C E dz times larger than the minimum-sized gate, respectively, at these levels.Rc/2 The imperfect contact resistanceRc/2 C(N,N)dz is neglected, and the Fermi level isQ set as 0.6(N-1,N) eV. As shown invin Figure5, the MLGNRv interconnectsvout C E dz (N,N) z=0 z=L with fully specular edges show superiorC E dz performance over Cu wires at both the intermediate and global levels. Such an advantage can be enhanced with the feature size scaling down. However, when (a) (b) the specularity parameter decreases to 0.8, the electrical performance of the MLGNR interconnects will degradeFigure to4. the(a) Multi-conductor comparable level transmission of Cu wires. line Therefore,model and it(b can) equivalent be concluded single-conductor that the MLGNR (ESC) interconnectstransmission have theline potential model of toside-contacted outperform MLGNR conventional interconnect Cu wires [38]. at an advanced technology node, and more attention should be paid to the edge quality.

7 14 nm node 14 nm node 22 nm node 4 22 nm node

5 3

τ /τ , p=1 τ τ Cu MLGNR / , p=1 Delay ratio Delay ratio Delay 3 Cu MLGNR 2 τ /τ , p=0.8 Cu MLGNR τ /τ , p=0.8 Cu MLGNR 1 1 10 100 1000 100 1000 2000 μ Length [μm] Length [ m] (a) (b)

FigureFigure 5. Time5. Time delay delay ratios ratios of MLGNR of MLGNR interconnects interconnects and and Cu wires Cu wires at the at ( athe) intermediate (a) intermediate level andlevel (b and) global(b) global level [ 28level]. [28].

2.2.2.2. Vertical Vertical Graphene Graphene Nanoribbon Nanoribbon (VGNR) (VGNR) Interconnects Interconnects AlthoughAlthough the the MLGNR MLGNR interconnects interconnects show excellentshow excelle electricalnt electrical properties properties in terms of in low terms resistance of low andresistance high reliability, and high they reliability, may hinder they the may heat hinder dissipation the heat in dissipation vertical direction in vertical due todirection the anisotropic due to the propertyanisotropic [43]. property To resolve [43]. this To issue, resolve the schemethis issue, of verticalthe scheme graphene of vertical nanoribbon graphene (VGNR) nanoribbon interconnect, (VGNR) asinterconnect, shown in Figure as6 shown, was proposed in Figure and 6, studied was proposed in [ 44]. Unlikeand studied the top-contacted in [44]. Unlike MLGNR the interconnecttop-contacted shownMLGNR in Figure interconnect2b, each layershown in VGNRin Figure interconnect 2b, each laye canr participate in VGNR ininterconnect the electron can transport, participate thereby in the reducingelectron the transport, contact resistance.thereby reducing the contact resistance. Appl.Appl. Sci. Sci.2019 2019, 9,, 21749, 2174 6 of6 of 21 20 Appl. Sci. 2019, 9, 2174 6 of 20 Ground Ground Tox W Tox W S Electrode H S Electrode H Rc

Rc T z ox S=W T VGNR Rc ox Ground S=W z Rc x Ground VGNR 0 x 0 Figure 6. Schematic of vertical graphene nanoribbon (VGNR) interconnect. FigureFigure 6.6. Schematic of vertical graphene nanoribbon (VGNR) interconnect.interconnect. As described in [45], the vertical graphene layers have been realized experimentally. Definitely, As described in [45], the vertical graphene layers have been realized experimentally. Definitely, furtherAs described improvement in [45], in the the vertical fabrication graphene of long layers and havehighly been aligned realized VGNRs experimentally. is still required Definitely, for their further improvement in the fabrication of long and highly aligned VGNRs is still required for their furtherpractical improvement usage as on-chip in the fabricationinterconnects of [46].long Aand possible highly fabrication aligned VGNRs process is isstill outlined required briefly for their in the practical usage as on-chip interconnects [46]. A possible fabrication process is outlined briefly in the practicalfollowing. usage First, as on-chipa catalyst interconnects layer is sputtered [46]. A possibleto grow fabricationgraphene layers. process Then, is outlined the vertical briefly graphene in the following. First, a catalyst layer is sputtered to grow graphene layers. Then, the vertical graphene following.layers grow First, below a catalyst these layers,layer is which sputtered are removed to grow subsequently.graphene layers. VGNR Then, interconnects the vertical are graphene obtained layers grow below these layers, which are removed subsequently. VGNR interconnects are obtained layersfinally grow by patterningbelow these the layers, vertical which graphene are removed layers .subsequently. As aforementioned, VGNR VGNRsinterconnects can also are be obtained assumed finally by patterning the vertical graphene layers. As aforementioned, VGNRs can also be assumed to finallyto be bydecoupled patterning [33,34,39], the vertical and graphenethe resistance layers is .a As parallel aforementioned, connection VGNRsof the resistance can also ofbe each assumed layer. be decoupled [33,34,39], and the resistance is a parallel connection of the resistance of each layer. to be decoupledFigure 7a [33,34,39],shows the andp.u.l. the resistance resistance of ais monolayer a parallel connection GNR interconnect of the resistance with a 400 of µm each length layer. and Figure7a shows the p.u.l. resistance of a monolayer GNR interconnect with a 400 µm length and theFigure 0.2 eV 7a Fermi shows level. the p.u.l. It is resistance shown that of a monolayerthe resistance GNR of interconnect a monolayer with GNR a 400 increases µm length with and the the 0.2 eV Fermi level. It is shown that the resistance of a monolayer GNR increases with the decreasing thedecreasing 0.2 eV Fermi width. level. For GNRsIt is shown with 𝑝<1that ,the the resistance resistance ofincreases a monolayer superlinearly GNR increases with the withdecreasing the width. For GNRs with p < 1, the resistance increases superlinearly with the decreasing width when decreasingwidth when width. the Forwidth GNRs becomes with 𝑝<1smaller, the than resistance 10 nm. increases This superlinear superlinearly relationship with the between decreasing GNR the width becomes smaller than 10 nm. This superlinear relationship between GNR resistance and widthresistance when andthe width becomes moresmaller significant than 10 nm.as 𝑝 This decreases. superlinear Note relationshipthat for on-chip between interconnect GNR width becomes more significant as p decreases. Note that for on-chip interconnect applications, the resistanceapplications, and widththe aspect becomes ratio moreis always significant larger thanas 𝑝 1 decreases. [2]. Therefore, Note it that can forbe concludedon-chip interconnect that VGNR, aspect ratio is always larger than 1 [2]. Therefore, it can be concluded that VGNR, which has a larger applications,which has thea larger aspect GNR ratio width, is always possesses larger thansmaller 1 [2]. re sistivityTherefore, than it can horizontal be concluded MLGNR. that FigureVGNR, 7b GNR width, possesses smaller resistivity than horizontal MLGNR. Figure7b shows the time delay whichshows has the a timelarger delay GNR of width,Cu, horizontal possesses MLGNR, smaller and resistivity VGNR interconnectsthan horizontal at theMLGNR. intermediate Figure level.7b of Cu, horizontal MLGNR, and VGNR interconnects at the intermediate level. It can be found that showsIt can the be time found delay that of theCu, decreasehorizontal in MLGNR, specularit andy parameter VGNR interconnects can effectively at the improve intermediate the electrical level. the decrease in specularity parameter can effectively improve the electrical performances of GNR It performancescan be found ofthat GNR the interconnects. decrease in specularit When 𝑝=1y parameter, the horizontal can effectively MLGNR andimprove VGNR the show electrical similar interconnects. When p = 1, the horizontal MLGNR and VGNR show similar performances. As is well performancesperformances. of AsGNR is wellinterconnects. known, however, When 𝑝=1 the perfec, the horizontaltly specular MLGNR edges are and difficult VGNR to show fabricate similar [47]. known, however, the perfectly specular edges are difficult to fabricate [47]. Therefore, in practical performances.Therefore, in As practical is well applications, known, however, for 𝑝<1 the perfec, the VGNRtly specular interconnect edges canare difficultprovide smallerto fabricate time [47]. delay applications, for p < 1, the VGNR interconnect can provide smaller time delay than horizontal MLGNR Therefore,than horizontal in practical MLGNR applications, interconnect. for 𝑝<1 In, the other VGNR words, interconnect VGNR can interconnect provide smaller requires time delaysmaller interconnect. In other words, VGNR interconnect requires smaller specularity parameter than the thanspecularity horizontal parameter MLGNR than interconnect. the horizontal In MLGNR other words, one, thereby VGNR reducing interconnect the process requires difficulty. smaller horizontalspecularity MLGNR parameter one, than thereby the horizontal reducing theMLGNR process one, di ffitherebyculty. reducing the process difficulty.

300 m) p=0 (fully diffusive) VGNR μ

300/

m) p=0.2 60 Horizontal MLGNR Ω p=0 (fully diffusive) VGNR μ / p=0.4 E =0.2 eV p=0.2 60 HorizontalF MLGNR Ω p=0.4 p=0.6 E =0.2 eV F 200 p=0.6 p=0.8 200 p=0.8 p=1 (fully specular) 40 p=1 (fully specular) 40

p=0.2 p=0.8 100 Time delay (ns) 20 p=0.2 p=0.8 100 Time delay (ns) 20 p=1 0 p=1 Per-unit-length resistance (k resistance Per-unit-length 0 100 200 300 400 500 21 18 15 12 9 0 Per-unit-length resistance (k resistance Per-unit-length 0 Length (μm) 21 18 15Width (nm) 12 9 100 200 300 400 500 Length (μm) Width(a) (nm) (b) (a) (b) FigureFigure 7. (7.a) ( Per-unit-lengtha) Per-unit-length resistance resistance of of the the monolayer monolaye GNRr GNR with with di ffdifferenterent specularity specularity parameters. parameters. (Figureb)( Propagationb) Propagation 7. (a) Per-unit-length delay delay of intermediateof intermediate resistance level of level the Cu, monolaye Cu, horizontal horizontalr GNR MLGNR, MLGNR, with different and and VGNR VGNR specularity interconnects interconnects parameters. at theat the 7.5(b)7.5 nmPropagation nm technology technology delay node node of [ 44intermediate [44].]. level Cu, horizontal MLGNR, and VGNR interconnects at the 7.5 nm technology node [44]. AsAs described described earlier, earlier, the graphene the graphene is an anisotropic is an anisotropic material, and material, its out-plane and thermalits out-plane conductivity thermal isconductivity muchAs lowerdescribed thanis muchearlier, the in-planelower the graphenethan one. the Here, in-planeis thean in-planeanisotropic one. Here, and material, out-planethe in-plane and thermal itsand out-plane conductivitiesout-plane thermal thermal of grapheneconductivity are assumedis much aslower 1750 Wthan/m Kthe and in-plane 10 W/m one.K, respectively Here, the [48in-plane]. Under and such out-plane circumstance, thermal the conductivities of graphene are assumed· as 1750 ·W/m·K and 10 W/m·K, respectively [48]. Under such conductivitiescircumstance, of thegraphene horizontal are assumed MLGNR as would 1750 W/m·K hinder andheat 10 dissipation W/m·K, respectively in vertical [48].direction, Under thereby such circumstance, the horizontal MLGNR would hinder heat dissipation in vertical direction, thereby Appl. Sci. 2019, 9, 2174 7 of 21

horizontalAppl. Sci. 2019 MLGNR, 9, 2174 would hinder heat dissipation in vertical direction, thereby threatening the7 ICof 20 performance and reliability. It has been found that VGNR interconnects are likely to solve this problem. Figurethreatening8a depicts the the IC unit performance cell for simulation and reliability. performed It has in commercialbeen found softwarethat VGNR COMSOL interconnects Multiphysics, are likely withto thesolve geometrical this problem. parameters Figure 8a adopted depicts atthe the unit 7.5 cell nm for technology simulation node performed from ITRS in commercial projection [software2]. In theCOMSOL simulation, Multiphysics, an equivalent with packaging the geometrical layer is considered, parameters and adopted the bottom at the junction 7.5 nm temperature technology and node thefrom top ambientITRS projection temperature [2]. In are the assumed simulation, as 378 an K equivalent and 318 K, packaging respectively. layer By injectingis considered, power and into the thebottom topmost junction global interconnect,temperature theand temperature the top ambient rise as temperature a function of are input assumed power canas 378 be depictedK and 318 as K, shownrespectively. in Figure 8Byb andinjecting the plots power the temperatureinto the topmost profiles global could interconnect, be obtained as the shown temperature in Figure 8risec. Itas a is evidentfunction that of input the heat power dissipation can be depicted problems, as whichshown isin crucial Figure for8b and future the nanoscale plots the temperature ICs development, profiles cancould be eff beectively obtained avoided as shown by VGNR in Figure interconnects 8c. It is evident [49]. that the heat dissipation problems, which is crucial for future nanoscale ICs development, can be effectively avoided by VGNR interconnects [49].

(a) (b) (c)

FigureFigure 8. (8.a) ( Unita) Unit cell cell for for simulation. simulation. (b) ( Maximumb) Maximum temperature temperature rise rise on on interconnects interconnects versus versus input input power.power. (c) ( Temperaturec) Temperature profiles profiles of theof the unit unit cell cell with with a power a power of 5ofµ 5W µW input input at theat the topmost topmost global global interconnectinterconnect [44 ].[44].

3. CNT Interconnects Similar with GNR, CNT possesses long MFP, high ampacity, and large thermal conductivity [14, 16,503. –CNT52]. BasedInterconnects on the Luttinger liquid theory, Burke firstly developed the transmission line model of a metallicSimilar SWCNT with interconnect GNR, CNT [53 possesses]. The equivalent long MFP, circuit high model ampacity, of an isolatedand large SWCNT thermal interconnect conductivity is the[14,16,50–52]. same as the Based circuit on model the Luttinger shown in liquid Figure theory,2a, with Burke the CNTfirstly diameter developed denoted the transmission as Dcnt. The line numbermodel of of conducting a metallic channelsSWCNT ofinterconnect a metallic SWCNT [53]. The is equivalent 2, and the MFPcircuit is usuallymodel of 1000 anD isolatedcnt. Although SWCNT aninterconnect SWCNT possesses is the same many as unitthe circuit properties model and show somen in e Figurefforts have 2a, with been the devoted CNT diameter to reducing denoted the as

SWCNT𝐷. The resistance number by of , conducting an isolated channels SWCNT of a metallic is still tooSWCNT resistive is 2, for and interconnect the MFP is applicationsusually 1000 in𝐷. high-performanceAlthough an SWCNT ICs [54 ,55possesses]. It can onlymany be unit used properties in some specific and some applications efforts suchhave as been subthreshold devoted to circuitsreducing and sub-10the SWCNT nm circuits resistance [56–58 by]. Todoping, reduce an the isolated CNT resistance, SWCNT is three still kinds too resistive of CNT interconnects,for interconnect i.e.,applications monolayer SWCNT,in high-performance bundled SWCNT, ICs [54,55]. and MWCNT It can only interconnects, be used in havesome beenspecific widely applications studied, assuch shownas subthreshold in Figure9. circuits and sub-10 nm circuits [56–58]. To reduce the CNT resistance, three kinds of CNTAs discussedinterconnects, in [59 i.e.,], for monolayer short local SWCNT, interconnects, bundled the interconnectSWCNT, and resistances MWCNT areinterconnects, not important, have whilebeen their widely capacitances studied, as play shown a key in role.Figure So 9. the electrical performance can be improved by using low aspect ratio interconnects such as monolayer metallic SWCNT. It can be seen in Figure 10a that monolayer SWCNT interconnect possesses smaller parasitic capacitance than Cu wire. Therefore, it can be expected that monolayer SWCNT interconnects can reduce powerW/2 dissipation and crosstalk noise [60]. Figure 10b depicts the energy-delay product (EDP) ratio between Cu wire and monolayer Dcnt=1 nm T SWCNT interconnect. Here, the imperfect contact resistance is neglected as it is highly dependent on the process. As the length exceeds 20 µm, the EDP ratio becomes larger than 1, indicating that the W W W monolayer SWCNT interconnectH can provide better performanceH than the Cu counterpart.H Moreover, the advantage of monolayer SWCNT interconnect becomes more evident for smaller inverter size. Monolayer SWCNT Bundled SWCNT MWCNT

(a) (b) (c)

Figure 9. Cross-sectional views of (a) monolayer SWCNT, (b) bundled SWCNT, and (c) MWCNT interconnects. Appl. Sci. 2019, 9, x FOR PEER REVIEW 7 of 20 conductivities of graphene are assumed as 1750 W/m·K and 10 W/m·K, respectively [48]. Under such circumstance, the horizontal MLGNR would hinder heat dissipation in vertical direction, thereby threatening the IC performance and reliability. It has been found that VGNR interconnects are likely to solve this problem. Figure 8(a) depicts the unit cell for simulation performed in commercial software COMSOL Multiphysics, with the geometrical parameters adopted at the 7.5 nm technology node from ITRS projection [2]. In the simulation, an equivalent packaging layer is considered, and the bottom junction temperature and the top ambient temperature are assumed as 378 K and 318 K, respectively. By injecting power into the topmost global interconnect, the temperature rise as a function of input power can be depicted as shown in Figure 8(b) and the plots the temperature profiles could be obtained as shown in Figure 8(c). It is evident that the heat dissipation problems, which is crucial for future nanoscale ICs development, can be effectively avoided by VGNR interconnects [49].

3. CNT interconnects Similar with GNR, CNT possesses long MFP, high ampacity, and large thermal conductivity [14, 16,50–52]. Based on the Luttinger liquid theory, Burke firstly developed the transmission line model of a metallic SWCNT interconnect [53]. The equivalent circuit model of an isolated SWCNT interconnect is the same as the circuit model shown in Figure 2(a), with the CNT diameter denoted as 퐷cnt . The number of conducting channels of a metallic SWCNT is 2, and the MFP is usually 1000퐷cnt. Although an SWCNT possesses many unit properties and some efforts have been devoted to reducing the SWCNT resistance by doping, an isolated SWCNT is still too resistive for interconnect applications in high-performance ICs [54,55]. It can only be used in some specific applications such as subthreshold circuits and sub-10 nm circuits [56–58]. To reduce the CNT resistance, three kinds of CNTAppl. interconnectsSci. 2019, 9, 2174 , i.e., monolayer SWCNT, bundled SWCNT, and MWCNT interconnects, have8 of 21 been widely studied, as shown in Figure 9. Appl. Sci. 2019, 9, 2174 8 of 20 W/2 As discussed in [59], for short local interconnects, the interconnect resistances are not important, D =1 nm T while their capacitancescnt play a key role. So the electrical performance can be improved by using low aspect ratio interconnects such as monolayer metallic SWCNT. It can be seen in Figure 10a that monolayer SWCNTW interconnect possesses smallerW parasitic capacitance thanW Cu wire. Therefore, it H H H can be expected that monolayer SWCNT interconnects can reduce power dissipation and crosstalk noise [60]. Figure 10b depicts the energy-delay product (EDP) ratio between Cu wire and monolayer Monolayer SWCNT Bundled SWCNT MWCNT SWCNT interconnect. Here, the imperfect contact resistance is neglected as it is highly dependent on the process. As the( alength) exceeds 20 µm, the(b EDP) ratio becomes larger(c than) 1, indicating that the monolayerFigureFigure 9. 9.Cross SWCNT-Cross-sectionalsectional interconnect views of views can(a) monolayer provide of (a) monolayerbetter SWCNT, performance (b SWCNT,) bundled than ( bSWCNT,) the bundled Cu and counterpart. SWCNT,(c) MWCNT andMoreover, theinterconnects(c advantage) MWCNT. interconnects. of monolayer SWCNT interconnect becomes more evident for smaller inverter size.

5 As discussed60 in [59], for short local interconnects, the interconnect 5×min-sized resis invertertances are not important, C 50×min-sized inverter while their capacitances play a key role.c So the electrical performance4 can be improved by using low 50 Cu SWCNT aspect ratio m) interconnects such as monolayer metallic SWCNT. It can be seen in Figure 10(a) that

μ Monolayer SWCNT monolayer SWCNT40 interconnect possesses smaller parasitic/EDP 3 capacitance than Cu wire. Therefore, it Cu can be expected that monolayer SWCNTCc interconnects can reduce power dissipation and crosstalk 30 C 2 noise [60]. Figure 10(b) depictsg the energy-delay product (EDP) ratio between Cu wire and monolayer Cg SWCNT interconnect. Here, the imperfect contact resistance is neglected as it is highly dependent on 20 1 Capacitance (aF/

the process. As the lengthC exceeds 20 µm, the EDP ratioEDP Gain, EDP becomes larger than 1, indicating that the c monolayer SWCNT10 interconnect can provide better performance0 than the Cu counterpart. Moreover, 24 19 15 12 9.5 7.5 6 1 1020 100 500 the advantage of monolayerTechnology SWCNT Node (nm) interconnect becomes more evident for smaller inverter size. Length (μm) (a) (b)

FigureFigure 10. 10.(a) ( Parasitica) Parasitic capacitances capacitances of Cuof Cu and and monolayer monolayer SWCNT SWCNT interconnects. interconnects. (b )(b Energy-delay) Energy-delay productproduct ratio ratio between between Cu Cu and and monolayer monolayer SWCNT SWCNT interconnects interconnects at theat the 19 nm19 nm technology technology node node [60 ].[60].

ToTo further further reduce reduce the the interconnect interconnect resistance, resistance, as as shown shown in in Figure Figure9b, 9b, the the bundled bundled SWCNTs SWCNTs have have beenbeen proposed proposed and and manufactured manufactured [61 [61–63].–63]. It It is is worth worth noting noting that that for for the the pristine pristine SWCNTs SWCNTs with with randomrandom distribution distribution of chirality, of chirality, one-third one-third are metallic are andmetallic two-thirds and aretwo-thirds semiconducting. are semiconducting. Researchers haveResearchers been continually have been tasked continually to improve thetasked metallic to fraction.improve Forthe instance, metallicHarutyunyan, fraction. For et al.instance, [64] successfullyHarutyunyan, fabricated et al. [64] a bundled successfully SWCNTs fabricated with very a bundled high metallic SWCNTs fraction with of very 0.91. high Based metallic on physical fraction models,of 0.91. Naeemi, Based on et al.physical [65] developed models, Naeemi, the circuit et al. model [65] fordeveloped bundled the SWCNT circuit interconnectmodel for bundled and demonstratedSWCNT interconnect its potential and for demonstrated solving several its major potential challenges for solving facing several gigascale major integrated challenges systems. facing Besidesgigascale the applicationsintegrated systems. as horizontal Besides interconnects, the applications bundled as horizontal SWCNTs interconnects, were also proposed bundled as on-chipSWCNTs viaswere to reduce also proposed temperature as on-chip rise and vias increase to reduce the electromigration temperature rise resistance and increase [66–69 ].the electromigration resistanceUnlike SWCNTs, [66–69]. MWCNTs are always metallic. By assuming one-third of shells are metallic, the numberUnlike of conducting SWCNTs, channels MWCNTs of a shellare always in an MWCNTmetallic. canBy assuming be calculated one-third by [70 ]of shells are metallic, the number of conducting channels of a shell in an MWCNT can be calculated by [70] ( 5 2.04 10 TD + 0.425, D > DT/T N = × (8) ch 2.04 × 10 𝑇𝐷D D + 0.425,T 𝐷 > ⁄𝑇 𝑁2=/3, < T/ (8) 23⁄ , 𝐷 < 𝐷 ⁄𝑇 where DT = 1300 nm K. For an MWCNT interconnect, as shown in Figure9c, it is usually assumed where 𝐷 = 1300 nm· ⋅ K. For an MWCNT interconnect, as shown in Figure 9c, it is usually assumed thatthat the the innermost innermost shell shell diameter diameter is is half half ofof thethe outermostoutermost shell diameter, diameter, and and the the aspect aspect ratio ratio is is2, 2,i.e., i.e.,two two parallel parallel MWCNTs MWCNTs are are stacked. stacked. In general,general, anan MWCNTMWCNT possessespossesses a a longer longer MFP MFP but but smaller smaller numbernumber of of conducting conducting channels channels than than the the bundled bundled SWCNTs SWCNTs with with the the same same cross-sectional cross-sectional dimensions. dimensions. ForFor MWCNT MWCNT interconnects, interconnects, Li, Li, et al.et al. [71 [71]] developed developed a multiconductora multiconductor transmission transmission line line model, model, which which waswas further further simplified simplified as an as ESC an transmissionESC transmission line model line model [40]. It [40]. was demonstratedIt was demonstrated that the ESCthat modelthe ESC canmodel accurately can accurately predict the predict time delay the time and delay facilitate and the facilitate simulation the simulation speed although speed it although would result it would in anresult overestimation in an overestimation of peak crosstalk of peak [72]. Basedcrosstalk on the[72]. ESC Based model, on Liang,the ESC et al.model, [73] characterized Liang, et al. the [73] performancecharacterized of MWCNTthe performance interconnects of MWCNT by using interconne the finite-dicts byff erenceusing the time-domain finite-difference (FDTD) time-domain method. (FDTD) method. Further, some studies have been conducted to analyze the crosstalk, variability, Joule heating, and electrostatic discharge (ESD) reliability of CNT interconnects [64,74–83]. Appl. Sci. 2019, 9, 2174 9 of 21

Appl.Further,Appl. Sci. Sci. 2019 2019 some, ,9 9, ,2174 2174 studies have been conducted to analyze the crosstalk, variability, Joule heating,99 of andof 2020 electrostatic discharge (ESD) reliability of CNT interconnects [64,74–83]. On the other hand, to reduce the interconnect delay, the repeater insertion is usually employed OnOn thethe otherother hand,hand, to to reduce reduce the the interconnect interconnect delay, delay, the the repeater repeater insertion insertion is usuallyis usually employed employed in in the design of high-performance VLSI [42]. Previous studies have been carried out to investigate thein the design design of high-performanceof high-performance VLSI VLSI [42 ].[42]. Previous Previous studies studies have have been been carried carried out toout investigate to investigate the the optimal repeater insertion for CNT interconnects [75,84]. Unfortunately, these studies didn’t optimalthe optimal repeater repeater insertion insertion for CNT for interconnectsCNT interconnect [75,84s]. [75,84]. Unfortunately, Unfortunately, these studies these didn’t studies consider didn’t consider the impact of metal-CNT contact resistance, which would be added along with each inserted theconsider impact the of impact metal-CNT of metal-CNT contact resistance, contact resistance, which would which be would added be along added with along each with inserted each repeaterinserted repeater and surely influence the time delay, as shown in Figure 11 [85]. To resolve this issue, an andrepeater surely and influence surely influence the time delay,the time as showndelay, as in Figureshown 11in[ Figure85]. To 11 resolve [85]. To this resolve issue, anthis analytical issue, an analytical expression of the optimal repeater number was derived based on the Elmore delay expressionanalytical expression of the optimal of repeaterthe optimal number repeater was derivednumber basedwas derived on the Elmore based delayon the equation Elmore in delay [86]. equation in [86]. Furthermore, the influence of inductance on the repeater design in an MWCNT Furthermore,equation in [86]. the Furthe influencermore, of inductance the influence on theof inductance repeater design on the in repeater an MWCNT design interconnect in an MWCNT was interconnect was considered [87]. Using the multivariable curve fitting technique, the closed-form consideredinterconnect [87 was]. Using considered the multivariable [87]. Using curve the fittingmultiv technique,ariable curve the closed-formfitting technique, expressions the closed-form of optimal expressions of optimal repeater size and the optimal number of segments can be obtained. Definitely, repeaterexpressions size of and optimal the optimal repeater number size and of the segments optimalcan number be obtained. of segments Definitely, can be obtained. the smaller Definitely, contact the smaller contact resistance is, the better performance can be achieved. Here, the maximum tolerant resistancethe smaller is, contact the better resistance performance is, thecan better be achieved.performance Here, can the be maximumachieved. Here, tolerant the contact maximum resistance tolerant of contact resistance of MWCNT interconnect is defined, beyond which the total time delay of MWCNT MWCNTcontact resistance interconnect of MWCNT is defined, interconnect beyond which is defi thened, total beyond time delay which of MWCNTthe total time interconnect delay of wouldMWCNT be interconnect would be larger than that of Cu counterpart. Figure 12 gives the minimum time delay largerinterconnect than that would of Cu be counterpart. larger than Figure that of 12 Cu gives counterpart. the minimum Figure time 12 delay gives of the an MWCNTminimum interconnect time delay of an MWCNT interconnect versus contact resistance. It is shown that the maximum tolerant contact versusof an MWCNT contact resistance.interconnect It versus is shown contact that theresistance maximum. It is tolerantshown that contact the maximum resistance tolerant increases contact from resistance increases from 3.3 kΩ to 10.5 kΩ as the technology node scales from 14 nm to 7 nm. 3.3resistance kΩ to 10.5increases kΩ as from the technology 3.3 kΩ to node10.5 k scalesΩ as the from technology 14 nm to 7node nm. scales Considering from 14 the nm size to e7ff nm.ect, Considering the size effect, however, the contact resistance will be scaled up 4 times from 14 nm node however,Considering the the contact size effect, resistance however, will bethe scaled contact up resistance 4 times fromwill be 14 scaled nm node up 4 to times 7 nm from node. 14 nm In other node to 7 nm node. In other words, more efforts should be put on reducing the contact resistance with the words,to 7 nm more node. e ffInorts other should words, be putmore on efforts reducing should the contactbe put on resistance reducing with the thecontact technology resistance advanced. with the technologytechnology advanced.advanced.

hh hh hh hh R /2 R /2 R /2 R /2 Rcc/2 Rcc/2 Rcc/2 Rcc/2

FigureFigure 11. 11. Repeater RepeaterRepeater insertion insertioninsertion in inin a a long long gl globalglobalobal nanocarbon nanocarbon interconnects. interconnects.

44 MWCNT MWCNT interconnect interconnect Cu Cu interconnect interconnect L=2000 μμm 3 L=2000 m 3 77 nm nm node node (ns) (ns) min min

22

11 1414 nm nm node node Minimum delay T Minimum delay T

04812048123.33.3 10.510.5 Contact resistance R (kΩ) Contact resistance Rc (kΩ) c FigureFigure 12.12. MinimumMinimum timetime delaydelay versusversus contact contact resistance resistance for for 2000 20002000 μµμm-longm-long Cu CuCu and and MWCNT MWCNT interconnectsinterconnects [87]. [[87].87].

AsAs thethe ICIC featurefeature sizesizesize scalesscalesscales down,down,down, interconnectsinterconnectsinterconnects consumeconsumeconsume moremoremore andandand moremoremore power.power.power. Simply Simply ignoringignoring thethethe power powerpower consumed consumedconsumed by by repeatersby repeatersrepeaters would wouldwould cause causecause an overestimation anan overestimationoverestimation of optimal ofof optimaloptimal repeater repeaterrepeater number. number.Therefore,number. Therefore,Therefore, some repeater somesome insertion repeaterrepeater methodologies insertioninsertion methodologiesmethodologies have been developed havehave beenbeen targeting developeddeveloped in reducing targetingtargeting power inin reducingdissipationreducing powerpower of conventional dissipationdissipation Cu ofof interconnects conventionalconventional [88 CuCu,89 ]. interconnectsinterconnects Further, a repeater [88,89].[88,89]. design Further,Further, methodology aa repeaterrepeater to design reducedesign methodologydelaymethodology and power toto reduce ofreduce CNT delay interconnectsdelay andand powerpower has been ofof CNTCNT proposed interconnectsinterconnects in [90], with hashas thebbeeneen metal-CNT proposedproposed contact inin [90],[90], resistance withwith thethe metal-CNTtreatedmetal-CNT appropriately. contactcontact resistanceresistance In [90], treatedtreated the particle appropriately.appropriately. swarm optimization InIn [90],[90], thethe particle (PSO)particle algorithm swarmswarm optimizationoptimization was employed (PSO)(PSO) to algorithmcapturealgorithm the waswas optimal employedemployed values to ofto repeatercapturecapture the sizethe optimal andoptimal repeater valuvalues number.es ofof repeaterrepeater To facilitate sizesize andand the repeater simulationrepeater number.number. speed, theToTo facilitateobtainedfacilitate the datathe simulationsimulation was then used speed,speed, to thethe train obtainedobtained a neural datadata network, waswas thenthen as shown usedused toto in traintrain Figure aa neural neural13a. It network,network, was demonstrated asas shownshown inthatin FigureFigure the trained 13a.13a. ItIt neural waswas demonstrateddemonstrated network can predict thatthat thethe the traitrai optimalnedned neuralneural repeater networknetwork size and cancan number predictpredict rapidly thethe optimaloptimal and accurately, repeaterrepeater sizeassize shown andand numbernumber in Figure rapidlyrapidly 13b. andand accurately,accurately, asas shownshown inin FigureFigure 13b.13b.

Appl. Sci. 2019, 9, 2174 10 of 20 Appl.Appl. Sci.Sci.2019 2019,,9 9,, 21742174 1010 of 2120

Hidden layers

Hidden layers H1,1 H2,1

Input layer H1,1 H2,1 Output layer Input X Input1 layer H1,2 H2,2 Output layer Input X Y1 Output 1 H1,2 H2,2

Input X Y 2 H1,3 H2,3 1 Output

Output Input X Y2 2 H1,3 H2,3

Input X3 Y2 Output Target

H H2,n Input X3 1,m Target H H1,m 2,n Adjust Weights

(a) (b) Adjust Weights Figure 13. (a) Schematic of(a ) the back propagation neural network. (b) Optimal(b) repeater size of MWCNTFigureFigure 13.13. interconnects( a()a Schematic) Schematic obtained of theof backthe using back propagation the propagation PSO algorithm neural neural network. and network. trained (b) Optimal neural (b) Optimal repeater network sizerepeater [90]. of MWCNT size of interconnectsMWCNT interconnects obtained using obtained the PSO using algorithm the PSO andalgorithm trained and neural trained network neural [90 network]. [90]. 4. All-Carbon 3-D Interconnects 4. All-Carbon 3-D Interconnects 4. All-CarbonIn general, 3-D CNTs Interconnects grow vertically, whereas graphene is formed horizontally. So, it is natural to developInIn general, general,the 3-D interconnectsCNTsCNTs growgrow vertically,vertically, by combining whereas whereas vertical graphene graphene CNT vias isis formedformed and horizontal horizontally.horizont GNRally. So, So,interconnects, itit isis naturalnatural as toto showndevelopdevelop in the theFigure 3-D 14.interconnects Nihei, et al. by by[91] combining combining firstly conceived, vertical vertical CNT designed CNT vias vias andan andd horizontalrealized horizontal the GNR experiment GNR interconnects, interconnects, to grow as anasshown shownMWCNT in inFigure Figurevia 14.on 14 Nihei,multilayer. Nihei, et al. et [91] al.graphene. [firstly91] firstly conceived,The conceived, critical designed issue designed toan dfabricate realized and realized suchthe experiment the“all-carbon” experiment to grow3-D to interconnectsgrowan MWCNT an MWCNT isvia to achieveon via multilayer on multilayer a low electricalgraphene. graphene. contact The The criticalbetween critical issue the issue CNT to to fabricate fabricatevia and thesuch GNR “all-carbon”“all-carbon” interconnect. 3-D3-D Further,interconnectsinterconnects Ramos, isis toetto achieveal.achieve [92] introduced aa lowlow electricalelectrical a process contactcontact to betweenselectivelybetween thethe grow CNTCNT CNTs viavia andand on thethemonolayer GNRGNR interconnect.interconnect. graphene. TheyFurther,Further, demonstratedRamos, Ramos, et et al. al.that [92 [92]] introducedthe introduced growth a of process a CNTsprocess to wo selectivelytould selectively not growdamage grow CNTs theCNTs on integrity monolayer on monolayer of graphene.graphene graphene. Theyand characterizeddemonstratedThey demonstrated the that contact the that growth resistance the ofgrowth CNTs between wouldof CNTs CNTs not wo damage anduld graphene.not the damage integrity Zhou, the of et grapheneintegrity al. [93] studied andof graphene characterized the CNT- and graphenethecharacterized contact interface resistance the contact using between resistancetransmission CNTs and between graphene.electron CNTs microscope Zhou, and etgraphene. al. [93and] studied Zhou,found the etthat al. CNT-graphene [93]C-C studied bonding the interface exists CNT- betweenusinggraphene transmission CNT interface and graphene. electron using microscopetransmission Recently, Jiang, and electron found et al. that [9microscope4] C-Ccomprehensively bonding and exists found investigated between that C-C CNT thebonding and fabrication, graphene. exists integration,Recently,between CNT Jiang, and and etreliability al.graphene. [94] comprehensively of Recently,such “all-carbon” Jiang, investigated et al.3- D[9 4]interconnects. comprehensively the fabrication, Besides, investigated integration, it is worth the and noting fabrication, reliability that anotherofintegration, such “all-carbon”“all-carbon” and reliability 3-D3-D interconnects. interconnectof such “all-carbon” scheme, Besides, 3-i. itDe., is interconnects.a worth dense noting vertical Besides, that and another ithorizontal is worth “all-carbon” notinggraphene 3-Dthat structure,interconnectanother “all-carbon”has scheme, been demonstrated i.e., 3-D a denseinterconnect verticalin [91]. andscheme, horizontal i.e., a graphene dense vertical structure, and has horizontal been demonstrated graphene instructure, [91 ]. has been demonstrated in [91].

On-chip ILD ILD wire On-chip ILD CNT ILD GNR wire via CNT GNR via Si-sub Si CN Si-sub Si CN FigureFigure 14. SchematicSchematic of of all-carbon all-carbon 3-D 3-D interconnect. interconnect. Figure 14. Schematic of all-carbon 3-D interconnect. Using the finite-element method (FEM), the electrothermal characteristics of all-carbon 3-D interconnects have been studied in [95]. Figure 15a shows the simulation model, which is formed by one horizontal interconnect and two vertical vias. The 3-D interconnect structure is embedded into

an interlayer dielectric, whose thermal conductivity is about 0.12Tmax W/=363.37m K. The K bottom temperature is · assumed as 363 K, and the other boundaries are set as adiabatic. The out-plane electricalE conductivity C Tmax=363.37D K E of the MLGNR is 1 S/m. The geometrical parameters are adopted atD the 22 nmF technology node Hvia B C from the ITRS projectioncontact [2]. With a current of 0.4 mA injected, the temperatureG profilesF are plotted W in Figure 15b–d.via In the simulation,Hvia a 1 nm-thick thin plateB was used to capture the influences of contact A G contact resistance.Wvia Due to the impactV of = quantum0 contact resistance, all-carbon 3-D interconnect is Jin A Cu wire/Cu via more resistive than Cu counterpart, therebyV = 0 increasing the temperature rise. On the contrary, the CNT J (a) (b) vias help heat dissipationin from hotspots to the bottom layer. Therefore, theCu maximum wire/Cu via temperature is (a) (b) Appl. Sci. 2019, 9, 2174 10 of 20

Hidden layers

H1,1 H2,1

Input layer Output layer Input X 1 H1,2 H2,2

Y1 Output

Input X 2 H1,3 H2,3

Y2 Output

Input X3 Target

H1,m H2,n

Adjust Weights (a) (b)

Figure 13. (a) Schematic of the back propagation neural network. (b) Optimal repeater size of MWCNT interconnects obtained using the PSO algorithm and trained neural network [90].

4. All-Carbon 3-D Interconnects In general, CNTs grow vertically, whereas graphene is formed horizontally. So, it is natural to develop the 3-D interconnects by combining vertical CNT vias and horizontal GNR interconnects, as shown in Figure 14. Nihei, et al. [91] firstly conceived, designed and realized the experiment to grow an MWCNT via on multilayer graphene. The critical issue to fabricate such “all-carbon” 3-D interconnects is to achieve a low electrical contact between the CNT via and the GNR interconnect. Further, Ramos, et al. [92] introduced a process to selectively grow CNTs on monolayer graphene. They demonstrated that the growth of CNTs would not damage the integrity of graphene and characterized the contact resistance between CNTs and graphene. Zhou, et al. [93] studied the CNT- graphene interface using transmission electron microscope and found that C-C bonding exists between CNT and graphene. Recently, Jiang, et al. [94] comprehensively investigated the fabrication, integration, and reliability of such “all-carbon” 3-D interconnects. Besides, it is worth noting that another “all-carbon” 3-D interconnect scheme, i.e., a dense vertical and horizontal graphene structure, has been demonstrated in [91].

On-chip ILD ILD wire CNT GNR via Appl. Sci. 2019, 9, 2174 11 of 21 Si-sub Si CN slightly increased with theFigure implementation 14. Schematic of of all-carbon all-carbon 3-D 3-D interconnects. interconnect. The results also imply that CNT vias are more suitable to be placed near bottom layer.

Tmax=363.37 K E C D F Hvia B contact G Wvia A V = 0 Jin Cu wire/Cu via Appl. Sci. 2019, 9, 2174 11 of 20 (a) (b)

Tmax=367.06 K Tmax=364.66 K E D E C C D F F B B G G A A

Cu wire/CNT via GNR wire/CNT via (c) (d)

Figure 15. ((aa)) Schematic of of a simulation model. ( (bb––dd)) Temperature Temperature profiles profiles of of Cu, SWCNT vias/Cu vias/Cu wire, and SWCNTSWCNT/MLG/MLG interconnects [[95].95].

5. Cu-NanocarbonUsing the finite-element Interconnect method (FEM), the electrothermal characteristics of all-carbon 3-D interconnects have been studied in [95]. Figure 15a shows the simulation model, which is formed by 5.1. Cu-Graphene Interconnect one horizontal interconnect and two vertical vias. The 3-D interconnect structure is embedded into an interlayerDuring thedielectric, past decades, whose ther tremendousmal conductivity progress is has about been 0.12 made W/m in‧K. the The fabrication bottom temperature/integration ofis assumednanocarbon as 363 interconnects. K, and the other However, boundaries the gap are between set as adiabatic. theoretical The studies out-plane and electrical practical conductivity applications ofstill the exists. MLGNR For is example, 1 S/m. The the geometrical assumption parameters of closed packedare adopted CNTs at is the invalid 22 nm as technology the density node ofCNTs from thestill ITRS cannot projection satisfy the [2]. requirements With a current [96]. of Also, 0.4 themAapplication injected, the of temperature MLGNR interconnects profiles are encounters plotted in a Figureserious 15b–d. challenge, In the i.e., simulation, graphene tendsa 1 nm-thick to behave thin more plate like was graphite used to ascapture the number the influences of graphene of contact layers resistance.increases [97 Due]. In to this the perspective, impact of Cuquantum/low-k interconnectcontact resistance, may be stillall-carbon a good 3-D choice interconnect for next-generation is more resistiveICs [98]. than Cu counterpart, thereby increasing the temperature rise. On the contrary, the CNT vias help Inheat the dissipation application from of Cu hotspots/low-k interconnect, to the botto am highly layer. resistive Therefore, diffusion the maximum barrier layer temperature is required tois slightlyprevent increased the diffusion with of the copper implementation atoms into substrate. of all-carbon This 3-D barrier interconnects. layer would The occupy results a certain also imply area, thatthereby CNT decreasing vias are more the conduction suitable to be area placed of interconnects near bottom and layer. increasing the effective resistivity. More importantly, the barrier layer thickness cannot scale as rapidly as the interconnect dimensions [4]. This 5.problem Cu-Nanocarbon becomes moreInterconnect and more serious with the technology advanced. To resolve this problem, graphene, the thinnest 2-D material in nature, has been proposed as the ultimate barrier layer [99–101]. 5.1.It has Cu-Graphene been demonstrated Interconnect that graphene barrier layer can help improving the breakdown current density, enhancingDuring the the electromigration past decades, tremendous lifetime, increasing progress has the been Cu grain made size, in the and fabrication/integration reducing the scattering of nanocarbonprobability at interconnects. the surface [102 However,–106]. Moreover, the gap betwee low-temperaturen theoretical deposition studies and techniques practical for applications producing stillgraphene exists. on For Cu example, and dielectric the assump impliestion that of theclosed fabrication packed CNTs of graphene is invalid barrier as the layer density can be of compatible CNTs still cannotwith traditional satisfy the CMOS requirements technology [96]. [101 Also,,104, 107the, 108application]. of MLGNR interconnects encounters a seriousFigure challenge, 16 shows i.e., thegraphene schematic tends view to behave of a Cu-graphene more like graphite interconnect, as the number i.e., Cu wireof graphene encapsulated layers increaseswith graphene [97]. barrierIn this layers. perspective, To gain anCu/low-k in-depth interconnect understanding may of suchbe still a Cu-graphene a good choice interconnect, for next- it generationis necessary ICs to develop[98]. its circuit model and evaluate its electrical and thermal performances. In [109], In the application of Cu/low-k interconnect, a highly resistive layer is required to prevent the diffusion of copper atoms into substrate. This barrier layer would occupy a certain area, thereby decreasing the conduction area of interconnects and increasing the effective resistivity. More importantly, the barrier layer thickness cannot scale as rapidly as the interconnect dimensions [4]. This problem becomes more and more serious with the technology advanced. To resolve this problem, graphene, the thinnest 2-D material in nature, has been proposed as the ultimate barrier layer [99–101]. It has been demonstrated that graphene barrier layer can help improving the breakdown current density, enhancing the electromigration lifetime, increasing the Cu grain size, and reducing the scattering probability at the surface [102–106]. Moreover, low-temperature deposition techniques for producing graphene on Cu and dielectric implies that the fabrication of graphene barrier layer can be compatible with traditional CMOS technology [101,104,107,108]. Figure 16 shows the schematic view of a Cu-graphene interconnect, i.e., Cu wire encapsulated with graphene barrier layers. To gain an in-depth understanding of such a Cu-graphene interconnect, it is necessary to develop its circuit model and evaluate its electrical and thermal performances. In [109], a distributed resistor model for Cu-graphene interconnect has been established, and the Cu- Appl. Sci. 2019, 9, 2174 12 of 21 aAppl. distributed Sci. 2019, resistor9, 2174 model for Cu-graphene interconnect has been established, and the Cu-graphene12 of 20 interface resistance and graphene-graphene interface resistance have been considered and treated appropriately.graphene interface The e ffresistanceective resistance and graphene-graphen of Cu-graphenee interconnect interface resistance can be derivedhave been as considered and treated appropriately. The effective resistance of Cu-graphene interconnect can be derived as   1 XM XM  h i X −  M𝑀 1 1 Reff =  I + [A21]i[A21]i−  (9) 𝑅 =R 𝐼M×M +𝐴𝐴 (9) m=1 m=1 Cu𝑅 × i=t b l r ,,,, , , wherewhereM 𝑀represents represents the numberthe number of segments of segments meshed meshed along thealong interconnect the interconnect length, R length,is the resistance𝑅 is the h i Cu ofresistance the central of Cu the wire, centralI1 Cu wire,is the M𝐼 M unit is the diagonal 𝑀×𝑀 matrix, unit anddiagonal[A ] canmatrix, be obtained and 𝐴 using can the be M M ×× 21 Kirchhoobtainedff’s using voltage the law. Kirchhoff’s The× subscripts voltaget, law.b, l, andTher subscriptsrepresent the𝑡, 𝑏 respective, 𝑙, and 𝑟 corresponding represent the quantitiesrespective ascorresponding graphene barrier quantities layers as are graphene placed at barrier the top, laye bottom,rs are left,placed and at right the surfacestop, bottom, of the left, Cu and wire. right It wassurfaces demonstrated of the Cu thatwire. the It graphenewas demonstrated barrier layers that the can graphene share part barrier of the layers current, can thereby share part reducing of the thecurrent, current thereby passing reducing through the the current central passing Cu wire th andrough improving the central the reliability.Cu wire and Moreover, improving as thethe interconnectreliability. Moreover, length exceeds as the severalinterconnect tens of length micrometers, exceeds theseveral central tens Cu of wire,micrometers, and graphene the central barrier Cu layerswire, canand begraphene treated asbarrier being layers parallel can connected, be treated and as thebeing eff ectiveparallel resistance connected, can and be simplified the effective as " # 1 resistance can be Psimplified i  as− 𝑅 =1𝑅⁄ +𝑁∑,,,1⁄ 𝑅 , where 𝑅 denotes the Reff = 1/RCu + N 1/Rgr , where Rgr denotes the resistance of graphene barrier layer and N resistance of graphenei=t,b,l, rbarrier layer and 𝑁 is the layer number [110]. is the layer number [110].

FigureFigure 16. 16.Schematic Schematic of of Cu-graphene Cu-graphene interconnect. interconnect.

Figure 17a depicts the effective resistivity of Cu-graphene interconnects versus temperature. AccordingFigure to 17a ITRS depicts prediction, the effective the barrier resistivity layer thickness of Cu-graphene would scale interconnects down to 1.3versus nm attemperature. the 22 nm nodeAccording [2]. However, to ITRS prediction, such prediction the barrier is too layer optimistic thickness and would too challenging. scale down Here, to 1.3 the nm barrier at the 22 layer nm thicknesses of 1.3 nm and 2 1.3 nm are considered as references. It is evident that the effective node [2]. However, such prediction× is too optimistic and too challenging. Here, the barrier layer resistivitythicknesses can of be 1.3 reduced nm and significantly 2 × 1.3 nm byare introducing considered grapheneas references. barrier It is layer, evident which that is mainlythe effective due toresistivity the reduced can Cube reduced surface scatteringsignificantly [104 by]. introducing Further, Figure graphene 17b compares barrier layer, the eff whichective is resistivity mainly due of Cuto wirethe reduced and Cu-graphene Cu surface interconnect scattering [104]. at di ffFurther,erent technology Figure 17b nodes. compares The barrierthe effective layer thicknessresistivity atof eachCu wire node and is adopted Cu-graphene from theinterconnect ITRS projection at different [2]. It technology was found nodes. that the The advantage barrier layer of Cu-graphene thickness at interconnecteach node is over adopted Cu wire from becomes the ITRS more projection significant [2]. withIt was the found IC feature that the size advantage scaling down. of Cu-graphene interconnect over Cu wire becomes more significant with the IC feature size scaling down.

(a) (b) Appl. Sci. 2019, 9, x FOR PEER REVIEW 12 of 20

푀 푀 −1 푀 1 −1 푅eff = ∑ ∑ ( [퐼 ]푀×푀 + ∑ [퐴21]𝑖[퐴21]𝑖 ) (9) 푅Cu 푚=1 푚=1 𝑖=푡,푏,푙,푟

where 푀 represents the number of segments meshed along the interconnect length, 푅Cu is the 1 resistance of the central Cu wire, [퐼 ]푀×푀 is the 푀 × 푀 unit diagonal matrix, and [퐴21] can be obtained using the Kirchhoff’s voltage law. The subscripts 푡, 푏, 푙, and 푟 represent the respective corresponding quantities as graphene barrier layers are placed at the top, bottom, left, and right surfaces of the Cu wire. It was demonstrated that the graphene barrier layers can share part of the current, thereby reducing the current passing through the central Cu wire and improving the reliability. Moreover, as the interconnect length exceeds several tens of micrometers, the central Cu wire, and graphene barrier layers can be treated as being parallel connected, and the effective 𝑖 −1 resistance can be simplified as 푅eff = [1⁄푅Cu + 푁 ∑𝑖=푡,푏,푙,푟(1⁄푅gr)] , where 푅gr denotes the resistance of graphene barrier layer and 푁 is the layer number [110]. Figure 17(a) depicts the effective resistivity of Cu-graphene interconnects versus temperature. According to ITRS prediction, the barrier layer thickness would scale down to 1.3 nm at the 22 nm node [2]. However, such prediction is too optimistic and too challenging. Here, the barrier layer thicknesses of 1.3 nm and 2×1.3 nm are considered as references. It is evident that the effective resistivity can be reduced significantly by introducing graphene barrier layer, which is mainly due to the reduced Cu surface scattering [104]. Further, Figure 17(b) compares the effective resistivity of Cu wire and Cu-graphene interconnect at different technology nodes. The barrier layer thickness at Appl. Sci. 2019, 9, 2174 13 of 21 each node is adopted from the ITRS projection [2]. It was found that the advantage of Cu-graphene interconnect over Cu wire becomes more significant with the IC feature size scaling down.

12 Cu wire w/ 2t Cu-graphene g Cu wire w/ t

20 g -cm)

N=1 N=3 -cm)

 Cu wire w/ 2t T=600 K N=2 N=4 (t 1.3nm)  g 10 g 16 T=300 K Cu wire w/ t

g

8 12

8 T=600 K 6

Effective resistivity (m resistivity Effective Cu-graphene T=300 K

Effective resistivity (m resistivity Effective 4 4 300 400 500 600 40 32 26 20 16 12 9 7 Appl. Sci. 2019, 9, 2174 13 of 20 Temperature (K) Minimum wire width (nm) (a) (b) Figure 17. Effective resistivity of Cu and Cu-graphene interconnects versus (a) temperature and (b) Figuretechnology 17. EffectiveE nodeffective [110]. resistivity resistivity of of Cu Cu and and Cu Cu-graphene-graphene interconnects interconnects versus versus (a) (temperaturea) temperature and and (b) (technologyb) technology node node [110 [110]. ]. 5.2. Cu-CNT Composite Interconnect 5.2. Cu-CNT Composite Interconnect Generally speaking, nanocarbon and conventional metals have their own pros and cons for Generally speaking, nanocarbon and conventional metals have their own pros and cons for interconnect applications. For instance, nanocarbonL has high ampacity, but their conductivity is still interconnectlow due to fabrication applications. limits. For On instance, the contrary, nanocarbon the fabrication has high ampacity, and integration but their processes conductivity of metal is stillinterconnects low due toare fabrication mature, limits.but Wthe On ampacity the contrary, of metals the fabrication cannot satisfy and integration the requirements processes [2]. of metalSubramaniam, interconnects et al. are[111] mature, attempted but to the advance ampacity a po ofssible metals solution cannot to this satisfy problem the requirements by co-depositing [2]. Subramaniam,Cu with CNTs, et i.e., al. [Cu-CNT111] attemptedT composite to advance interconnect a possible. It was solution experimentally to this problem demonstrated by co-depositing that Cu- Cu with CNTs, i.e., Cu-CNT composite interconnect. It was experimentally demonstrated that Cu-CNT CNT composite interconnect can achieve a balance betweenD performanceDin and reliability. This is, such D compositeCu-CNT composite interconnect interconnect can achieve possesses a balance a 100 between times higher performance ampacity, and but reliability.comparable This conductivity is, such CNT MWCNT SWCNT Cu-CNTthan the compositeCu counterpart. interconnect TheH presenceCu possesses of CNTs a 100 insi timesde higherCu wire ampacity, can also butalleviate comparable the electromigration conductivity thanvoid the growth Cu counterpart. rate by about The four presence times, ofwhich CNTs is insidedue to Cu large wire Lorenz can also number alleviate of the the CNTs electromigration [112,113]. void growthFigure 18 rate shows by about the schematic four times, of which a Cu-CNT is due composite to large Lorenz interconnect number over of the a ground CNTs [ 112plane.,113 In]. the figure,Figure 𝑁 identical18 shows CNTs the are schematic uniformly of adistributed Cu-CNT compositeinside the Cu interconnect wire. The CNTs over acan ground be SWCNTs plane. In the figure, N identical CNTs are uniformly distributed inside the Cu wire. The CNTs can be or MWCNTs. Here, we define the CNT filling ratio as 𝑓 =𝑁𝜋𝐷 + 0.31 nm ⁄4𝑊𝑇, where 0.31 Figure 18. Schematic of Cu-CNT composite interconnect.= ( + )2 ( ) SWCNTsnm is due or to MWCNTs. the separation Here, between we define carbon the CNTand Cu filling atoms. ratio The as p.u.l.fCNT scatteringNπ D resistance0.31 nm of/ the4WT Cu-, where 0.31 nm is due to the separation between carbon and Cu atoms. The p.u.l. scattering CNT composite interconnect can be calculated as 𝑅=1⁄𝜎𝑊𝑇, where 𝜎 = 1−𝑓𝜎 + resistance of the Cu-CNT composite interconnect can be calculated as R = 1/(σeffWT), where 𝑓𝜎 [114,115]. The effective conductivity of CNTs 𝜎 is given by [116] σeff = (1 fCNT)σCu + fCNTσCNT [114,115]. The effective conductivity of CNTs σCNT is given by [116] − 4𝐿 1 𝜎 ≈𝐹𝑚× (10) 𝜋𝐷+0.314L nm1𝑍 σ Fm (10) CNT 2 ≈ × (D + ) ZCNT where 𝐹𝑚 the metallic fraction, and 𝑍 isπ the self-impedance0.31 nm of an isolated CNT. where Fm the metallic fraction, and ZCNT is the self-impedance of an isolated CNT.

L

W

T

D Din D CNT H Cu MWCNT SWCNT

FigureFigure 18. 18.Schematic Schematic of of Cu-CNT Cu-CNT composite composite interconnect. interconnect.

Figure 19a compares the effective resistivities of Cu, SWCNT, and Cu-SWCNT composite interconnects. Unlike the ideally packed SWCNTs discussed earlier, it is assumed that the CNT filling ratios are 0.3, 0.45, and 0.6 in the figure. It is evident that the resistivity of such sparsely distributed SWCNTs is much larger than Cu counterpart. However, co-depositing Cu with such sparsely distributed SWCNTs can reduce the resistivity. The predicted values are close to the measured data, which ranges from 2 to 2.27 µΩ [111]. Further, the time delays of Cu, SWCNT, and Cu-SWCNT composite interconnects are plotted in Figure 19b. The Cu-SWCNT composite interconnect can provide comparable performance with Cu counterpart, while the sparsely distributed SWCNTs show much worse performance due to their large resistivity. As the CNT quality may be degraded due to fabrication limits, the cases of lower value of CNT MFP are also plotted in Figure 19b. It can be seen that the Cu-SWCNT composite interconnects are much less influenced than pure SWCNTs. Appl. Sci. 2019, 9, 2174 14 of 21

Figure 19a compares the effective resistivities of Cu, SWCNT, and Cu-SWCNT composite interconnects. Unlike the ideally packed SWCNTs discussed earlier, it is assumed that the CNT filling ratios are 0.3, 0.45, and 0.6 in the figure. It is evident that the resistivity of such sparsely distributed SWCNTs is much larger than Cu counterpart. However, co-depositing Cu with such sparsely distributed SWCNTs can reduce the resistivity. The predicted values are close to the measured data, which ranges from 2 to 2.27 µΩ [111]. Further, the time delays of Cu, SWCNT, and Cu-SWCNT composite interconnects are plotted in Figure 19b. The Cu-SWCNT composite interconnect can provide comparable performance with Cu counterpart, while the sparsely distributed SWCNTs show much worse performance due to their large resistivity. As the CNT quality may be degraded due to fabrication limits, the cases of lower value of CNT MFP are also plotted in Figure 19b. It can be seen that the Appl. Sci. 2019, 9, 2174 14 of 20 Cu-SWCNT composite interconnects are much less influenced than pure SWCNTs.

300 f =0.3 SWCNT CNT λ =1000D f =0.45 CNT λ = 500D f =0.6 CNT SWCNT

-cm) 200 10 μΩ Cu-SWCNT

Cu-SWCNT 100

Measurement [103] Time delay(ps) Cu Resistivity ( Resistivity

Cu 1 0 0.2 0.4 0.6 0.8 1.0 400 800 1200 1600 2000 Fraction of metallic CNTs Length (μm) (a) (b)

Figure 19.19. ((a))E Effectiveffective resistivity and (b) time delaydelay ofof Cu,Cu, SWCNT,SWCNT, andand Cu-SWCNTCu-SWCNT compositecomposite interconnectinterconnect [[114].].

In summary, this paper reviewed the current status of research on nanocarbon interconnects from the modeling perspective. The electrical performances of GNR, CNT, and Cu-nanocarbon interconnects are analyzedTable and discussed, 1. Comparison with of their Cu, GNR, pros andCNT, cons Cu-gra illustratedphene, and in Cu-CNT Table1. interconnects. Although Cu wires have been widelySolution used in modern ICs, their resistivityPros increases significantly with the scaling Cons of feature size, which is exacerbated by the influence of diffusion barrier layer. In• comparisonCu atom diffusion with Cu into counterparts, substrate • Mature fabrication nanocarbon interconnects including GNR and CNT possess long• MFP,Scattering-induced high ampacity, resistivity and high Cu [2,4] technique electromigration resistance. However, the electrical properties of horizontal grapheneincreases are susceptible • High conductivity to substrate. Moreover, as nanocarbons are anisotropic, both MLGNR• Scaling and CNT of diffusion interconnects barrier would layer hinder the IC heat dissipation. This problem• canLong be resolvedMFP by utilizing VGNR interconnects although • Hinder vertical heat dissipation • Very high ampacity there is still a long way to go in the fabrication methods. • Side contact fabrication MLGNR [23,26,29,31,44,85] • Planar growth Graphene was recently proposed as the ultimate diffusion barrier• Substrate-induced layer for Cu interconnect defects • Electromigration resistant technology. By coating graphene onto Cu wires, the surface scattering• ofControl electrons of edges in Cu can be • Chirality control reduced, and the grain size can be increased. To maximize the effective conduction area, large grain • Long MFP single-layer graphene is desired, and• moreVery e highfforts ampacity should be devoted to the development of low • Immature fabrication technique temperatureVGNR [13,23,29,31,44,45] and transfer free graphene• Electromigration growth techniques resistant on dielectric and Cu. The scheme of • Control of edges Cu-CNT composite interconnect was realized• High by thermal co-depositing Cu with CNTs. Such interconnect can achieve a balance between performanceconductivity and reliability. However, with the scaling of IC feature • size, both Cu-graphene and Cu-CNT• composite interconnects face theHinder challenge vertical heat of performance dissipation Very long MFP • degradationBundled due SWCNTs to the increased scattering• probability. Therefore, Cu-nanocarbon Chirality interconnects control are a Very high ampacity • temporary[16,17,44,61,64,96] but practical solution to• meet short-term interconnect challenges. Control Yet inof long-term,placement pure Electromigration resistant • nanocarbon interconnects are still the most promising schemes, and they canContact be utilized fabrication together with • Very long MFP • Hinder vertical heat dissipation Cu-nanocarbon interconnects. MWCNT [44,51,62,82,85] • Very high ampacity • Control of placement • Electromigration resistant • Contact fabrication • High conductivity • Immature fabrication technique • Reduced surface Cu-graphene [2,104,105,108,110] • Scattering-induced resistivity scattering increases • Large grain size • Cu atom diffusion into substrate • High conductivity • Scattering-induced resistivity Cu-CNT composite [2,4,111,112] • High ampacity increase • Electromigration resistant • Scaling of diffusion barrier layer

In summary, this paper reviewed the current status of research on nanocarbon interconnects from the modeling perspective. The electrical performances of GNR, CNT, and Cu-nanocarbon interconnects are analyzed and discussed, with their pros and cons illustrated in Table 1. Although Cu wires have been widely used in modern ICs, their resistivity increases significantly with the scaling of feature size, which is exacerbated by the influence of diffusion barrier layer. In comparison with Cu counterparts, nanocarbon interconnects including GNR and CNT possess long MFP, high Appl. Sci. 2019, 9, 2174 15 of 21

Table 1. Comparison of Cu, GNR, CNT, Cu-graphene, and Cu-CNT interconnects.

Solution Pros Cons

Cu atom diffusion into substrate • Mature fabrication technique Scattering-induced • • Cu [2,4] High conductivity resistivity increases • Scaling of diffusion barrier layer •

Long MFP • Hinder vertical heat dissipation Very high ampacity • • Side contact fabrication Planar growth • MLGNR [23,26,29,31,44,85] • Substrate-induced defects Electromigration resistant • • Control of edges Chirality control • •

Long MFP • Very high ampacity Immature fabrication technique • • VGNR [13,23,29,31,44,45] Electromigration resistant Control of edges • • High thermal conductivity •

Hinder vertical heat dissipation Very long MFP • • Chirality control Bundled SWCNTs Very high ampacity • • Control of placement [16,17,44,61,64,96] Electromigration resistant • • Contact fabrication •

Very long MFP Hinder vertical heat dissipation • • Very high ampacity Control of placement MWCNT [44,51,62,82,85] • • Electromigration resistant Contact fabrication • •

High conductivity Immature fabrication technique • • Reduced surface scattering Scattering-induced Cu-graphene [2,104,105,108,110] • • Large grain size resistivity increases •

Cu atom diffusion into substrate High conductivity • • Scattering-induced High ampacity • Cu-CNT composite [2,4,111,112] • resistivity increase Electromigration resistant • Scaling of diffusion barrier layer •

6. Conclusions The current status of research on nanocarbon interconnects from a modeling perspective has been reviewed in this paper. Several typical nanocarbon interconnects have been evaluated and discussed. It is demonstrated that nanocarbon interconnects are theoretically superior to their Cu counterparts. However, due to the fabrication limits, the electrical performance of nanocarbon interconnects may be much worse than their theoretical estimations. Cu-nanocarbon interconnects, including Cu-graphene and Cu-CNT composite interconnects, may be a practical solution to meet the near future challenges. With the IC feature size scaling down to sub-10 nm, however, nanocarbon interconnects are the most promising schemes. This is to say, in long-term applications, Cu-nanocarbon interconnects may be used together with the ultimate nanocarbon interconnects.

Author Contributions: Writing—Original Draft Preparation, F.K., W.-S.Z., D.-W.W., M.L.; Writing—Review & Editing, W.-S.Z., G.W., and W.-Y.Y. Funding: This research was funded by the Natural Research Foundation of China (NSFC) under Grants 61874038 and 61431014. Appl. Sci. 2019, 9, 2174 16 of 21

Conflicts of Interest: The authors declare no conflict of interest.

References

1. Moore, G.E. Cramming more components onto integrated circuits. Electronics 1965, 38, 114–117. [CrossRef] 2. International Technology Roadmap for Semiconductors, Edition 2013. Available online: http://www.itrs2.net/ (accessed on 1 August 2017). 3. Davis, J.A.; Venkatesan, R.; Kaloyeros, A.; Beylansky, M.; Souri, S.J.; Banerjee, K.; Saraswat, K.C.; Rahman, A.; Reif, R.; Meindl, J.D. Interconnect limits on gigascale integration (GSI) in the 21st century. Proc. IEEE 2001, 89, 305–324. [CrossRef] 4. Banerjee, K.; Souri, S.J.; Kapur, P.; Saraswat, K.C. 3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE 2001, 89, 602–633. [CrossRef] 5. Li, B.; Sullivan, T.D.; Lee, T.C.; Badami, D. Reliability challenges for copper interconnects. Microelectron. Reliab. 2004, 44, 365–380. [CrossRef] 6. Zhang, R.; Yang, K.; Metaev, E.; Pesic, M.; Lloyd, J.; Ring, M.; Paliwoda, P.; Tan, S.; Young, C.; Verzellsi, G.; et al. Reliability and modeling: What to simulate and how? In Proceedings of the INVITED IEEE International Integrated Reliability Workshop (IEEE IIRW), Fallen Leaf Lake, CA, USA, 8–12 October 2017. 7. Meindl, J.D. Beyond Moore’s law: The interconnect era. Comput. Sci. Eng. 2003, 5, 20–24. [CrossRef] 8. Ryan, J.G.; Geffken, R.M.; Poulin, N.R.; Paraszcazak, J.R. The evolution of interconnection technology at IBM. IBM J. Res. Dev. 1995, 39, 371–381. [CrossRef] 9. Hayakawa, H.; Yoshikawa, N.; Yorozu, S.; Fujimaki, A. Superconducting digital electronics. Proc. IEEE 2004, 92, 1549–1563. [CrossRef] 10. Sun, C.; Wade, M.T.; Lee, Y.; Orcutt, J.S.; Alloatti, L.; Georgas, M.S.; Waterman, A.S.; Shainline, J.M.; Avizienis, R.R.; Lin, S.; et al. Single-chip microprocessor than communicates directly using light. Nature 2015, 528, 534–538. [CrossRef][PubMed] 11. Iijima, S. Helical microtubules of graphitic carbon. Nature 1991, 354, 56–58. [CrossRef] 12. Novoselov, K.S.; Geim, A.K.; Morozov, S.V.; Jiang, D.; Zhang, Y.; Dubonos, S.V.; Grigorieva, I.V.; Firsov, A.A. Electric field effect in atomically thin carbon films. Science 2004, 306, 666–669. [CrossRef] 13. Balandin, A.A.; Ghosh, S.; Bao, W.; Calizo, I.; Teweldebrhan, D.; Miao, F.; Lau, C.N. Superior thermal conductivity of single-layer graphene. Nano Lett. 2008, 8, 902–907. [CrossRef] 14. Berber, S.; Kwon, Y.K.; Tomanek, D. Unusually high thermal conductivity of carbon nanotubes. Phys. Rev. Lett. 2000, 84, 4613. [CrossRef] 15. Prasher, R. Graphene spreads the heat. Science 2010, 328, 185–186. [CrossRef] 16. Wei, B.Q.; Vajtai, R.; Ajayan, P.M. Reliability and current carrying capacity of carbon nanotubes. Appl. Phys. Lett. 2001, 79, 1172–1174. [CrossRef] 17. Pop, E.; Mann, D.; Reifenberg, J.; Goodson, K.; Dai, H. Electro-thermal transport in metallic single-wall carbon nanotubes for interconnect applications. IEDM Tech. Dig. 2005, 253–256. 18. Li, H.; Xu, C.; Srivastava, N.; Banerjee, K. Carbon nanomaterials for next-generation interconnects and passives: Physics, status, and prospects. IEEE Trans. Electron. Devices 2009, 56, 1799–1821. [CrossRef] 19. Maffucci, A. Carbon nanotubes in nanopackaging applications. IEEE Nanotechnol. Mag. 2009, 3, 22–25. [CrossRef] 20. Chiariello, A.G.; Maffucci, A.; Miano, G. Circuit models of carbon-based interconnects for nanopackaging. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 1926–1937. [CrossRef] 21. Maffucci, A.; Miano, G. Electrical properties of graphene for interconnect applications. Appl. Sci. 2014, 4, 305–317. [CrossRef] 22. Zhao, W.S.; Yin, W.Y. Carbon-based interconnects for RF nanoelectronics. Wiley Encycl. Electr. Electron. Eng. 2012, 1–20. [CrossRef] 23. Behnam, A.; Lyons, A.S.; Bae, M.H.; Chow, E.K.; Islam, S.; Neumann, C.M.; Pop, E. Transport in nanoribbon interconnects obtained from graphene grown by chemical vapor deposition. Nano Lett. 2012, 12, 4424–4430. [CrossRef] Appl. Sci. 2019, 9, 2174 17 of 21

24. Kosynkin, D.V.; Higginbotham, A.L.; Sinitskii, A.; Lomeda, J.R.; Dimiev,A.; Price, B.K.; Tour, J.M. Longitudinal unzipping of carbon nanotubes to form graphene nanoribbon. Nature 2009, 458, 872–876. [CrossRef] 25. Avouris, P. Graphene: Electronic and photonic properties and devices. Nano Lett. 2010, 10, 4285–4294. [CrossRef] 26. Naeemi, A.; Meindl, J.D. Compact physics-based circuit models for graphene nanoribbon interconnects. IEEE Trans. Electron. Devices 2009, 56, 1822–1833. [CrossRef] 27. Maffucci, A.; Miano, G. Number of conducting channels for armchair and zig-zag graphene nanoribbon interconnects. IEEE Trans. Nanotechnol. 2013, 12, 817–823. [CrossRef] 28. Zhao, W.S.; Yin, W.Y. Comparative study on multilayer graphene nanoribbon (MLGNR) interconnects. IEEE Trans. Electromagn. Compat. 2014, 56, 638–645. [CrossRef] 29. Rakheja, S.; Kumar, V.; Naeemi, A. Evaluation of the potential performance of graphene nanoribbons as on-chip interconnects. Proc. IEEE 2013, 101, 1740–1765. [CrossRef] 30. Xu, C.; Li, H.; Banerjee, K. Modeling, analysis, and design of graphene nano-ribbon interconnects. IEEE Trans. Electron. Devices 2009, 56, 1567–1578. [CrossRef] 31. Murali, R.; Yang, Y.; Brenner, K.; Beck, T.; Meindl, J.D. Breakdown current density of graphene nanoribbons. Appl. Phys. Lett. 2009, 94, 243114. [CrossRef] 32. Jiang, J.; Kang, J.; Cao, W.; Xie, X.; Zhang, H.; Chu, J.H.; Liu, W.; Banerjee, K. Intercalation doped multilayer-graphene-nanoribbons for next-generation interconnects. Nano Lett. 2017, 17, 1482–1488. [CrossRef] 33. Reina, A.; Jia, X.; Ho, J.; Nezich, D.; Son, H.; Bulovic, V.; Dresselhaus, M.S.; Kong, J. Large area, few-layer graphene films on arbitrary substrates by chemical vapor deposition. Nano Lett. 2008, 9, 30–35. [CrossRef] 34. Faugeras, C.; Nerriere, A.; Potemski, M. Few-layer graphene on SiC, pyrolytic graphite, and graphene: A Raman scattering study. Appl. Phys. Lett. 2008, 92, 011914. [CrossRef] 35. Sui, Y.; Appenzeller, J. Screening and interlayer coupling in multilayer graphene field effect transistors. Nano Lett. 2009, 9, 2973–2977. [CrossRef] 36. Kumar, V.; Rakheja, S.; Naeemi, A. Performance and energy-per-bit modeling of multilayer graphene nanoribbon conductors. IEEE Trans. Electron. Devices 2012, 59, 2753–2761. [CrossRef] 37. Pan, C.; Paghavan, P.; Ceyhan, A.; Catthoor, F.; Tokei, Z.; Naeemi, A. Technology/circuit/system co-optimization and benchmarking for multilayer graphene interconnects at sub-10 nm technology node. IEEE Trans. Electron. Devices 2015, 62, 1530–1536. 38. Cui, J.P.; Zhao, W.S.; Yin, W.Y.; Hu, J. Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects. IEEE Trans. Electromagn. Compat. 2012, 54, 126–132. [CrossRef] 39. Hass, J.; Varchon, F.; Millan-Otoya, J.E.; Sprinkle, M.; Sharma, N.; de Heer, W.A.; Berger, C.; First, P.N.; Magaud, L.; Conrad, E.H. Why multilayer graphene on 4H-SiC(0001) behaves like a single sheet of graphene. Phys. Rev. Lett. 2008, 100, 125504. [CrossRef] 40. Sarto, M.S.; Tamburrano, A. Single-conductor transmission-line model of multiwall carbon nanotubes. IEEE Trans. Nanotechnol. 2010, 9, 82–92. [CrossRef] 41. Kumar, V.R.; Majumder, M.K.; Kukkam, N.R.; Kaushik, B.K. Time and frequency domain analysis of MLGNR interconnects. IEEE Trans. Nanotechnol. 2015, 14, 484–492. [CrossRef] 42. Ismail, Y.I.; Friedman, E.G. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2000, 8, 195–206. [CrossRef] 43. Im, S.; Srivastava, N.; Banerjee, K.; Goodson, K.E. Scaling analysis of multilevel interconnect temperatures for high-performance ICs. IEEE Trans. Electron. Devices 2005, 52, 2710–2719. [CrossRef] 44. Zhao, W.S.; Cheng, Z.H.; Wang, J.; Fu, K.; Wang, D.W.; Zhao, P.; Wang, G.; Dong, L. Vertical graphene nanoribbon interconnects at the end of the roadmap. IEEE Trans. Electron. Devices 2018, 65, 2632–2636. [CrossRef] 45. Nihei, M.; Kawabata, A.; Murakami, T.; Sato, M.; Yokoyama, N. Improved thermal conductivity by vertical graphene contact formation for thermal TSVs. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 3351–3354. 46. Wang, N.C.; Sinha, S.; Cline, B.; English, C.D.; Yeric, G.; Pop, E. Replacing copper interconnects with graphene at a 7-nm node. In Proceedings of the IEEE International Interconnect Technology Conference (IITC), Hsinchu, Taiwan, 16–18 May 2017; pp. 1–3. Appl. Sci. 2019, 9, 2174 18 of 21

47. Wang, X.; Ouyang, Y.; Li, X.; Wang, H.; Guo, J.; Dia, H. Room-temperature all-semiconducting sub-10-nm graphene nanoribbon field-effect transistors. Phys. Rev. Lett. 2008, 100, 206803. [CrossRef] 48. Pop, E.; Varshney, V.; Roy, V.K. Thermal properties of graphene: Fundamentals and applications. MRS Bull. 2012, 37, 1273–1281. [CrossRef] 49. Shulaker, M.M.; Hills, G.; Park, R.S.; Howe, R.T.; Saraswat, K.; Wong, H.S.P.; Mitra, S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 2017, 547, 74–78. [CrossRef] 50. Li, F.; Cheng, H.M.; Bai, S.; Su, G.; Dresselhaus, M.S. Tensile strength of single-walled carbon nanotubes directly measured from their macroscopic ropes. Appl. Phys. Lett. 2000, 77, 3161–3163. [CrossRef] 51. Li, H.J.; Lu, W.G.; Li, J.J.; Bai, X.D.; Gu, C.Z. Multichannel ballistic transport in multiwall carbon nanotubes. Phys. Rev. Lett. 2005, 95, 86601. [CrossRef] 52. Maffucci, A.; Micciulla, F.; Cataldo, A.E.; Miano, G.; Bellucci, S. Modeling, fabrication, and characterization of large carbon nanotube interconnects with negative temperature coefficient of the resistance. IEEE Trans. Compon. Packag. Manuf. Technol. 2017, 7, 485–493. [CrossRef] 53. Burke, P.J. Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes. IEEE Trans. Nanotechnol. 2002, 99, 129–144. [CrossRef] 54. Liang, J.; Lee, J.; Berrada, S.; Georgiev, V.P.; Pandey, R.; Chen, R.; Asenov, A.; Todri-Sanial, A. Atomistic- to circuit-level modeling of doped SWCNT for on-chip interconnects. IEEE Trans. Nanotechnol. 2018, 17, 1084–1088. [CrossRef] 55. Miano, G.; Forestiere, C.; Maffucci, A.; Maksimenko, S.A.; Slepyan, G.Y. Signal propagation in carbon nanotubes of arbitrary chirality. IEEE Trans. Nanotechnol. 2011, 10, 135–149. [CrossRef] 56. Jamal, O.; Naeemi, A. Ultralow-power single-wall carbon nanotube interconnects for subthreshold circuits. IEEE Trans. Nanotechnol. 2011, 10, 99–101. [CrossRef] 57. Pable, S.D.; Hasan, M. Interconnect design for subthreshold circuits. IEEE Trans. Nanotechnol. 2012, 11, 633–639. [CrossRef] 58. Ceyhan, A.; Naeemi, A. Cu interconnect limitations and opportunities for SWNT interconnects at the end of the roadmap. IEEE Trans. Electron. Devices 2013, 60, 374–382. [CrossRef] 59. Naeemi, A.; Meindl, J.D. Monolayer metallic nanotube interconnects: Promising candidates for short local interconnects. IEEE Electron. Device Lett. 2005, 26, 544–546. [CrossRef] 60. Zhao, W.S.; Wang, G.; Hu, J.; Sun, L.; Hong, H. Performance and stability analysis of monolayer single-walled carbon nanotube interconnects. Int. J. Numer. Modell. Electron. Netw. Devices Fields 2015, 28, 456–464. [CrossRef] 61. Li, H.; Liu, W.; Cassell, A.M.; Kreupl, F.; Banerjee, K. Low-resistivity long-length horizontal carbon nanotube bundles for interconnect applications—Part I: Process development. IEEE Trans. Electron. Devices 2013, 60, 2862–2869. [CrossRef] 62. Majumder, M.K.; Pandya, N.D.; Kaushik, B.K.; Manhas, S.K. Analysis of MWCNT and bundled SWCNT interconnects: Impact on crosstalk and area. IEEE Electron. Device Lett. 2012, 33, 1180–1182. [CrossRef] 63. Majumder, M.K.; Kaushik, B.K.; Manhas, S.K. Analysis of delay and dynamic crosstalk in bundled carbon nanotube interconnects. IEEE Trans. Electromagn. Compat. 2014, 56, 1666–1673. [CrossRef] 64. Harutyunyan, A.R.; Chen, G.; Paronyan, T.M.; Pigos, E.M.; Kuznetsov, O.A.; Hewaparakrama, K.; Kim, S.M.; Zakharov, D.; Stach, E.A.; Sumanasekera, G.U. Preferential growth of single-walled carbon nanotubes with metallic conductivity. Science 2009, 326, 116–120. [CrossRef] 65. Naeemi, A.; Meindl, J.D. Design and performance modeling for single-walled carbon nanotubes as local, semiglobal, and global interconnects in gigascale integrated systems. IEEE Trans. Electron. Devices 2007, 54, 26–37. [CrossRef] 66. Awano, Y.; Sato, S.; Nihei, M.; Sakai, T.; Ohno, Y.; Mizutani, T. Carbon nanotubes for VLSI: Interconnect and transistor applications. Proc. IEEE 2010, 98, 2015–2030. [CrossRef] 67. Srivastava, N.; Li, H.; Kreupl, F.; Banerjee, K. On the applicability of single-walled carbon nanotubes as VLSI interconnects. IEEE Trans. Nanotechnol. 2009, 8, 542–559. [CrossRef] 68. Chiariello, A.G.; Maffucci, A.; Miano, G. Electrical modeling of carbon nanotube vias. IEEE Trans. Electromagn. Compat. 2012, 54, 158–166. [CrossRef] Appl. Sci. 2019, 9, 2174 19 of 21

69. Li, H.; Srivastava, N.; Mao, J.F.; Yin, W.Y.; Banerjee, K. Carbon nanotube vias: Does Ballistic electron-phonon transport imply improved performance and reliability? IEEE Trans. Electron. Devices 2011, 58, 2689–2701. [CrossRef] 70. Naeemi, A.; Meindl, J.D. Physical modeling of temperature coefficient of resistance for single- and multi-wall carbon nanotube interconnects. IEEE Electron. Device Lett. 2007, 28, 135–138. [CrossRef] 71. Li, H.; Yin, W.Y.; Banerjee, K.; Mao, J.F. Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects. IEEE Trans. Electron. Devices 2008, 55, 1328–1337. [CrossRef] 72. Tang, M.; Mao, J. Modeling and fast simulation of multiwalled carbon nanotube interconnects. IEEE Trans. Electromagn. Compat. 2015, 57, 232–240. [CrossRef] 73. Liang, F.; Wang, G.; Ding, W. Estimation of time delay and repeater insertion in multiwall carbon nanotube interconnects. IEEE Trans. Electron. Devices 2011, 58, 2712–2720. [CrossRef] 74. Pu, S.N.; Yin, W.Y.; Mao, J.F.; Liu, Q.H. Crosstalk prediction of single- and double-walled carbon-nanotube (SWCNT/DWCNT) bundle interconnects. IEEE Trans. Electron. Devices 2009, 56, 560–568. [CrossRef] 75. Liang, F.; Wang, G.; Lin, H. Modeling of crosstalk effects in multiwall carbon nanotube interconnects. IEEE Trans. Electromagn. Compat. 2011, 54, 133–139. [CrossRef] 76. Kumar, M.G.; Chandel, R.; Agrawal, Y. An efficient crosstalk model for coupled multiwalled carbon nanotube interconnects. IEEE Trans. Electromagn. Compat. 2018, 60, 487–496. [CrossRef] 77. Chen, R.; Liang, J.; Lee, J.; Georgiev, V.P.; Ramos, R.; Okuno, H.; Kalita, D.; Cheng, Y.; Zhang, L.; Pandey, R.R.; et al. Variability study of MWCNT local interconnects considering defects and contact resistances—Part I: Pristine MWCNT. IEEE Trans. Electron. Devices 2018, 65, 4955–4962. [CrossRef] 78. Chen, R.; Liang, J.; Lee, J.; Georgiev, V.P.; Ramos, R.; Okuno, H.; Kalita, D.; Cheng, Y.; Zhang, L.; Pandey, R.R.; et al. Variability study of MWCNT local interconnects considering defects and contact resistances—Part II: Impact of charge transfer doping. IEEE Trans. Electron. Devices 2018, 65, 4963–4970. [CrossRef] 79. Chen, W.C.; Yin, W.Y.; Jia, L.; Liu, Q.H. Electrothermal characterization of single-walled carbon nanotube (SWCNT) interconnect arrays. IEEE Trans. Nanotechnol. 2009, 8, 718–728. [CrossRef] 80. Verma, R.; Bhattacharya, S.; Mahapatra, S. Analytical solution of Joule-heating equation for metallic single-walled carbon nanotube interconnects. IEEE Trans. Electron. Devices 2011, 58, 3991–3996. [CrossRef] 81. Mohsin, K.M.; Srivastava, A. Modeling of Joule heating induced effects in multiwall carbon nanotube interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 3089–3098. [CrossRef] 82. Mishra, A.; Shrivastava, M. ESD behavior of MWCNT interconnects–Part I: Observations and insights. IEEE Trans. Device Mater. Reliab. 2017, 17, 600–607. [CrossRef] 83. Mishra, A.; Shrivastava, M. ESD behavior of MWCNT interconnects—Part II: Unique current conduction mechanism. IEEE Trans. Device Mater. Reliab. 2017, 17, 608–615. [CrossRef] 84. Guistininai, A.; Tucci, V.; Zamboni, W. Modeling issues and performance analysis of high-speed interconnects based on a bundle of SWCNT. IEEE Trans. Electron. Devices 2010, 57, 1978–1986. [CrossRef] 85. Matsuda, Y.; Deng, W.Q.; Goddard, W.A., III. Contact resistance for “end-contacted” metal-graphene and metal-nanotube interfaces from quantum mechanics. J. Phys. Chem. C 2010, 114, 17845–17850. [CrossRef] 86. Zhao, W.S.; Wang, G.; Sun, L.; Yin, W.Y.; Guo, Y.X. Repeater insertion for carbon nanotube interconnects. Micro Nano Lett. 2014, 9, 337–339. [CrossRef] 87. Liu, P.W.; Cheng, Z.H.; Zhao, W.S.; Lu, Q.; Zhu, Z.; Wang, G. Repeater insertion for multi-walled carbon nanotube interconnects. Appl. Sci. 2018, 8, 236. [CrossRef] 88. Banerjee, K.; Mehrotra, A. A power-optimal repeater insertion methodology for global interconnects in nanometer design. IEEE Trans. Electron. Devices 2002, 49, 2001–2007. [CrossRef] 89. Chen, G.; Friedman, E.G. Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2006, 14, 161–172. [CrossRef] 90. Zhao, W.S.; Liu, P.W.; Yu, H.; Hu, Y.; Wang, G.; Swaminathan, M. Repeater insertion to reduce delay and power in copper and carbon nanotube-based nanointerconnects. IEEE Access 2019, 7, 13622–13633. [CrossRef] 91. Nihei, M.; Kawabata, A.; Murakami, T.; Sato, M.; Yokoyama, N. CNT/graphene technologies for future carbon-based interconnects. In Proceedings of the IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Xi’an, China, 29 October–1 November 2012; pp. 1–4. Appl. Sci. 2019, 9, 2174 20 of 21

92. Ramos, R.; Fournier, A.; Fayolle, M.; Dijon, J.; Murray, C.P.; McKenna, J. Nanocarbon interconnects combining vertical CNT interconnects and horizontal graphene lines. In Proceedings of the 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), San Jose, CA, USA, 23–26 May 2016; pp. 48–50. 93. Zhou, C.; Senegor, R.; Baron, Z.; Chen, Y.; Raju, S.; Vyas, A.A.; Chan, M.; Chai, Y.; Yang, C.Y. Synthesis and interface characterization of CNTs on graphene. Nanotechnology 2017, 28, 054007. [CrossRef] 94. Jiang, J.; Kang, J.; Chu, J.H.; Banerjee, K. All-carbon interconnect scheme integrating graphene-wires and carbon-nanotube-vias. IEDM Tech. Dig. 2017, 1431–1434. 95. Li, N.; Mao, J.; Zhao, W.S.; Tang, M.; Chen, W.; Yin, W.Y. Electrothermal cosimulation of 3-D carbon-based heterogeneous interconnects. IEEE Trans. Compon. Packag. Manuf. Technol. 2016, 6, 518–526. [CrossRef] 96. Zhang, G.; Warner, J.H.; Fouque, M.; Robertson, A.W.; Chen, B.; Robertson, J. Growth of ultrahigh density single-walled carbon nanotube forests by improved catalyst design. ACS Nano 2012, 6, 2893–2903. [CrossRef] 97. Eda, G.; Fanchini, G.; Chhowalla, M. Large-area ultrathin films of reduced graphene oxide as a transparent and flexible electronic material. Nat. Nanotechnol. 2008, 3, 270–274. [CrossRef] 98. Ceyhan, A.; Naeemi, A. Cu/low-k interconnect technology design and benchmarking for future technology nodes. IEEE Trans. Electron. Devices 2013, 60, 4041–4047. [CrossRef] 99. Nguyen, B.S.; Lin, J.F.; Perng, D.C. 1-nm-thick graphene tri-layer as the ultimate copper diffusion barrier. Appl. Phys. Lett. 2014, 104, 082105. [CrossRef] 100. Hong, J.; Lee, S.; Lee, S.; Han, H.; Mahata, C.; Yeon, H.W.; Koo, B.; Kim, S.I.; Nam, T.; Byun, K.; et al. Graphene as an atomically thin barrier to Cu diffusion into Si. Nanoscale 2014, 6, 7503–7511. [CrossRef] 101. Li, L.; Chen, X.; Wang, C.H.; Cao, J.; Lee, S.; Tang, A.; Ahn, C.; Roy, S.S.; Arnold, M.S.; Wong, H.S.P. Vertical and lateral copper transport through graphene layers. ACS Nano 2015, 9, 8361–8367. [CrossRef][PubMed] 102. Kang, C.G.; Lim, S.K.; Lee, S.; Lee, S.K.; Cho, C.; Lee, Y.G.; Hwang, H.J.; Kim, Y.; Choi, H.J.; Choe, S.H. Effects of multi-layer graphene capping on Cu interconnects. Nanotechnology 2013, 24, 115707. [CrossRef][PubMed] 103. Zhang, R.; Zhao, W.S.; Hu, J.; Yin, W.Y. Electrothermal characterization of multilevel Cu-graphene heterogenesou interconnects in the presence of an electrostatic discharge (ESD). IEEE Trans. Nanotechnol. 2015, 14, 205–209. [CrossRef] 104. Mehta, R.; Chugh, S.; Chen, Z. Enhanced electrical and thermal conduction in graphene-encapsulated copper nanowires. Nano Lett. 2015, 15, 2024–2030. [CrossRef][PubMed] 105. Goli, P.; Ning, H.; Li, X.; Liu, C.Y.; Novoselov, K.S.; Balandin, A.A. Thermal properties of graphene-copper-graphene heterogeneous films. Nano Lett. 2014, 14, 1497–1503. [CrossRef] 106. Li, L.; Zhu, Z.; Yoon, A.; Wong, H.S.P. In-situ grown graphene enables copper interconnects with improved eletromigration reliability. IEEE Electron. Device Lett. 2019.[CrossRef] 107. Mehta, R.; Chugh, S.; Chen, Z. Transfer-free multi-layer graphene as a diffusion barrier. Nanoscale 2017, 9, 1827–1833. [CrossRef] 108. Li, C.L.; Zhang, S.; Shen, T.; Appenzeller, J.; Chen, Z. BEOL compatible 2D layered materials as ultra-thin diffusion barriers for Cu interconnect technology. In Proceedings of the IEEE 75th Annual Device Research Conference (DRC), South Bend, IN, USA, 25–28 June 2017; pp. 1–2. 109. Zhao, W.S.; Wang, D.W.; Wang, G.; Yin, W.Y. Electrical modeling of on-chip Cu-graphene heterogeneous interconnects. IEEE Electron. Device Lett. 2015, 36, 74–76. [CrossRef] 110. Cheng, Z.H.; Zhao, W.S.; Wang, D.W.; Wang, J.; Dong, L.; Wang, G.; Yin, W.Y. Analysis of Cu-graphene interconnects. IEEE Access 2018, 6, 53499–53508. [CrossRef] 111. Subramaniam, C.; Yamada, T.; Kobashi, K.; Sekiguchi, A.; Futaba, D.N.; Yumura, M.; Hata, K. One hundred fold increase in current carrying capacity in a carbon nanotube-copper composite. Nat. Commun. 2013, 4, 2202. [CrossRef] 112. Chai, Y.; Chan, P.C.H.; Fu, Y.; Chuang, Y.C.; Liu, C.Y. Electromigraiton studies of Cu/carbon nanotube composite interconnects using Blech structure. IEEE Electron. Device Lett. 2008, 29, 1001–1003. [CrossRef] 113. Lee, J.; Berrada, S.; Adamu-Lema, F.; Nagy, N.; Georgiev, V.P.; Sadi, T.; Liang, J.; Ramos, R.; Carrillo-Nunez, H.; Kalita, D.; et al. Understanding electromigration in Cu-CNT composite interconnects: A multiscale electrothermal simulation study. IEEE Trans. Electron. Devices 2018, 65, 3884–3892. [CrossRef] 114. Cheng, Z.H.; Zhao, W.S.; Dong, L.; Wang, J.; Zhao, P.; Gao, H.; Wang, G. Investigation of copper-carbon nanotube composites as global VLSI interconnects. IEEE Trans. Nanotechnol. 2017, 16, 891–900. [CrossRef] Appl. Sci. 2019, 9, 2174 21 of 21

115. Zhao, W.S.; Zheng, J.; Hu, Y.; Sun, S.; Wang, G.; Dong, L.; Yu, L.; Sun, L.; Yin, W.Y. High-frequency analysis of Cu-carbon nanotube composite through- vias. IEEE Trans. Nanotechnol. 2016, 15, 506–511. [CrossRef] 116. Xu, C.; Li, H.; Suaya, R.; Banerjee, K. Compact AC modeling and performance analysis of through-silicon vias in 3-D ICs. IEEE Trans. Electron. Devices 2010, 57, 3405–3417. [CrossRef]

© 2019 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).