From Technologies to Markets

2.5D / 3D TSV & Wafer Level Stacking Technology & market updates 2019

Sample

©2019 TABLE OF CONTENT Part 1/3

• Report scope & objectives P5 2. High end market segment P72 • Glossary P6 • Trends P74 • Artificial Intelligence • Authors P8 • Data center • Super computer • Companies cited in this report P9 • Cryptocurrency mining • Comparison with 2017 report version P10 • Gaming • AR/MR/VR • The three pages report summary P13 • Forecasts P95 Stacked memories wafer, units production 3D stacking – packaging market repartition: P16 • • • Stacked memories packaging revenues • Per 3D stacking technologiy • 2.5D interposer wafer, units production • Per segment • 2.5D interposer packaging revenues • Per market • 3D SoC wafer production & packaging revenue • Technologies & roadmaps P109 • Executive summary P21 • TSV 1. Introduction P58 • 3D stacked memories • 3D SoC Hints for reading • • 3D sequential integration • Updates from the last report • Hardware examples, players & supply chain P125 • Terminology • Hardware for HPC & Networking • From global market to advanced stacking technologies • GPU, FPGA supply chain • TSV based product players • Conclusions P150

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 2 TABLE OF CONTENT Part 2/3

3. Mid/Low End market segment P152 • CIS P153 • MEMS & sensors P187 • Trends • Trends • CIS market drivers • Market evolution & packaging trends • CIS packaging evolution • Forecasts • Forecasts • MEMS & sensors with TSV wafer • CIS market repartition per technology & units production • Global CIS wafer production • TSV revenues for MEMS & Sensors • Stacked CIS wafer, unit production • Hardware examples, players & supply chain • Stacked CIS packaging revenue • MEMS & Sensors with TSV examples • Technologies & roadmaps • Supply chain for certain MEMS • CIS stacking technologies:TSV & hybrid bonding & sensors applications and players • CIS roadmap • Conclusions • Hardware examples, players & supply chain • LED P212 BSI Stacked TSV • • TSV in LED • BSI Stacked Hybrid • TSV in LED wafer & revenue forecasts • BSI Multi-stack TSV • Conclusion

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 3 TABLE OF CONTENT Part 3/3

4. Potential future applications for 3D stacking technologies P216 • 3D NAND • Stacked memories, 3D SoC & displays 4. Appendix P219 • Stacking technologies vs 2.5D TSV interposer • Details of each technology 5. AboutYole Développement P245

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 4 REPORT SCOPE & OBJECTIVES

• This report is an update of the previous 2017 release “3D TSV and 2.5D business update: Market and Technology trends 2017” • The scope of this report is to present the actual trends and their impact on the packaging need and especially the 2.5D/3D stacking technologies. Mega trends are pushing the packaging market into more and more stacking technologies in order to answer their stringent requirements (more performance, lower consumption & footprint). Already established stacking technologies like TSV will continue to flourish, but will have extended challengers as OSAT’s and other players are also innovating and proposing alternative technologies & solutions for devices stacking • This report objectives are to: • Show the impact of the semiconductor market mutation on packaging technologies • Outline three stacking technologies, through silicon via (TSV), 3D system on chip & hybrid bonding • Provide an overview of the markets requiring stacking technologies • Update market data & forecasts for stacking technologies • Describe the hardware & key applications that are/will use stacking technologies • Identify the main players & supply chain for stacking technologies • Evoke novice technologies that may challenge some of the actual stacking technologies • Predict future applications where stacking technologies may be needed

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 5 ABOUT THE AUTHOR Biographie & contact

Mario Ibrahim

As a Technology & Market Analyst, advanced packaging, Mario Ibrahim is a member of the Semiconductor & Software division at Yole Développement (Yole). Mario is engaged in the development of technology & market reports as well as the production of custom consulting studies. He is also deeply involved in test activities business development within the division.

Prior to Yole, Mario was engaged in test activities development on LEDs at Aledia. He was also in charge of several R&D advanced packaging programs. During his five-year stay, he developed strong technical & managerial expertise in different semiconductor fields.

Mario holds an Electronics Engineering Degree from Polytech’ Grenoble (France). He apprenticed for three years in the Imaging division of STMicroelectronics Grenoble, where he contributed to the test benches park automation within the test & validation team.

Contact: [email protected]

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 6 COMPANIES CITED IN THIS REPORT

Alchip, Aledia, Alibaba, Amazon, AMD, Amkor, AMS, ANPEC, Apple, ASE, , Atos, Audi, Avago, Baidu, Bosch, Bitmain, BitFury, Broadcom Canaan, Carsem, Cisco, Cray DARPA EBANG, EMmicroelectronic, EPworks Facebook, Faraday, Fingerprints, , Fraunhofer, Fujitsu Gigabyte, Global Foundries, Google, GUC HalongMining, HLMC, HP, Huatian, Huawei Ibiden, IBM, Icsens, IMEC, Inari technology, Infineon, Innosilicon, Intel, InvenSense JCET STATS ChipPAC, Juniper Lenovo, Leti, Lfoundry, LGinnotek mCube, Melexis, Memsic, Mercedes-Benz, Micralyne, Micron, Microsoft NEC, Nokia, Nvidia, NXP Omnivision, ON Semiconductor, OpenSilicon, Osram PTI , SensL, Shinko, SK hynix, SMIC, , SPIL, STMicroelectronics Tencent, TF, , TPK, TSMC UMC, Unimicron Xfab, Xilinx, Xintek, XMC, Xperi YMTC

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 7 TSV TECHNOLOGY WAFER START & REVENUES Global TSV market

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 8 ADVANCED PACKAGING TECHNOLOGIES REVENUES REPARTITION Repartition per markets : HPC & Networking / Consumer / Automotive / Others

The numbers are based on each technology’s revenues (M$), meaning that 30% of margin is taken into consideration

• HPC & networking markets are going to grow fast in order to follow the AI & big data trends. This market added to consumer market will represent almost 90% of the advanced packaging (stacking) market • Stacking technologies will be needed for autonomous vehicles where higher computing performance will be required (edge computing), but attention to automotive stringent regulations in term of reliability • Medical market is a small one for TSV’s but where the performance and form factor parameters are becoming more and more important

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 9 WHAT’S NEW SINCE THE LAST REPORT (JUNE 2017)

• Updating the hardware list using TSV & other 3D stacking technologies with focus on GPUs for High Performance Computing (HPC) in addition to MEMS & Sensors for mid / low end market segment • Updating the 3D integration markets by adding cryptocurrency as a new market • Updating the 3D stacked memory market by omitting HMC & MCDRAM memories from it. Micron stopped any R&D program related to HMC, they will continue to produce low volumes for some of their clients and they are switching to HBM instead • Updating the 3D integration technologies and adding technologies like hybrid bonding, Foveros, RDL interposer as a new stacking solutions. • Updating the CIS market and segmenting it into 3 different stacked CIS technologies (using TSV / Hybrid and combo TSV +Hybrid). More focus on this market asYole is foreseeing important usage of stacking technologies in it • Updating the 2017 forecasts with numbers based on the actual & future market analysis & trends • Updating the players & ecosystem for stacking technologies, showing that it’s in place and ready to fulfill clients demands • Updating the cost structure calculation used for the forecasts: • 2017 report: the cost of packaging was including for example the DRAM wafer cost + TSV cost + bumping cost +yield loss cost • 2019 report: the cost of packaging is only and for example the TSV cost + bumping cost + yield loss cost. Not taking into consideration the device wafer cost as we want to focus on the packaging costs only • New forecasts on Intel’s Foveros technology & updating the 3D SoC forecasts • 3D SoC will hit the market by 2019 produced by TSMC and with HiSilicon as a first potential client for their datacenter activities • EMIB from Intel as 3D stacking alternative to 2.5D TSV interposer, with products already launched • DRAM is still and will further be a big playing ground for 3D stacking technologies. Samsung is prompting pre-emptive $27.7 billion in a 2nd plant in Pyeongtaek to be able to answer growing DRAM & NAND demand. On the other hand, Micron will also invest $3 billion by 2023 to increase memory production at its Manassas plant • Chinese government is massively backing their memory startups. YMTC (Tsinghua Unigroup) received $24 billion to implement a new 12” 3D NAND production line in the new plant in Chengdu. Tsinghua Unigroup are also investing $30 billion in a plant in Nanjing to manufacture 3D NAND & DRAM • TSMC CoWoS and advanced packaging production capacity extension up to 200K wafers/month. Wafer on Wafer (3D SoC with hybrid bonding) new platform from TSMC for HPC and data center markets

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 10 WHY 3D INTEGRATION IS BECOMING MORE AND MORE IMPORTANT Moore’s law slowing down Only 4 players with 14/12nm Fab (2017) Only 2-3 players still in the 7nm node race

7nm Fab

Delay in Intel’s 10nm node, so obviously they are late regarding TSMC & Samsung

Moore’s law pace is slowing down, if not already dead as mentioned by Forbes & Nvidia. It’s reaching some limitations as developing lower technology nodes is doable technically but not anymore cost efficient

In this report, we will be using the “slow down” term when describing Moore’s law status

To deal with this slow down, other alternatives are currently used & will be further developed: • Advanced packaging technologies development. 2.5D technology was first used in high performance applications. Scaling the Z axis is taking more and more importance in what is called 3D stacking especially for HPC, but not only • Specialized devices. Meaning 1 device = 1 function. Example of GPU VS Neural engine, that allows reduced power consumption & faster computing speed • Other advanced packaging technologies development (SLIT, FOCoS, SWIFT, EMIB, ...)

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 11 MEGA TRENDS Opportunity for various stacking advanced packaging

Sensors/ Analog / Opto- CPU /GPU APU MCUs ASICs FPGAs Memory Actuators/CIS Discretes electronics AI/ML FC, FO FC, FC, 2.5D/3D, FC,WB,FO, Smart automotive FO FC, FO,ED WB,QFN, FC,FO,WB, QFN, QFN, ED, /Electrification/ ADAS FC, 2.5D/3D, WLCSP SiP FO, SiP WLCSP, SiP, 3D AR/VR FC, 2.5D/3D, SiP, 2.5D/3D, HPC FO FC, WB FC, FC, 3D, FC,FO,WB, QFN, IoT WB,QFN, WB,QFN, WLCSP, SiP, 3D WLCSP WLCSP FC,WB,FO, FC, 2.5D/3D, QFN, ED, 5G FO, SiP FC, FO,ED SiP SiP, 2.5D/3D, FC,FO,WB, QFN, FC, WB Mobile 3D WLCSP, SiP, 3D FC,WB,FO, FC, 2.5D/3D, FC, 2.5D/3D, Blockchain / Cryptocurrency QFN, ED, FO FO SiP Where 2.5D/3D stacking technologies are used

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 12 ADVANCED PACKAGING PLATFORMS Focus on 3D stacking packaging platforms in this report

No Organic Leadframe Ceramic Embedded substrate substrates substrates substrates Die

Fan-Out WLCSP Wirebond Flip-Chip Wire Bond Flip Chip Wirebond Flip-Chip

FC QFN BGA CSP LGA BGA CSP LGA QFN/QFP Hi Rel HTCC (MIS)

COB FC BGA SOIC LTCC

FO on BOC TSOP Substrate

WB CSP 2.5/2.1D LCC

3D* DIP In this report

*Hybrid bonding is included in 3D platform 2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 13 2.5D & 3D INTEGRATION MARKET SEGMENTATION The market is divided into High End & Mid/Low segments

2.5D & 3D integration

Segments High End Mid / Low segment End segment

Market Gaming, HPC Networking Sensing Lighting VR/AR/MR

Applications Data mining Artificial Super Data centers, Switch / MEMS & (crypto & CIS LED Intelligence computers hyper scale Router sensors other data)

High End segment is defined as the market where an application is less Mid/Low End segment is defined by a sensitive to the cost, but requires reduced footprint in addition to high good balance between cost sensitivity & performances & reliability performances

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 14 2.5D & 3D STACKING TECHNOLOGIES With / Without TSV. Foundries VS OSATs battle TSV + TSV Hybrid TSV Bonding

3D Stacked memory 3D SoC Without TSV With Or

With TSV Embedded in substrate Without TSV Hybrid Bonding

i-THOP FC-EIC EMIB TGV

G-ALCS

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 15 2.5D INTEGRATION WITH TSV TECHNOLOGY FOR HIGH END SEGMENT Heterogeneous integration on Si interposer

• The TSV is used as interconnection between the 2 Si facets in the so called Si interposer. It is a thinned silicon wafer with TSV’s that enable heterogeneous device integration on top of it in what is described as 2.5D integration. • This 2.5D Si interposer is the technology created just before the 3D integration (used for example in stacked memories). It was created as an intermediate technology before accessing the 3D integration and still used in many applications that requires: • Higher performances and lower power consumption due to shorter connections • In the example below, the Si interposer is used as an interconnection between a X-PU (GPU for example) and a 3D stacked memory (with TSV’s inside, HBM for example)

TSV

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 16 3D INTEGRATION WITH TSV TECHNOLOGY FOR HIGH END SEGMENT 3D Stacked memories with TSV technologies (3DS & HBM)

• 2 types of stacked memories technologies using TSV are on the market: • 3DS: this type of memory is using DDR4 DRAM stacked chips and operate as stand-alone memories for HPC & data centers markets • HBM: this type of memory is often used beside other hardware like GPU, CPU, FPGA on top of a 2.5D interposer • The 3DS memories are TSV based devices, where several DDR4 DRAMs are interconnected together using TSV technology. It can have a total capacity of 64 and 128GB. Samsung announced its availability in 256GB configuration back in October 2018 • 2 major players share the 3DS market, Samsung as a leader, SK Hynix as runner up with micron completing the podium but still far away from the 2 leaders in term of volume production • The HBM memories are also TSV based devices, where 2/4 & 8 DRAMs are interconnected together via TSV. We can see 1/2/4 HBM devices around a processor in a system dedicated for HPC. Samsung is the leader in this market with SK Hynix in second position. Micron will switch to this technology instead of their HMC technology that they are letting down • In term of market, the 3DS today is around 42% of total high performance stacked memories (3DS & HBM). Due to the fact of data collection exploded in the last years and the entry of artificial intelligence onto our daily life, the need of 3DS will increase in the upcoming years reaching 51% of the total high performance stacked memories market by 2020

Samsung Samsung stacked 8 stack DRAM high (3DS) HBM2

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 17 3D SOC 2 or 3 potential approaches to reach 3D SoC

Approach 1 (IMEC)

Approach 2 (IMEC)

Approach 3 (other possible approach?)

1 2 3?

Potential 3rd approach:

Hybrid or other bonding + Wafer 1 Dielectric bonding + complete Si removal + Si thinning + TSV last Hybrid bonding + Si thinning bumping (No TSV) + bumping + TSV middle + bumping

RDL may still be needed before bumping Source IMEC (except approach 3) 2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 18 3D SOC FORECAST 12’’ SoC WSPY production

• TSMC is believed to be the first to get a product on the market, by end of 2018, start of 2019 (Die to wafer, memory on logic). They are able to ramp up the production very quickly if a client will manifest an interest in this technology • TSMC WoW technology will be based on SoC wafer stacking using hybrid bonding and intended for datacenter usage. HiSilicon (Huawei) can be their first client,AMD is also a potential client

• Global foundries will enter the market by 2021 with as first phase memory on logic wafer to wafer stacking using hybrid bonding with pitches between 1 & 2µm. They will produce both wafers & stack them in-house, which is of an interest for the yield

• Hybrid bonding will, most probably, be the bonding technology used for 3D SoC stacking (wafer to wafer) • 3D SoC wafer should cost 3 to 4 times less than TSV interposer wafer • 3D SoC can be memory on logic or logic on logic (attention heat dissipation issues for the latter one). In the case of memory on logic which is the most probable application for 3D SoC, memory manufacturers & logic circuits ones should talk together to limit the design differences & yield losses (the manufacturers having capabilities to produce both wafers will have a big advantage)

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 19 HIGH END HARDWARE USING STACKING TECHNOLOGIES, PRODUCTS LAUNCH

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 20 HIGH END MARKET SEGMENT EXAMPLES, PLAYERS & SUPPLY CHAIN

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 21 TSV IN HIGH END SEGMENT FORECAST TSV revenues & growth in High End segment

• This graph is based on TSV revenues in High end segment: • 3D stacked memory (HBM & 3DS) • 2.5D interposer (active & passive) • 2.3B$ TSV revenues by 2023 with an important growth of 57% between 2017-2023 in high end segment • 3D stacked memories are and will still be the best friend hardware applications for TSV technology • We insist, it’s the TSV revenues and growth for High end segment only. If we plot the growth of TSV for all the combined market segments, the growth is around 25%

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 22 2.5D “PASSIVE” INTERPOSER A vision on its future

• TSV interposer is an expensive and complex technology in term of process steps

• All the players acting in the field of 2.5D stacking are working on replacing the TSV interposer by other technologies. The goal is to reduce the prices, enabling the access of 2.5D to mid/low end segments, but also simply to reduce the total module cost

• Two scenarios are possible for TSV: • Scenario 1: On the previous 2 slides, we shown that the demand for TSV interposer will continue to grow till 2020 and starting from 2021 the growth will be slower. This is the first potential scenario where we believe that one of the TSV less technologies will hit the market by 2020/2021 and will start replacing the TSV interposer for 2.5D gradually

• Scenario 2: Delays in the development and commercialization of TSV less technology(ies). Meanwhile, the demand for TSV interposer continue to grow to feed the HPC markets. In this case, the TSV interposer will continue to dominate the 2.5D market and players like TSMC & UMC will be able to increase their production volumes to meet with the demand

• TSV interposer still have some golden years in front, both scenarios can happen even if scenario 1 is more likely to occur after 2020 & scenario 2 till 2020

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 23 CIS WAFER LEVEL PACKAGING EVOLUTION From FSI to BSI multi-stack TSV sensors

4 stacks high CIS & Pixel to pixel high density 2017 interconnection 2016 CIS under development. 2016

Used in Sony XZs: 3 wafers Used in Galaxy interconnected Used in Iphone S7: via TSV I7+: 2 wafers 2 wafers interconnected interconnected via Hybrid via TSV Bonding

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 24 CIS ROADMAP Stacking technologies enabled new CIS technologies

• Technology shift into stacked CIS driven by the willingness to go for more performances & higher image quality in parallel to reducing the footprint • Stacking technologies enabled Rolling Shutter (RS) pixel size reduction. This will also be applied to Global Shutter pixel in the future Technology complexity

FSI RS pixel Lenovo 2Pro GS pixel ToF pixel FSI SOI Apple iX BSI

BSI Stacked TSV Samsung S6 Apple i7 BSI Stacked Hybrid Samsung S7 Apple i8 BSI Multi-stacked TSV Event-based Sony XZ pixel ? 2000 2005 2010 2015 2020 2025 Time

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 25 CIS STACKED TECHNOLOGIES FURTHER DETAILS [1/2] TSV and hybrid stacked BSI processes

• BSI stacked TSV: • Oxyde /oxide permanent bonding • Via Last TSV process + RDL

• BSI stacked Hybrid: • Copper pads on both wafers (upper & lower ones) • Oxide deposition & planarization (very low surface roughness is required ~1nm) • Plasma activation + alignment (able to go under 1µm of pitch alignment using a stepper) + Room temperature bonding followed by an annealing cycle

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 26 TSV VS HYBRID BONDING FOR CIS Which technology is the most suited?

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 27 STACKED CIS PACKAGING MARKET Packaging cost & revenues for stacked CIS (M$)

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 28 KEY PLAYERS FOR 3D STACKED CIS PRODUCTS Still a reduced comity with few players

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 29 PLAYERS LICENSING XPERI’S HYBRID BONDING TECHNOLOGY From CIS to memory, more and more players are using/evaluating hybrid bonding

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 30 THE DIFFERENT MEMS, SENSORS, AND ACTUATORS, AND WHERE THEY CAN COMBINE

SENSORS ACTUATORS

Movement Environment Optical sensors Optical MEMS Microfluidics RF Micro structures Focus - sonic Filter Switch µspeakers fingerprint fingerprint Pressure Ultra sonic Ultra sonic Probes Biochips Watches Micro Micro tips Oscillator Gyroscopes Vision FTIR SiPM Ink Ink heads jet components Auto Micromirrors Drug Drug delivery Accelerometers Magnetometers Sound Sound ultra and Gas Fingerprint 3D sensing 3D ALS, RGB ALS, Opticalbenches Particles Temperature Humidity Hyperspectral Micro Micro bolometers PIR & & PIR thermopiles IMUs (6 to 9 DOF)

“closed” package “open” package Possible MEMSPixels Audio 6 to 9+ DOF environmental combos Optical combos integration with combos opto combos Debiotech micro Avago-Broadcom pump FBAR Filter

Possible integration with environment sensorfingerprint Qualcomm combos Spiromax Patek Philippe - based speaker based Texas Instruments DLP SiTime oscillator FLIR Lepton One Apple dot projector

InvenSense ST pressure sensor MPU9250 Bosch BME680 poLight AF

Infineon microphone

Application where TSV is used

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 31 TRENDS IN MEMS PACKAGING [2/2] Packaging trends by market

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 32 INTEREST OF WAFER LEVEL PACKAGING + TSV ON ACCELEROMETERS? WLCSP & TSV = smaller package dimensions

65% surface reduction due to the use of (WLCSP + TSV) VS (LGA + TSV)

25% thickness reduction due to the use of (WLCSP + TSV) VS (LGA + TSV)

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 33 TSV FORECASTS FOR MEMS & SENSORS TSV revenues for MEMS & Sensors

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 34 MEMS & SENSOR (EXCEPT CIS) GLOBAL SUPPLY CHAIN THAT USE TSV IN THEIR PRODUCTS Non exhaustive list

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 35 RELATED REPORTS

2.5D/3D TSV & Wafer Level Integration Technology & Market updates 2019 | Sample | www.yole.fr | ©2019 36 2.5D / 3D TSV & WAFER-LEVEL STACKING: TECHNOLOGY & MARKET UPDATES 2019 Market & Technology report - January 2019 2.5D heterogeneous and 3D wafer-level stacking are reshaping the packaging landscape. STACKING: ONE ALTERNATIVE FOR HIGH INTEGRATION BESIDE WHAT’S NEW MOORE’S LAW • Two main market segments: - Highigh-end segment: high- The slowdown of Moore’s law opened the path to Hardware like High Bandwidth Memory (HBM) and performance computing, new inventions for answering actual mega-trends’ CIS comprise the majority of TSV’s revenue. The networking, gaming, and AR/VR/ stringent specifications. In the packaging field, 2.5D overall stacking technologies market will exceed MR and 3D stacking technologies were preferred by many $5.5B in 2023 with a CAGR of 27%. As for today, - Mid/low-end segment: CMOS semiconductor players, and through silicon via (TSV) the consumer market is the biggest contributor, Image Sensors (CIS), MEMS, was the initial stacking technology. After several years with over 65% market share. But this, paradoxically, and LED of development and a focus on MEMS, it finally entered doesn’t mean that consumer is the driver for these • Semiconductor market mutation many applications. Today, 2.5D and 3D stacking technologies. In reality, HPC is the real driver for and its impact on stacking technologies are the only solution that meet the stacking technologies and will exhibit the fastest technologies required performance of applications like AI and data growth up to 2023, with market share doubling from • Through silicon via's (TSV) center as for today. Stacking technologies are used in 20% in 2018 to 40% in 2023. In terms of packaging extensive usage in HPC and a variety of hardware, including 3D stacked memory, revenue, this equates to a more than 6x increase networking hardware Graphics Processing Unit (GPU), Field-Programmable from 2018’s revenue. Consequently, the consumer • 3D System on Chip (SoC) Gate Array (FPGA), and CMOS Image Sensor (CIS), market’s share will decrease. Other markets like technology to hit the market by 2019 are intended for the high/mid and low-end market automotive, medical, and industrial will maintain •  Hybrid bonding/stacking segments. their current market share. technology: markets, applications, forecasts, and players Stacking technologies: revenue breakdown per market from 2018 to 2023 • Stacking technologies forecast for the CIS market HPC & networking 2023 • Technologies that are challenging Consumer 5 9M Automotive 2.5D TSV interposer Others industrial and medical 252M CAGR 25 KEY FEATURES 2018 • Markets and applications requiring 1 58M 2 22M 3D stacking technologies CAGR 18 2 32M • High-end market segment: 81M 1 1M CAGR stacking technologies (TSV, 2.5D CAGR +27% interposer, and 3D SoC), supply 350M 52M chain and forecasts for HPC, CAGR 25 networking, gaming, and AR/ 150M VR/MR. Breakdown by product (stacked memory) and technology *CAGR: Compound Annual Growth Rate (2.5D and 3D SoC) (Yole Développement, January 2019) • M id/low-end segment: FROM TSV TO WAFER-LEVEL STACKING, PACKAGING TECHNOLOGIES ARE technologies (TSV and hybrid bonding), supply chain, and FLOURISHING forecasts for CIS, MEMS, Since the stacking battle is mostly between TSV- bonding and TSV interconnections (potentially). sensors, and LED. Breakdown by based and TSV-less technologies, these are the The Foveros example shows that although TSV technology for CIS and LED, and two categories Yole Développement considers in is being challenged by non-TSV technologies, by application for MEMS & sensors this report. companies still have faith in it. • The future of stacking technologies For today’s high-end market segment, the most We cannot neglect the emergence of TSV-less popular 2.5D and 3D integration technologies on the technologies in the market. These innovations market are based on TSV for 3D stacked memory, can be placed into two groups: “with substrate” and TSV interposer for heterogeneous stacking. and “embedded in substrate”. Embedded Multidie Chip-on-Wafer-on-Substrate (CoWos) technology Interconnect Bridge (EMIB) technology, already is already widely used for HPC applications, and commercialized, is part of the embedded-in- new TSV technologies will hit the market in 2019, substrate group, where the Si bridge is deep seating i.e. Foveros from Intel, which is based on “active” in the substrate. Other substrate technologies are TSV interposer and 3D SoC technology, with hybrid being developed but are still not on the market, i.e. 2.5D / 3D TSV & WAFER-LEVEL STACKING: TECHNOLOGY & MARKET UPDATES 2019

Integrated Thin Film High Density Organic Package (FOCoS) was developed and commercialized in 2016, (I-THOP) and Flip Chip - Embedded Interposer but seems to be lacking orders. Carrier (FC-EIC). Hybrid bonding can bridge the two main categories With-substrate technologies are also used as (with TSV/without TSV). This technology’s alternatives to TSV, for example InFO on substrate, particularity is that it can be simultaneously TSV which is widely used in Apple’s processors. Also, challenger and teammate. Since 2016 it has been redistribution layer (RDL) interposer technology is commonly used in smartphones’ CIS, and in the near currently being developed and will hit the market by future it will integrate the high-end market segment 2020. Last but not least, Fan Out Chip on Substrate for memory and 2.5D as an interconnection solution.

TSV-less stacking technologies - 2018 overview

lternative technologies to TSV interposer

nO yri ST OoS ST mee trace interposer on sstrate oning

Silicon bridge RDL 0 m Silicon RDL Chip first RDL Chip last Embedded metal layers or 2 wafers instead of Si interposer deposition and deposition RDL embedded Si interposer bonding with TSV instead of Si etching flip bumping deposition and Cu bumps. interposer TSV chip etching No TSV interposer needed

This technology is evoked in its details in the CMOS Image Sensors (CIS) section oneautve lt o ompane (Yole Développement, January 2019) WHO IS BACKING AND CAPITALIZING ON STACKING TECHNOLOGIES?

Different players want their share of the growing memory, the battle is between the “Big 3” IDMs: $5.5B stacking market, and four different business Samsung, SK Hynix, and Micron. These companies will models are today locked in a race to win a piece of continue to reign over the stacked memory market. the stacking business: foundries, IDMs, OSATs, and IP Meanwhile, 3D SoC is a foundry technology, and it companies. is likely that only one foundry will manufacture it, in Foundries like TSMC, UMC, and GlobalFoundries order to ensure high yield and limit risks. Here, TSMC dominate TSV heterogeneous stacking technologies leads the time-to-market race over GlobalFoundries. due to their ability to produce the interposer in- For the TSV-less technologies, the game is a bit house. Intel, with its “Foveros” technology, is the only more engaged between foundries, IDMs, OSATs, and IDM trying to compete in this sector. For 3D stacked substrate makers. Some players, like Samsung, Intel,

Overview of the main stacking technologies & players

T C T W TS W W W E S Type TS S S S CoWoS InFO on substrate Foundry 3D SoC 2.5D TSV interposer

3D stacked memory 3D IDM RDL interposer Foveros EMIB

FOCoS OSAT SWIFT 2.5D SLIT i-THOP Substrate manufacturer FC-EIC

IP Hybrid Bonding 2.5D & 3D 3D SoC will use hybrid bonding & TSV may be reuired Non-exhaustive list of companies (Yole Développement, January 2019) MARKET & TECHNOLOGY REPORT and TSMC, are involved in “with” and “without” Xperi, an IP company, will positively impact the TSV technology development. ASE (an OSAT) market because its hybrid bonding technology introduced its FOCoS technology to the market is midway between “with” and “without-TSV” in 2016, while other players like Amkor have technologies. Xperi’s other advantage is that its developed their proper technologies but are still technology is compatible with both high and mid/ awaiting orders. low-end segments. Concerning substrate companies like Shinko, Foundries, IDMs, and IP companies have the Unimicron, and most recently Fujitsu Interconnect, advantage over OSATs in stacking technologies, since they are all still in R&D. the latter encounter difficulties in obtaining orders.

REPORT OBJECTIVES • Illustrate the impact of the semiconductor market’s mutation on packaging technologies • Outline three stacking technologies: through silicon via (TSV), 3D system-on-chip, and hybrid bonding • Provide an overview of the markets requiring stacking technologies, along with updated market data and forecasts • Describe the hardware and key applications that are/will use stacking technologies • Identify the main players and the supply chain for stacking technologies • Reference nascent solutions that may challenge some of the existing stacking technologies • Predict future applications where stacking technologies might be needed

COMPANIES CITED IN THE REPORT (non exhaustive list) Alchip, Aledia, Alibaba, Amazon, AMD, Amkor, AMS, ANPEC, Apple, ASE, ASUS, Atos, Audi, Avago, Baidu, Bosch, Bitmain, BitFury, Broadcom, Canaan, Carsem, Cisco, Cray, DARPA, EBANG, EMmicroelectronic, EPworks, Facebook, Faraday, Fingerprints, Foxconn, Fraunhofer, Fujitsu, Gigabyte, GlobalFoundries, Google, GUC, HalongMining, HLMC, HP, Huatian, Huawei, Ibiden, IBM, Icsens, IMEC, Inari Technology, Infineon, Innosilicon, Intel, InvenSense, JCET STATS ChipPAC, Juniper, Lenovo, Leti, Lfoundry, LGinnotek, mCube, Melexis, Memsic, Mercedes-Benz, Micralyne, AUTHOR Micron, Microsoft, NEC, Nokia, Nvidia, NXP, Omnivision, ON Semiconductor, OpenSilicon, Osram, PTI, Samsung, SensL, Shinko, SK Hynix, SMIC, Sony, SPIL, STMicroelectronics, Tencent, Mario Ibrahim is TF, Toshiba, TPK, TSMC, UMC, Unimicron, Xfab, Xilinx, Xintek, XMC, Xperi, YMTC, and more... a member of the Semiconductor & Software division at Yole Développement TABLE OF CONTENTS (complete content on i-Micronews.com) (Yole), working as a Technology & Market Report scope and objectives 5 > Technologies and roadmaps (TSV, 3D stacked Analyst for advanced packaging. Mario Glossary 6 memory, 3D SoC) develops technology & market reports > Hardware examples, players and supply chain and produces custom consulting studies. Authors 8 (hardware for HPC and networking, GPU, He is also deeply involved in test activity Companies cited in the report 9 FPGA supply chain and TSV-based product players) business development within the division. Comparison with Yole Développement's 2017 > Conclusions Prior to Yole, Mario specialized in test report 10 Mid/low-end market segment 152 activity development for LEDs at Aledia. The three-page report summary 13 > CIS: trends, forecast, technologies and He also headed several R&D advanced 3D stacking - packaging market repartition 16 roadmaps, hardware examples, players and packaging programs. During his five-year supply chain stay at Aledia, Mario developed strong > Per segment, per market and per 3D stacking > MEMS and sensors: trends, forecast, technologies technology and roadmaps, hardware examples, players and technical and managerial expertise in Executive summary 22 supply chain different semiconductor fields. Introduction 57 > LED: TSV in LED - wafer and revenue forecasts Mario holds an Electronics Engineering High-end market segment 74 Potential future applications for 3D stacking degree from Polytech Grenoble (France). > Trends (artificial intelligence, data center, super technologies 216 He apprenticed for three years in the computer, cryptocurrency mining, gaming, AR/ > 3D NAND, stacked memory, 3D SoC, and Imaging division of STMicroelectronics MR/VR) displays Grenoble, where he contributed to the > Forecasts (stacked memory and 2.5D interposer - Appendix 219 testing and benchmarking of automation wafer, unit production and packaging revenue > Stacking technologies, with and without TSV advancements within the test & validation and 3D SoC wafer production and packaging About Yole Développement 245 revenue) team.

RELATED REPORTS B enef it from our B undle & Annual Subscription offers and access our analyses at the best available price and with great advantages • Fan-Out Packaging: Technologies and Market Trends 2019

• Status of Advanced Packaging Industry 2018

• Status of the CMOS Image Sensor Industry 2018 Find more details about this report here:

Find all our reports on www.i-micronews.com ORDER FORM 2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 BILL TO PAYMENT

Name (Mr/Ms/Dr/Pr): BY CREDIT CARD Visa Mastercard Amex Job Title: Name of the Card Holder: Company: Credit Card Number: Address:

Card Verification City: Value (3 digits except AMEX: 4 digits): Expiration date: / State: Postcode/Zip: BY BANK TRANSFER BANK INFO: HSBC, 1 place de la Bourse, Country*: F-69002 Lyon, France, *VAT ID Number for EU members: Bank code: 30056, Branch code: 00170 Account No: 0170 200 1565 87, SWIFT or BIC code: CCFRFRPP, Tel: IBAN: FR76 3005 6001 7001 7020 0156 587 Email: RETURN ORDER BY Date: • MAIL: YOLE DÉVELOPPEMENT, Le Quartz, 75 Cours Emile Zola, 69100 Villeurbanne/Lyon - France PRODUCT ORDER - Ref YD19004 SALES CONTACTS Please enter my order for above named report: • Western US & Canada - Steve Laferriere: One user license*: Euro 5,990 + 1 310 600-8267 – [email protected] Multi user license: Euro 6,490 • Eastern US & Canada - Troy Blanchette: - The report will be ready for delivery from February 20, 2019 +1 704 859 0453 – [email protected] - For price in dollars, please use the day’s exchange rate. All reports are • Europe & RoW - Lizzie Levenez: delivered electronically at payment reception. For French customers, + 49 15 123 544 182 – [email protected] add 20% for VAT • Japan & Rest of Asia - Takashi Onozawa: I hereby accept Yole Développement’s Terms and Conditions of Sale(1) +81-80-4371-4887 – [email protected] Signature: • Greater China - Mavis Wang: +886 979 336 809 – [email protected] • Specific inquiries: +33 472 830 180 – [email protected]

(1) Our Terms and Conditions of Sale are available at *One user license means only one person at the company can use the report. www.yole.fr/Terms_and_Conditions_of_Sale.aspx The present document is valid 24 months after its publishing date: January 31, 2019 SHIPPING CONTACT

First Name: Last Name: Email: Phone:

ABOUT YOLE DEVELOPPEMENT Founded in 1998, Yole Développement has grown to become a group of companies providing marketing, technology and strategy consulting, media and corporate finance services, reverse engineering and reverse costing services and well as IP and patent analysis. With a strong focus on emerging applications using silicon and/or micro manufacturing, the Yole group of companies has expanded to include more than 80 collaborators worldwide covering MEMS and Image Sensors, Compound Semiconductors, RF Electronics, Solid-state lighting, Displays, Software, Optoelectronics, Microfluidics & Medical, Advanced Packaging, Manufacturing, Nanomaterials, Power Electronics and Batteries & Energy Management. The “More than Moore” market research, technology and strategy consulting company Yole Développement, along with its partners System Plus Consulting, PISEO and KnowMade, support industrial companies, investors and R&D organizations worldwide to help them understand markets and follow technology trends to grow their business. CONSULTING AND ANALYSIS REPORTS • Market data & research, marketing analysis • Market & technology reports • Technology analysis • Patent investigation and patent infringement risk analysis • Strategy consulting • Structure, process and cost analysis • Reverse engineering & costing • Cost simulation tool • Patent analysis More information on www.i-micronews.com/reports • Design and characterization of innovative optical systems • Financial services (due diligence, M&A with our partner) More information on www.yole.fr MEDIA & EVENTS CONTACTS • i-Micronews.com website & related @Micronews e-newsletter For more information about : • Communication & webcast services • Consulting & Financial Services: Jean-Christophe Eloy ([email protected]) • Events: TechDays, forums… • Reports: David Jourdan ([email protected]) Yole Group of Companies More information on www.i-Micronews.com • Press Relations & Corporate Communication: Sandrine Leroy ([email protected]) Source: Wikimedia Commons

Yole Développement From Technologies to Market

© 2019 YOLE DEVELOPPEMENT – FIELDS OF EXPERTISE

Life Sciences Semiconductor Semiconductor & Healthcare & Software & Software o Microfluidics o Package,Assembly & Substrates o BioMEMS & Medical Microsystems o Semiconductor Manufacturing o Inkjet and accurate dispensing o Memory o Solid-State Medical Imaging & BioPhotonics o Software & Computing o BioTechnologies Life Photonics, Sciences & Sensing Healthcare & Display

Power Photonics, & Wireless Sensing & Display o RF Devices & Technologies o Solid-State Lighting o Compound Semiconductors & Emerging Materials Power & Wireless o Display o Power Electronics o MEMS, Sensors & Actuators o Batteries & Energy Management o Imaging o Photonics & Optoelectronics

©2019 | www.yole.fr | About Yole Développement 2 4 BUSINESS MODELS

o Consulting and Analysis • Market data & research, marketing analysis • Technology analysis o Syndicated reports • Strategy consulting • Market & technology reports • Reverse engineering & costing • Patent investigation and patent • Patent analysis infringement risk analysis o Monitors • Design and characterization • Teardowns & reverse costing • Monthly and/or Quarterly of innovative optical systems analysis update • Financial services (due • Cost simulation tool • Excel database covering supply, diligence, M&A with our demand, and technology www.i-Micronews.com/reports o Media partner) • Price, market, demand and www.yole.fr production forecasts • i-Micronews.com website • Supplier market shares • @Micronews e-newsletter • Communication & webcast services www.i-Micronews.com/reports • Events: TechDays, forums,… www.i-Micronews.com

©2019 | www.yole.fr | About Yole Développement 3 6 COMPANIES TO SERVE YOUR BUSINESS

Yole Group of Companies

Market, technology and strategy Manufacturing costs analysis IP analysis consulting Teardown and reverse engineering Patent assessment Cost simulation tools www.yole.fr www.systemplus.fr www.knowmade.fr

Design and characterization of Due diligence innovative optical systems Innovation and business maker www.bmorpho.com www.yole.fr www.piseo.fr

©2019 | www.yole.fr | About Yole Développement 4 OUR GLOBAL ACTIVITY

Europe office Frankfurt

Paris

Nantes Yole Korea Yole Inc. HQ in Lyon Seoul Phoenix Vénissieux Yole Japan Tokyo Nice Greater Palo Alto China office 40% Hsinchu of our business 30% 30% of our business of our business

©2019 | www.yole.fr | About Yole Développement 5 ANALYSIS SERVICES - CONTENT COMPARISON

High

Technology and Market Report

Leadership

Meeting Breadth of the analysis the of Breadth

Q&A Meet the Custom Service Analyst Analysis

Low Depth of the analysis High ©2019 | www.yole.fr | About Yole Développement 6 SERVING THE ENTIRE SUPPLY CHAIN

Integrators, end- users and software developers

Our analysts Device manufacturers provide market analysis, technology evaluation, Suppliers: material, equipment, and business plans along OSAT, foundries… the entire supply chain

Financial investors, R&D centers

©2019 | www.yole.fr | About Yole Développement 7 SERVING MULTIPLE INDUSTRIAL FIELDS

Industrial Medical We work and defense systems across multiples industries to understand Energy the impact of management Automotive More-than- Moore technologies from device Mobile phone to system Transportation and makers consumer electronics From A to Z…

©2019 | www.yole.fr | About Yole Développement 8 REPORTS COLLECTION

o Over the course of more than 20 years, Yole Développement has grown to become a group of companies. Together with System Plus Consulting and KnowMade, we now provide marketing, technology and strategy consulting, media and corporate finance services, reverse costing, structure, process and cost analysis services and well as intellectual property (IP) and patent analysis. Together, our group of companies is collaborating ever closer and therefore will offer, in 2019, a collection of over 125 reports and 10 new monitors. Combining respective expertise and methodologies from the three companies, they cover:

• MEMS & Sensors • Power electronics • RF devices & technologies • Compound semiconductors • Medical technologies • Solid state lighting • Semiconductor Manufacturing • Displays • Advanced packaging • Software • Memory • Imaging • Batteries and energy management • Photonics

o If you are looking for: • An analysis of your product market and technology • A review of how your competitors are evolving • An understanding of your manufacturing and production costs • An understanding of your industry’s technology roadmap and related IPs • A clear view supply chain evolution Our reports and monitors are for you! www.i-Micronews.com o Our team of over 70 analysts, including PhD and MBA qualified industry veterans from Yole Développement, System Plus Consulting and KnowMade, collect information, identify trends, challenges, emerging markets, and competitive environments. They turn that information into results and give you a complete picture of your industry’s landscape. In the past 20 years, we have worked on more than 2,000 projects, interacting with technology professionals and high-level opinion makers from the main players of their industries and realized more than 5,000 interviews per year. WHAT TO EXPECT IN 2019? In 2019 we will extend our offering with a new ‘monitor’ product which provides more updates on your industry during the year. The Yole Group of Companies is also building on and expanding its investigations of the memory industry. Moreover, in parallel, the Yole Group reaffirms its commitment to a new collection of reports mixing software and hardware and is increasing its involvement in displays, radio-frequency (RF) technology, advanced substrates, batteries and compound semiconductors. Discover our 2019 program right now, and ensure you get a true vision of the industry. Stay tuned!

©2019 | www.yole.fr | About Yole Développement 9 OUR 2019 REPORTS COLLECTION (1/4)

18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

Market –Technology – Strategy – by Yole Développement Yole Développement (Yole) offers market reports including quantitative market forecasts, technology trends, company strategy evaluation and indepth application analyses. Yole will The markets targeted are : publish more than 55 reports in 2019, with our partner PISEO contributing to some of the lighting reports. • Mobile & Consumer

Reverse Costing® – Structure, Process and Cost Analysis – by • Automotive & Transportation System Plus Consulting The Reverse Costing® report developed by System Plus Consulting provides full • Medical teardowns, including detailed photos, precise measurements, material analyses, manufacturing process flows, supply chain evaluations, manufacturing cost analyses and • Industrial selling price estimations. The reports listed below are comparisons of several analyzed components from System Plus Consulting. More reports are however available, and over • 60 reports will be released in 2019.The complete list is available at www.systemplus.fr. Telecom & Infrastructure

Patent Reports – by KnowMade • Defense & Aerospace More than describing the status of the IP situation, these analyses provide a missing link between patented technologies and market, technological and business trends. They offer • Linked reports are dealing with the same topic to provide an understanding of the competitive landscape and technology developments from a patent perspective. They include key insights into key IP players, key patents and future • a more detailed analysis. technology trends. For 2019 KnowMade will release over 15 reports.

©2019 | www.yole.fr | About Yole Développement 10 OUR 2019 REPORTS COLLECTION (1/5) 18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

MEMS & SENSORS RF DEVICES AND TECHNOLOGIES o MARKET AND TECHNOLOGY REPORT o MARKET AND TECHNOLOGY REPORT • Status of the MEMS Industry 2019 - Update • RF GaN Market: Applications, Players, Technology, • Status of the Audio Industry 2019 - New and Substrates 2019 - Update • Uncooled Infrared Imagers and Detectors 2019 – Update • 5G’s Impact on RF Front-End Module and Connectivity • Consumer Biometrics:Technologies and Market Trends 2018 for Cell Phones 2019 - Update • MEMS Pressure Sensor Market and Technologies 2018 • Radar andV2X Market & Technology for Automotive 2019 - Update • Gas & Particle Sensors 2018 • Advanced RF Antenna Market & Technology 2019 - New o STRUCTURE, PROCESS & COST REPORT • RF Standards and Technologies for Connected Objects 2018 o • MEMS & Sensors Comparison 2019 STRUCTURE, PROCESS & COST REPORT • MEMS Pressure Sensor Comparison 2018 • RF Front-End Module Comparison 2019 - Update • Particle Sensors Comparison 2019 • Automotive Radar RF Chipset Comparison 2018 • Miniaturized Gas Sensors Comparison 2018 o PATENT REPORT o PATENT REPORT • Antenna for 5G Wireless Communications 2019 - New • MEMS Foundry Business Portfolio 2019 - New • RF Front End Modules for Cellphones 2018 • Miniaturized Gas Sensors 2019 - New • RF Filter for 5G Wireless Communications: Materials and Technologies 2019 • RF GaN : Materials, Devices and Modules 2018 PHOTONIC AND OPTOELECTRONICS o MARKET AND TECHNOLOGY REPORT • Photonic Integrated Circuit 2019 - New • LiDARs for Automotive and Industrial Applications 2019 - Update • Silicon Photonics 2018 o PATENT REPORT • Silicon Photonics for Data Centers: Optical Transceiver 2019 - New • LiDAR for Automotive 2018

Update : 2018 version still available ©2019 | www.yole.fr | About Yole Développement 11 OUR 2019 REPORTS COLLECTION (2/5) 18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

IMAGING MICROFLUIDICS o MARKET AND TECHNOLOGY REPORT o MARKET AND TECHNOLOGY REPORT • Status of the CIS Industry 2019: Technology • Status of the Microfluidics Industry 2019 - Update and Foundry Business - Update • Next Generation Sequencing & DNA Synthesis - Technology, • Imaging for Automotive 2019 - Update Consumables Manufacturing and Market Trends 2019 - New • Neuromorphic Technologies for Sensing 2019 - Update • Organ-on-a-Chip Market & Technology Landscape 2019 - Update • Status of the CCM and WLO Industry 2019 - Update • Point-of-Need Testing Application of Microfluidic Technologies 2018 • MachineVision for Industry and Automation 2018 • Liquid Biopsy: from Isolation to Downstream Applications 2018 • Sensors for Robotic Vehicles 2018 • Chinese Microfluidics Industry 2018 o STRUCTURE, PROCESS & COST REPORT o PATENT REPORT • Compact Camera Modules Comparison 2019 • Microfluidic Manufacturing Technologies 2019 – New • CMOS Image Sensors Comparison 2019 o PATENT REPORT INKJET AND ACCURATE DISPENSING • Facial & Gesture Recognition Technlogies in Mobile Devices 2019 - New o MARKET AND TECHNOLOGY REPORT • Apple iPhone X Proximity Sensor & Flood Illuminator 2018 • Inkjet Printheads - Dispensing Technologies & Market Landscape 2019 - Update MEDICAL IMAGING AND BIOPHOTONICS • Emerging Printing Technologies o MARKET AND TECHNOLOGY REPORT for Microsystem Manufacturing 2019 - New • X-Ray Flat Panel Detectors for Military, Industrial • Piezoelectric Materials from Bulk to Thin Film 2019 - New and Medical Applications 2019 - New • Inkjet Functional and Additive Manufacturing for Electronics 2018 • Microscopy Life Science Cameras: Market and Technology Analysis 2019 o STRUCTURE, PROCESS & COST REPORT • Ultrasound technologies for Medical, Industrial • Piezoelectric Materials from Bulk to Thin Film Comparison 2019 and Consumer Applications 2018 o PATENT REPORT • Optical Coherence Tomography Medical Imaging 2018

Update : 2018 version still available ©2019 | www.yole.fr | About Yole Développement 12 OUR 2019 REPORTS COLLECTION (3/5) 18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

BIOTECHNOLOGIES MEMORY o MARKET AND TECHNOLOGY REPORT o MARKET AND TECHNOLOGY REPORT • CRISPR-Cas9 Technology: From Lab to Industries 2018 • Status of the Memory Business 2019 - New o PATENT REPORT • MRAM Technology and Business 2019 - New • Personalized Medicine 2019 – New • Emerging Non Volatile Memory 2018 o STRUCTURE, PROCESS & COST REPORT BIOMEMS & MEDICAL MICROSYSTEMS • Memory Comparison 2019 o MARKET AND TECHNOLOGY REPORT o PATENT REPORT • Medical Wearables: Market & Technology Analysis 2019 - New • Magnetoresistive Random-Access Memory (MRAM) 2019 - New • Neurotechnologies and Brain Computer Interface 2018 • 3D Non-Volatile Memory 2018 • BioMEMS & Non-Invasive Sensors: Microsystems for Life Sciences & Healthcare 2018 ADVANCED PACKAGING o PATENT REPORT o MARKET AND TECHNOLOGY REPORT • 3D Cell Printing 2019 - New • Fan Out Packaging Technologies and Market Trends 2019 - Update • Circulating Tumor Cells Isolation 2019 - New • 3D TSV Integration and Monolithic Business Update 2019 - Update • Nanopore Sequencing 2019 - New • Advanced RF SiP for Cellphones 2019 - Update • Status of Advanced Packaging 2019 - Update SOFTWARE AND COMPUTING • Status of Advanced Substrates 2019 - Update o MARKET AND TECHNOLOGY REPORT • Panel Level Packaging Trends 2019 - Update • Hardware and Software for Artificial Intelligence (AI) • RF System-in-Package & Materials for 5G 2019 - New in Automotive Applications 2019 - New • System in Package (SiP) Technology and Market Trends 2019 - New • Hardware and Software for Artificial Intelligence (AI) • Trends in Automotive Packaging 2018 in Consumer Applications 2019 - Update • • From Image Processing to Deep Learning 2019 - Update Thin-Film Integrated Passive Devices 2018 o STRUCTURE, PROCESS & COST REPORT • xPU (Processing Units) for Cryptocurrency, Blockchain, HPC and Gaming 2019 – New • Advanced RF SiP for Cellphones Comparison 2019

Update : 2018 version still available ©2019 | www.yole.fr | About Yole Développement 13 OUR 2019 REPORTS COLLECTION (4/5) 18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

SEMICONDUCTOR MANUFACTURING SOLID STATE LIGHTING o MARKET AND TECHNOLOGY REPORT o MARKET AND TECHNOLOGY REPORT • Nano Imprint Lithography 2019 - New • Status of the Solid State Light Source Industry 2019 - New • Equipment and Materials for Fan Out Packaging 2019 - Update • Edge Emitting Lasers (EELS) 2019 - New • Equipment for More than Moore: Thin Film Deposition • Light Shaping Technologies 2019 - New & Etching 2019 - New • Automotive Advanced Front Lighting Systems 2019 - New • Wafer Starts for More Than Moore Applications 2018 • VCSELs - Technology, Industry and Market Trends 2019 - Update • Polymeric Materials at Wafer-Level • IR LEDs and Laser Diodes – Technology, Applications, for Advanced Packaging 2018 and Industry Trends 2018 • Bonding and Lithography Equipment Market • Automotive Lighting 2018: Technology, Industry and Market Trends for More than Moore Devices 2018 • UV LEDs - Technology, Manufacturing and Application Trends 2018 o STRUCTURE, PROCESS & COST REPORT • LiFi: Technology, Industry and Market Trends 2018 • Wafer Bonding Comparison 2018 o STRUCTURE, PROCESS & COST REPORT o PATENT REPORT • VCSEL Comparison 2019 • Hybrid Bonding for 3D Stack 2019 – New o PATENT REPORT • VCSELs 2018

DISPLAY o MARKET AND TECHNOLOGY REPORT • Next Generation 3D Display 2019 - New • Next Generation Human Machine Interaction (HMI)in Displays 2019 - New • Micro-and Mini-LED Displays 2019 - Update • QD and Wide Color gamut (WCG) Display Technologies 2019 - Update • Displays & Optical Vision Systems for VR,AR & MR 2018 o PATENT REPORT • MicroLED Displays : Intellectual Property Landscape 2018 Update : 2018 version still available ©2019 | www.yole.fr | About Yole Développement 14 OUR 2019 REPORTS COLLECTION (5/5) 18 fields of excellence combined with six markets to provide a complete picture of your industry landscape

POWER ELECTRONICS BATTERY & ENERGY MANAGEMENT o MARKET AND TECHNOLOGY REPORT o MARKET AND TECHNOLOGY REPORT • Power SiC: Materials, Devices and Applications 2019 - Update • Status of the Rechargeable Li-ion Battery Industry 2019 - New • Power Electronics for EV/HEV and e-mobility: • Li-ion Battery Packs for Automotive and Stationary Storage Market, Innovations and Trends 2019 - Update Applications 2019 - Update • Status of the Power Electronics Industry 2019 - Update o PATENT REPORT • Discrete Power Packaging : Material Market • Battery Energy Density Increase: Materials and Technology Trends 2019 - New and Emerging Technologies 2019 - New • Status of the Power ICs Industry 2019 - Update • Solid-State Batteries 2019 - New • Status of the Passive Components for the Power Electronics • Status of the Battery Patents 2018 Industry 2019 - Update • Status of the Inverter Industry 2019 - Update COMPOUND SEMI. • Status of the Power Module Packaging Industry 2019 - Update o MARKET AND TECHNOLOGY REPORT • Wireless Charging Market Expectations • Emerging Compound Semiconductor and Technology Trends 2018 Market & Technology Trends 2019 - New • Power GaN 2018: Epitaxy, Devices, Applications • Status of the Compound Semiconductor Industry 2019 - New and Technology Trends • InP Materials, Devices and Applications 2019 - New o STRUCTURE, PROCESS & COST REPORT • GaAs Wafer and Epiwafer Market: RF, Photonics, • Automotive Power Module Packaging Comparison 2018 LED and PV Applications 2018 • GaN-on-Silicon Transistor Comparison 2019 o PATENT REPORT • SiC Transistor Comparison 2019 • GaN-on-Silicon Substrate: Materials, Devices o PATENT REPORT and Applications 2019 - Update • Power SiC : Materials, Devices and Modules 2019 - New • Power GaN : Materials, Devices and Modules 2019 – Update

Update : 2018 version still available ©2019 | www.yole.fr | About Yole Développement 15 OUR 2019 MONITORS COLLECTION (1/2) Get the most updated overview of your market to monitor your strategy

Yole Développement, System Plus Consulting and KnowMade, all part of the Yole Group of Companies, are launching a collection of 10 monitors in 2019. The monitors aim to provide updated market, technology and patent data as well dedicated quarterly analyses of the evolution in your industry over the previous 12 months. Furthermore, you can benefit from direct access to the analyst for an on-demand Q&A and discussion session regarding trend analyses, forecasts and breaking news. Topics covered will be compact camera modules (CCMs), advanced packaging, compound semiconductors, microfluidics, batteries, RF and memory.

MARKET MONITOR byYole Développement o COMPOUND SEMI. – NEW This monitor will describe how the compound semiconductor industry is evolving. It A FULL PACKAGE: will offer a close look at GaAs, InP, SiC, GaN and other compounds of interest The monitors will provide the evolution of the market in units, wafer area and revenues. providing wafer volumes, revenues, application breakdowns and momentum. They will also offer insights into what is driving the business and a close look at what is Frequency: Quarterly, starting from Q3 2019 happening will also be covered in it. o CAMERA MODULE – NEW The following deliverables will be included in the monitors: This monitor will provide the evolution of the imaging industry, with a close look at • An Excel database with all historical and forecast data image sensor, camera module, lens and VCM. Volumes, revenues and momentum of companies like Sony, Samsung, Omnivision and OnSemi will thus be analysed. • A PDF slide deck with graphs and comments/analyses covering the expected Frequency: Quarterly, starting from Q3 2019 evolutions o MEMORY – UPDATE o ADVANCED PACKAGING – NEW For the memory industry you can have access to a quaterly monitor, as well as an This monitor will provide the evolution of the advanced packaging platforms. It will additional service, a monthly pricing. Both services can be bought seprately: cover Fan-Out Wafer Level Packaging (WLP), Fan-Out Panel Level Packaging (PLP), • DRAM Service: Including a quarterly monitor and monthly pricing. Wafer-Level Chip Scale Packaging (WLCSP), Flip Chip packaging platforms, and 2.5D and 3D Through Silicon Via (TSV) integration. Frequency: Quarterly, starting from Q3 • NAND Service: Including a quarterly monitor and monthly pricing. 2019

REVERSETECHNOLOGY MONITOR by System Plus Consulting o SMARTPHONES – NEW To stay updated on the latest components, packaging and silicon chip choices of the smartphone makers, System Plus Consulting has created its first Smartphone Reverse Technology monitor. This year, get access to the packaging and silicon content database of at least 20 different flagship smartphones – more than five per quarter. Starting at the beginning of 2019, the monitor will include an Excel database report for each phone and a quarterly comparison.

©2019 | www.yole.fr | About Yole Développement 16 OUR 2019 MONITORS COLLECTION (2/2) Get the most updated overview of your market to monitor your strategy

PATENT MONITOR by KnowMade o Li-ion Batteries Anodes made of lithium metal, silicon, and lithium titanate (LTO); cathodes made of A FULL PACKAGE: Lithium Iron Phosphate (LFP), Nickel-Manganese-Cobalt (NMC), Lithium Nickel Starting at the beginning of the year, the KnowMade monitors include the following Cobalt Aluminium Oxide (NCA), Lithium Nickel Metal Dioxide (LiNiMO2), Lithium deliverables: Metal Phosphate (LiMPO4), and Lithium Metal Tetroxide (LiMO4); electrolytes including liquid, polymer/gel, and solid inorganics; ceramic and other separators; • An Excel file including the monthly IP database of: battery cells including thin film/microbattery, flexible, cylindrical and prismatic; and • New patent applications battery packs and systems. • Newly granted patents o Post Li-ion Batteries • Expired or abandoned patents Battery technologies including redox-flow batteries, sodium-ion, lithiumsulfur, lithium- • Transfer of IP rights through re-assignment and licensing air, and magnesium-ion, and their supply chains, including electrodes, electrolytes, • Patent litigation and opposition battery cells and battery packs/systems. • Quarterly report including a PDF slide deck with the key facts & figures of the o Solid-State Batteries quarter: IP trends over the three last months, with a close look to key IP players and Supply chain including electrodes, battery cells, battery packs/systems and key patented technologies. electrolytes, including polymer, inorganic and inorganic/polymer, inorganic materials, including argyrodites, LIthium Super Ionic CONductor, (LISICONs), Thio-LISICONs, o GaN for Power & RF Electronics sulfide glasses, oxide glasses, perovskites, anti-perovskites and garnets. Wafers and epiwafers, GaN-on-SiC, silicon, sapphire or diamond, semiconductor devices such as transistors, and diodes, devices and applications including converters, o RF Acoustic Wave Filters rectifiers, switches, amplifiers, filters, and Monolothic Microwave Integrated Circuits Including Surface Acoustic Wave (SAW), Temperature Compensated (TC)- SAW, Bulk (MMICs), packaging, modules and systems. Acoustic Wave- Free-standing Bulk Acoustic Resonator (BAWFBAR), BAW-Solidly- Mounted Resonator (BAW-SMR), and Packaging. o GaN for Optoelectronics & Photonics Wafers and epiwafers, GaN-on-sapphire, SiC or silicon; semiconductor devices such o RF Power Amplifiers as LEDs and lasers; and applications including lighting, display, visible communication, Including Low Noise Amplifiers, Doherty Amplifiers, Packaging, and Millimeter-Wave photonics, packaging, modules and systems. technology. o RF Front-End Modules o Microfluidics From components to chips and systems, including all applications.

©2019 | www.yole.fr | About Yole Développement 17 MICRONEWS MEDIA

o About Micronews Media ONLINE ONSITE INPERSON To meet the growing demand for market, technological and business information, @Micronews e-newsletter i-Micronews.com Events Webcasts Micronews Media integrates several tools able i-Micronewsjp.com to reach each individual contact within its FreeFullPDF.com network.We will ensure you benefit from this. Brand visibility, networking Targeted audience opportunities involvement equals clear, Unique, cost-effective ways Today's technology makes it concise perception of your to reach global audiences. easy for us to communicate company’s message. Online display advertising regularly, quickly, and Webcasts are a smart, campaigns are great strategies inexpensively – but when innovative way of for improving your understanding each other is communicating to a wider product/brand visibility. They critical, there is no substitute targeted audience. Webcasts are also an efficient way to for meeting in-person. Events create very useful, dynamic adapt with the demands of the are the best way to exchange reference material for times and to evolve an effective ideas with your customers, attendees and also for marketing plan and strategy. partners, prospects while absentees, thanks to the increasing your brand/product recording technology. visibility. Benefit from the i-Micronews.com traffic generated by the 11,200+ Several key events planned for Gain new leads for your business monthly unique visitors, the 2018 on different topics to from an average of 340 10,500+ weekly readers of attract 120 attendees on average registrants per webcast @Micronews e-newsletter

Contact: Camille Veyrier ([email protected]), Marketing & Communication Director

©2019 | www.yole.fr | About Yole Développement 18 CONTACT INFORMATION

o CONSULTING AND SPECIFIC ANALYSIS, REPORT o FINANCIAL SERVICES (in partnership with Woodside BUSINESS Capital Partners) • North America: • Jean-Christophe Eloy, CEO & President Email: [email protected] - +33 4 72 83 01 80 • Steve LaFerriere, Senior Sales Director for Western US & Canada • Ivan Donaldson, VP of Financial Market Development Email: [email protected] – + 1 310 600-8267 Email: [email protected] - +1 208 850 3914 • Troy Blanchette, Senior Sales Director for Eastern US & o CUSTOM PROJECT SERVICES Canada • Jérome Azémar, Technical Project Development Director Email: [email protected] – +1 704 859-0453 Email: [email protected] - +33 6 27 68 69 33 • Japan & Rest of Asia:

• Takashi Onozawa, General Manager, Asia Business o GENERAL Development (India & ROA) Email: [email protected] - +81 34405-9204 • Camille Veyrier, Director, Marketing & Communication Email: [email protected] - +33 472 83 01 01 • Miho Othake, Account Manager (Japan) • Sandrine Leroy, Director, Public Relations Email: [email protected] - +81 3 4405 9204 Email: [email protected] - +33 4 72 83 01 89 / +33 6 33 11 61 55 • Itsuyo Oshiba, Account Manager (Korea & Singapore) • Email: [email protected] - +33 4 72 83 01 80 Email: [email protected] - +81-80-3577-3042 • Greater China: Mavis Wang, Director of Greater China Business Development Email: [email protected] - +886 979 336 809 • Europe: Lizzie Levenez, EMEA Business Development Manager Follow us on Email: [email protected] - +49 15 123 544 182 • RoW: Jean-Christophe Eloy, CEO & President, Yole Développement Email [email protected] - +33 4 72 83 01 80 ©2019 | www.yole.fr | About Yole Développement 19