April 2017 Vol. 24, No. 2 ISSN: 1074 1879 Editor-in-Chief: M.K. Radhakrishnan

Table of Contents TECHNICAL BRIEFS...... 1 T e ch n i c a l B r i e f s • EDTM 2017 Highlights: EDS Device Conference in Asia UPCOMING TECHNICAL CONFERENCES ...... 6 • 2017 IEEE International Reliability Physics Symposium (IRPS) ighlights • 2017 IEEE Symposium on VLSI Technology EDTM 2017 H : EDS SOCIETY NEWS...... 11 Device Conference in Asia • Announcement of Newly Elected EDS BoG Members • Message from EDS President Elect • Message from EDS Vice President of Regions & Chapters • Message from the Editor-in-Chief • New Staff Joins EDS Office • Report from the EDS VLSI Technical Committee AWARDS AND RECOGNITIONS...... 17 • 2017 IEEE Robert Bosch Micro and Nano Electro Mechanical Systems Award Winner • 2017 IEEE Robert Bosch Award Call for Nominations The IEEE Electron Devices Society (EDS) had its first device con- • 2016 EDS J.J. Ebers Award Winner • 2017 EDS J.J. Ebers Award Call for Nominations ference in Asia—Electron Devices Technology and Manufacturing • 2016 EDS Education Award Winner Conference (EDTM), at Toyama International Conference Center, • 2017 EDS Education Award Call for Nominations • 2016 EDS Early Career Award Winner Toyama, Japan, from February 28th to March 2nd, 2017, (http://ewh. • 2017 EDS Early Career Award Call for Nominations ieee.org/conf/edtm/2017/). Various device technology areas, pack- • EDS Members Elected to IEEE Grade of Fellow • Congratulations to the EDS Members Recently aging, process/tool, material, modeling/reliability and emerging Elected to Senior Member Grade technologies were discussed. The technical program included ple- • Status Report from the 2016 EDS PhD Student nary sessions as well as 17 technical and poster sessions. The re- Fellowship Recipients • Status Report from the 2016 EDS Masters Student search findings were presented by researchers across the world Fellowship Recipients and was attended by about 290 attendees. (website: http://ewh. • Reminder of Deadline for 2017 EDS Masters ­Student Fellowship Nominations ieee.org/conf/edtm/2017/). This issue of the EDS Newsletter cap- • Reminder of Deadline for 2017 EDS PhD Student tures glimpses of some of the key presentations and latest technol- Fellowship Nominations YOUNG PROFESSIONALS ...... 31 ogy developments. • ‘Smart’ Drip-Irrigation System in Nicaragua As an emerging field, future computation and energy harvest- • Electronic Exploration Camps in Region 10 ing for cloud and edge systems was discussed, especially on CHAPTER NEWS...... 35 • Memory Day Event at Northern Virginia/ neuromorphic, memristor, CMOS and perovskite-solar-cell tech- Washington D.C. Chapter nologies. (Papers from 3M-2 to 3M-5). On the system integra- • Inaugural IEEE International Microwave, Electron Devices, Solid-State Circuits Symposium (IMESS) tion for cloud and edge, requirements for packaging technology 2016—Penang was presented to embed memory, RF and power-management • EDS Mini-Colloquium at Pontificia Universidad components. Javeriana, Bogota (Paper 4M-6, Packaging design considerations for • EDS Distinguished Lecture at ED Japan Chapter mobile and Internet of things (IoT), Piyush Gupta, Qualcomm). • EDS Mini-Colloquium at Santo Tomas University, Tunja Furthermore, Through Chip Interface (TCI) technology was dis- • IEEE Puebla Section Awarded the IEEE 2016 Best Achievement Award cussed for high-performance and low-cost interfaces between REGIONAL NEWS...... 42 SoC-DRAM, staked-NAND, staked DRAM and module sys- EDS MEETINGS CALENDAR...... 56 tems. (Paper 4M-1, System Integration in a Package for Cloud Remembering ...... 59 Summary of Changes to the EDS Field (continued on page 3) of Interest Statement...... 60

Your Comments Solicited Your comments are most welcome. Please write directly to the Editor-in-Chief of the Newsletter at [email protected] ELECTRON DEVICES NEWSLETTER SOCIETY EDITORIAL STAFF

President Vice President of Publications Editor-In-Chief Samar Saha and Products M.K. Radhakrishnan Prospicient Devices Hisayo Momose NanoRel E-mail: [email protected] Yokohama National University E-mail: [email protected] E-mail: [email protected] President-Elect Fernando Guarin Vice-President of Regions/ REGIONS 1-6, 7 & 9 UK, Middle East & Africa GlobalFoundries Chapters Eastern, Northeastern & South- Jonathan Terry E-mail: [email protected] M.K. Radhakrishnan eastern USA (Regions 1,2 & 3) The University of Edinburgh NanoRel Mukta Farooq E-mail: [email protected] Treasurer E-mail: [email protected] GlobalFoundries Subramanian S. Iyer E-mail: mukta.farooq@ Western Europe UCLA Vice President of Technical globalfoundries.com Jan Vobecky E-mail: [email protected] Committees & Meetings Abb Switzerland Ltd. Central USA & Canada Ravi Todi E-mail: [email protected] (Regions 4 & 7) Secretary GlobalFoundries E-mail: [email protected] Karim S. Karim Simon Deleonibus University of Waterloo REGION 10 CEA-LETI E-mail: [email protected] Australia, New Zealand & E-mail: simon.deleonibus.1992@ IEEE Newsletters South East Asia ieee.org Theresa Smith Southwestern & Western USA P Susthitha Menon IEEE Operations Center (Regions 5 & 6) Universiti Kebangsaan Jr . Past President E-mail: [email protected] Kyle H. Montgomery Malaysia Albert Z.H. Wang Air Force Research Laboratory E-mail: [email protected] University of California, Riverside Operations Director E-mail: [email protected] E-mail: [email protected] James Skowrenski Latin America (Region 9) Northeast Asia IEEE Operations Center Joao Antonio Martino Kuniyuki Kakushima E-mail: [email protected] Sr . Past President University of Sao Paulo Tokyo Institute of Technology Paul K.L. Yu E-mail: [email protected] E-mail: [email protected] University of California at San Diego Membership Administrator E-mail: [email protected] Joyce Lombardini REGION 8 East Asia IEEE Operations Center Eastern Europe Ming Liu E-mail: [email protected] Vice President of Membership Daniel Tomaszewski Institute of Microelectronics and Services Institute of Electron Technology E-mail: [email protected] Tian-Ling Ren E-mail: [email protected] Tsinghua University Scandinavia & Central Europe South Asia E-mail: [email protected] Mariusz Orlikowski Manoj Saxena Lodz University of Technology University of Delhi E-mail: [email protected] E-mail: [email protected] IEEE prohibits discrimination, harassment, and bullying. For more information, visit http://www.ieee.org/web/aboutus/whatis/policies/p9-26.html.

Contributions Welcome EDS Board of Governors (B0G) Elected Members-at-Large Readers are encouraged to submit news items concerning the Society Elected for a three-year term (maximum two terms) with ‘full’ voting privileges and its members. Please send your ideas/articles directly to either Editor- in Chief or the Regional Editor for your region. The e-mail addresses of all 2017 Term 2018 Term 2019 Term Regional Editors are listed on this page. E-mail is the preferred form of submission. Z. Celik-Butler (2) N. Bhat (1) J. Burghartz (1) Newsletter Deadlines S. Chung (2) D. Camacho Montejo (2) R. Huang (2) M. Farooq (1) S. Deleonibus (1) S. Ikeda (1) Issue Due Date P. Fay (1) M. Ieong (1) M. Meyyappan (1) C. Lilley (1) M. Polavarapu (1) A. Nathan (1) January October 1st D. Misra (1) R. Todi (1) J. Swart (2) April January 1st H. S. Momose (1) D. Verret (2) B. Zhao (1) July April 1st T. L. Ren (2) October July 1st

The EDS Newsletter archive can be found on the Society web site at http://eds.ieee.org/eds-newsletters.html. The archive contains issues from July 1994 to the present.

IEEE Electron Devices Society Newsletter (ISSN 1074 1879) is published quarterly by the Electron Devices Society of the Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. Printed in the U.S.A. One dollar ($1.00) per member per year is included in the Society fee for each member of the Electron Devices Society. Periodicals postage paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE Electron Devices Society Newsletter, IEEE, 445 Hoes Lane, Piscataway, NJ 08854.

Copyright © 2017 by IEEE: Information contained in this Newsletter may be copied without permission provided that copies are not used or distributed for direct commercial advantage, and the title of the publication and its date appear on each photocopy.

2 IEEE Electron Devices Society Newsletter ❍ April 2017 EDTM 2017 Highlights: EDS Device Conference in Asia (continued from page 1) and Edge, Tadahiro Kuroda, Keio Furthermore, the advanced pack- performance, higher density, and University). aging technology was presented by multi-layer re-distribution layer (RDL) In the packaging field, Outsource TSMC, in which their strategy for remains. (Paper PL-3, Advanced Het- Assembly and Test (OSAT) approach heterogeneous integration was in- erogeneous Integration Technology for cloud and edge devices was pre- troduced, including InFO, CoWoS Trend for Cloud and Edge, Douglas sented along with newly-developed and panel technologies. It was dis- C.H. Yu, TSMC). panel level package (PLP) technol- cussed that fan-out panel level pack- In the process and tool fields, the ogy. (Paper 4M-3, Focused tech- aging aims for lower cost with larger significant progress of EUV scan- nologies in near future from OSAT panel substrate. It is more suitable ner (NXE:3350B) was presented on view point, Akio Katsumata et al., for lower pin-count and smaller size 16-nm/20-nm line/space and 115-W J-DEVICES). packages. Its’ feasibility for higher source for high-volume manufacturing

100-GFLOPS/W computer using TCI between SoC-DRAM interface. (Re: Tadahiro Kuroda, EDTM 2017, paper#4M-1)

Plane illustration of larger panel substrate for lower cost fan-out panel level packaging (upper); Cross-sectional SEM image for higher-density and multi-layer RDL on the panel (lower). System level packaging using panel level package (PLP). (Ref: Akio Katsumata, (Ref: Douglas C.H. Yu, EDTM 2017, EDTM 2017, paper#4M-3) paper#PL-3)

April 2017 ❍ IEEE Electron Devices Society Newsletter 3 NXE:3350B imaging: 16-nm dense and 20-nm iso space. (Ref: Alek Chen, EDTM 2017, paper#5M-1)

packaging process. (refer: Papers 4M- 4, 5M-3, 5M-4, 7B-4, P-24, P-25, AIST/ Minimal Fab). As device scaling progresses towards 5/3-nm, scaling difficulties and modeling challenges were dis- cussed in the plenary presentation by GlobalFoundries. (Paper PL-1, Dimensions of Innovation to Enable the Next Era of Intelligent Systems, Dr. John G. Pellerin, GlobalFound- ries). Moreover, in these technology areas, Si nanowire with SiGe clad- ding technologies were simulated for considering the 5/3-nm technol- ogy nodes. (Paper 4B-3, FinFET/ Nanowire Design for 5nm/3nm Tech- nology Nodes: Channel Cladding and Introducing a “Bottleneck” Minimal fab line employed for CMOS fabrication, which is established in an office. (Ref: Sommawan Shape to Remove Performance Khumpuang, EDTM 2017, paper#5M-3) Bottleneck, Victor Moroz et al., Synopsys). Some of the advanced technolo- in 2018. (Paper 5M-1, EUV Lithogra- gies for yield management were phy insertion for high volume manu- presented in terms of the on-die test facturing: status and outlook, Alek element group (TEG), for built-in self- Chen et al., ASML). test (BIST). (Paper 6A-1, New Visions Furthermore, Minimal Fab tech- for IC Yield Detractor Detection, Bill nologies using a half-inch wafer were Nehrer, et al., PDF Solutions). introduced through the papers on In the material and device fields, CMOS inverter, ECR-plasma SiN film an InGaSiO/InGaZnO/InGaSiO double Si nanowire with SiGe cladding in the on state. formation, ICP-RIE etching tool form hetero channel TFT was proposed for (Ref: Victor Moroz, EDTM 2017, paper#4B-3) MEMS, and Ball-Grid-Array (BGA) Si-LSI process to achieve a higher mo-

4 IEEE Electron Devices Society Newsletter ❍ April 2017 Mobility vs. threshold voltage for InGaSiO/ InGaZnO/InGaSiO double-channel TFTs. (Ref: Alek Chen, EDTM 2017, paper#5M-1)

System for embedding electrical test sites using the actual product layouts to measure the failure rates (in terms of ERI) of patterns by process. (Ref: Bill Nehrer, EDTM 2017, paper#6A-1)

Conformal RuO2 /TiO2 /RuO2 structure on 10-aspect-ratio trench. (Ref: Yu Zhao, EDTM A RuO2/TiO2/RuO2 MIM capacitor nology areas, EDTM 2017 made an 2017, paper#P-27) was achieved in high aspect ratio of 10, impact and initiated a forum for dis- by using supercritical fluid deposition cussion by technology experts. This (SCFD) method. (Paper P-27, Super- becomes more significant as Asia is bility and enhancement operation, si- critical fluid deposition of conformal becoming a manufacturing hub and multaneously. (Paper 7A-4, High-mo- oxide films: 3-dimentionally-stacked industry-academia collaboration in bility and H2-anneal Tolerant InGaSiO/ RuO2 /TiO2 /RuO2 structures for MIM the region becomes stronger. InGaZnO/InGaSiO Double Hetero capacitors, Yu Zhao et al., University of (This article was prepared by mem- Channel Thin Film Transistor for Si- Tokyo). bers of the EDTM 2017 team, Shuji Ikeda LSI Compatible Process, Nobuyo- As the first EDS conference of this (General Chair) and Hitoshi Wakabayashi, shi Saito et al., Toshiba). kind in Asia to cover all advanced tech- Technical Program Chair.)

April 2017 ❍ IEEE Electron Devices Society Newsletter 5 U p c o m i n g T e ch n i c a l M e e t i n g s

Things are heating up at the 2017 IEEE International Reliability Physics Symposium (IRPS)

April 2–6, 2017 Hyatt Regency Monterey Resort and Spa, Monterey, CA, USA

The International Reliability Physics Symposium (IRPS) is the world’s pre- mier forum for leading-edge research addressing developments in the Reli- ability Physics of devices, materials, circuits, and products. IRPS is the con- ference where emerging Reliability Physics challenges and possible solu- tions to achieve realistic End-of-Life projections are first discussed. The 2017 IRPS conference has been finalized with 19 tutorials, 17 in- vited talks, 82 platform presentations, and 81 posters by a globally well- represented 145 technical program Beautiful Monterey—a great place for a conference committee members and chairs. The keynote speakers at IRPS 2017 will (COTS) components in high reliabil- and systems reliability, photovoltaics, be NASA Astronaut Dr. Nancy Currie- ity applications. In addition to these and MEMS. IRPS kicks off with two Gregg and IBM Distinguished Engi- new topics, IRPS continues to be the days of 90 minute tutorials (http:// neer Mr. Ronald Newhart. Dr. Currie- premier venue in reporting emerg- irps.org/program/tutorials/) given by Gregg, who flew on STS-57, STS-70, ing reliability concerns of Wide Band world-wide industrial and academic STS-88 (the first International Space Gap semiconductors. experts. The semiconductor reliability Station assembly mission—1998) neophyte wonders where, how, and and STS-109, will speak on NASA Why IRPS? when to be trained most efficiently. human exploration missions and For 55 years, IRPS has been the pre- The well-practiced engineer wants to reliability challenges. Mr. Newhart, miere conference for engineers and stay current in their reliability training who is currently a Distinguished scientists to present new and original and become a little more broadened Engineer with IBM Systems & Tech- work in the area of microelectronics in other areas. A university professor nology Group, focusing on product reliability. Drawing participants from or post doctorate wants perspective. engineering and reliability, will give the United States, Europe, Asia, and An IEEE Fellow is great in their area, a keynote speech on system level re- other parts of the world, the IRPS is the but seeking other areas to lead. Since liability challenges with technology only comprehensive reliability con- 2011, IRPS conference has offered scaling, with details posted already ference, that covers the breadth 129 # 90 minute sessions with an av- on Facebook, LinkedIn and Twit- of device reliability for: dependent erage of over 21 unique sessions per ter. The technical program will then dielectric breakdown testing, models, year delivered by industry, academia, commence with three parallel tracks; compound device reliability, inter- and government experts. There is no a listing of the accepted abstracts is connect electro-migration, soft error, other place to have this type of ex- posted with the program in review electronic system reliability, process posure and training. IRPS consists http://irps.org/program. The confer- integration and chip-package inter- of three days (Tues-Thurs, April 4–6) ence has 13 technical areas, which action. No other meeting presents of plenary and parallel technical ses- encompass the entire reliability area as much leading work in so many sions presenting original, state-of- of semiconductors. Unique to this different areas of reliability of elec- the-art work. IRPS 2017 will also offer year’s conference are focus areas tronic devices, encompassing both tutorials, evening panel debates and of reliability testing methods, and silicon and non-silicon devices, pro- workshops, invited plenary talks, and the use of Commercial Off the Shelf cess technology, packaging, circuits an outstanding technical program.

6 IEEE Electron Devices Society Newsletter ❍ April 2017 Pre-Conference Highlights session, papers will cover some of the advantages of incorporating Tutorials begin with one track cover- the physical mechanisms of reli- such sensors to bound FEOL ag- ing “reliability fundamentals” in sili- ability, degradation of III—V channel ing without exceeding the power con: FEOL, BEOL, electro migration, transistors and also some reliability constraints. Further, a novel on-die and chip-package interactions. The aspects of novel channel materials. wave-front generator circuit is used second track consists of wide band- Recent advancements in memory to generate realistic AC waveforms gap (GaN), VLSI design methodology reliability will also be showcased at to stress transistors as they would and verification, NAND flash mem- IRPS, including process optimization be in actual high-speed I/O applica- ory, and failure analysis challenges for MRAM and for vertical NAND tions. Also, a Physically Unclonable with respect to reliability. The second memories as well as system-level Function (PUF) is demonstrated by day of tutorials consists of advanced approaches for SSDs. The emerging taking advantage of the intrinsic ran- topics in silicon, automotive-IoT, in- memory field is also represented, domness of gate oxide breakdown tegrated/memories, and circuit & with studies on RRAM variability and position as the entropy source. system reliability. The automotive- error recovery. The Product session will cover IoT track is a new focus area for IRPS In the dielectric session it will be the latest industry trends on quali- with tutorials on functional safety demonstrated that Weibull distribu- fication, advancing the discussion relationship to reliability along with tion is insufficient to represent the on how we’ll ensure next generation juggling knowledge and standards complexities of FinFET degrada- technologies are comprehended at based qualification methods. tion with solid statistical and physi- the product level. Platform and Post- At the 2017 IRPS, there will be cal evidence. The novelty lies in er presentations will span a variety two entire sessions devoted to the the unified understanding gained of product segments, from Automo- reliability of Wide Bandgap (WBG) using physical analysis tools (HR- tive to CPUs to FPGA and beyond. semiconductor devices, one for SiC TEM with EELS and EDX) and per- The packaging sessions reflect and one for GaN-based devices. In colation framework based statistical recent industry trends toward scal- the SiC tracks, 4 key speakers have derivation to justify the need for a ing through the package in 2.5/3D been invited to discuss about the reli- clustering model. Also there will be designs. The sessions will feature re- ability of today SiC MOSFET technol- the first reports probing the break- liability results from new package ar- ogy. These speakers will discuss and down phenomenon in a few (2L/3L) chitectures accomplished using new share their recent findings on thresh- layered fluorinated graphene (FG) applications of build-up processes old instabilities, design aspects for stacks at sub-nanoscale resolution as well as through interposers. The reliable high voltage operation, tran- in ultra-high vacuum (UHV). Experi- comparative reliability impact of a sient Out-of-SOA robustness and re- mental evidence of stress induced TSV-middle process on front-end of ality aspects of ultra-high voltage SiC degradation and breakdown (BD) line (FEOL) devices and back-end of MOSFETs. The GaN sessions focus at nanoscale, progressive shrink- line (BEOL) structures will be present- mainly on reliability aspects of GaN- age of bandgap and multimodal BD ed. TSV orientation relative to Fin- based devices for high voltage ap- distributions will be presented at the FET device orientation was studied. plications. In the GaN sessions, new ­symposium. One comparative example shows the reliability insights, understanding The metallization reliability session gate-oxide breakdown voltage (VBD) and aspects of GaN-based devices will present the recent investigation for thin and thick gate-oxide devices in cascode-mode, power GaN-based of novel interconnects for continuous at a keep out zone (KOZ) distance of transistors under high (reverse) volt- scaling, that include a) Cobalt or Ru- 3 μm from the TSV compared to the age stress and e-mode power de- thenium-filled interconnects, b) doped reference devices. vices are presented. Moreover, the Graphene-Nanoribbon (GNR) inter- Innovative findings on the threat GaN session includes studies on the connect, c) new low-resistivity ALD-W of soft error in advanced electronic gate degradation of InAlN/AlN/GaN capping, and d) atomically thin diffu- devices will also been reported, in HEMT devices as well as the role of sion barriers. Also, there will be reports particular: i) new insights on back dislocations in carbon doped GaN covering the effects of self-heating on bias effect on SOI SRAM soft error buffers on Si. electromigration and stress-migration, rate, ii) Pioneering results on Poloni- The transistor and beyond CMOS as self-heating becomes a limiting fac- um diffusion effect on alpha emission subcommittee will have two ses- tor for semiconductor reliability. rate and related soft errors; iii) The sions. In the first session, papers The Circuit Reliability/Aging ses- re-emergence of thermal-neutron covering different aspects of BTI, sion includes innovative papers on soft error in 16-nm FinFETs and Soft HCI and self-heat effects will be dis- a wide range of topics. A thermally errors in 10-nm FinFET. Furthermore, cussed. Some aspects of variability aware aging sensor design imple- an invited talk on autonomous driv- will also be covered. In the second mented in a 20nm SoC illustrates ing, discussing the challenges of

April 2017 ❍ IEEE Electron Devices Society Newsletter 7 implementing a safe, secure, com- plex driver assistance system will complete the session. The photovoltaic session shows innovative findings on reliability of organic and inorganic solar cells. Innovative modeling and character- ization techniques for the polymeric solar cells, as new tools for compar- ing the reliability and performances of different materials, as well as a method for investigating the degra- dation mechanisms of organic solar cells will be discussed. The profound difference of behavior between for- ward and reverse bias stress on thin film silicon solar cells, showing a Management Committee Meeting, December 2016. First row from left: Gaudenzio Menghesso clear evidence of the range of wave- (Publications & Publicity Chair), Mark Porter (Technical Program Chair), Charles Slayman (Audio Vi- lengths of the photons needed to sual Chair), Cathy Christiansen (Workshops Chair), Yen-Hao Shih (Arrangements Chair). Second row assist the recovery effect under DC from left: Koji Eriguchi (Publicity Co-Chair—Asia) Jason Ryan (Communications Chair & Secretary), voltage stress will also be present- Susumo Shuto (Registration Chair), Yuan Chen (General Chair), Chris Connor (Tutorials Chair), Robert ed. The severe effects of potential- Kaplar (Finance Chair), Vincent Huard (Presentations Chair), Christine Hau-Riege (TP Vice Chair) induced damage will also be report- ed, demonstrating that a significant telemetry data from the device in the • Evening Session Workshops . number of PV modules installed are field and guide the system design These workshops enhance the PID sensitive, and highlighting the based on this data to to improve field symposium by providing the at- importance to detect and recover the reliability. Second approach is data- tendees an opportunity to meet PID affected PV modules in an early driven hybrid physics-based tech- in informal groups to discuss key stage in order to be able to recover nique for reliability allocation in early reliability physics topics with the them to an acceptable level. product development stages such guidance of experienced modera- The inaugural year of the Reli- that more reliable products can be tors. All conference attendees are ability Testing session will focus on designed for the customer. invited to join discussions of one the test equipment and methods Other opportunities at the sympo- or more of six topic areas, includ- that are used to design and perform sium include: ing self-heating effects on tran- modern reliability tests. Platform • Year in Review Session (Monday sistor reliability, expectations of presentations will include topics April 3) .These seminars provide extrinsic reliability in high reli- ranging from product level reliability a summary of the past year’s ability vs. low cost markets, mid- test concerns, to novel circuit level most noteworthy research and dle of line dielectric models, test structures, and device level de- development in the field of mi- GaN reliability testing, solid state fect detection using ultra high speed croelectronics reliability. The drive reliability, and consumer off measurement. The practical aspects distinguished speakers cull infor- the shelf components in high reli- of testing will be explored along with mation from the recent literature ability systems. These workshops the underlying physics of reliability. and product announcements and provide excellent networking op- Reliability of wide variety of sys- provide an expert’s interpreta- portunities, as well as a forum tems will be presented at the sys- tion of the impact. The Year in Re- for lively debate on the best ap- tems reliability session. Systems will view session helps the attendees proaches to characterizing and include mobile displays, Space sys- to stay current with the recent re- controlling reliability. tems with focus on commercial of the liability literature. • Vendor Exhibits . Held in paral- shelf (COTS) components, ceramic • Evening Poster Session . The post- lel with the technical sessions, capacitors based systems, counter- er session will provide an addi- the equipment demonstrations feit electronics and semiconductor tional opportunity for authors to provide a forum for manufac- systems. In addition, two approaches present their original research. turers of state-of-the-art labora- will be presented to gather and as- The setting is informal and al- tory equipment to present their similate data to improve reliability of lows for easy discussion between products. Attendees are encour- systems. One approach is to gather authors and other attendees. aged to visit the manufacturers’

8 IEEE Electron Devices Society Newsletter ❍ April 2017 booths for information and dem- energy and inspiration and has be- Yuan Chen onstrations. come a favored destination for cor- 2017 IRPS General Chair • IRPS Paper Awards . IRPS bestows porate meetings, business retreats, NASA awards for Best Paper, Outstand- training sessions and conferences. ing Paper, Best Poster and Best Please take advantage of registra- Gaudenzio Meneghesso Student Talk. The Best Paper au- tion now for lower conference fees 2017 IRPS Publicity Chair thor is typically invited to present and follow us on Facebook, Linked- University of Padova, Italy the paper at ESREF in October. In, Twitter @IEEEIRPS, or visit http:// The Conference center at the Hy- IRPS.org for the latest information. Koji Eriguchi att Regency Monterey Hotel and Spa We look forward to seeing you in 2017 IRPS Publicity Co-Chair—Asia captures an atmosphere of intense Monterey! Kyoto University

2017 Symposium on VLSI Technology

The 37th Annual Symposium on VLSI Technology will be held from June 5–8, 2017, at the Rihga Royal Hotel in Kyoto, Japan. This symposium, joint- ly sponsored by the Japan Society of Applied Physics and the IEEE Electron Devices Society (EDS) in cooperation with the IEEE Solid-State Circuits Society (SSCS) is recognized as the premier international conference on VLSI semiconductor technology. A unique aspect of this confer- ence is that it is held jointly with the Symposium on VLSI Circuits having fully overlapping schedule to pro- mote interactions between technol- ogists and circuit/system designers. This year the theme for both Sym- posia is “Harmonious Integration to- wards Next Dimensions.” It reflects the needs for VLSI community to Materials and Devices” and “Emerg- &SVP NXP Semiconductors), find additional dimensions to con- ing Memory Technology.” “Key requirements for sustained tinue the exponential growth pro- A new Demo Session will be growth of Internet of Things tech- pelled by Moore’s law. This can be held on Monday evening, June 5th nology,” Hideyuki Oka (Director achieved by innovation in devices to enable real-time demonstration R&D Division, CTO, AVC Net- and circuits driven by new technolo- of a new device and chip operation works Company, Panasonic Corp) gies, processes, and applications. A highlighting key results and poten- “Perspective view on IoT AI and single registration fee covers both tial applications for circuit-level- Robotics and Expectation to- events. innovations, as well as to give visual wards VLSI” and Daniel Rosen- Special joint focus sessions will illustration of technological concepts band (Google) “The brain of offer unique learning opportunities and analysis. Automated Driving—Electronics for both Technology and Circuits at- Additional highlights include: for the vehicle of tomorrow.” tendees for example on “Ultra Low • Plenary sessions Technology and • Three Evening Panel Discussions: Power for IoT” and “Computing be- Circuits with 4 talks: Takashi “How will we survive the post- yond von Neumann.” Moreover, the Tsutsui (Chief Scientist & SVP scaling era?” (Joint Technology/ 2017 Symposium on VLSI Technol- SoftBank Corporation) “5G Wire- Circuits), “Transistor Future: How ogy features technology focus ses- less Communication Technol- does it evolve after FinFET Era?” sions on “1D and 2D Atomically Thin ogies,” Fari Assaderaghi (CTO (Technology) and “Most important

April 2017 ❍ IEEE Electron Devices Society Newsletter 9 Circuits of 2037” (Circuits) mod- and “Spintronics Workshop on LSI” Satoshi Inaba (Japan) erated by Ted Letavic (Global- (June 5th) at the same location. Symposium Chairman Foundries), Jason Woo (UCLA) The recently renovated Rihga Toshiba and Kofi Makinwa (TU Delft), re- Royal Hotel Kyoto offers rooms in spectively. both traditional and modern style. Mukesh Khare (USA) • Full-day short courses on “Tech- The hotel conveniently located a Symposium Co-Chairman nology enables for 5nm and next 10-minute walk from JR Kyoto Train IBM wave of integration” (Technolo- station has an easy access to all gy) and “Integrated Circuits for main sightseeing attractions of an- Meishoku Masahara (Japan) Smart Connected Cars and Auto- cient Kyoto. Program Chairman mated Driving” (Circuits). We cordially invite you to at- AIST Two satellite workshops will be tend the 2017 Symposium on VLSI held before the technical session of Technology. For further information Chorng-Ping Chang (USA) the symposium: “IEEE Silicon Na- please visit the VLSI Symposia web- Program Co-Chairman noelectronics Workshop” (June 4–5) site: http://www.vlsisymposium.org. Applied Materials

IEEE Journal of the Electron Devices Society

The IEEE Journal of the Electron Devices Society (J-EDS) is a peer-reviewed, open-access, fully electronic scientific journal publishing papers ranging from applied to fundamental research that are scientifically rigorous and relevant to electron devices.

Please submit your manuscripts for consideration of publication in J-EDS at http://mc.manuscriptcentral.com/jeds.

The J-EDS publishes original and significant contributions relating to the theory, modelling, design, performance, and reliability of electron and ion integrated circuit devices and interconnects, involving insulators, metals, organic materials, micro-plasmas, semiconductors, quantum-effect structures, vacuum devices, and emerging materials with applications in bioelectronics, biomedical electronics, computation, communications, displays, micro- electromechanics, imaging, micro-actuators, nano-devices, optoelectronics, photovoltaics, power IC's, and micro-sensors. Tutorial and review papers on these subjects are also published.

As an open-access title, J-EDS provides the electron devices community:

• Faster speed of publication • Free access to readers globally • World-wide audience • Increased dissemination • High impact factor (IF) • Articles can be cited sooner • Articles potentially cited more frequently

10 IEEE Electron Devices Society Newsletter ❍ April 2017 S o c i e ty N e w s

Announcement of Newly Elected EDS BOG Members

In 2016, EDS con- an Associate Editor-in-Chief of “Sci- Stuttgart, Germany. Previously, he tinued its’ pilot pro- ence in China” and an editor of IEEE worked from 1987 through 1998 for gram and had one of T-ED. She was the General Co-Chair IBM at the T.J. Watson Research Cen- the seven Board of of 2012 ICSICT, 2013 ISLPED, TPC Co- ter in Yorktown Heights, New York, Governors Member- chair of 2004 and 2008 ICSICT and USA. From 1998 through 2005 he at-Large seats elect- committee members of many other was with Delft University of Tech- ed via the entire international conferences and sym- nology, in the Netherlands, as a full Albert Wang EDS membership. posiums. professor and, from 2001 through EDS Nominations The remaining six 2005, as the Scientific Director of the and Elections Chair seats were voted Jacobus Swart re- research institute DIMES. on by our BoG ceived B. Engineer Joachim Burghartz has pub- during the election, which took place and Dr. Engineer lished more than 350 reviewed on December 4, 2016, at the Hilton San Degrees in 1975 and articles and holds 22 patents. He Francisco Union Square Hotel in San 1981 respectively, is an IEEE Fellow, recipient of the Francisco, California, USA. The follow- from the Polytech- J. J. Ebers Award of the IEEE Elec- ing are the results of the election and nic School of the tron Devices Society (EDS), served brief biographies of the individuals University of São as Vice President Technical Activi- elected. Paulo, Brazil. Afterwards he worked ties of IEEE EDS from 2009 through at the following institutions: K. U. 2013, and was initiator and editor of Bog Members-At-Large Leuven, Belgium, 1982-83, as a post- the EDS anniversary book ‘Guide to Second Term Electees doc.; CTI, Campinas, 1984, as head State-of-the-Art Electron Devices’ Ru Huang is cur- of Process Engineering; LSI-Univer- dedicated to the 60th/35th anni- rently the Profes- sity of São Paulo, 1985-88, as Assis- versaries of electron devices in IRE sor, Director of tant Professor; SID Microeletrônica, and IEEE. Institute of Micro- 1986; RTI, USA, 1991, as a Visit- electronics and ing Scientist; and UNICAMP, since Shuji Ikeda is the Deputy Dean of 1988, as Full Professor. He served CEO of tei Solutions School of EECS, as director of the Center for Semi- Inc. He received the Peking University, conductor Components, 1998-2005 B.S. degree, PhD. China. Her research interests in- and as Director of CTI, 2007-2011. from Tokyo Insti- clude nano-scaled CMOS devices, He is currently an Imec representa- tute of Technol- nonvolatile memory devices and tive in Brazil. He is ranked as fellow ogy, Tokyo, Japan device reliability/variability analysis. researcher, level 1A (highest), at and the M.S.E.E. Since 2000, she has been a leader CNPq and is a Fellow of IEEE and degree from Princeton University, of several State Key Research Proj- of the São Paulo State Academy of New Jersey. He joined Hitachi Japan, ects in China. She has authored or Science. He is currently the leader in 1978, where he was engaged in re- coauthored four books and more of a large research network in Bra- search and development of state-of- than 250 papers, including more zil, called NAMITEC. the-art SRAM process and devices. than 50 IEDM/VLSI and EDL/T-ED pa- He was also working on developing pers. She is the holder of more than First-Time Electees process technology for LOGIC, em- 100 granted patents. She was the Joachim N . Burghartz bedded-memories, and RF devices. winner of Chang-Jiang Scholar Dis- is the director of the He also transferred technology to tinguished Professor, National Sci- Institute for Micro- mass production line. In 2005, he ence Fund for Distinguished Young electronics Stuttgart joined SEMATECH/ATDF, where he Scholars and many other awards (IMS CHIPS) and developed various kinds of technolo- in China, including National Award full professor at gies including scaled CMOS, non- for Technological Invention. She is the University of classical CMOS, new materials/tools.

April 2017 ❍ IEEE Electron Devices Society Newsletter 11 In 2010, he established tei Solutions Arokia Nathan Bin Zhao received Inc. holds the Profes- the PhD degree He holds over 200 patents in Ja- sorial Chair of from California In- pan and more than 70 patents in the Photonic Systems stitute of Technol- U.S. He chaired IEDM in 2002. He and Displays in ogy. Since 1993, serves as a chairman of VLSI commit- the Department of he has been with tee of EDS from 2009. He is chairing a Engineering, SEMATECH, Rock- new EDS flagship conference, EDTM Cambridge Uni- well, Conexant, Sky- (Electron Devices Technology and versity. He received his PhD in works, Freescale, Fairchild and ON Semi- Manufacturing Conference), in Feb- Electrical Engineering from the conductor, involved in advanced VLSI ruary 2017. University of Alberta. Following technology development and design post-doctoral years at LSI Logic implementation of analog/mixed-signal/ Meyya Meyyappan Corp., USA and ETH Zurich, Swit- power-management/RF ICs. Currently is Chief Scientist for zerland, he joined the University of he is the Director of Southern Califor- Exploration Technol- Waterloo where he held the DALSA/ nia Development Center at ON Semi- ogy at NASA Ames NSERC Industrial Research Chair conductor, where he leads IC product Research Center.He in sensor technology and subse- development for consumer electron- has authored over quently the Canada Research Chair ics and mobile wireless solutions. He 345 peer-reviewed in nano-scale flexible circuits. He has authored/co-authored more than articles and given over 400 talks. He was a recipient of the 2001 NSERC 200 journal publications and confer- is a Fellow of the IEEE, ECS, AVS, E.W.R. Steacie Fellowship. In 2006, ence presentations, has written 3 book MRS, IOP, AIChE, ASME and Na- he moved to the UK to take up the chapters, and holds 70 issued US pat- tional Academy of Inventors. For his Sumitomo Chair of Nanotechnology ents. He has served as Co-Chair, Techni- contributions and leadership in nano- at the London Centre for Nanotech- cal Working Group of RF and Analog/ technology, he has received: a Presi- nology, University College London, Mixed-Signal IC Technologies, ITRS; dential Meritorious Award; NASA’s where he received the Royal Soci- Chair, IEEE Johnson Technology Award Outstanding Leadership Medal; IEEE ety Wolfson Research Merit Award. Committee; Chair, IEEE Technical Pro- Judith Resnick Award; IEEE-USA Har- He has held Visiting Professor ap- gram Integrity Committee; Chair, EDS ry Diamond Award; AIChE Nanoscale pointments at the Physical Elec- VLSI Technology and Circuits Commit- Science and Engineering Forum tronics Laboratory, ETH Zürich and tee; EDS Vice President of Conferences; Award; Pioneer Award in Nanotech- the Engineering Department, Cam- and EDS Vice President of Publications nology by the IEEE-NTC; Sir Monty bridge University, UK. He has pub- and Products. He is an IEEE Fellow and Finniston Award by the IET; IEEE-USA lished over 500 papers in the field currently chairing the IEEE Future of Professional Achievement Award; of sensor technology and CAD, and Conference IP Committee. AVS Nanotechnology Recognition thin film transistor electronics, and Award; IEEE NPSS Merit Award. He is a co-author of four books. He has I welcome all electees and urge them was inducted into the Silicon Valley over 50 patents filed/awarded and to get fully engaged in the affairs of the Engineering Council Hall of Fame has founded/co-founded four spin- Electron Devices Society. EDS is consid- in 2009. He received an Honorary off companies. He serves on techni- ered to be a volunteer-led, volunteer-driv- Doctorate in 2015 from the Univer- cal committees and editorial boards en organization, and we expect nothing sity of Witwatersrand, Johannesburg, in various capacities. He is a Char- less from all to continue this tradition. South Africa. For his educational con- tered Engineer (UK), Fellow of the tributions, he has received the IEEE Institution of Engineering and Tech- Albert Wang EDS Education Award, and the IEEE nology (UK), Fellow of IEEE (USA), EDS Nominations and Elections Chair EAB Meritorious Achievement Award and an IEEE EDS Distinguished University of California in Continuing Education. Lecturer. Riverside, CA, USA

12 IEEE Electron Devices Society Newsletter ❍ April 2017 Message from the EDS President-Elect

Dear Readers and about by our profession, serving as a EDL edition—EDL Editors’ Picks (http:// EDS Members, role model to motivate them to follow in eds.ieee.org/edl/edl-editors-picks.html). It is once again your footsteps and enabling them to en- Please also view this newsletter in its a true honor and gineer the solutions that will bring about online version, so you can take full ad- privilege to write a brighter future. Becoming engaged vantage of the many hyperlinks provided to you as the EDS means active participation in the activi- throughout this message: (http://eds. President-Elect ties and running of your local chapter. It ieee.org/eds-newsletters.html). Peruse Fernando Guarin 2016–2017. I will also means attending and supporting the through the past issues of the newsletter EDS President-Elect take this opportu- many invited talks and mini-colloquia of- and you will find technical briefs, written (2016–2017) nity to share some fered and funded by EDS in all corners of by experts in various fields in a compre- recent accomplishments and reiter- the world. Participation in one of our we- hensive format to expand your knowl- ate the many benefits afforded by binars that showcase the world’s techni- edge on all areas of electron devices; over- your membership in EDS. We have cal leaders in the field of electron devices views of noteworthy papers from IEDM; and continued our path to enhance the will afford you the opportunity to learn reports from our chapters across the globe, value of your EDS membership and from the very best and if you happen to learn about their outreach programs and to strengthen the case for those who to miss the live broadcast you can activities. If your chapter wants to start a men- are not members to join in our ranks. access the recording in the archive toring program, we will offer hands-on kits to First and foremost, EDS is a volunteer- residing in the website section available teach basic electronic circuits, free of charge, driven and volunteer-run technical so- exclusively for our members (http://eds. through the EDS-ETC mentoring program ciety of IEEE. It is therefore critical that ieee.org/webinar-archive.html). (http://eds.ieee.org/the-eds-etc-program. our members become engaged if we Let me take this opportunity to pro- html). Finally, don’t forget to learn more want our society to flourish while con- vide you with a guided tour through our about available awards and scholarships tinuing to nurture a lifetime of accom- society’s website, so that you may enjoy (http://eds.ieee.org/awards.html). plishments by adding value, technical the many nuggets contained therein. I I invite you to become an active member vitality and fulfillment to our careers. would suggest that you begin your jour- of the Electron Devices Society, if you are When you become an EDS member ney by visiting our main page (http://eds. not a member yet. Visit our website and all you are encouraged to participate and ieee.org/), from there you will have am- the links enclosed in this article, to convince become an integral part of a society ple opportunity to explore the many sec- yourself about the added value to your with a rich history of accomplishments tions on; awards, chapters, publications, career. I look forward to your feedback on that have shaped our world and will governance, education, con­ferences, your ideas about how we can improve our continue to do so in the years to come. and our newly created mission fund. I en- society and enhance the value added that a There are many levels of engagement; courage you to visit the Electron Device membership to EDS will bring for you. from contributing the original findings Letters webpage (http://eds.ieee.org/ of your research in any of our leading edl), after viewing the main content. I Fernando Guarin publications, to visiting a local school would strongly encourage you to enjoy EDS President-Elect to enlighten avid young minds with the temporary Open Access to selected GlobalFoundries wonderful accomplishments brought “Editors’ Picks” papers from the latest Hopewell Junction, NY, USA

Message from EDS Vice President of Regions and Chapters

Dear EDS Members, members and professionals through EDS has a variety of programs to It is an honor the Society Chapters spread around develop Chapters for the benefit of for me to write the globe in all the 10 Regions of members. Chapter Subsidy, Distin- this message as IEEE. If we look at the EDS Chapters guished Lectures and Mini-Colloquia the Electron Devic- in a nutshell, there are a total of 203 are the programs for which EDS es Society (EDS) ED Chapters at present, distributed provides funding support. Chapters Vice President in all continents of the world with are always encouraged to organize M.K. Radhakrishnan of Regions and 56 ED Society Chapters, 85 Joint various technical activities like tech Chapters. EDS has Society Chapters and 62 Student talks, seminars, workshops, etc., a wide spread activity of serving its Chapters. for its members and humanitarian

April 2017 ❍ IEEE Electron Devices Society Newsletter 13 activities for the society at large. This ous activities. If any of the chapters members and the Chapter Chairs year, the Chapter Subsidy Program require guidance and help to de- at the very initiation itself. Also, we has been pegged with the activities velop and organize programs, the are initiating an EDS on-line chapter reported by Chapters in the previous Chapter Chair or ExCom members reporting form so that all the chapter’s year. This is to encourage Chapters can contact the respective region’s activities can be reported to EDS in to organize more activities and ­properly RC team members or myself. time. The task before the chapters is report them officially to the Society. In Our Student Chapters need a spe- not only to serve the members with 2016, EDS Distinguished Lecturers gave cial mention. The student chapters various activities as and when the op- more than 120 DL talks at various Chap- are growing in number in the last few portunities arise, but to also further ters in all Regions. Also, there were 20 years, much higher than that of regular chapter development with sustainable mini-colloquia organized. All these cou- chapters. However, the total EDS stu- growth both in member strength and pled with various other events and re- dent member strength is not propor- financial stability. This can be achieved gional conferences organized by Chap- tionately increasing. This unbalanced by organizing useful and entrepre- ters make our EDS Chapters luminous. growth also results in dormancy or neurial events for the benefit of pro- However, while reviewing the inactiveness of such chapters after few fessionals at all levels. Chapter activity reports submitted years as the student population moves All the initiatives and the dedicated in 2016, it was observed that more out of the parent institution. As such, it efforts by the RC team volunteers as than 30% of Chapters are not com- is highly essential to have strong fac- well as the EDS staff are aimed at lift- municating with EDS. This indicates ulty base as chapter advisors engaged ing EDS Chapters to the next level and that either these chapters are inac- in device research for the sustainability making them more vibrant. Our motto tive or not in the proper communi- and growth of student chapters. Help- is “Healthy and Self-Sustainable Chap- cation link. We have initiated a pro- ing Young Professionals to integrate ters.” I would like to hear suggestions gram to revive such weak chapters into the ED Society activities is one of from the members. Our aim is to make through our Regions and Chapters the key initiatives which the Chap- the Electron Devices Society a very (RC) team interaction. The EDS RC ters have to focus. Student chapters special one in IEEE through vibrant team includes the SRC Chairs and have a major role to play in this. chapters. Please provide your candid vice-chairs of 5 different regions Recently, we have streamlined the opinion to [email protected]. around the globe and the Regions new chapter approval process and a and Chapters committee members. guideline for the RC team to evaluate M. K. Radhakrishnan These volunteers are listed on the an interest group prior to approval has EDS Vice President EDS website. They are serving in ev- been established. This helps in making of Regions and Chapters ery Region to help Chapters in vari- the direct contact between RC team [email protected]

Message from Editor-in-Chief

Dear EDS Mem- to bring the news as fast as possible with their reflections on EDS. Apart bers and Readers, and to make the Newsletter a unique from that we have also introduced It is time for window for members in line with the messages from the EDS President me as Editor-in Society’s mission.” I also informed or President-Elect and Vice Presi- Chief (EiC) to bid you through this column, that my dents in every issue of the Newslet- farewell to all the aim is to revamp the Newsletter as a ter. Also, the Newsletter has gone readers of the technical magazine and to have sec- from printed to online versions M.K. Radhakrishnan EDS Newsletter. tions for technical articles and soci- (both available) and accessible to Editor-in-Chief I inherited this ety news items in a balanced ratio. everyone. I am proud to say that EDS Newsletter position as EiC So we invited contributors to sub- these initiatives and the direction of the EDS Newsletter from Ninoslav mit technical articles of relevance towards a technical magazine are Stojadinovic in 2013. In my first mes- and technical highlights from major the changes made for the first time sage to the readers as EiC I stated conferences. Also, we created an en- in the 23-year history of the EDS that, “One of my top priorities will be tire section for Young Professionals Newsletter.

14 IEEE Electron Devices Society Newsletter ❍ April 2017 It is a team effort and we have a to lead this race further. I wish her all the such as the National Science Foundation great group of Regional Editors who success. It is my pleasure to formally in- Faculty Early Career (CAREER) Devel- communicate regularly with Chapters troduce Carmen to the readers. opment Award and the College of En- and compile the articles. In the pro- gineering Research Award. Dr. Lilley cess of releasing every issue of the “Carmen M. Lilley is a senior member of IEEE. Within Newsletter, all these team members obtained her BS in EDS, Dr. Lilley is on the IEEE Electron De- helped me without any grouses. We General Engineering vices Society Educational Committee have a key person in the EDS office, at the University (2012-Present), Chair of the MS and PhD Joyce Lombardini, whose relentless of Illinois at Ur- Fellowship Committee (2014-Present), work throughout the year keeps the bana-Champaign and a Board-of-Governors Member-at- publication process of the Newsletter in 1998. She then Large (2015-Present). She is the techni- running smoothly. I would like to take attended North- cal committee chair on Nanomaterials this opportunity to express my per- western University and obtained her for the Nanotechnology Council (NTC) sonal appreciation and gratitude to all PhD in Theoretical and Applied Me- (2006-Present) and served as their Coun- the Regional Editors and Joyce. chanics in 2003. Upon completing her cil Representative for IEEE Women in If the readers find that a progressive PhD, she joined the Department of Me- Engineering (2012–2016). She has also and indispensable pathway has been chanical and Industrial Engineering at served on the program committee for paved during this period for the EDS the University of Illinois at Chicago as the NTC flagship conference, IEEE Nano, Newsletter, the whole credit may go to an Assistant Professor and was pro- as a reviewer, track chair, and was the tech- the entire Newsletter team including the moted to Associate Professor in 2010. nical program chair for IEEE Nano 2014.” recent past and present Regional Editors Dr. Lilley has published in prestigious and Joyce Lombardini. During the last journals such as the Applied Physics As the EiC of the EDS Newsletter, I four years if anything has terribly gone Letters (APL), Journal of Applied Phys- hope I performed my “Karma”—the wrong or mistakes have appeared in the ics (JAP), and Nano Letters. She served selfless work without expecting any re- Newsletter, it may be my own inadvertent as an Associate Editor for the ASME turns. Thank you all for the love and af- fault and I take the responsibility as the Journal of Computational on Nonlin- fection showed during these years. BYE. Editor-in-Chief and request exculpation. ear Dynamics from 2011–2015, and has I am happy to introduce the new reviewed manuscripts for APL, Journal M. K. Radhakrishnan Editor-in-Chief of the EDS Newsletter— of Applied Mechanics, JAP, Journal of NanoRel LLP Carmen M. Lilley. Carmen is a Young Pro- Vibration and Acoustics, and Nano Let- Editor-in Chief, EDS Newsletter fessional with all the vigor and enthusiasm ters. She has received various awards e-mail: [email protected]

New Staff Joins EDS Office

Dear Members, which includes the management of Member applications to increase the Two staff members have joined the recruitment and appointment pro- visibility and number of Senior Mem- the EDS HQ Office recently. cess of all ex-officio members, as well bers within IEEE and improve on as staff lead for member and commit- overall higher grade retention. Ms . Stacy Lehotzky tee engagement. joined EDS on Prior to joining EDS, Stacy has Ms. Jessica Lotito, December 5, 2016 over 20 years of IEEE service and CMP, joined EDS as the EDS Com- has held several positions including on March 13, 2017 mittee Adminis- the role of Meeting Planner with di- as the Conference/ trator. In her new rect oversight of planning meetings Meeting Project role, Stacy will be for the IEEE Board of Directors, IEEE Manager. In this primarily respon- Executive Committee, major boards role, Jessica will sible for the overall coordination of and various standing committees. In be responsible for the majority of the Executive and addition, Stacy has worked as a Buy- managing the portfolio (over 50+) Administrative Committees includ- er with the Strategic Sourcing Depart- of all existing EDS financially spon- ing responsibility for all Governance ment and her most recent position as sored and technically co-sponsored Meeting Administration and on-site the Senior Member Administrator meetings and conferences as well support of the bi-annual Board of Gov- with the Member and Geographic as any new meeting sponsorship ernance meetings, Volunteer Engage- Activities group where her focus was requests. Her position at EDS entails ment and Committee Administration to facilitate the process of Senior working closely with volunteers

April 2017 ❍ IEEE Electron Devices Society Newsletter 15 to ensure successful conferences. 2011, Jessica moved over to the En- with hotels and program incentives. Some of her functions include, con- gineering in Medicine & Biology So- In January 2009, she earned her Certi- ference logistics, contract nego- ciety (EMBS), where she managed fied Meeting Professional (CMP) des- tiations, handling of MOUs/budgets, their conference department. Jes- ignation, a premier industry certifica- Social Media outreach and other sica received her A.S. in Travel and tion. Since joining IEEE, Jessica has special EDS projects. Tourism Management in 1998, and worked on domestic and international Jessica has been with IEEE since her B.S. in Hospitality Management conferences with attendance ranging 2005 when she joined the Meetings in 2000, both from Johnson & Wales in size from 200 to 10,000 attendees. and Events Management (MCE) team University. Upon graduation, Jessica Please welcome both of them! working with volunteers planning started off her career in the hotel in- conferences and meetings for mul- dustry, which gave her an insider’s James Skowrenski tiple IEEE societies. In September, perspective to negotiating contracts Operations Director, EDS

EDS VLSI Technology and Circuits Technical Committee Report

On December 4, 2016, the EDS VLSI Technology and Circuits Technical Committee (TC) met in San Francis- co, California, USA, during the 2016 IEEE International Electron Devices Meeting (IEDM), held December 3–7. The VLSI Technology and Circuits Technical Committee was formed in 1998 under the leadership of Profes- sor Charles G. Sodini (MIT), followed by Dr. H.-S. Philip Wong (IBM), Werner Weber (Infineon), Dr. James A. Hutchby (SRC), Dr. Bin Zhao (Freescale Semi- conductor), and Shu (Shuji) Ikeda (tei Solutions). Since its formation, the VLSI Technology and Circuits TC’s mis- sion is to identify new technical trends, Attendees: From left to right – [Standing] Masaaki Niwa, Hitoshi Wakabayashi—[Sitting] Reza help foster new technical concepts, Arghavani, Seiichiro Kawamura, Steve Chung, Kazunari Ishimaru, Bin Zhao, and Shuji Ikeda. and serve the emerging needs of the Missing from the picture: Min Yang, Kaustav Banerjee, Hiroshi Iwai, and Jacobus Swart Electron Devices and Solid-State Cir- cuits communities in VLSI. The com- conferences or incorporated in b) 2017 committee members mittee members include many well new ones) are twenty-one, with fifteen recognized technical experts repre- 2) Promote special issues for major ­member’s 2nd term expiring in senting a wide spectrum of technical publications (e.g., T-ED, J-EDS) December of 2017. mastery in VLSI devices, technology, 3) Sponsor panel session topics, c) The committee’s current and circuits. invited talks and special sessions ­twenty-one geographically In pursuing the objective of the VLSI for major conferences ­diverse members include: Technology and Circuits Committee to The following topics were discussed i)0North America, eight identify new and relevant areas of in- during the December 4, 2016 meeting: ­members (USA: University, terest to the Electron Devices and Sol- 1) Membership: The committee Industry, Government) id-State Circuits communities, the members were streamlined to a ii)0S outh America, one member committee recommends any or all of total of 21. (Brazil) the following based on the nature of a) Membership length was iii)0Europe, two members the areas: confirmed for two terms of ­(Switzerland, France) 1) Initiate topical workshops of cur- two years each (total of four iv)0Africa, one member (South rent interest (attached to existing years). Africa)

16 IEEE Electron Devices Society Newsletter ❍ April 2017 v)0Asia, nine members (Japan, The Inaugural EDTM—Electron De- ii) Plenary Speakers: Taiwan, Hong Kong and vices Technology and Manufacturing, 1) John Pellerin, China) a full three-day conference, was held GlobalFoundries 2) Sub-Committee roles and re- at Toyama International Conference 2) Shizuo Tokito, Yamagata sponsibilities of the following Center, Japan from February 28th to University subcommittees were discussed: March 2nd, 2017, and fully sponsored by 3) Douglas Yu, TSMC a)0Combined Publicity and Con- the IEEE Electron Devices Society (EDS). c)0One of two tutorial courses were ference/Workshops Committee As semiconductor technology scaling organized in Japanese. Other Chair: Reza Arghavani, Lam Re- challenges continue to grow, the indus- tutorials and two short courses search—Discussed promotion try must increase collaborative efforts to were in English. of EDTM Conference in March overcome them. EDTM serves as a fo- d)0For detailed information on the 2017 in Toyama, Japan rum for the electron devices communi- EDTM program, please visit the b)0Publication Committee Chair: Min ty to collaborate on topics ranging from web site: http://ewh.ieee.org/ Yang, IBM—Propose special is- devices, materials, and tools, to create conf/edtm/2017/index.html. sues for major publications (e.g. new and innovative technologies. Please contact Dr. Reza Arghavani T-ED, J-EDS). Discussed J-EDS 4) EDTM—Electron Devices Technol- ([email protected]), Special Issue 2017: Selected from ogy and Manufacturing: Conferences/Workshops Subcom- EDTM papers a)0Focus: Device, Material, and mittee Chair, or Dr. Shu Ikeda (shu. 3) EDTM—Electron Devices Equipment [email protected]), Commit- Technology and Manufacturing b)0Paper Submission: tee Chair, for further information. Conference: i) Over 170 submitted papers in February 28 to March 2, 2017 at the areas of Device, Package, Reza Arghavani Toyama International Convention Cen­ Process, Material, Modeling EDS VLSI TC Committee Member ter http://ewh.ieee.org/conf/edtm/ & Reliability and Emerging Lam Research Corporation 2017/index.html. Technologies submitted Fremont, CA, USA

Award Recipients and Call For Nominations

2017 IEEE EDS Robert Bosch Micro and Nano Electro Mechanical Systems Award Winner

The 2017 IEEE EDS Robert Bosch Micro and Nano Electro Mechanical Systems Award was presented to Professor Clark T.-C. Nguyen, Uni- versity of California, Berkeley, California, USA, at the 2017 IEEE In- ternational MEMS Conference in Las Vegas, Nevada, January, 2017. This prestigious award recognizes and honors advances in the invention, design, and/or fabrication of micro- or nano-electromechanical systems and/or devices. Clark T.-C. Nguyen (S’90-M’95- SM’01-F’07) received the B. S., M. S., and PhD degrees from the Univer- sity of California at Berkeley in 1989, 1991, and 1994, respectively; all in Clark T.-C. Nguyen Electrical Engineering and Computer For pioneering research on high-frequency MEMS vibrating systems and for extraordinary Sciences. efforts in support of MEMS in industry, government, and teaching

April 2017 ❍ IEEE Electron Devices Society Newsletter 17 In 1995, Professor Nguyen joined vibrating micromechanical reso- more than ten best-paper awards the faculty of the University of Michi- nators similar to those pioneered from IEEE conferences and journals gan, Ann Arbor, where he was a Pro- by his research in earlier years. He focused on frequency control and fessor in the Department of Electrical served as Vice President and Chief MEMS. From 2007 to 2009, he served Engineering and Computer Science Technology Officer (CTO) of Discera as a Distinguished Lecturer for the up until mid-2006. In 2006, he joined until mid-2002 when he joined the IEEE Solid-State Circuits Society. Prof. the Department of Electrical Engi- Defense Advanced Research Projects Nguyen was the Technical Program neering and Computer Sciences at Agency (DARPA) where he served Chair of the 2010 IEEE Int. Frequency the University of California at Berke- for almost four years as the Program Control Symposium, a Co-General ley, where he is presently a Profes- Manager of research supported by Chair of the 2011 Combined IEEE Int. sor and a Co-Director of the Berkeley the Microsystems Technology Office: Frequency Control Symposium and Sensor & Actuator Center. His re- Micro Power Generation (MPG), European Frequency and Time Fo- search interests focus upon micro- Chip-Scale Atomic Clock (CSAC), rum, and is presently Co-Chairing the electromechanical systems (MEMS) MEMS Exchange (MX), Harsh Envi- 2017 IEEE Int. Micro Electromechani- and include integrated microme- ronment Robust Micromechanical cal Systems Conference. From 2008 chanical signal processors and sen- Technology (HERMIT), Micro Gas to 2013, he served as Vice President of sors, merged circuit/micromechani- Analyzers (MGA), Radio Isotope Mi- Frequency Control in the IEEE Ultra- cal technologies, optomechanical cropower Sources (RIMS), RF MEMS sonics, Ferroelectrics, and Frequency devices, RF communication architec- Improvement (RFMIP), Navigation- Control (UFFC) Society, and is cur- tures, and integrated circuit design Grade Integrated Micro Gyroscopes rently President of the society. and technology. In 2001, Prof. Nguy- (NGIMG), and Micro Cryogenic Cool- en founded Discera, Inc., a company ers (MCC). Richard Muller aimed at commercializing communi- Prof. Nguyen won the IEEE UFFC EDS Bosch Award Chair cation products based upon MEMS Cady Award in 2006 and, together University of California, Berkeley technology, with an initial focus on with his students, has garnered Berkeley, CA, USA

Ieee Robert Bosch Micro and Nano Electro Mechanical Systems Award

The Robert Bosch This award is sponsored by the IEEE medal, and a certificate. In the event that Micro and Nano Electron Devices Society with financial more than one awardee is selected, the Electro Mechanical support from Robert Bosch LLC. It is cash honorarium will be equally divided Systems Award was intended that the award will be pre- among the recipients. Each recipient will established by sented annually to an individual or to receive a bronze medal and a certificate. the IEEE Electron as many as three individuals whose Please visit the EDS website for Devices Society achievements and contributions are more information on this award: Robert Bosch in 2014 to recog- judged to meet the selection criteria for http://eds.ieee.org/robert-bosch- (1861–1942) nize and honor the award. The award will be present- micro-and-nano-electro-mechanical- Inventor, Entrepre- advances in the ed at an IEEE conference of the win- systems-award.html. neur, Founder of invention, design, ner’s choice. It is not necessary for the Nominations for this award Robert Bosch GmbH and/or fabrication recipient(s) to be a member(s) of IEEE. should be made using our online of micro- or nano-electromechanical The recipient will receive a nomination form and submitted be- systems and/or devices. The contri- US$10,000 honorarium (which includes fore midnight (EST) on October 2nd. butions to be honored by this award up to $3,000 of travel expenses for in- Letters of recommendation must should be innovative and useful for ternational travel and $1,500 of travel be sent directly to [email protected] practical applications. expenses for domestic travel), a bronze according to the same schedule.

18 IEEE Electron Devices Society Newsletter ❍ April 2017 2016 EDS J.J. Ebers Award Winner

The 2016 J.J. Ebers Award, the presti- gious Electron Devices Society award for outstanding technical contribu- tions to electron devices, was pre- sented to Dr. Jaroslav Hynecek of ISETEX, Inc., Allen, Texas, at the IEEE International Electron Devices Meet- ing in San Francisco, California, on December 5, 2016. This award recog- nizes Dr. Hynecek “For the pioneering work and advancement of CCD and CMOS image sensor technologies.” Dr. Jaroslav (Jerry) Hynecek (M’73–SM’00) was born in Czecho- slovakia, now Czech Republic, on November 26, 1940. He received the Dipl. Ing. degree in electrical engi- neering from Czech Technical Univer- sity (CTU), Prague, in 1962. In 1969 he Dr. Jaroslav Hynecek immigrated to the United States and received the PhD degree in electrical engineering from Case Western Re- Virtual Phase CCD Technology that of vacuum tube Image Intensifiers. serve University (CWRU), Cleveland, became the basis for the Pinned In this device, small packets of elec- Ohio, in 1974. From 1962 to 1969, he Photodiode concept and reduction trons are multiplied using high elec- worked at the A. S. Popov Research of dark current by accumulation of tric fields during charge transfer. The Institute, Prague, and as an Assistant holes at the Silicon-Silicon dioxide impact-ionization multiplication ef- Professor of physics at CTU, Podeb- interface. The TI VPCCD was selected fect increases the signal from a few rady. From 1974 to 1976 he worked by NASA’s Galileo spacecraft on its initial electrons to a number well at CWRU. In 1976, he joined Texas In- mission to explore Jupiter. The solid- above the noise floor of the CCD struments Inc., Dallas, and achieved state imaging subsystem (SSI) camera, and camera, allowing input-referred the position of TI Fellow in 1990. In based on Jerry’s VPCCD invention, sub-electron read noise and photon 1998, he founded a consulting cor- enabled significant discoveries in counting. Jerry has also participated poration, ISETEX, Inc., Allen, Texas, planetary science in the harsh ra- in numerous image sensor related where he is CTO. He has published diation environment of Jupiter’s conferences and workshops as a 56 papers, has 6 conference publica- orbit. The surface pinning nature of member of the paper selection com- tions, and is author or co-author of the invention, the passivated sur- mittees or as a session chairman or 133 issued US patents. Dr. Hynecek face traps, suppressed an increase co-chairman. Dr. Hynecek served as received the Paul Rappaport Award in dark current with operational life- Associate Editor for IEEE Transac- for the best paper published in any time. Jerry has also invented the tions on Electron Devices from 1997 IEEE Electron Devices Society jour- BCMD CMOS image sensor, an early until 2006. nal during 1983, Walter Kosonocky 1-T cell active pixel sensor, widely Award in 2003, three NASA Group recognized as an important device Yuan Taur Achievement Awards, and in 2015 by his peers. In 1993, he invented EDS J.J. Ebers Award Chair International Image Sensor Society Impactron, also known as EMCCD, a University of California, (IISS) Exceptional Lifetime Achieve- charge multiplying CCD image sen- San Diego ment Award. Dr. Hynecek invented sor that is the solid state equivalent San Diego, CA, USA

April 2017 ❍ IEEE Electron Devices Society Newsletter 19 20 IEEE Electron Devices Society Newsletter ❍ April 2017 2016 EDS Education Award Winner

The EDS Education Award recog- nizes an IEEE/EDS Member from an academic, industrial, or government organization with distinguished con- tributions to education within the fields of interest of the IEEE Elec- tron Devices Society. Dr. Hiroshi Iwai was recognized at the IEEE Interna- tional Electron Devices Meeting in San Francisco, California, December 5, 2016, as the 2016 EDS Education Award winner. The award cites Dr. Iwai “For providing high-quality en- gineering education for industry and academics worldwide.” Dr. Hiroshi Iwai received the B.E. and PhD degrees in electrical engi- neering from the University of To- kyo. He worked for the development of semiconductor device technol- ogy for 26 years from 1973 to 1999 at Toshiba Corporation and 18 years since 1999 at Tokyo Institute of Tech- nology. He is now a Professor Emeri- Dr. Hiroshi Iwai receiving the 2016 EDS Education Award from EDS President, tus at Tokyo Institute of Technology, Samar Saha Japan, a Vice Dean and a Distin- guished Chair Professor of National He educated more than 100 PhD and colleges in the world, including remote Chiao Tung University, Taiwan. He is master students as the main advi- areas of Asia, Latin America, and East an Honorary Professor of the Institute sor for the past 18 years. He has Europe; as described in every issue of of Microelectronics, Chinese Acad- organized many conferences, work- the EDS Newsletter. He initiated the emy of Science, and the D. J. Gandhi shops, and mini-colloquia to help EDS Mini-Colloquium (MQ) Program, Distinguished Visiting Professor of engineers exchange and discuss the in which several EDS Distinguished Indian Institute of Technology, Bom- advances in technology. He, himself, Lecturers form a team and travel bay, and a visiting professor at other also serves as a lecturer providing together in the local area. Now, more universities. the professional engineers with the than 100 MQ’s have been held all over Dr. Iwai has devoted himself, es- state-of-the-art technology informa- the world. pecially to the professional engi- tion in short courses and plenary ses- Dr. Iwai is a Life Fellow of IEEE, a neering education for more than 40 sions of most of the major semicon- member of the Electrochemical So- years. In Toshiba, he helped raise ductor conferences. He has been an ciety, a fellow of the Japan Society world-top level engineers with many opinion leader for the past 20 years Applied Physics, and a fellow of the of them receiving PhD degrees while predicting the future of electron de- Institute of Electronics, Information working there. At Tokyo Institute of vice technologies. He wrote many and Communication Engineers of Ja- Technology, he paid a special effort chapters explaining the most ad- pan. He is coauthored with more than to set up a suitable environment for vanced technologies for text books. 1,000 international and 500 domestic the education of semiconductor de- He has also a passion for education papers in journals and conferences. vice engineering for students; such in electron device engineering. He has as forefront experimental facilities, served as an IEEE EDS Distinguished Meikei Ieong excellent teaching staff teams from Lecturer for 22 years, giving a few to 2016 EDS Education Award Chair industry and foreign countries, and several lectures every year for students Hong Kong Applied Science and financial support funds for students. at many universities and engineering Technology Research Institute

April 2017 ❍ IEEE Electron Devices Society Newsletter 21 2017 Eds Education Award Call for Nominations

The IEEE Electron Devices Society invites the submis- or governmental sectors. Specific accomplishments sion of nominations for the EDS Education Award. This include effectiveness in the development of innovative award is presented annually by EDS to honor an indi- education, continuing education programs, authorship vidual who has made distinguished contributions to of textbooks, presentation of short-courses at EDS spon- education within the field of interest of the Electron sored conferences, participation in the EDS Distinguished Devices Society. The recipient is awarded a plaque and Lecturer program, and teaching or mentoring awards. a check for $2,500, presented at the IEEE International Since this award is solely given for contributions to Electron Devices Meeting (IEDM). education, the nomination should exclude emphasis The nominee must be an EDS member engaged in on technical contributions to engineering and physics education in the field of electron devices, holding a pres- of electron devices. ent or past affiliation with an academic, industrial, or gov- Nomination forms can be found on the EDS web- ernment organization. Factors for consideration include site: http://eds.ieee.org/education-award.html. achievements and recognition in educating and mentor- The deadline for the submission of nominations for ing students in academia or professionals in the industrial the 2017 award is September 1, 2017.

2016 EDS Early Career Award Winner

The EDS Early Career Award rec- ognizes young IEEE/EDS members who have made outstanding contri- butions in an EDS field of interest during the early years of their pro- fessional career after graduation. The 2016 EDS Early Career Award was presented to Nagarajan Raghavan of the SUTD Singapore University of Technology & Design, Singapore, at the EDS Awards Dinner held in con- junction with the IEEE International Electron Devices Meeting in San Fran- cisco, California, on December 4, 2016. Nagarajan Raghavan is an As- sistant Professor at the Singapore University of Technology and Design (SUTD) in the Engineering Product Development pillar. Prior to this, he was a post-doc at the Massachusetts Institute of Technology (MIT) in Boston and at IMEC Belgium, in joint as- sociation with Katholieke Univer- siteit Leuven. He obtained his PhD (Microelectronics, 2012) at the Divi- sion of Microelectronics, Nanyang Presentation of the award to Nagarajan Raghavan (left), by Fernando Guarin, EDS President-Elect Technological University (NTU), (center) and Samar Saha, EDS President (right)

22 IEEE Electron Devices Society Newsletter ❍ April 2017 ­Singapore and S.M. (Advanced Ma- Asia-Pacific recipient for the IEEE EDS Nagarajan enjoys cycling, travel- terials for Micro & Nano Systems, PhD Student Fellowship in 2011 and ing, photography and train watch- 2008) and M.Eng (Materials Science the IEEE Reliability Society Gradu- ing. He is a big rail fan and hopes and Engineering, 2008) from National ate Scholarship Awardee in 2008. To to continue his adventures travel- University of Singapore (NUS) and date, he has authored/co-authored ling on trains all around the world. Massachusetts Institute of Technology more than 115 international peer-re- He loves spending time with young (MIT), Boston, respectively. His work viewed publications and four invited kids and dreams of running a kin- focuses on statistical characterization book chapters as well. He also holds dergarten of his own in the far fu- and reliability modeling of dielectric a US patent as a co-inventor for using ture with a unique style of educa- breakdown and resistive switching in CMOS platform to fabricate RRAM tion and enrichment that is inspired novel high-l dielectric material based devices. He has served on the review by nature and extensive outdoor logic and memory device stacks. His committee for various IEEE journals activities. other research interests include ran- and conferences including IRPS, IIRW, dom telegraph noise, prognostics IPFA, ISDRS and ESREF. He is current- Fernando Guarin and health management, design for ly a Member of IEEE (2005-present) EDS Early Career Award Chair reliability and stochastic modeling and was an invited member of the GlobalFoundries of nanostructure growth. He is the IEEE GOLD committee (2012–2014). Hopewell Junction, NY, USA

2017 IEEE EDS Early Career Award

Description: Awarded annually to an individual to pro- • A minimum of two and a mote, recognize and support Early Career Technical De- maximum of three letters of recommendation from velopment within the Electron Devices Society’s field individuals familiar with the candidate’s technical of interest. contributions and other credentials, with emphasis Prize: An award of US$1,000, a plaque; and if need- on the specific contributions and their impacts. ed, travel expenses not to exceed US$1,500 for a re- The basis for judging includes such factors as: the dem- cipient residing in the US and not to exceed US$3,000 onstration of field leadership in a specific area; specific for a recipient residing outside the US to attend the technical contribution(s); impact on the profession or award presentation. economy; originality; breadth; inventive value; publi- Eligibility: Candidate must be an IEEE EDS mem- cations; honors; and other appropriate achievements. ber and must have received his/her first professional Schedule: Nominations are due to the EDS Executive degree within the 10th year defined by the August Office on August 15th each year. The candidate will be 15 nomination deadline and has made contributions selected by the end of September, with presentation to in an EDS field of interest area. Nominator must be be made in December. an IEEE EDS member. Previous award winners are Presentation: At the EDS Awards Dinner that is held ineligible. in conjunction with the IEEE International Electron De- Selection/Basis for Judging: The nominator will be vices Meeting (IEDM) in December. The recipient will required to submit a nomination package comprised also be recognized at the December EDS Board of Gov- of the following: ernors Meeting. • The nomination form that is found on the EDS web Nomination Form: Complete the nomination site, containing such technical information as the form by August 15, 2017. All endorsement letters nominee’s contributions, accomplishments and should be sent to [email protected] by the deadline. impact on the profession or economy and a bio- For more information contact: [email protected] or visit: graphical description. http://eds.ieee.org/early-career-award.html.

April 2017 ❍ IEEE Electron Devices Society Newsletter 23 Congratulations to the 26 Newly Elected IEEE Electron Devices Society Fellows

Effective January 1, 2017

Hugh Barnaby, for leadership in developing low power for leadership in CMOS image sensors Arizona State Uni- logic technologies for System-on-Chip versity, Tempe, Borivoje Nikolic, University of Cal- Arizona, USA Quanxi Jia, University of Buffalo, ifornia, Berkeley, California, USA for research of ra- Buffalo, New York, USA for contributions to energy-efficient de- diation effects in for contributions to coated super- sign of digital and mixed-signal circuits bipolar junction conductors and metal-oxide thin films transistors for electronic applications Akihiro Nitayama, Tohoku Univer- sity, Sendai, Japan Paul K.L. Yu Yu Cao, Arizona Hongrui Jiang, University of Wis- for leadership in 3-dimensional NAND 2017 EDS Fellows State University, consin, Madison, Wisconsin, USA Flash memory technology development Chair Tempe, Arizona, for contributions to materials and micro- USA scale optical tools for medical imaging Tomas Palacios, Massachusetts Insti- for development of predictive tute of Technology, Cambridge, Mas- technology models for reliable Richard King, Arizona State Univer- sachusetts, USA circuit and system integration sity, Tempe, Arizona, USA for contributions to gallium nitride for contributions to high-perfor- electron devices and two-dimension- Edoardo Charbon, Delft University mance space and terrestrial photo- al materials of Technology, Delft, Netherlands voltaics technology for contributions to solid-state sin- Dimitrios Peroulis, Purdue Univer- gle photon avalanche detectors and Hulya Kirkici, Auburn University, sity, West Lafayette, Indiana, USA their applications in imaging Auburn, Alabama, USA for contributions to MEMS-based tun- for contributions to high frequency, able filters Wei-ting Chien, SMIC-Semiconduc- high field dielectric breakdown and tor Mfg. Int’l Corp., Shanghai, China electrical insulation for space and Ramgopal Raovalipe, Indian Institute for leadership in reliability management aerospace power systems of T echnology, Powai, Mumbai, India for contributions to CMOS System- Terry Ericsen, Ericsen Innovations Steven Koester, University of Min- on-Chip technologies LLC, Annapolis, Maryland, USA nesota, Minneapolis, Minnesota, USA for leadership in power electronics for contributions to group-IV elec- Andrei Vladimirescu, Berkeley tronic and photonic devices Wireless Research Center, Berkeley, Christopher Hierold, Swiss Fed- California, USA eral Institute of Technology, Zurich, Xiuling Li, University of Illinois, for contributions to the development Switzerland Champaign, Illinois, USA and commercial adoption of SPICE for contributions to microelectrome- for contributions to semiconductor circuit simulation chanical sensors and microthermo- nanomaterials for electronic and pho- electric energy harvesting tonic applications Sorin Voinigescu, University of To- ronto, Toronto, Ontario, Canada Ru Huang, Peking University, Beijing, Donald Y. Lie, Texas Tech University, for contributions to silicon and silicon- China Lubbock, Texas, USA germanium microwave and millimeter- for contributions to multi-gate sili- for contributions to high linearity and wave devices and integrated circuits con nanowire transistor technology high efficiency silicon RF power amplifi- ers for broadband wireless applications Xin Zhang, Boston University, Bos- Tian-wei Huang, National Taiwan ton, Massachusetts, USA University, Taipei, Taiwan Theresa Mayer, Virginia Tech, Blacks- for contributions to microelectrome- for contributions to design and de- burg, Virginia, USA chanical systems velopment of millimeter-wave CMOS for contributions to nanomaterials RFICs integration and directed assembly Paul K.L. Yu 2017 EDS Fellows Chair Chia-hong Jan, Intel Corporation, Junichi Nakamura, Brillnics Japan University of California at San Diego Portland, Oregon, USA Inc., Tokyo, Japan San Diego, CA, USA

24 IEEE Electron Devices Society Newsletter ❍ April 2017 EDS Members Recently Elected to IEEE Senior Member Grade

Ingvar Aberg Hadi Heidari Matthias Bauer Ali Keshavarzi Eric Beyne Miroslav Micovic Gholamreza Chaji Hidenori Mimura Ta-Ya Chu Bhadra Pokharel Jeff Conger Houria Rezig-Choukri Songbin Gong Choon Beng Sia Masahide Goto Maud Vinet Michael Haney Doug Weiser

If you have been in professional practice for 10 years, information on senior member status, visit: http://www. you may be eligible for Senior Membership, the high- ieee.org/membership_services/membership/senior/in- est grade of membership for which an individual can ap- dex.html. ply. New senior members receive a wood and bronze To apply for senior member status, fill out the on-line plaque and a credit certificate for up to US $25 for a new application after signing in with your IEEE account: htt- IEEE society membership. Upon request a letter will be ps://www.ieee.org/membership_services/membership/ sent to employers, recognizing this new status. For more senior/application/index.html.

Please remember to designate the Electron Devices Society as your nominating entity!

Updates from the 2016 EDS Masters Student Fellowship Winners

The EDS Masters Haitong Li received T-ED, Scientific Reports, APL, IEEE T-VL- Student Fellow- a B.S. degree in mi- SI, IEEE T-CAD, and IEEE T-NANO. ship program is croelectronics from designed to pro- Peking University, Yi-Pei Tsai is cur- mote, recognize, Beijing, China, in rently a doctoral and support Mas- 2015. He is cur­ student at National Carmen Lilley ters level study and rent­ly working Tsing Hua Univer- EDS Student research within the towards his PhD in Electrical Engineering sity of Taiwan. She Fellowship Committee Electron Devices at Stanford University, supervised by has been making Chair Society’s Fields of Prof. H.-S. Philip Wong. His current re- progress in her re- Interest. search focuses on brain-inspired in- search work on recorders for plasma- The 2016 EDS Masters Student memory computing with 3D resistive induced damage effects in advanced Fellowship recipients were Haitong RAM (RRAM). One recent example was FinFET technologies. Li from—Stanford University, Cali- demonstrating 3D RRAM-based com- fornia, USA, and Yi-Pei Tsai from— puting kernels for hyperdimensional Carmen Lilley National Tsing Hua University, Tai- computing, which was presented at the EDS Student Fellowship wan. The following are updates on 2016 IEDM and selected as one of the Committee Chair their progress provided by the award highlights by EE Times. He is also serv- University of Illinois at Chicago winners. ing as a reviewer for IEEE EDL, IEEE Chicago, IL, USA

April 2017 ❍ IEEE Electron Devices Society Newsletter 25 Updates from the 2016 EDS PhD Student Fellowship Recipients

The EDS PhD tion to electromagnetic phenomena, and he is also studying electronic tex- Student Fellow- passive device design, and radio-fre- tile (e-textile) to create a new type of ship Program is quency electronics. Recently, he is fo- memristive circuit architecture on tex- designed to pro- cusing on exploring energy-efficient tile materials by adopting an initiated mote, recognize, logic and memory applications of two- chemical vapor deposition (i-CVD). and support grad- dimensional materials. His contribu- uate level study tion in energy-efficient electronics Michael (Chao- and research with- has been recognized by the Peter J. Yang) Chen is cur- Carmen Lilley in the Electron Frenkel Foundation Fellowship Award, rently in the last EDS Student Devices Society’s which is awarded to only two stu- year of his PhD at Fellowship Fields of Interest. dents per academic year. Imec/KU Lueven Committee Chair The 2016 EDS working under PhD Student Fel- Hagyoul Bae is the direction of lowship recipients were: Jiahao currently working Professor Guido Kang—from University of Califor- toward a PhD de- Gro­eseneken and nia, Santa Barbara, California, USA, gree at KAIST un- Dr. Ludovic Goux. Michael is continu- Hagyoul Bae—from Korea Advanced der the direction ing to make progress on resistive ran- Institute of Science & Technol- of Professor Yang- dom access memory (RRAM), a new ogy, Rep. of Korea, and Chao-Yang Kyu Choi. He is type of memory device towards new (Michael) Chen—from Imec/Katho- continuing his applications. His most recent output lieke Universiteit, Leuven, Belgium. research studies includes the investigation of the The following are brief updates on on fabrication and characterization origin of different retention failure their progress as provided by the to investigate trap states and contact mechanisms in RRAM and ways to award winners. properties of MoS2 FETs. Accordingly, suppress them via programing and he conducted a study for quantitative material engineering approaches. Jiahao Kang is analysis of intrinsic subgap density- This work was presented at the 2016 continuing his re- of-states (DOS) and contact resistance IEEE International Electron Devices

search on process in MoS2 FETs by using a multi-fre- Meeting. In addition to reliability stud- and integration of quency conductance technique. He ies, he has been working closely with low-dimensional also developed a novel vertical-type other researchers at Imec to develop electronic materi- germanium bi-stable resistor (biris- new 1S1R RRAM to reduce device als. He has success- tor) with a gateless p-n-p (open-base footprint. He is expecting to defend fully demonstrated BJT) structure for high density and his thesis in the summer of 2017. a novel on-chip in- low voltage memory application. To ductor technique, which exploits the realize different types of memory, he Carmen Lilley unique properties of intercalation- recently introduced a transient non- EDS Student Fellowship Committee doped graphene. The work traverses volatile memory on a dissoluble pa- Chair several expertise domains, from per for security and protection system University of Illinois at Chicago materials physics and nanofabrica- against unauthorized accessibility, Chicago, IL, USA

26 IEEE Electron Devices Society Newsletter ❍ April 2017 2017 Masters Student Fellowship

Description: One-year fellowships will be awarded to Nomination Package promote, recognize, and support graduate Masters level study and research within the Electron Devices . Nomination letter from an EDS member who served Society’s field of interest. The field of interest for as candidate’s mentor or faculty advisor. EDS: all aspects of engineering, physics, theory, experiment and simulation of electron and ion . One letter of recommendation from an individual devices involving insulators, metals, organic familiar with the student’s research and educational materials, plasmas, semiconductors, quantum-effect credentials. Letters of recommendation cannot be materials, vacuum, and emerging materials. Specific from the nominator. applications of these devices include bioelectronics, biomedical, computation, communications, displays, . One-page biographical sketch of the student electro and micro mechanics, imaging, micro (including mailing address and e-mail address). actuators, optical, photovoltaics, power, sensors and signal processing. . Two-page (maximum) statement by the student describing his or her education and research Three fellowships are expected to be awarded to interests, accomplishments and graduation date. This eligible students in each of the following can include undergraduate, graduate and summer geographical regions for 2017: Americas, internship research work. Europe/Mid-East/Africa, and Asia & Pacific. Only one candidate can win per educational institution. . One copy of the student’s transcripts/grades. Please provide an explanation of the grading system if Prize: US$2,000 and a plaque to the student, to be different from the A-F format. presented by the Dean or Department head of the student’s enrolled graduate program.

Eligibility: Candidate must be an IEEE EDS Timetable student member at the time of nomination; be accepted into a graduate program or within the first - Completed nomination packages are due at the year of study in a graduate program in an EDS field EDS Executive Office no later than May 15, 2017. of interest on a full-time basis; and continue his/her - Recipients will be notified by July 15th. studies at a graduate education institution. - Monetary awards will be presented by the Dean or The nominator must be an IEEE EDS member and Department Chair of the recipient's graduate preferably be serving as the candidate’s mentor or program at the beginning of the next academic faculty advisor. Previous award winners are term. ineligible. Please submit application packages via e-mail Basis for Judging: Demonstration of his/her or mail: significant ability to perform research in the fields of electron devices a proven history of academic Email: [email protected] excellence in engineering and/or physicsinvolvement in undergraduate research and/or a supervised Mail: project. IEEE EDS Executive Office PhD Student Fellowship Program 445 Hoes Lane Piscataway, NJ 08854 USA May 15, 2017 For more information contact: [email protected] Submission Deadline Visit the EDS website: http://eds.ieee.org/eds-masters-student-fellowship.html

April 2017 ❍ IEEE Electron Devices Society Newsletter 27 IEEEDataPort ™ Share, Access and Analyze Big Data with IEEE DataPort

For Free Subscriber Access use coupon code:

DATAPORT 1

For Free Open Access IEEE DataPort™ is designed to facillitate the storage, uploads use coupon code: access, and analysis of datasets, as well as to retain referenceable data for reproducible research. OPENACCESS1 https://ieee-dataport.org

Cloud Storage A project of the IEEE BigData Initiative  Store datasets up to 2TB for FREE on Amazon AWS S3 cloud storage. Download datasets or use them with any S3 compatible service “in the cloud”.

Ready for Research About Us All datasets are assigned a DOI (Digital Object The IEEE Future Directions Big Data  Identifier) for use in citations. We also offer Initiative strives to aggregate information Open Access dataset loading to assist with your about the various endeavors occurring research funding compliance. worldwide in order to provide a community of professionals in industry, academia, and government working to solve the challenges associated with Big Data. Data Challenges & Competitions Invite data scientists to analyze your datasets  Get in touch by hosting a Data Competition. Manage access, deadlines, submission, and more. Melissa Handa 732-562-2651 [email protected]

28 IEEE Electron Devices Society Newsletter ❍ April 2017 2017 PhD Student Fellowship

Description: One year fellowships will be awarded Nomination Package to promote, recognize, and support PhD level study and research within the Electron Devices Society’s . Nomination letter from an EDS member. field of interest. The field of interest for EDS is all aspects of engineering, physics, theory, experiment . Two letters of recommendation from individuals and simulation of electron and ion devices involving familiar with the student’s research and educational insulators, metals, organic materials, plasmas, credentials. Letters of recommendation cannot be semiconductors, quantum-effect materials, vacuum, from the nominator. and emerging materials. Specific applications of these devices include bioelectronics, biomedical, . One-page biographical sketch of the student computation, communications, displays, electro and (including student's mailing address and email micro mechanics, imaging, micro actuators, optical, address). photovoltaics, power, sensors and signal processing. . Two-page (maximum) statement by the student

describing his or her education and research Three fellowships are expected to be awardedto interests, accomplishments and graduation date. eligible students in each of the following geographical regions for 2017: Americas, . One copy of the student’s under-graduate and Europe/Middle East/Africa, and Asia & Pacific. Only graduate transcripts/grades. Please provide an one candidate can win per educational institution. explanation of the grading system if different from the A-F format. Prize: US$5,000 to the student and if necessary funds are also available to assist in covering travel and accommodation costs for each recipient to attend the EDS Governance meeting in December 2017 for presentation of the award plaque. The EDS Newsletter will feature articles about the EDS PhD Timetable Fellows and their work over the course of the next year. - Completed nomination packages are due at the EDS Executive Office no later than May 15, 2017. Eligibility: A candidate must be an IEEE EDS - Recipients will be notified by July 15th. student member at the time of nomination; be - Monetary awards will be given by August 15th. pursuing a doctorate degree within the EDS field of - Formal award presentation will take place at the interest on a full-time basis; and continue his/her EDS Governance Meeting in December. studies at the current institution with the same faculty advisor for twelve months after receipt of award. The Please submit application packages via e-mail nominator must be an IEEE EDS member and or mail: preferable be serving as the candidate’s faculty advisor. Previous award winners are ineligible. Email: [email protected]

Basis for Judging: Demonstration of his/her Mail: significant ability to perform independent research in IEEE EDS Executive Office the fields of electron devices and a proven history of PhD Student Fellowship Program academic excellence. 445 Hoes Lane Piscataway, NJ 08854 USA

May 15, 2017 For more information contact: [email protected] Submission Deadline Visit the EDS website: http://eds.ieee.org/eds-phd-student-fellowship.html

April 2017 ❍ IEEE Electron Devices Society Newsletter 29 The IEEE Electron Devices Society is a vibrant, prolific organization whose members make vital contributions to the global technical community each year. To ensure that our members' work is properly recognized, Jaroslav Hynecek, 2016 we encourage you to nominate fellow members for our IEEE EDS J.J. Ebers Award annual awards. It is in this vein that we would like to winner draw your attention to the awards listed to the right.

These highly prestigious awards draw nominations Robert Bosch Award from all over the world. Please visit the EDS awards J.J. Ebers Award website. You can find important information about Distinguished Service Award eligibility, deadlines and other details. If you need more Education Award information or have a question about preparing a Early Career Award nomination, please contact Laura Riello of the EDS PhD Student Fellowship Executive Office, [email protected]. We strive to Masters Student Fellowship maintain a comprehensive set of awards that reflect Chapter of the Year Award our member activities. As the field of electron devices evolves, so to must our awards. If you would like to suggest new awards, we would welcome your comments and suggestions. Visit the EDS Website Sincerely, Albert Wang EDS Awards Committee Chair

30 IEEE Electron Devices Society Newsletter ❍ April 2017 Y o u n g P rofessionals

‘Smart’ Drip-Irrigation System Developed by Team of University of Houston Students

By Addy Rucoba Four University of Houston capstone students, Adilene Rucoba, Albert Truong, Joseph Pompa and Carlos Quiroga, worked to develop and im- plement a ‘smart’ irrigation system as a research and design tool in Central America. The ‘Smart’ Drip-Irrigation is a microcontroller-based system installed in a 66 [ft] x 16.5 [ft] greenhouse within campus grounds of the National Agrar- ian University (UNA) in Managua, Nica- ragua. Managua is part of the country’s “dry corridor” region which experi- ences a much harsher dry season in comparison to the rest of the country. Maximizing water supply is critical, and University of Houston students’ first visit to Nicaragua for site selection one of the University of Houston (UH) team’s main objectives. The UH team worked alongside dents with environmental data to be system for farming families in the National University of Engineer- utilized for research and analysis of “dry corridor” region of Nicaragua. ing (UNI) student, Miguel Escorcia crop-growing practices. At the same The ‘Smart’ Drip- Irrigation System Rojas, to meet specifications re- time the installed system fulfills UH uses sensors to regulate relative air quested by the project manager and team’s agenda of optimizing water humidity, air temperature, and soil UNA professor, Dr. Henry Duarte. supply, and testing the equipment moisture through control valves. The The ‘Smart’ Drip-Irrigation System against time and climate for the fu- sensors also allow the user to monitor provides UNA professors and stu- ture development of a small-scale their data and experiment by making

The ‘Smart’ Drip-Irrigation system installed at a greenhouse in Managua, Nicaragua

April 2017 ❍ IEEE Electron Devices Society Newsletter 31 adjustments to control valve thresh- Devices Society contributed hard- olds. The system, installed early No- ware to the project and that the Texas vember 2016, offers historical data Instruments Foundation awarded uploaded to an on-site SD card and grants to this team of young engi- is powered by a photovoltaic system. neers. It is also responsive to several The University of Houston team of the Grand Challenges issued by hopes to aid future UH capstone teams the National Engineering Academy. It in the continued development of The is heartening and quite gratifying to ‘Smart’ Drip-Irrigation System and see the next generation of electrical transform it into a product which is engineers choosing an outreach proj- robust and accessible to small-scale ect that leverages technology for bet- farmers in Nicaragua for the purpose of terment of a group of people strug- conserving water and feeding families. gling to feed themselves and their “This is exactly the kind of project families. It is reason to be optimistic that IEEE is encouraging and which it for the future and critically important has articulated in its mission to ‘fos- in these times for technology to ex- ter technological innovation and ex- pand and tackle global issues when cellence for the benefit of humanity’” countries are trending toward isola- says Dr. Doug Verret, IEEE Life Fel- Students installing irrigation lines at tionism and nationalism. I could not low. “It is the reason that the Electron greenhouse in Managua, Nicaragua be more proud of this team.”

EDS Launches a Series of Exploration Camps in Region 10 to Cultivate Future Electronic Engineers By Mansun J. Chan, EDS Chair of Educational Activities

In order to support the need for students. In the camps, the young STEM (Science, Technology, Engi- children constructed various elec- neering and Mathematics) educa- tronic gadgets such as a running tion to foster young engineers for light, an electronic piano, and infra- sustainable technology advance- red transmitter/receiver and digital ment, the IEEE Electron Devices So- counter on breadboards with ge- ciety launched a series of Electronic neric components. In addition to Exploration Camps for primary stimulating the interest of young and secondary school students in children to electronic design, the Hong Kong, Shenzhen and Bei- camps also provided an opportu- jing. The camps are organized as 3 nity for the university students to full-day workshops, together with practice their presentation and or- games, team building and sharing ganization skills. sessions. The content and format was adopted from the Electronic Electronic Exploration Camp Winter Camp developed by the ED/ in Hong Kong SSC Hong Kong Chapter and the Similar to the previous year, the Hong Kong University of Science ED/SSC Hong Kong Chapter and and Technology, which has become the Hong Kong University of Sci- A circuit constructed by a student during the an annual event since 2012. In the ence and Technology co-organized Exploration Camp camp, students ranging from 10 a 3-day winter camp under the new to 15 years old were given the op- brand, IEEE Electronic Exploration portunity to experience the fun of Camp, December 28–30, 2016. It of Science and Technology. There electronic designs. The instructors was the 5th time the camp was were more than 90 attendees join- and student helpers are all Under- organized at the beautiful cam- ing the camp, with the support of graduate Electronic Engineering pus of the Hong Kong University more than 20 volunteers acting

32 IEEE Electron Devices Society Newsletter ❍ April 2017 2016 IEEE Electronic Exploration Camp participants at the Hong Kong University of Science and Technology

Electronic Exploration Camp in Shenzhen, China The first IEEE Electronic Explora- tion Camp in Shenzhen, China, was launched on January 16–18, 2017, at Shenzhen University by the ED/SSC Beijing Section Shenzhen Chap- ter in collaboration with Shenzhen University and the Peking University Shenzhen Graduate School. There were about 20 students who joined the 3-day camp and constructed a DIY running light, an electronic piano, infra-red detector and digital counter with the help of 8 volunteers. The camp has successfully stimulated the interest of the participants on elec- tronic technology and cultivated their problem-solving ability. This is the first Students constructing their circuits during the Electronic Winter Camp time such an activity is being launched in China and we are in a learning phase. We expect to host a larger scale as student helpers. The partici- when they brought the finished electronic camp in the near future. Vis- pants were very excited about the project home and shared their it the website for the IEEE Electronic projects introduced at camp and experience. The camp has suc- Exploration Camp in Shenzhen, at asked a lot of questions, result- cessfully aroused the interest of http://elex.ust.hk/sz2017/en/. ing in many lively discussions. The students to electronic engineering Xinnan Lin parents were also surprised by and everyone enjoyed the camp ED/SSC Beijing Section the achievement of their children with lots of laughter. Shenzhen Chapter Chair

April 2017 ❍ IEEE Electron Devices Society Newsletter 33 2017 IEEE Electronic Exploration Camp participants at Shenzhen University

Students constructing their circuits during the Electronic Exploration Camp

Electronic Exploration Camp in Beijing, China A very small scale 3-day IEEE Electronic Exploration Camp was launched in Beijing, China, on January 16–18, 2017, at Beijing University of Technology, with the support of the ED/SSC Beijing Section Shenzhen Chapter. The camp was led by Shuchuan Wang, a stu- dent volunteer with the help of Prof. Shengqi Yang of Beijing University of Technology. The camp was attended by about 10 people of mixed ages in- A volunteer helping students to construct their circuits during the Electronic Exploration Camp cluding visitors from Africa. None of the attendees had prior knowledge of electronic circuits. The small scale of the event enabled participants to do more in-depth exploration of the projects and many of them were able to construct new applications with the modules covered in the camp. All participants are excited to learn the magnificent world of electronics and have already volunteered to be help- ers when future exploration camps are organized. Mansun Chan Education Committee Chair 2017 IEEE Electronic Exploration Camp participants at Beijing University of Technology IEEE Electron Devices Society

34 IEEE Electron Devices Society Newsletter ❍ April 2017 C h a p t e r N e w s

MQs, DLs and Conference Reports

IEEE Memory Day

by John Zhang

On November 3, 2016, IEEE Electron Devices Society Northern Virginia/ Washington D.C. Chapter hosted a unique Memory Day Event at Mi- cron Technology’s Manassas facility in Northern Virginia. This event con- sisted of five talks, focusing on the History of Semiconductor Memory, Current Landscape of Memory In- dustry, Future Emerging Memory, and Space Radiation Effect in Mem- ory Devices. The event ended with a tour of Micron’s Memory Fab. The event started with an intro- duction and welcome by Mr. Thomas Joseph, the Director of Process and Equipment Engineering, Micron Technology Virginia. Then Mr. Dinu Patel gave an overview about the history of DRAM with one transistor and one capacitor cell structure, in- vented by Robert Dennard at IBM in 1967. His talk juxtaposed the DRAM history with that of the Manassas Invited speakers (left to right), John Zhang, Rashmi Jha, Nadim Haddad, Dinu Patel and David Nminibapiel memory Fab over the last four de- cades with a number of anecdotes, which made the audience laugh. Dr. Rashmi Jha from the University Mr. Nadim Haddad gave a talk about Dr. John Zhang from Micron Tech- of Cincinnati gave a talk about emerg- radiation and its effects on memory nology gave a talk about the current ing memory: Resistive Random Ac- devices. He reviewed operational en- landscape of semiconductor memo- cess Memory (ReRAM)–Opportunities vironments, the effect of various radi- ry industry, focusing on global mem- and Challenges. She discussed vari- ation sources on device operation and ory manufacturing facilities, global ous types of transition metal oxide reliability, and approaches to mitigate sales revenue and market shares, (TMO) based ReRAM devices, device radiation effects. He also discussed current technology trends and future physics behind switching and charge the effects of technology scaling, and roadmap. He started with memory transport in various resistive states, unique mechanisms affecting scaled classifications and basic memory device performance, and pending devices that were negligible in older cell structures and compared the challenges to be addressed to achieve technologies. His talk sparked a lot of device performance for different the desired device targets. The ap- curiosity about the space application memory devices. He also discussed plications of these devices in low- of electronic devices. the top 5 global memory produc- energy digital and hardware-enabled During lunch, David Nminibapiel, ers for their product portfolio, their neuromorphic computing were also a PhD student from National Institute competitive advantages and future presented. Dr. Jha’s talk brought a lot of Standards and Technology and Old technology roadmaps to emerging of interest in emerging memory from Dominion University, showcased his memory. the audience. PhD research work about an interesting

April 2017 ❍ IEEE Electron Devices Society Newsletter 35 approach to resistance tuning in RRAM. He discussed a compliance-free pico- second smart pulse programming for RRAM, which could provide practical application in the Resistive RAM devel- opment. His research work also caught the attention of memory technologists from Micron Technology. After the presentations, we hosted a Fab window tour to allow partici- pants to experience the state-of-the- art semiconductor facility of Micron Technology Memory Fab and interact with Micron engineers. This event provided a unique opportunity for college students and anyone who has never been to a semiconductor Fab before to learn the advanced During event at Micron Technology’s Manassas facility semiconductor manufacturing facil- ity/processes. D.C., Northern Virginia and Maryland learning event and responded with The audience came from local regions and the turnout was high for very positive feedback. companies, colleges and govern- both IEEE member and non-IEEE mental organizations in Washington member. They were glad to have this ~ Mukta Farooq, Editor

Inaugural IEEE International Microwave, Electron Devices, Solid-State Circuits Symposium (IMESS) 2016—Penang, Malaysia

by Wong Peng Wan

The inaugural International Micro- wave, Electron Devices, Solid-State Circuits Symposium (IMESS) 2016, with the theme of “An Avenue to Explore IoT and 5G,” was success- fully held on November 9–10, 2016, at Penang Skills Development Cen- ter, Penang, Malaysia. IMESS is co-organized by the ED/MTT/SSC Penang Chapter (IEEE Penang) and PSDC. IMESS successfully attracted a crowd of 210 engineers and re- searchers from Malaysia and over- seas. IMESS is a free-of-charge event with strong support by society sponsors, industry sponsors, com- mittee members and the Universiti Sains Malaysia IEEE Student Branch. The objective of IMESS is to provide a forum for technical topics related Top Left: Presentation of souvenir by Dr. Wong Peng Wan to State Chief Minister, Top Right and to microwave, electron devices and Bottom: Chief Minister visiting exhibition booths, Bottom Right: Press Conference at VIP Room

36 IEEE Electron Devices Society Newsletter ❍ April 2017 Tuan Lim Guan Eng officiated IMESS 2016. In his opening speech, Tuan Lim Guan Eng shared the initiatives by the State Government to rein- force Penang’s position as an elec- trical and electronic manufacturing hub and establish itself as the center of excellence for science and tech- nology. After the opening speech, Dr. Wong presented a souvenir to the Chief Minister accompanied by the VIPs, EDS Vice President of Regions and Chapters, Dr. M. K. Radhakrish- nan; IMESS Vice Chair Dr. Jamuar, PSDC; CEO Mr. Muhamed Ali; Dia- mond Sponsors Mr. Alan Seah and Mr. Fong Hong Kee; followed by a visit to the exhibition where the Participants of IMESS 2016 Chief Minister was impressed with the technology demonstrated. After that, a press conference was held in the VIP room before the commence- ment of the symposium. The sympo- sium commenced with plenary talks by Mr. Alan Seah, Managing Direc- tor of Rohde & Schwarz, Malaysia, chaired by Mr. Anwar Faizd Osman. On November 10th, the symposium began with a keynote talk by Mr. Fong Hong Kee, Education and Medi- cal Technology Marketing Manager of Keysight Technologies, Malaysia. A total of 23 invited speakers from industry and academia deliv- ered their talks under parallel ED/ MTT/SSC tracks. Among the speak- ers, 14 were from industry and 9 from academia. Each one-hour talk included a Q & A session. After the Top Left: Talk by Dr. Arokia Nathan, Top Right: Talk by Mr. Sivaraman, Bottom Left: Delegates last seminar, IMESS 2016 Vice Chair visiting exhibition, and Bottom Right: Presentation of certificate to Dr. Bo Yu Zheng by Dr. Jaga Dr. Sudhanshu Shekhar Jamuar, de- Rajendran livered his closing speech and ex- pressed gratitude to all sponsors, delegates and committee members consisted of seminars on November was also an exhibition of product for making IMESS 2016 a success 9th and the first half of November and technology solutions by indus- and look forward to IMESS 2017. The 10th, followed by a student techni- try sponsors. event was also coupled with a free cal visit to Intel on the second half of IMESS 2016 began with welcom- technical tour hosted by Intel and the day. Society sponsors were IEEE ing speeches by the CEO of PSDC, designed particularly for students, Region 10, EDS, MTT-S, SSC, The Mr. Muhamed Ali Bin Hajah Mydin as we recognize the importance of Institution of Engineers Malaysia and Dr. Wong Peng Wen at PSDC promoting science and engineering. (IEM), PSDC and Invest-in-Penang Auditorium. After that, the Penang Berhad. Besides the seminars, there State Chief Minister, Honourable ~ Susthitha Menon, Editor

April 2017 ❍ IEEE Electron Devices Society Newsletter 37 EDS Mini-Colloquium Held at Pontificia Universidad Javeriana-Bogota, Colombia

by G. Yamhure

A meeting of the ED Colombia chap- Dr. Stewart Rauch, GlobalFound- controllers for the education of chil- ters was held on November 15, 2016, ries, USA dren and promotion of their under- at the Pontificia Universidad Javeriana • “The social impact of research and standing of technology, which will in Bogota, attended by EDS members, technology development in elec- be utilized in the Engineers Dem- representing most of the country’s tronic devices.” Prof. Dr. Edmundo onstrating Science: an Engineer chapters. In conjunction with the chap- Gutiérrez, INAOE, Mexico Teacher Connection or EDS-ETC ter’s meeting, an EDS mini-colloquium • “Conjugated Polymer-based Flex- program. was organized with the participation of ible Electronics, Foldable Opto- Additional details about the new Distinguished Lecturers from indus- electronics and Photovoltaics,” microcontroller have been published try and academia, like EDS President- Dr. Paul R. Berger, NOEL and in several venues: Elect, Dr. Fernando Guarin; Professor PDL, USA • EETIMES: Paul R. Berger from Ohio, Founder/ • “Architecture, components and http://www.eetimes.com/ Director of the Nanoelectronics and Operation of Digital PLLs In author.asp?section_id=36&doc_ Optoelectronics Laboratory (NOEL) nanometric CMOS technologies,” id=1330445 and Polymer Device Laboratory (PDL); Daniel Camacho Montejo, Intel, • Microcontroller featured at EDS Young Professionals Committee USA Hackaday: Chair, Daniel Camacho Montejo from The event was attended by pro- http://hackaday.com/2016/10/10/ Intel Corporation; Dr. Stewart Rauch, fessional and student EDS members, the-journey-toward-a-completely- Principal Member of Technical Staff as well as non-members. Many stu- open-microcontroller/ at GlobalFoundries; and from INAOE dents, who in addition to enjoying • Microcontroller featured at EETIMES Mexico, Professor Edmundo Gutiérrez the lectures, became aware of the Europe: Domínguez. advantages of belonging to IEEE and http://www.electronics-eetimes. The following distinguished lectures particularly to EDS. com/news/risc-v-mcu-grown- were presented: The new chapter from the Univer- colombia/page/0/1 • “Leveraging semiconductor tech- sidad Industrial de Santander (UIS) • IEEE LASCAS 2016: nology for the benefit of society,” had the opportunity to run a demo https://github.com/onchipuis/ Dr. Fernando Guarín, Global- of their newly designed open source mriscv/blob/master/PID4063257. Foundries, USA RISC V microcontroller. The Colom- pdf • “Terrestrial Radiation Induced bian EDS chapters jointly decided to Soft Errors in Integrated Circuits,” work towards the utilization of the ~Joao Antonio Martino, Editor

Attendees at Mini-Colloquium in Bogota, Colombia, November 15, 2016

38 IEEE Electron Devices Society Newsletter ❍ April 2017 EDS Distinguished Lecture at ED Japan Chapter

By Masaaki Niwa and Takahiro Shinada

The ED Japan Chapter (Chair: Masaaki Niwa) hosted an EDS Distinguished Lecture on December 22nd, Sendai, Japan, co-hosted with Center for In- novative Integrated Electronic Sys- tems (Director: Tetsuo Endoh), Tohoku University. Prof. Bin Yu, IEEE Fellow, State University of New York, talked about “Future Devices Enabled by 2D Nanomaterials,” in which emerging 2D material platforms including logic, memory, and other applications were introduced after the comprehensive re- view of 2D materials. After his talk, the challenges and near-future opportuni- Prof. Bin Yu, State University of New York, and attendees of the IEEE EDS Distinguished Lecture ties were discussed with the attendees. held at Tohoku University in Sendai, Japan, on December 22, 2016. Group photo: (front row from left) Prof. Tetsuo Endoh (Director of Center for Innovative Integrated Electronic Systems), Prof. Bin ~ Kuniyuki Kakushima, Editor Yu (Distinguished Lecturer, IEEE Fellow), and Prof. Masaaki Niwa (Chair of ED Japan Chapter)

Important Information When Planning Your Next EDS Chapter Event Dear EDS Chapters: funding needs and determines • If applicable, DL submits an IEEE When planning your upcoming chapter funding expense report to Laura Riello, to chapter meetings, workshops, etc., • EDS DL completes EDS DL Ac- receive reimbursement please remember to visit the EDS tivity Log and Funding Request • Chapter Chair/DL Coordinator website for a recent list of EDS Dis- Form submits an EDS DL/MQ Feedback tinguished Lecturers and lecture top- • If applicable, obtain EDS funding Form ics. approval If you have any questions and/or • Chapter publicizes lecture via need more information, please do Checklist web, email, etc. Obtain a chapter not hesitate to contact Laura Riello, • Chapter contacts EDS DL to check member list via SAMIEEE (http:// EDS Executive Office. availability, confirms date/lo- www.ieee.org/about/volunteers/ Thank you for your continued cation of lecture, discusses DL samieee/index) support of the Society.

April 2017 ❍ IEEE Electron Devices Society Newsletter 39 EDS Mini-Colloquium Held at Santo Tomás University-Tunja, Colombia

By Ricardo Casallas

The Faculty of Electronic Engineering Student Branch Chapter, was presented • “Leveraging semiconductor Department of Santo Tomás Univer- by Dr. Fernando Guarín to the Chapter technology for the benefit of sity, along with the Student Branch President, Vivianne Niño. She was ac- society,” Dr. Fernando Guarín, and the IEEE ED USTA Tunja Profes- companied by The Rector of the Univer- GlobalFoundries, USA sional and Student Chapter, held the sity, Fray Jorge Ferdinando Rodríguez • “Terrestrial Radiation Induced “Second International Symposium Ruiz, OP. Soft Errors in Integrated on Engineering and Development The purpose of the International Circuits,” Dr. Stewart Rauch, of New Technologies, MQ IEE/EDS Symposium on Engineering and De- GlobalFoundries, USA 2016,” in the City of Tunja, Colombia, velopment of New Technologies was • “The social impact of research on November 10 and 11, 2016. The to promote the exchange of academic and technology development event was held at the facilities of the and research experiences in Electronic in electronic devices,” Prof. Dr. University of Santo Tomás. Engineering and related areas, in the Edmundo Gutiérrez, INAOE, Lectures were delivered by four EDS fields of electronic devices, sensors and Mexico Distinguished Lecturers: Fernando automation. In addition, new links were • Innovation by ASIC design and Guarín, PhD, IEEE Fellow EDS Presi- created and the scope of the relation- emerging sub-stream markets dent Elect 2016–2017; Stewart Rauch, ship between the faculty and EDS was Prof. Dr. Jacobus Swart, IMEC, PhD, EDS DL; Edmundo Gutiérrez, PhD expanded; visualizing in the short term Belgium and UNICAMP, Brazil. IEEE Senior, EDS DL; Jacobus Swart, the development of projects that will • “Architecture, components and PhD, IEEE Fellow; along with Daniel benefit the region, especially to com- Operation of Digital PLLs In Camacho, MSc, Chairman of the Young munities with sensitive energy and nanometric CMOS technolo- Professionals Committee of EDS. Dur- sustainable management needs of wa- gies,” Daniel Camacho Montejo, ing the event, the 2015 EDS Region 9 ter conservation and management. Intel, USA. Chapter of the Year Award to ED Santo The following lectures were pre- Tomas University (Tunja, Colombia) sented: ~Joao Antonio Martino, Editor

EDS MQ in Tunja, Colombia, November 10–11, 2016

40 IEEE Electron Devices Society Newsletter ❍ April 2017 IEEE Puebla Section Awarded the IEEE 2016 Best Achievement Award

“Sharing is Educating” is an ini- Circuits kits you provided for this tiative driven by the IEEE Puebla initiative have strengthened the Section, with a strong participa- program, and thanks to those do- tion of students, Women in En- nations more than 1,200 children gineering, IEEE SIGHT, EDS, and were trained and attended in the other societies. year 2016. In recognition of that teamwork, On behalf of my IEEE mates, collaboration and high societal im- colleagues, friends, students, and pact, IEEE Region 9 (Latin America) particularly Alejandro Díaz M., and awarded the IEEE Puebla Section with Patricia Guzman, we thank you for the 2016 Best Achievement Award. your support.” Edmundo A. Gutierrez D., of IN- cial thank you to EDS President- AOE, Puebla, Mexico, sent a spe- Elect, Fernando Guarin. “The Snap Regards, Edmundo

Your Chapter Could Be Missing Important Notices and Funding Opportunities! Please remember, whenever there is a change to Chapter Officers, both IEEE and EDS must be ­notified. Please follow these two steps:

1) Report officer changes to IEEE via the vTools Officer Reporting form: https://officers. vtools.ieee.org/ (access to vTools requires use of an IEEE account). 2) Report officer changes to EDS by completing the Chapter Chair Update Form: https:// ieeeforms.wufoo.com/forms/pgu6n1i1ixepnu/

Thank you in advance for your assistance.

April 2017 ❍ IEEE Electron Devices Society Newsletter 41 R e g i o n a l N e w s

USA, Canada is dedicated to developing virtual town in the area of the Port of Vera- education. cruz, Mexico. The meeting was held & Latin America There was a very lively involve- on October 7, 2016, and was hosted (Regions 1–6, ment of the audience in discussions by the local Universidad Veracru- and the many interesting questions zana Student Branch Chapter at the 7 & 9) and answers motivated the beginning Microna facilities. of a collaboration project in this area. There were 20 attendees, includ- ED/CAS Venezuela Chapter For additional information, con- ing the chairs and advisors of the –by A. Ortiz-Conde tact Professor Adelmo Ortiz-Conde chapters from: México City, Puebla, at [email protected]. Cinvestav, Universidad de Puebla, Luis Salvador Hernández visited our Universidad Veracruzana and Insti- chapter, at Simón Bolívar Univer- ED Mexico Chapter tuto Tecnológico de Morelia. sity, Caracas, on Tuesday, October 4, –by Arturo Escobosa The participants were informed 2016, in order to present to us the dif- about the topics discussed at the ferent possibilities for online teach­ing In order to exchange opinions, plan EDS BoG meetings, specifically and learning. Luis Salvador is pres- activities and define common strat- those concerning the Chapters and ently the commercial director of De- egies, a Mexican Chapters meeting Regions Committee. The chapters re- sire to Learn (www.d2l.com), which was organized in Boca del Rio, a ported their most relevant activities. Since all EDS chapters in Mexico are associated with academic institu- tions, the participants also reported the main fields of interest of their working centers, in order to explore collaboration among the different groups. Worth to mention is the success of the EDS-ETC program. In Puebla it has found a social meaning sup- porting poor communities and de- veloping an interest in electronics by children that otherwise would (right to left), Luis Salvador Hernández, María Isabel Giménez, Gerardo Fernández, Andrea Sucre not have an interest in studying. and Víctor Guzmán This aggregation could set the

Mexican Chapters Meeting on October 7, 2016

42 IEEE Electron Devices Society Newsletter ❍ April 2017 basis for a reproducible model in these kind of communities. In Mo- relia this program has been imple- mented to assist the local museum activities. In both cases, the par- ticipation of chapter members has been crucial. EDS membership has also been useful in order to increase collabo- ration among different groups, not only in the country, but throughout the world. The participants expressed concern about increasing member- ship and several strategies were dis- cussed in order to improve it.

ED South Brazil Chapter University of Sao Paulo, Brazil The organizers: Joao Martino, Marcelo Carreno and Ricardo Rangel, from University of Sao Paulo –by Joao Antonio Martino and the students of the 2016 microfabrication class

The Polytechnic School of University of Sao Paulo and ED South Brazil design the main step process param- This course was opened for ev- Chapter organized the annual Hands eters, to perform the microfabrica- eryone in IEEE Region 9, free of on Microfabrication of SOI nMOSFET, tion (clean room) and the electrical charge. The only limitation is due to free of charge, for educational appli- characterization of the SOI nMOS- the clean room facilities, allowing cation. This is the only SOI microfab- FET fabricated during the course. only 12 students per course. rication course in Latin America. This hands-on microfabrication For additional information, con- The graduate and undergraduate was held at the University of Sao tact Prof. Joao Antonio Martino (marti- students were selected from differ- Paulo on July 25–29, 2016, (40 hours) [email protected]) or Prof. Marcelo Carreno ent universities and research centers resulting in a self-aligned polysilicon- ([email protected]). of Brazil, and had an opportunity to gate Fully Depleted SOI nMOSFET. ED South Brazil Chapter –by Paula Agopianr

The Laboratory of Integrated System (LSI) of Electronic System Depart- ment (PSI) of University of Sao Paulo (USP, Brazil), the Sao Paulo State Uni- versity (UNESP), Campus of Sao Joao da Boa Vista, Brazil) and the Electron Devices South Brazil Chapter, orga- nized the annual Hands-on Electrical Characterization of Advanced Tran- sistors, free of charge, at University of Sao Paulo for educational and re- search applications. The graduate and undergraduate students had an opportunity to under- stand (theoretically and experimen- tally), the main transistor milestones starting with MOSFET and continuing with SOI MOSFET, UTBB SOI, FinFET and finally Tunnel-FET. After a theo- Microchip fabricated at University of Sao Paulo (USP) by the students during the Hands-on Micro- retical introduction of each device, fabrication of SOI nMOSFET in 2016 the participants had an opportunity

April 2017 ❍ IEEE Electron Devices Society Newsletter 43 Europe, Middle East & Africa (Region 8)

AP/MTT/ED/AES/GRS/NPS/ EMB East Chapter

The Chapter organized the 16th International Conference on Elec- tromagnetic Theory (MMET-2016), which was held on July 5–7, 2016, at Ivan Franko Lviv National University. MMET-2016 was co-organized by: Ivan Franko Lviv National University, O.Y. Usikov Institute of Radiophysics and Electronics NASU, G.V. Karpenko Institute of Physics and Mechanics NASU, IEEE Central Ukraine () SP/AES Societies Joint Chapter, IEEE Electrical characterization room at University of Sao Paulo (USP) during the Hands-on Electrical Ukraine PHO Society Chapter, IEEE Characterization of Advanced Transistors 2016 West Ukraine AP/ED/MTT/CPMT/SSC Joint Chapter, and IEEE IRE NASU Kharkiv Student Branch. The Con- ference organization was supported among others by IEEE. The technical sessions of the confer- ence comprised a broad range of topics related to the electromagnetic field the- ory, modeling and applications, e.g.: lenses, radars, antennas, waveguides, photonic devices, wave/beam propaga- tion, mathematical modeling, numeri- cal methods. Twenty-four invited talks and approximately 80 regular talks were given during the conference. The conference technical part was accompanied by an interesting social program including a sightseeing of the picturesque City of Lviv, as well as The organizers Joao Martino and Ricardo Rangel from University of Sao Paulo (USP), receptions in the historical buildings. Paula Agopian from UNESP and the students of the 2016 characterization class The conference website: http://www. mmet.org/2016/index.php. to extract experimentally the main This course was free of charge for electrical and physical characteristics the 15 students selected from design ED/MTT/CPMT/SSC/COM in order to understand each device’s and devices researchers, teachers, Central Ukraine Chapter behavior. graduate and undergraduate stu- –by Yuriy Poplavko This course on electrical charac- dents of the Brazilian community. terization was held at the University For additional information, con- The Chapter is involved in organiza- of Sao Paulo from November 28 to tact Prof. Joao Antonio Martino (mar- tion of two important events. December 2, 2016 (40 hours), using [email protected]) or Prof. Paula Agopian A financial and technical co-sponsor- devices fabricated at USP and out- ([email protected]). ship will be provided for the IEEE 37th side the measurements rooms with International Conference on Electronics state-of-the-art equipment. ~ Joao Antonio Martino, Editor and Nanotechnology (ELNANO-2017),

44 IEEE Electron Devices Society Newsletter ❍ April 2017 which will be held on April 18-20, 2017, tember 26, 2016, at IEEE meeting, Nikitov (IEEE Russia Section Chair) was at National Technical University of FEEIT, Skopje, Macedonia. the General Chairman of the Program Ukraine “Kyiv Polytechnic Institute,” Kyiv, Lectures were delivered by Prof. Committee. Dr. Alexey Miroshnichen- Ukraine. The main sections of the confer- Emeritus Hiroya Fujisaki, IEEE Life mem- ko (Saratov-Penza Chapter Vice-Chair) ence will be: ber, Distinguished Lecturer (’16-’17) served as the Executive Secretary of • Section 1: Micro- and Nanoelectronics of the ISCA. the Organizing Committee. Prof. Mikhail • Section 2: Biomedical Electronics Prokhorov (Saratov-Penza Chapter and Signal Processing ED/NPS/MTT/AP/CPMT Chair) and Prof. Nikita Ryskin (Saratov- • Section 3: Electronic Systems Saratov-Penza Chapter Penza Chapter Treasurer) were the Please visit the conference web- –by Mikhail D. Prokhorov members of the Organizing Committee. site for more information: http://el- The Scientific Program of the con- nano.kpi.ua. The Chapter co-organized the 12th In- ference was as follows: A technical co-sponsorship will ternational Conference on Actual Prob- • microwave electronics, be also provided for the 2017 IEEE First lems of Electron Devices Engineering • vacuum microelectronics and na- Ukraine Conference on Electrical and (APEDE’ 2016), held on September noelectronics, Computer Engineering (UKRCON-2017), 22–23, 2016, at Yuri Gagarin State Tech- • microwave theory and tech- which will be held on May 29–June 2, nical University of Saratov (Russian niques, 2017, at the National Technical University Federation). IEEE members participat- • power electronics, of Ukraine “ Kyiv Polytech- ed actively in the organization of the • electron devices and instruments nic Institute” Kyiv, Ukraine. The UKR- APEDE’2016 Conference. Prof. Sergey application and technology. CON-2017 general theme, 25th An- niversary of IEEE Ukraine Section, reflects the profound impact of IEEE on the Ukrainian science for the last 25 years. The main topics of the Conference will be: • Track 1: Microwave Techniques, Antennas & Radar Systems • Track 2: Bioengineering & Robotics • Track 3: Energy Systems, Industry Application & Industry 4.0 • Track 4: Nanoelectronics & Pho- tonics, Electron Devices & Em- bedded Systems • Track 5: Systems Analysis, Comput- er Science & Infocommunications A talk during MMET-2016 • Track 6: Engineering Education & History Details can be found on the confer- ence website: http://ukrcon.ieee.org.ua/.

ED/IM/SSC Chapter of the Republic of Macedonia

Two invited lectures were organized by the Chapter: • “Applications of the (so-called) Fujisaki Model of Tone, Accent and Intonation, to Phonetics, Phonology, and Speech Technol- ogy” for students at Multimedia Summer School, FEEIT, Septem- ber 21, 2016, Skopje, Macedonia, • “Problems and Prospects of Spo- ken Language Processing”, Sep- Participants of MMET-2016

April 2017 ❍ IEEE Electron Devices Society Newsletter 45 More than 150 participants from six countries (Russia, Belarus, Poland, Republic of Korea, Egypt, and Kazakh- stan) took part in the APEDE’2016. The Conference Program included 7 plena- ry lectures presented by invited speak- ers, 120 talks, and 44 posters. For the young participants, the Best Student Paper Award competition was orga- nized during the Conference. The book of the conference full-text proceedings was published and distributed among the participants. The Conference Pro- ceedings were published and indexed Prof. Chao-Hsin Chien (6th from the right,) pictured with some members of the Chapter in the IEEE Xplore Digital Library. The APEDE’2016 Conference was co-sponsored by Keysight Technolo- join EDS to improve their self-develop- Beijing Chapter. More than 40 local gies and Rohde & Schwarz. The Con- ment. Prof. Huang gave an overview professionals and graduate students ference website: http://apede.sstu.ru. of the leading-edge IC technologies, attended the meeting. typical features of IC technologies of The current challenging issues ~ Daniel Tomaszewski, Editor post Moore Era and future developing include reducing S/D parasitic resis- tendency. She spoke on the emerging tance and scaling EOT of dielectric in logic and memory technology with pursuing high performance Ge MOS- Asia & Pacific new architecture, new mechanism FETs. In this talk, two key process and new materials, as well as new modules, such S/D and gate dielec- (Region 10) circuit design and MEMS related tech- tric techniques, were addressed for nology. There were about 80 attend- Ge MOSFETs. Prof. Chao-Hsin Chien ED Harbin Institute of Technology ees, and afterwards Prof. Huang had showed his recent works on enhanc- Chapter a discussion with our members and ing thermal stability up to 600 °C of –by Sun Jianfeng some of the students. NiGe metal alloy on Ge by TiN cap- ping & scaling of gate dielectric down On Saturday, October 8, 2016, Acade- ED Beijing Chapter to < 1 nm with good Dit level and low mician of CAS, Prof. Ru Huang from –by Kangwei Zhang leakage currrent. In addition, a novel Peking University, was invited to de- NiPtGe metal S/D was presented liver an EDS Distinguished Lecture On December 23, 2016, Prof. Chao- for high performance Ge Schottky entitled “IC technology of Post Moore Hsin Chien from National Chiao PMOSFETs. Not only electrical charac- Era,” by Prof. Ma Jing, the ED Harbin Tung University, delivered a Distin- teristics of Schottky junction but also Chapter Chair. Before her academic guished Lecture entitled “S/D and device performances, such as on cur- talk, Prof. Huang introduced general Gate Dielectric Engineerings for Ge rent, RS/D, Gm & SS, have been sig- information about EDS and the impor- MOSFETs,” at the Institute of Micro- nificantly improved by using NiPtGe. tant benefits of being a member of the electronics of Chinese Academy of Society, which encouraged students to Sciences (IMECAS), held by the ED ED Xi’an Chapter –by Hongliang Lu

The chapter organized a few EDS Distinguished Lectures during the fourth quarter of 2016. Prof. Qifa Zhou, a Research Pro- fessor at the NIH Resource Center for Medical Ultrasonic Transducer Tech- nology and the Department of Bio- medical Engineering at the University of Southern California, Los Angeles, Prof. Ru Huang (6th from the right) pictured with Prof. Ma Jing (5th from the right), the Chapter California, visited the ED Xi’an Chapter Advisor and some other members of the Chapter on November 1, 2016, and delivered

46 IEEE Electron Devices Society Newsletter ❍ April 2017 a Distinguished Lecture entitled “In- nificent New Electronic Era,” at Xidian entitled “Ultra-Low Power design and tegration and Applications of High- University, Xi’an, China. Around 50 stu- RF Circuit Reliability for Internet on frequency Ultrasound,” at Xidian Uni- dents and teachers from the School of Thing (IoT),” focused on the design versity, Xi’an, China. About 50 local Microelectronics attended this lecture. and reliability of Low-Power Devices professionals and students attended Dr. Zhuang used HEMT (High Electron on IoT Applications. About 30 stu- the lecture. Prof. Zhou introduced an Mobility Transistor) and other electron- dents and several professors from lo- overview of the state of art in high-fre- ics devices as an example to discuss cal universities attended. quency ultrasound and array. Recently the impact that manipulation of differ- multi-modalities imaging methods ent kinds of materials has on the revo- ED Taipei Chapter which combined high-frequency ul- lutionary modern devices. With the ad- –by Steve Chung trasound with optics were considered vent of the new post-Moore electronics to be the next frontier in biomedical era, interdisciplinary and cross-domain The ED Taipei Chapter together with imaging. The development of inte- knowledge has enabled us to cope the ED NCTU Student Chapter held grated intravascular ultrasound and with the increasing demand for greater one invited talk in the fourth quarter optical coherence tomography (IVUS- communication bandwidth and higher of 2016. The Distinguished Lecture, OCT), acoustic radiation force optical power efficiency. Dr. Zhuang also ex- by Dr. Simon Deleonibus, Secretary coherence elastography (ARF-OCE), plained the new electronic era which of the EDS Board of Governors, was and photoacoustic tomography/micro- has been changing our lives, and the held on November 11th. He gave a scopic (PAT/PAM) were such examples. elimination of the terahertz technology talk entitled, “The Energy and Vari- He also presented ultrasound integra- gap brought about by atomic manipu- ability Efficient Era (E.V.E.) is ahead tion on PMUT for semiconductor and lation and structural control. At the end of us-When More Moore and More finger printing. The DL received great of his lecture Dr. Zhuang answered than Moore will meet for 3D.” He attention and was highly appreciated. some valuable questions. began with a review of the trend of On November 7, 2016, Dr. Guofu technology scaling along with the Niu presented a talk on “Wide Tem- ED Tainan Chapter major commercial applications in perature Range Compact Modeling –by Wen-Kuan Yeh the market, such as 80X CPU to the of SiGe HBTs,” held by the ED Xi’an digital camera, smart phone, and Chapter at Xidian University, Xi’an, The ED Tainan Chapter held a Distin- now into the IoT era, then, Zero In- Shaanxi, China. Dr. Niu described guished Lecture at Kaohsiung,Taiwan, trinsic Variability and Zero Power be- the development of a wide tempera- on December 21, 2016. Dr. Jiann- come a necessity for the future in the ture range SiGe HBT compact model Shiun Yuan (Professor of Electrical realm of Heterogeneity. The increas- that enabled integrated circuits de- Engineering, University of Central ing complexity of high volume fabri- sign from 43K to 393K, based on the Florida), gave a talk at the National cated systems will be possible if we industry standard Mextram model. University of Kaohsiung. The DL aim at zero intrinsic variability, and Since Silicon-Germanium Heterojunc- tion Bipolar Transistors (SiGe HBTs) had demonstrated excellent electrical characteristics over an extremely wide temperature range, from as low as be- low 1K to as high as well over 400K. That, together with multi Mrad radia- tion hardness, made SiGe BiCMOS an excellent candidate for developing integrated electronics for outer space exploration. Moreover, he gave a brief overview of Auburn University’s de- vice research activities in the areas of development of the industry standard bipolar transistor model Mextram, RF/millimeter wave SiGe HBT/CMOS modeling/measurement and TCAD On December 11, 2016, Dr. Qing- Guang Zhuang, Professor of National From front left to right: Dr. Wen-Teng Chang (Professor of NUK), Prof. Wen-Kuan Yeh (Chair of ED Taiwan University Taiwan, delivered Tainan Chapter) Prof. Jiann-Shiun Yuan (Distinguished Lecturer), and Prof. Te-Kung Chiang (Profes- a Distinguished Lecture entitled “Mag- sor of NUK), with some of the attendees

April 2017 ❍ IEEE Electron Devices Society Newsletter 47 EDS Mini-Colloquium—ED Hangzhou Chapter –by Lingling Sun

On October 24, 2016, an EDS MQ on micro & nanoelectronics devices and integrated circuits was successfully held at Hangzhou Dianzi University (HDU). This MQ was jointly organized Prof. Steve Chung (front row, 5th from right) and Dr. Simon Deleonibus (4th from right), by the ED Hangzhou Chapter, Hang- Prof. K. N. Chen (6th from right) zhou Dianzi University, Key Laboratory of RF Circuits and Systems of Ministry generalize 3-dimensional integra- of Hong Kong University of Science of Education, and Key Laboratory of tion of hybrid, heterogeneous tech- and Technology, Prof. Bin Yu of State VLSI of Zhejiang Province. The guests nologies at the device, functional University of New York at Albany, attending the MQ were Prof. Samar and system levels. He then demon- and Prof. Tian-Ling Ren of Tsinghua Saha from Santa Clara University, Prof. strated several cases on the hetero- University. Among them, Prof. Chan, Cor Claeys from IMEC & KU Leuven, geneous 3D co-integration on silicon Prof. Yu and Prof. Ren are EDS Dis- Prof. J. A. Martino from Sao Paulo Uni- towards zero power systems. This tinguished Lecturers. This event at- versity, Prof. Xing Zhou from Nanyang talk was attended by approximately tracted more than 60 people from Technological University, Singapore, 60 graduate students, professors, PKU and other affiliations. Prof. Durga Misra from New Jersey and post-doc researchers. In the morning, Prof. Ru Huang, Institute of Technology, Prof. Ru Huang advisor of the ED Peking University from Peking University, Prof. Changji- EDS Mini-Colloquium—ED Student Branch Chapter, gave the an Zhou from South China University Peking University Student welcome address. The MQ began of Technology, and Prof. Lingling Sun, Branch Chapter with Prof. Chan’s talk on “Carbon Prof. Zhiqun Cheng, Prof. Gaofeng –by Xiaobo Jiang Nanotube Enhanced CMOS Intercon- Wang from HDU. During the MQ, the nect Technology,” followed by Prof. DL speakers gave interesting presen- An IEEE EDS Mini-Colloquium (MQ) Wong, speaking on “Memory—the tations on a variety of topics related on Emerging Materials and Devices, N3XT Frontier.” After a short coffee to micro/nano devices and integrated organized by the ED Peking Univer- break, Prof. Yu gave a talk on “Future circuits, such as “Challenge of Ad- sity (PKU) Student Branch Chapter, Devices Enabled by 2D Nanomateri- vanced Semiconductor Devices for fu- was successfully held on October als,” followed by Prof. Ren’s talk on ture CMOS Technologies,” “Field Effect 24, 2016, at Peking University, Bei- “Novel Fabrication Method and Appli- Transistors: From MOSFET to Tunnel jing, China. This MQ was supported cations of Graphene.” These lectures FET,” “All-Carbon Interconnects—From by the following outstanding lectur- covered some broad range topics 1D to 3D,” “Generic HEMT Compact ers: Prof. H.-S. Philip Wong of Stan- on current interests and also pro- Model for Future Hybrid III-V/CMOS ford University, Prof. Mansun Chan duced lively, interactive discussions. Technology,” and “High-k gate stack processing on Si and Ge Substrate for sub-14 mm CMOS technology.”

2016 IEDMS –by Steve Chung

The annual conference, called 2016 IEDMS (International Electron De- vices and Materials Symposium), http://iedms2016.ntnu.edu.tw/index. html, was held November 24–25, at the National Taiwan Normal Univer- sity in Taipei, serving as a platform for all researchers in Taiwan to pres- ent an annual review of their projects First row, starting 4th from left: Prof. Mansun Chan, Prof. Bin Yu, Prof. H.-S. Philip Wong, (in poster form) sponsored by the Prof. Ru Huang and Prof. Tian-Ling Ren, with some of the MQ participants government. The event is similar to

48 IEEE Electron Devices Society Newsletter ❍ April 2017 the SSDM in Japan. The conference ization of 2D Device and Thin Film by Chair, reported 2016 activities and was organized by volunteers of the lo- X-RayTechnology,” by Dr. UmeshTiwari, 2017 plans of the Chapter, in which cal ED chapter. Also, this conference Product Manager, Asia Pacific Region, the chapter name change from “Ja- called for contributed papers from “Recent Advance in Nano-mechanical pan Chapter” to “Japan Joint Chap- around the world. This year’s pro- and Nanoelectrical Property Mapping ter” was reported and approved. gram featured 3 keynote speeches, 15 by AFM-based Technology: PF-QNM, At the meeting, the 2016 ED Japan invited speeches, 53 contributed oral SMIM and Nano-indentation,” by Yen- Chapter Student Award (VLSI & papers, and 148 poster papers, which Fu Chen, Sr. Sales Representative IEDM) was presented to 10 students, were well organized in the following Bruker Taiwan; and “Determining Mo- who made excellent presentations at four areas: (a) Compound Semicon- lecular Orientations in Materials Us- the Symposia 2016 and IEDM 2016. ductor Materials and Electronic and ing Polarized Raman Spectroscopy,” The award winners are posted on Photonic Devices, (b) Sustainable by Dr. Robert Heintz, Product Applica- the Japan Chapter’s website; (http:// Energy Devices and Materials, (c) In- tions Specialist. There were about 100 www.ieee-jp.org/japancouncil/chap- tegrated Circuits and Packaging Tech- attendees, including professors, IEEE ter/ED-15/ed15_award.htm). nologies, and (d) Nanoscale Devices members, students and local profes- After the annual meeting, the IEDM and Materials, Displays, and Sensors. sionals from Taiwan. 2016 Report Session was held. Seven The conference attracted more than Japanese members of the IEDM pro- 300 participants. Next year, the IED- ~ Ming Liu, Editor gram committee reported on sum- MS conference venue will be held mary, topics and research trends of in early September 2017 at National ED Japan Joint Chapter their sub-committees for more than Chiao Tung University, near the Sci- –by Masaaki Niwa and Takahiro sixty attendees. This session provided ence Park in Hsinchu, Taiwan. Please Shinada a good opportunity for the attendees make a note on your calendar for pa- to understand the research trends of per submissions due in June 2017. On February 15, 2017, the annual various areas, especially for those meeting of the ED Japan Chapter who were not able to attend the IEDM. 2016 Workshop for Advanced was held at the University of Tokyo. The executive committee meet- Device and Material Prof. Masaaki Niwa, Japan Chapter ing of the ED Japan Chapter was –by Wen-Kuan Yeh Chair and Dr. Akira Nishiyama, Vice also held on the same day and the

The ED Tainan Chapter co-organized the 2016 Workshop of Character- ization for Advanced Device and Material, at National Nano Device Laboratories, Hsinchu, Taiwan, Novem- ber 30th. This Workshop focused on “New material and characterization on advanced device.” The following seven keynote speakers were invited to give a talk: “Contact Engineering for 2D Field-effect Transistors,” by Dr. Po-Wen Chiu, Professor of Department of Elec- trical Engineering, National Tsing Hua University, Taiwan; “Enhancing Sur- face and Thin Film Analysis with XPS through In-Situ Complementary Spec- 15th ED Japan Chapter Student Award winners, together with Prof. Masaaki Niwa; Chair, troscopies,“ by Dr. Tim Nunney, Prod- and Dr. Akira Nishiyama; Vice Chair on February 15, 2017, Tokyo uct Manager Thermo Fisher Scientific; “Strain Analysis Tools in Electron Mi- croscope,” by Yieng-Chieh Hung, Man- ager JieDong Co.,Ltd; “Grain Size and Plasma Doping effects on CVD based 2D Transition Metal Dichalcogenide,” by Dr. Tuo-Hung Hou, Professor of Depart- ment of Electronics Engineering and Institute of Electronics; “The Character- The annual meeting of EDS Japan Chapter attendees on February, 15, 2017, Tokyo

April 2017 ❍ IEEE Electron Devices Society Newsletter 49 Engineering and Mathematics (STEM). There were 45 student participants from primary schools and 33 stu- dents from secondary schools from the Kuala Lumpur area. The pro- gram was divided into two parts; Generation of Engineers for primary school and Eco Race Challenge for secondary school. Participants were required to answer some questions regarding the experiments using Snap Circuits kits conducted in the The executive committee meeting of the ED Japan Joint Chapter on February 15, 2017, Tokyo Eco Race Challenge.

Distinguished Lecture and plans for 2017 of the Chapter were Visit by EDS Vice President approved. The ED Japan Chapter Ex- The Chapter in collaboration with ecutives, Prof. Masaaki Niwa, Chair; the EDS UKM Student Branch Chap- Dr. Akira Nishiyama, Vice Chair; Prof. ter, successfully organized a Dis- Takahiro Shinada, Secretary; and Dr. tinguished Lecture (DL) by Dr. M. Shigeru Kawanaka, Treasurer; invit- K. Radhakrishnan on November 7, ed the guests, the 6 guest speakers 2016. The event was co-organized of the IEDM Report Session, as well with the Institute of Microengineer- as Prof. Hiroshi Iwai, Past President ing and Nanoelectronic (IMEN), of IEEE EDS, Prof. Akira Toriumi and UKM. Dr. Radhakrishnan is the EDS Dr. Toru Mogami, Past Chairs of the Vice-President of Regions & Chap- ED Japan Chapter, Koji Kita, Past ters and a Member of the Board Secretary of the chapter and people of Governors of the IEEE Electron concerned. School students using the Snap Circuits kits Devices Society. He has been an ~ Kuniyuki Kakushima, Editor during the GENERIC event EDS Distinguished Lecturer since 1997 and presented a talk entitled ED Malaysia Kuala Lumpur “Electrostatic discharge (ESD) Is- Chapter Islamic University Malaysia held an sues and Challenges in Si Nanome- –by Badariah Bais and Noorjannah event called ENGITEX. In this event, ter Devices.” There were about 28 Ibrahim the ED Malaysia Chapter had the op- participants comprising of staff and portunity to co-organize a sub-event students from IMEN and UKM. Af- EDS-ETC Program and called GENERIC, which involved ter his talk, Dr. Radhakrishnan held GENERIC Event the participation of primary and a short meeting with the student On September 26–28, 2016, the Kul- secondary school students to incul- branch members to discuss upcom- liyyah of Engineering, International cate interest in Science, Technology, ing activities.

Dr. M. K. Radhakrishnan and the participants after the talk

50 IEEE Electron Devices Society Newsletter ❍ April 2017 Ir Cheang Kok Meng and participants

Technical Talk by Ir Cheang of academic staff, postgraduate and Kok Meng undergraduate students from UKM. The Chapter with the collaboration with ED UKM Student Branch Chapter ~ P. Susthitha Menon, Editor successfully organized a technical talk by Ir Cheang Kok Meng on December ED Kolkata Chapter 9, 2016, at UKM. He is a visiting lec- –by Atanu Kundu, Angsuman turer in several universities where he Sarkar and Swapnadip De speaks on Engineering Ethics, Tech- nology & Society, Professional Devel- The ED MSIT Student Branch Chap- Dr. Writam Banerjee (right), the keynote speaker, being felicitated at VLSI DCS 2016 opment and Broadcast Systems. His ter, MSIT Student Branch, ED Kolkata presentation was entitled, “The Thin Chapter and SSCS Kolkata Chapter, Line between Right and Wrong-Ethics in association with the Department of The 1st International Conference in Engineering.” The event was co- ECE, MSIT, jointly organized the 1st NanoBioCon 2016, was organized by organized by Pusat Citra, UKM. There International Conference VLSI DCS Maulana Abul Kalam Azad Univer- were about 16 participants consisting 2016 at MSIT, October 19–20, 2016. sity of Technology on October 3–5, 2016, at the Science City Auditorium. The conference was technically co- sponsored by the EDS Kolkata Chap- ter and sponsored by TEQIP II WBUT.

ED Meghnad Saha Institute of Technology Student Branch Chapter –by Manash Chanda and Swapnadip De

The ED MSIT Student Branch Chapter, IEEE MSIT Student Branch, ED Kolka- ta Chapter and SSCS Kolkata Chapter, Inauguration of VLSI DCS 2016 at MSIT in association with the Department of

April 2017 ❍ IEEE Electron Devices Society Newsletter 51 ECE, MSIT, jointly organized the 1st International Conference VLSI DCS 2016 at MSIT on October 19-20, 2016. The Conference was technically co- sponsored by the IEEE Kolkata Sec- tion. Prof. Writam Banerjee, Assistant Professor of the Institute of Microelec- tronics, Chinese Academy of Science, P.R. China, delivered the keynote speech. Prof. C. K. Sarkar, EDS Dis- tinguished Lecturer and Professor of ETCE Department of Jadavpur Uni- versity, and Prof. Subir Kumar Sarkar, EDS Distinguished Lecturer and Pro- fessor of ETCE Department of Jadav- pur University delivered talks for the Attendees of technical lecture on November 8th program. Dr. Angsuman Sarkar, As- sociate Professor of Kalyani Govern- ment Engineering College, Dr. Atanu ED NIST Student Chapter, nology, Palur Hill, and Berhampur. Dr. Kundu, Assistant Professor of HITK, Berhampur Saroj Rout, Mixgnal Innovations, dis- Kolkata and Prof. Debaprasad Das, –by Ajit Kumar Panda cussed RF circuits and their testing. He Professor of Assam University, also was interested in CMOS RF Circuit de- delivered Technical Talks at the Confer- The chapter organized the IEEE Tech- sign and the suitable materials used ence. In total, 37 papers were selected nical Lecture on “Low-Voltage CMOS for this. He highlighted the dimen- for oral presentation. The program Analog Circuit Design and Active sions of research activities in this do- was attended by 120 participants of Materials,” November, 8, 2016, at main. Approximately 30 participants whom 50 were IEEE members. National Institute of Science & Tech- attended the lecture. The chapter also organized the IEEE Bhubaneswar Sub- Section Executive Committee meeting on November 19th, at the National In- stitute of Science & Technology (NIST), Palur Hill, and Berhampur, which was attended by Prof. P. K. Dash, SOA University, Dr. S. R. Samanta- ray, IIT-Bhubaneswar, A. K. Tripathy, SIT-Bhubaneswar, Prof. A. K. Panda, NIST-Berhampur. The Chapter organized a half-day workshop on “Smart Grid Technolo- gies,“ November 19th, at NIST for Inauguration of VLSI DCS 2016 at MSIT young faculties, researchers and students, to explore new ideas and thoughts by young researchers. Thirty-four faculties and researchers attended the program from different universities and institutes. Prof. P. K. Dash, SOA University. discussed the basic electrical science and real-life solutions for energy conservation and smart power transmission in India and other countries. Dr. S. R. Saman- taray discussed smart technologies and power grid. Professor A. K. Tripa- thy highlighted the power generation The organizers and participants at the valedictory session of VLSI DCS 2016 and transmission.

52 IEEE Electron Devices Society Newsletter ❍ April 2017 were taught to the students. Feedback forms were collected at the end of the session and the students were much impressed and excited to receive this hands-on training. Nearly 40 students attended this workshop.

ED NIT Silchar Student Branch Chapter –by Trupti Ranjan Lenka

The ED NIT Silchar Student Branch Chapter organized an EDS-ETC pro- gram at Saint Capitanio School, Silchar, Assam, October 21, 2016. Attendees of the Executive Committee Meeting Thirty-five students of Science Stream of 11th Standard attended. The Department of Electronics and ED Coimbatore Chapter an Engineer Teacher Connection (EDS- Communication Engineering, NIT Sil- –by D. Nirmal ETC) Program to the SSLC students of char, in association with the ED NIT government higher secondary school, Silchar Student Branch Chapter, or- On November 19, 2016, the chapter in Nathegoundenpudur. The trainers ganized a 3-day Short Term Training and Department of Electronics & were third year students of ECE as Program on “Emerging Devices and Communication Karunya University well as IEEE student volunteers. The VLSI Physical Design,” October 25–27, jointly conducted a hands-on training basic electronics terminologies, com- 2016, at Department of ECE, National on Engineers Demonstrating Science: ponents, sensors and its application Institute of Technology Silchar, which

IEEE volunteers and students at the EDS-ETC program held in Nathegoundenpudur

April 2017 ❍ IEEE Electron Devices Society Newsletter 53 Keynote address by Prof. Sandeep Sancheti, President Manipal University

future flexible Electronics; Dr. Jai Gopal Pandey, Sr. Scientist CEERI Faculty, Principal, St. Capitanio School, Dr. T. R. Lenka (left), Faculty Advisor, Student participants, Pilani, discussed System-on-Chip (SoC) Rupam Goswami, Student Chair (center) and IEEE Student Members Design using Platform FPGAs and Dr. Rohit Bhakar discussed various Challenges for Power System Opera- tion in uncertain environment. The second day had six technical sessions: Dr. Manish Tiwari discussed emerging areas of Photonic Crystal Fibers: Theory and Applications; Dr. Savesh Dubey discussed carrier transport mechanism in nanoscale transistors; Dr. Ghanshyam Singh talked about Photonic Integrated De- vices and Systems: Technology for next Generation Telecom Networks; (From left) Dr. T. R. Lenka, Faculty Advisor, Dr. K. L. Baishnab, HOD ECE, Dr. K. Guha, Prof. A. Dr. Rajesh Kumar explained the rel- Mallik, Prof. S. Baishya, Dr. B. Bhowmick, Mr. Rupam Goswami, Student Chair (center front), evance of Smart Grids; Mr. Ashish participants and EDS Student Members Raj discussed PUs energy club and Dr. Manoj Gupta discussed innovations in was attended by 45 scholars, research- ED Poornima University—Jaipur engineering. ers and faculty members. The Guest Student Branch Chapter Speakers of the program were Prof. –by Arun Dev Dhar Dwivedi ED Delhi Chapter S. C. Nandy, ISI, Kolkata, and Prof. A. –by Mridula Gupta and Manoj Saxena Mallik, University of Calcutta and Prof. The chapter organized a two-day S. Baishya with Dr. K. Guha of NIT National Seminar on Advances and On September 26, 2016, the chapter Silchar. Prof. Nandy presented his lec- Innovations in Electrical Electron- organized an EDS Distinguished Lec- ture on optimization methods of VLSI, ics and Communication Engineering ture (DL) on “Innovation and Career and geometry of rectangles. Prof. Mal- (AIEECE-2016), November 16–17, 2016. Opportunities in Microelectronics” lik talked on Tunnel Field Effect Transis- Prof. Sandeep Sancheti delivered a by Dr. Rakesh Kumar, Life Fellow tors (TFETs), their basics, and their low Keynote address on a Digital World IEEE, President, TCX Technology Con- power applications with a focus on driven by Technological Advance- nexions. The chapter also organized modified geometries of TFETs. Prof. ments and Professor Vineet Sahula an EDS Mini-Colloquium on Nano- Baishya took up topics on insights into spoke on Trust and Security in Hard- electronic Devices, October 14, 2016, analytical modeling of diodes, and ex- ware: Concepts and issues. The first at IIT Delhi. The invited speakers were plained in detail the modeling meth- day of the seminar included three tech- Prof. Hiroshi Iwai (Distinguished ods of 2D and 3D MOS-based devices. nical sessions: Dr. S. P. Tiwari, Assistant Chair Professor, National Chiao Tung Dr. Guha highlighted the importance Professor EE, IIT Jodhpur, highlighted University, and Prof. (Emeritus), To- of MEMS-based devices and their ap- emerging areas of organic electron- kyo Institute of Technology), Prof. V. plications. ics, especially organic transistors for Ramgopal Rao (Director, IIT Delhi);

54 IEEE Electron Devices Society Newsletter ❍ April 2017 Prof. ChunYen Chang (former Presi- dent, National Chiao Tung Universi- ty); and Prof. Edward Y. Chang (Senior Vice President, National Chiao Tung University). More than 70 students and faculty members attended the mini-colloquium. On November 10th, a DL “On Negative Bias Temperature Instability in HKMG MOSFETs—Char- acterization, Process Dependence, DC / AC Modeling, TCAD Implementa- tion and Stochastic Effects,” was de- livered at University of Delhi South Campus, New Delhi, by Prof. Souvik Dr. Radhakrishnan and Dr. Saxena (3rd and 2nd from right), at the ED VIT Chapter Two-Day EDS Colloquium on “Emerging Trends in Electronic Systems” Mahapatra, Fellow IEEE, Department of Electrical Engineering, IIT Bombay, Mumbai, India. and Dr. Manoj Saxena, Vice Chair of young professionals and women in EDS Region 10 Subcommittee for science and technology. There were ED VIT Vellore Chapter Regions and Chapters, respectively. six technical talks: Prof. Santanu Ma- –by Sivasankaran K. After the sessions, both DLs interact- hapatra, Indian Institute of Science ed with ED VIT student chapter office Bangalore; Suchandan Pal, Principal The ED VIT Chapter organized a two- bearers. Scientist at CSIR-CEERI Pilani; Yo- day national level hands-on train- gesh Singh Chauhan, Associate Pro- ing on “TCAD for IC Design” from EDS Uttar Pradesh Chapter fessor, Indian Institute of Technology September 16–17, 2016, by Dr. V. N. –by Yogesh Chauhan Kanpur; Nihar Ranjan Mohapatra, Ramakrishnan, Associate Professor, Associate Professor, Indian Institute VIT University, Vellore. The main On November 19, 2016, the chap- of Technology, Gandhinagar; Shree aim of this training program was ter organized a one-day Workshop Prakash Tiwari, Assistant Professor, to address the gap between circuit on Electron Devices 2016 (WED’16), Indian Institute of Technology Jodh- simulators and TCAD, which allows hosted by the Indian Institute of In- pur and Arun Dubey, Sr. Application process and device engineers to formation Technology Allahabad Engineer, Quantumwise (Integrated virtually manufacture any type of (IIITA). The workshop was sponsored Microsystems), India. Around 80 device before processing them. by the IEEE UP Section, and co-spon- candidates from outside, as well as The chapter along with other five sored by Quantumwise, IMS-India the host institute, participated. A to- IEEE VIT chapters organized a two The focus of this workshop was to tal of 60 candidates (students and day IEEE Colloquium on “Emerging discuss the recent advancement in faculty members) around the coun- Trends in Electronic Systems,” Octo- electron devices with their well-rec- try registered for the event. ber 14–15, 2016. The main aim of the ognized and promising applications colloquium was to provide a global and meant to promote students, ~ Manoj Saxena, Editor platform for the engineers, scientists and academicians, especially young researchers across the country to exchange their new ideas, explore emerging directions in the fields of electronic devices, circuits and sys- tems, microwave techniques, signal processing, communication engi- neering, internet of things and en- gineering in biomedical sciences. Two EDS Distinguished Lectures on “Emerging Trends in Nanoelectron- ics” and “Modeling and Simulation of Nanoscale Devices” were given by Dr. M. K. Radhakrishnan, EDS Vice President of Regions and Chapters, Participants of the Workshop on Electron Devices 2016 (WED’16)

April 2017 ❍ IEEE Electron Devices Society Newsletter 55 EMD S E E T I n g s C A l e n D A R

EDS MEETINGS CALENDAR The complete EDS Calendar can be found at our web site: The complete EDS Calendar h canttp ://bee dsfound.ieee at.org our .web plea site:se vihttp://eds.ieee.org.sit. Please visit.

2017 IEEE International Reliability Physics Symposium 02 Apr–06 Hyatt Regency Monterey (IRPS) Apr 2017 One Old Golf Course Road Monterey, CA, USA

2017 Joint International EUROSOI Workshop and 03 Apr–05 Divani Caravel Hotel International Conference on Ultimate Integration on Apr 2017 Vasileos Alexandrou 2 Silicon (EUROSOI-ULIS) Athens, Greece

2017 International Symposium on VLSI Design, 24 Apr–27 Ambassador Hotel Hsinchu Automation and Test (VLSI-DAT) Apr 2017 188 Chung Hwa Road, Section 2, Hsinchu, Taiwan Hsinchu, Taiwan

2017 Eighteenth International Vacuum Electronics 24 Apr–26 99 City Road Conference Conference (IVEC) Apr 2017 Centre 99 City Road London, United Kingdom

2017 International Symposium on VLSI Technology, 24 Apr–27 Ambassador Hotel Hsinchu Systems and Application (VLSI-TSA) Apr 2017 Taiwan

2017 IEEE International Memory Workshop (IMW) 14 May–17 Hyatt Regency Monterey May 2017 One Old Golf Course Road Monterey, CA, USA

2017 28th Annual SEMI Advanced Semiconductor 15 May–18 Saratoga Springs City Center Manufacturing Conference (ASMC) May 2017 522 Broadway Saratoga Springs, NY, USA

2017 IEEE International Interconnect Technology 16 May–18 Ambassador Hotel Conference (IITC) May 2017 188, Section 2 Zhonghua Road Hsinchu, Taiwan

56 IEEE Electron Devices Society Newsletter ❍ April 2017 2017 29th International Symposium on Power 28 May–01 Royton Sapporo Semiconductor Devices and IC's (ISPSD) Jun 2017 Kita 1 jyou nishi 11-1 Chuo-ku Sapporo Sapporo, Japan

2017 Silicon Nanoelectronics Workshop (SNW) 04 Jun–05 Rihga Royal Hotel Kyoto Jun 2017 1 Taimatsu-cho, Shiokoji- sagaru, HigashiHorikawa-dori, Shimogyo-ku Kyoto, Japan

2017 IEEE Radio Frequency Integrated Circuits 04 Jun–06 Hawaii Convention Center Symposium (RFIC) Jun 2017 1801 Kalakaua Ave Honolulu, HI, USA

2017 Symposium on VLSI Technology 05 Jun–08 Rihga Royal Hotel Jun 2017 1 Taimatsu-Cho Shiokoji-sagaru Higashi Horikawa-dori Kyoto, Japan

2017 19th International Conference on Solid-State Sensors, 18 Jun–22 Kaohsiung Exhibition Center Actuators and Microsystems (TRANSDUCERS) Jun 2017 No. 39, Chenggong 2nd Road Qianzhen District Kaohsiung, Taiwan

2017 IEEE 44th Photovoltaic Specialists Conference 25 Jun–30 Marriott Washington Wardman (PVSC) Jun 2017 Park 2660 Woodley Road NW Washington, DC, USA

2017 75th Device Research Conference (DRC) 25 Jun–28 University of Notre Dame Jun 2017 130 Morris Inn Notre Dame, IN, USA

2017 International Siberian Conference on Control and 29 Jun–30 Sultanbek S. Isenov Communications (SIBCON) Jun 2017 KATU Pobedy Ave., 62 Astana Astana, Kazakhstan

April 2017 ❍ IEEE Electron Devices Society Newsletter 57 2017 24th International Workshop on Active-Matrix 04 Jul–07 Ryukoku University Avanti Flatpanel Displays and Devices (AM-FPD) Jul 2017 Kyoto Hall 31 Nishi Sanno-cho Higashi Kujo Minami-ku Kyoto, Japan

2017 30th International Vacuum Nanoelectronics 10 Jul–14 Herzogssaal Regensburg Conference (IVNC) Jul 2017 Domplatz 3 Regensburg, Germany

2017 International conference on Microelectronic Devices, 10 Aug–12 VIT University Circuits and Systems (ICMDCS) Aug 2017 Vellore Vellore, India

2017 International Conference on Simulation of 07 Sep–09 Kamakura Prince Hotel Semiconductor Processes and Devices (SISPAD) Sep 2017 1-2-18 Shichirigahama-higashi Kamakura Kanagawa Japan

2017 39th Electrical Overstress/Electrostatic Discharge 10 Sep–14 Westin La Paloma Symposium (EOS/ESD) Sep 2017 Tucson, AZ, USA

ESSDERC 2017 - 47th IEEE European Solid-State Device 11 Sep–14 KU Leuven Campus Social Research Conference (ESSDERC) Sep 2017 Sciences Leuven, Belgium

2017 IEEE International Integrated Reliability Workshop 08 Oct–12 Stanford Sierra Conference (IIRW) Oct 2017 Center 130 Fallen Leaf Road South Lake Tahoe, CA, USA

2017 12th European Microwave Integrated Circuits 08 Oct–10 NÜRNBERG CONVENTION Conference (EuMIC) Oct 2017 CENTER (NCC) Messezentrum, NCC Ost Nuremberg, Germany

58 IEEE Electron Devices Society Newsletter ❍ April 2017 R e m e m b e r i n g M i l d r e d D r e s s e l h a u s

EDS Mourns the Passing of Society’s Beloved Celebrated Member, “Carbon Queen,” Professor Mildred Dresselhaus

Professor Mildred Dresselhaus, an Institute Professor and Professor Emerita of physics and electrical engineering at the Massachusetts Institute of Technology (MIT), passed away on February 20, 2017, at the age of 86. Professor Dresselhaus was an ex- ceptionally creative scientist and en- gineer who pioneered the research work on nanomaterials and was the first to exploit the thermoelectric ef- fect at the nanoscale to efficiently harvest energy from the tempera- ture differences in materials that conduct electricity. She was one of the first scientists with a vision to make carbon nanotubes (CNT). Her pioneering work on CNT earned her the nick name, Carbon Queen. Professor Dresselhaus was heav- ily involved in activities that pro- mote the increased participation of women in science and engineering. She received 36 honorary doctorates worldwide, and the National Medal of Science, the IEEE Medal of Honor, the Nicholson Medal for Humanitar- ian Service, the Compton Award, the IEEE Electron Devices Society (EDS) and will miss the Society’s beloved Fermi Prize, the Kavli Prize, and the Celebrated Member. Celebrated Member. U.S. Presidential Medal of Freedom. The EDS is deeply saddened by Samar Saha And, she was honored as the 2016 the death of Professor Dresselhaus EDS President

April 2017 ❍ IEEE Electron Devices Society Newsletter 59 Summary of Changes to the EDS Field of Interest Statement At its May 29, 2016, Governance Meeting in Grenoble, France, the EDS Board of Governors approved the changes to the EDS Field of Interest to comply with the IEEE policy for total word counts. These amendments were then approved in November 2016 by the IEEE Technical Activities Board (TAB). The changes can take effect 30 days following their publication in this issue of the Newsletter (distributed to all EDS members), unless objections are received by 5% of the membership. Below is the current and approved revised EDS FOI. If you have any objections to this change, please advise the EDS Executive Office staff by sending an email to [email protected].

EDS Field of Interest (before revision) The field of interest for EDS is all aspects of engineering, physics, theory, experiment and simulation of electron and ion devices involving insulators, metals, organic materials, plasmas, semiconductors, quantum-effect mate- rials, vacuum, and emerging materials. Specific applications of these devices include bioelectronics, biomedical, computation, communications, displays, electro and micro mechanics, imaging, micro actuators, optical, photo- voltaics, power, sensors and signal processing. The society is concerned with research, development, design and manufacture related to the materials, pro- cessing, technology, and applications of such devices, and scientific, technical, educational and other activities that contribute to the advancement of this field.

Revised EDS Field of Interest Approved by IEEE TAB and EDS BoG The EDS field-of-interest includes all electron and ion based devices, in their classical or quantum states, using environments and materials in their lowest to highest conducting phase, in simple or engineered assembly, interacting with and delivering photo-electronic, electro-magnetic, electromechanical, electro-thermal, and bio- electronic signals. The Society sponsors and reports on education, research, development and manufacturing aspects and is involved in science, theory, engineering, experimentation, simulation, modeling, design, fabrica- tion, interconnection, reliability of such devices and their applications. Samar Saha EDS President

60 IEEE Electron Devices Society Newsletter ❍ April 2017