<<

ULTRACONFORMAL CHEMICAL VAPOR DEPOSITION AND SYNTHESIS OF TRANSITION METAL NITRIDE FILMS

BY

ANDREW N. CLOUD

DISSERTATION

Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Materials Science and Engineering in the Graduate College of the University of Illinois at Urbana-Champaign, 2013

Urbana, Illinois

Doctoral Committee:

Emeritus Professor John R. Abelson, Chair Professor Gregory S. Girolami Professor Paul V. Braun Adjunct Professor Andreas A. Polycarpou Professor Pascal Bellon

ABSTRACT

The miniaturization of devices places stringent demands on materials processing techniques. As device dimensions decrease, the aspect ratios (AR) of features tend to increase. Uniform coating of these features is required, but the difficulty scales with AR.

I have demonstrated ‘static’ CVD in a simple, unpumped apparatus to conformally deposit stoichiometric and pure metallic films of hafnium diboride and iron in high AR features. By achieving high precursor pressure, growth rate saturation is maintained deeply into structures. CVD has a growth rate advantage over atomic layer deposition in high AR features.

SCVD is highly scalable and conducive to batch processing. This is critical for the production of nanostructures assembled from pre-formed templates. Using SCVD, thermally stable hafnium diboride photonic crystals are fabricated. The combination of superior thermal stability and modified thermal emission has not been previously demonstrated.

CVD of transition metal nitride films (where the metal is manganese, iron, cobalt, or

nickel) is accomplished with newly developed di(tert-butyl)amide precursors M[N(t-Bu)2]2 and

NH3 below 300 °C. Film growth likely proceeds via rapid transamination of the highly reactive

precursors with NH3 to afford metal amido fragments with high sticking coefficients and low surface mobilities. Carbon contamination in the films is minimal for manganese, iron, and cobalt nitrides, but similar to the nitrogen concentration in nickel nitride.

Thermal CVD at room temperature is highly unusual, but iron nitride grows rapidly at 25 °C. The di(tert-butyl)amido compounds are also able to serve as CVD precursors to cobalt and nickel nitride phases, for which very few other CVD methods have been described. The family of di(tert-butyl)amide precursors provides a useful synthetic pathway for late transition metal nitride films, which are difficult to produce by other means; the growth conditions are appropriate for deposition on temperature-sensitive substrates.

To demonstrate the utility of HfB2 as a wear-resistant protective coating for nanoscale applications, polysilicon switches are coated with CVD HfB2 and evaluated. Functional devices demonstrate reproducible, sharp switching characteristics indicative of a stable contact. A critical factor in the efficacy of wear-resistant thin films is their adhesion and shear strength at the film-substrate interface. Poor adhesion can result in delamination and catastrophic failure.

HfB2 thin films on Si(100) are studied to advance the understanding of adhesion and shear strength of this system. Hardness, elastic modulus, and friction coefficient are also measured.

ii

To my family and friends – current and future – and the countless people who had a hand in guiding me to where I am today.

iii

ACKNOWLEDGEMENTS

The accomplishments memorialized in this thesis are products of the cumulative efforts of countless people who have had an impact on my professional and personal lives. It is impossible to acknowledge all of this in these few pages, but I shall try.

Firstly, I am grateful for the professional relationships with my thesis advisor, Prof. John R. Abelson and my colleagues Shaista Babar, Pengyi Zhang, Wenjiao Wang, Tian Li, and Kristof Darmawikarta. John is an excellent supervisor; he patiently allowed me to pursue my own interests and provided incredibly insightful guidance whenever I asked. The content of this thesis is better for the comments and criticisms of John and the rest of the group members.

As a thin film growth group, we are extremely dependent on our chemistry collaborators for their knowledge and invaluable supply of CVD precursors. Prof. Gregory S. Girolami and his students have been great partners over the years. In particular, the efforts of Justin L. Mallek and Luke M. Davis were critical.

I must also acknowledge the efforts of former students of both groups, Drs. Teresa and Charles Spicer, who began the investigation of the transition metal nitride CVD that is discussed at length in this work.

My interest in applications led me to rewarding collaborations with great groups here at the University of Illinois and other fine institutions. I greatly enjoyed collaborating with Prof. Paul Braun’s group on the solar thermophotovoltaic intermediate project, especially with his students Dr. Kevin Arpin and Hailong Ning and his post-doctoral researcher Dr. Mark Losego.

The NEMS relay switch work was a collaboration with Dr. W. Scott Lee, Dr. J. Provine, Dr. Noureddine Tayebi, Dr. Roozbeh Parsa, Prof. Subhasish Mitra, Prof. H.-S. Philip Wong, and Prof. Roger T. Howe at Stanford University.

I also am thankful for the efforts of Prof. Andreas Polycarpou and his students Dr. Jungkyu Lee, Dr. Kyriaki Polychronopoulou, and Shahla Chowdhury. They conducted extensive mechanical testing of films I provided at a level I could never approach.

I am grateful to those collaborators who kept me supplied with interesting and challenging substrates, including Matt Goodman and Neil Krueger from UIUC and Professor Anna Fontcuberta i Morral from École Polytechnique Fédérale de Lausanne.

Film characterization was carried out in the Frederick Seitz Materials Research Laboratory Central Facilities, University of Illinois. I benefited greatly from the analytical

iv assistance of the staff of the MRL and the Beckman Institute at the University of Illinois. In particular, I give much thanks to Dr. Rick Haasch, Dr. Jim Mabon, Dr. Mauro Sardela, Dr. Julio Soares, Dr. Timothy Spila, and Dr. Leilei Yin.

During my graduate studies, I was personally supported by fellowships provided by the National Defense Science and Engineering Graduate fellowship program (2008 - 2011) and National Science Foundation Graduate Research Fellowship Program (2011 - 2013). I am deeply grateful for the intellectual freedom these fellowships offered me. The work presented in this thesis would not have been possible without the broad support of these organizations.

I also must acknowledge the incredible support of my family and dear friends. Without them, this work would be meaningless. The people of Illinois have been, on the whole, incredibly welcoming and gracious during my time here.

In particular, I am grateful for the support of my committee members – Dr. Abelson, Dr. Girolami, Dr. Braun, Dr. Polycarpou, and Dr. Bellon – who are among the smartest and most impressive people I know. I also appreciate all the help and support I received from the wonderful staff in the Department of Materials Science and Engineering.

I owe a great debt to all the people at the University of Arkansas who prepared me for graduate school. The faculty and staff of the Honors College and the College of Engineering provided an excellent education and critical one-on-one guidance. In particular, I must thank Dr. Matthew Gordon (now at the University of Denver) for exposing me to the research lab for the first time. I am constantly reminded of the great guidance provided by Dr. John A. White (Chancellor emeritus), Dr. Gary Standridge, Dr. Ashok Saxena, and Dr. Carol Gattis. I owe an enormous debt to Dr. Lee Bodenhamer and the Bodenhamer Foundation for financing my undergraduate education and giving me the freedom and support to follow my own path. It is an honor to be associated with these people and rarely does a day go by that I do not reflect on the advantages I have been allotted due to the kindness of those that came before me.

v

TABLE OF CONTENTS

CHAPTER 1: OVERVIEW ...... 1 1.1 Introduction ...... 1 1.2 Motivations ...... 2 1.3 Chapter summaries ...... 5 1.4 References ...... 9 1.5 Figures ...... 12

CHAPTER 2: SUPERIOR INFILTRATION OF CONVOLUTED STRUCTURES BY STATIC CHEMICAL VAPOR DEPOSITION ...... 16 2.1 Introduction ...... 16 2.2 Experimental apparatus ...... 17 2.3 Results ...... 17 2.4 Discussion ...... 20 2.5 Conclusions ...... 24 2.6 References ...... 26 2.7 Figures ...... 28

CHAPTER 3: THREE-DIMENSIONAL SELF-ASSEMBLED PHOTONIC CRYSTALS WITH HIGH TEMPERATURE STABILITY FOR THERMAL EMISSION MODIFICATION ...... 44 3.1 Introduction ...... 45 3.2 Thermally stable tungsten photonic crystals prepared by atomic layer deposition ...... 47 3.3 Hafnium diboride photonic crystals prepared by static CVD ...... 50 3.4 Conclusions ...... 51 3.5 References ...... 53 3.6 Figures ...... 55

CHAPTER 4: LOW-TEMPERATURE CVD OF η-Mn3N2-x FROM BIS[DI(TERTBUTYL)AMIDO]MANGANESE(II) AND AMMONIA ...... 61 4.1 Introduction ...... 61 4.2 Experimental details ...... 62 4.3 Results ...... 62 4.4 Discussion ...... 63 4.5 Conclusions ...... 65 4.6 References ...... 67 4.7 Figures ...... 69

CHAPTER 5: LOW-TEMPERATURE CVD OF IRON, COBALT, AND NICKEL NITRIDE THIN FILMS FROM BIS[DI(TERT-BUTYL)AMIDO]METAL(II) PRECURSORS AND AMMONIA ...... 71 5.1 Introduction ...... 71 5.2 Experimental details ...... 74 5.3 Results ...... 76 5.4 Discussion ...... 78 5.5 Conclusions ...... 80 5.6 References ...... 82 5.7 Figures ...... 86

vi

CHAPTER 6: CVD HAFNIUM DIBORIDE AS A CONTACT MATERIAL FOR NANOELECTROMECHANICAL SWITCHES ...... 90 6.1 Introduction ...... 91 6.2 Contact mechanics ...... 92 6.3 Design and fabrication ...... 93 6.4 Results ...... 93 6.5 Conclusions ...... 95 6.6 References ...... 96 6.7 Figures ...... 98

CHAPTER 7: SHEAR STRENGTH MEASUREMENTS OF HAFNIUM DIBORIDE FILMS GROWN ON Si(100) BY LOW-TEMPERATURE CHEMICAL VAPOR DEPOSITION ...... 103 7.1 Introduction ...... 103 7.2 Experimental methods ...... 104 7.3 Results and discussion ...... 105 7.4 Conclusions ...... 108 7.5 References ...... 109 7.6 Figures and Tables ...... 111

CHAPTER 8: MECHANICAL PROPERTY EVALUATION OF HAFNIUM DIBORIDE-BASED THIN FILMS ...... 113 8.1 Film deposition and annealing procedure ...... 113 8.2 Roughness characterization ...... 114 8.3 Film hardness and reduced modulus measurement by nanoindentation ...... 114 8.4 Friction coefficient, wear recovery, and shear strength by nanoscratch ...... 114 8.5 References ...... 116 8.6 Figures and tables ...... 117

CHAPTER 9: CONCLUSIONS AND FUTURE POSSIBILITIES ...... 122 9.1 Conclusions ...... 122 9.2 Future possibilities ...... 124 9.3 References ...... 128

APPENDIX A: GROWTH RATE COMPARISON: ALD VERSUS CVD ...... 132

APPENDIX B: FILM THICKNESS IN SCVD ...... 137

APPENDIX C: PRECURSOR UTILIZATION COMPARISON: LOW-PRESSURE CVD VERSUS STATIC CVD ...... 140

APPENDIX D: COATING PROFILE OF DEEP AEROGEL INFILTRATION ...... 144

vii

CHAPTER 1

OVERVIEW

1.1 Introduction

The miniaturization of devices places stringent demands on materials processing techniques. As device dimensions decrease, the aspect ratios (AR) of device features tend to increase [1, 2]. The ability to uniformly coat these features is a necessary fabrication capability for practical applications, but the difficulty scales with aspect ratio [1]. Thin film growth methods vary widely in their ability to suitably coat high AR features [3, 4]. Academic and industrial research has continually developed new coating methods to satisfy ever-changing device specifications.

In particular, atomic layer deposition (ALD) was developed as a solution to the conformal coating challenge. ALD overcomes the problem of precursor depletion in deep structures by relying on the self-limiting growth reaction of two precursors successively dosed on the surface [1-3, 5]. The result, in well-behaved cases, is perfectly conformal films grown monolayer-by- monolayer. While widely regarded as the ultimate vapor phase growth technique when conformality and uniformity is required, ALD has certain unavoidable limitations. To avoid gas- phase reactions, the system is sequentially pumped and purged with inert gas between each dosage of precursor [1, 3]. The pump-purge steps can become very time consuming in a high AR feature where diffusion is poor [1]. The growth rate of film in ALD is proportional to AR-2 [1]. Although the self-limiting reaction ensures conformality on structures with suitable diffusion rates, ALD is an inherently diffusion-mediated process that is not well suited to growth in structures with very low diffusivity.

Low-pressure CVD processes have recently been demonstrated by Abelson et al. and others to afford ALD-like conformality with higher growth rates [4, 6-9]. Conformal CVD is achieved by decoupling the film growth rate from the local precursor pressure [4] and attaining growth rate saturation on all the structure’s surfaces (Figure 1.1). This is typically achieved by operating under high precursor pressure [10]. In this regime, the surface is saturated with precursor; self-site blocking effects minimize the reaction probability, β, of the impinging precursor [11-13]. In the high pressure limit, β is inversely proportional to the precursor pressure. Low sticking coefficients allow precursor to diffuse deeply into a structure before reaction (Figure 1.2). According to analytic diffusion-reaction calculations, to attain 90 % step

1 coverage (SC) in a 100:1 trench, β must be ~ 10-5 or lower (Figure 1.3). In order to achieve such low values of β, high precursor pressure is required.

A second consequence of miniaturization is the restrictive ‘thermal budget’ in microfabrication. Reduced device dimensions mean that diffusional effects are less tolerable during processing, especially in the backend of microelectronics fabrication. In response, there is a high demand for low temperature processes. This is often times at odds with morphology, composition, and property requirements. The search for low temperature film growth methods for materials of scientific and industrial value remains a high priority. Low temperature synthesis also opens up a world of applications that rely on the use of substrates with low melting or tempering temperatures, such as polymeric materials and alloys.

1.2 Motivations

1.2.1 Conformal CVD

CVD can be a remarkably effective technique for conformal coating, but it relies on the use of precursors that are weakly reactive due to a combination of chemical reaction pathways and the deposition processing parameters. In dynamically pumped systems, the low sticking coefficient of the precursor means that few precursor molecules can react to form film before they are pumped away (Figure 1.4). The vast majority of the precursor flux is squandered. Precursor utilization is extremely low in conformal LPCVD and, because these systems typically are constructed to operate at ultra-high vacuum, the system complexity is high (Figures 1.5 and 1.6). Actively pumping the chamber also depresses the precursor pressure in the growth chamber and works against efforts to attain growth rate saturation and conformal coverage.

Sophisticated techniques employing growth inhibitors have recently been used to increase conformality at low precursor partial pressures, but this strategy requires advanced knowledge of complex chemical interactions on the surface.

Conformal CVD could achieve wider adoption if there were a simple method that resolves the precursor utilization deficiency while maintaining the excellent conformality. I propose that an alternative method, static vacuum chemical vapor deposition (SCVD), is far simpler than either ALD or conformal LPCVD and it is capable of advancing the limits of uniform coating in high aspect ratio, convoluted structures.

The idea of SCVD posits that pumping is not necessary and, in fact, counterproductive for uniform growth. Unpumped CVD provides a means of taking the knowledge gleaned from

2

LPCVD experiments and leveraging it to the extreme limits. SCVD allows film growth under the full vapor pressure of the precursor and, because unreacted molecules are not prematurely discarded via a pump, growth at as low a temperature as is feasible subject to precursor reactivity and growth rate desires.

SCVD has been employed previously in the photonics literature for growth of semiconductor materials [14-20], but growth of other materials has not previously been demonstrated using this method. To my knowledge, no comprehensive explanation for this growth mode has been offered.

This thesis investigates the use of known precursors in a regime that has been, in general, overlooked by the literature. First, I demonstrate that the same precursor which has yielded success in conformal LPCVD, Hf(BH4)4, can be used in a simple static vacuum apparatus and produce conformality that exceeds past results. This will be established by

examining trench structures and convoluted three-dimensional nanostructures infilled with HfB2.

I show that SCVD has enabled the development of thermally stable HfB2 inverse opal photonic crystals, a critical component for practical solar thermophotovoltaic energy converters.

Next, I will show that superior infiltration is a general phenomenon not confined to a special class of precursors. Uniform film growth of iron from iron pentacarbonyl, a commonly available precursor molecule not previously known to afford conformal films, will provide confirmation of the generality of SCVD. This is a critical development because few metal- bearing ALD precursors exist with volatilities high enough to infill deep structures and there is, in particular, a lack of precursors for transition metals [21].

Finally, I derive a simple mathematical expression to illustrate why SCVD is so effective at uniformly infilling complex structures. Mathematical modeling is employed to compare the theoretical SCVD growth rate to that of ALD and demonstrate that the precursor utilization rate in SCVD is six orders of magnitude greater than in conformal LPCVD. I will also identify a number of precursors that may be amenable to unpumped growth.

I will provide clear and convincing evidence that SCVD is a complimentary technique that can perform as well as the ALD standard. While the idea of SCVD is not new, its implementation has largely been confined to the deposition of select semiconductors. It is expected that by highlighting the generality of the process’ utility, SCVD may become a staple enabling technology in laboratory environments. In addition, the process makes sense for industrial uses when high conformality, simplicity, and efficient precursor utilization is required.

3

The emphasis on the usage of readily available or easily synthesized precursor molecules frames SCVD as an eminently adoptable technique.

1.2.2 Low temperature growth of transition metal nitrides

Late transition metal nitrides are an interesting class of materials with favorable mechanical, magnetic, and electronic properties. These materials see extensive use in tool coatings, magnetic recording, and battery electrodes [22, 23]. The properties of metal nitrides have been extensively reported [24, 25], but thin films of these materials are difficult to prepare. High-temperature (> 400 °C), plasma-enhanced, or laser-assisted CVD techniques have been explored [22], but the lack of low-temperature CVD routes limits the potential applications to temperature-insensitive substrates.

Here I demonstrate that the chemical vapor deposition of transition metal nitride films (where the metal is manganese, iron, cobalt, or nickel) can be accomplished from the di(tert-

butyl)amide precursors M[N(t-Bu)2]2 with NH3 at low substrate temperatures. The highly reactive bis[di(tert-butyl)amide] precursors participate in a rapid transamination reaction with ammonia to afford intermediate species with high sticking coefficients and low surface mobilities. The resulting films are columnar and nonconformal; these growth processes stand in stark juxtaposition to the conformal static CVD results.

Thermal CVD at room temperature is highly unusual, but we have found that iron nitride grows rapidly at 25 °C. The precursors also produce cobalt and nickel nitride phases, for which very few other CVD methods have been described. Thus, the family of di(tert-butyl)amide precursors provides a useful synthetic pathway for late transition metal nitride films, which are difficult to produce by other means; the growth conditions are appropriate for deposition on temperature-sensitive substrates.

1.2.3 Mechanical properties of HfB2 films grown at low temperature

Thin hard coatings are in common use as protective layers to preserve the integrity of the underlying bulk material in order to increase its useful lifetime and operating efficiency [26, 27]. Hafnium diboride, a metallic ceramic material, exhibits attractive properties for

microelectronic and hard coating applications. HfB2 has a high melting temperature of 3250 °C, high bulk hardness of 29 GPa, and a metal-like bulk resistivity of 15 μΩ-cm [28, 29].

Jayaraman et al. demonstrated a low-temperature CVD process for HfB2 thin films [6]. Subsequently, Chatterjee et al. [30-32] measured the hardness, elastic modulus, nanoscale friction coefficient, and nano- and macro-scale wear response of these films. Annealed HfB2

4

films were found to be superhard (H = 43 GPa) and wear resistant [30, 31], but as-deposited films suffered from sudden failure by delamination [30-32].

The shear and adhesion strength of the film-substrate interface is a critical factor in the complete tribological performance of the system [33-36]. Weakly-adhered films are at risk of catastrophic delamination. While it is desirable to quantitatively measure film adhesion, the behavior of layered surfaces is not currently fully understood.

In this work CVD HfB2 thin films on Si(100) are studied in order to advance the understanding of adhesion and shear strength of this system. These parameters are measured using the nanoscratch technique with a conospherical tip. Hardness, elastic modulus, and friction coefficient are measured as well.

1.3 Chapter summaries

Chapter 2: Superior infiltration of convoluted structures by static chemical vapor deposition.

Advanced nanostructure fabrication often relies on the addition of material to a pre- formed template. However, additive processing in features with convoluted diffusion paths or high aspect ratios is fundamentally difficult. Low-temperature chemical vapor deposition can afford conformal films but the thickness decreases with depth due to precursor consumption. Atomic layer deposition provides essentially uniform film coverage but relies on sequential diffusion processes that require long dwell times in deep features. I discuss the performance of CVD under conditions that have been generally overlooked: static CVD – the absence of gas throughput – at the limit of maximum precursor pressure and low substrate temperature. This extremely reaction rate-limited regime provides the advantage of continuous diffusion of precursor into tortuous structures, increasing the penetration depth. I demonstrate infiltration of

high aspect ratio structures with films of HfB2 and Fe. Coating uniformity is exceptional. Static CVD is conducive to batch processing with high precursor utilization and thus is technologically attractive.

. ”Synthesis of complex nanostructures by static chemical vapor deposition: a conformal batch process with efficient precursor utilization,” A. N. Cloud, J. L. Mallek, K. A. Arpin, P. V. Braun, G. S. Girolami, and J. R. Abelson, in preparation.

5

Chapter 3: Three-dimensional self-assembled photonic crystals with high temperature stability for thermal emission modification.

Selective thermal emission in a useful range of energies from a material operating at high temperatures is required for effective solar thermophotovoltaic (sTPV) energy conversion. Three-dimensional metallic photonic crystals can exhibit spectral that is modified compared to the emissivity of unstructured metals, resulting in an emission spectrum useful for sTPV. However, retention of the 3D mesostructure at high temperatures remains a challenge. Here we utilize self-assembled templates to fabricate high quality tungsten photonic crystals that demonstrate unprecedented thermal stability up to at least 1,400 °C and modified thermal emission at sTPV operating temperatures. We also obtained comparable thermal and optical results using a photonic crystal comprising a previously unstudied material, hafnium diboride, suggesting that refractory ceramic materials with metal-like optical properties are viable candidates for photonic-crystal based sTPV devices and should be more extensively studied.

. “Three-dimensional self-assembled photonic crystals with high temperature stability for thermal emission modification,” K. A. Arpin, M. D. Losego, A. N. Cloud, H. Ning, J. L. Mallek, N. P. Sergeant, L. Zhu, Z. Yu, B. Kalanyan, G. N. Parsons, G. S. Girolami, J. R. Abelson, S. Fan, and P. V. Braun, Nat. Commun. 4, (2013).

Chapter 4: Low-temperature CVD of η-Mn3N2-x from bis[di(tert- butyl)amido]manganese(II) and ammonia.

Manganese nitride films are grown by low-pressure chemical vapor deposition from the novel precursor bis[di(tert-butyl)amido]manganese(II) and ammonia. Mixed-phase films containing crystalline manganese nitride can be grown on substrates at temperatures as low as 80 °C. Above 200 °C, the films consist entirely of crystalline manganese nitride. The crystalline

material has the same tetragonal unit cell as η-Mn3N2, but composition analysis of the Mn:N suggests that the material is best denoted as η-Mn3N2-x with x~0.7. Both oxygen and carbon contamination in the bulk of the films are < 1 at. %. Deposition rates of up to 10 nm/min are observed. The growth of crystalline films of a ceramic material at such low temperatures and high rates is highly unusual. The authors attribute this outcome to the presence of high-moment manganese atoms in mixed valence states and to vacancies in the nitrogen sublattice; both features lower the energies needed to break and reform metal-nitrogen bonds and thus allow the deposited atoms to settle more easily into a low-energy ordered arrangement.

6

. “Low-temperature CVD of η-Mn3N2-x from bis[di(tert-butyl)amido]manganese(II) and ammonia,” T. S. Spicer, C. W. Spicer, A. N. Cloud, L. M. Davis, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 31, 030604 (2013).

Chapter 5: Low-temperature CVD of iron, cobalt, and nickel nitride thin films from bis[di(tert-butyl)amido]metal(II) precursors and ammonia.

Thin films of late transition metal nitrides (where the metal is iron, cobalt, or nickel) are grown by low-pressure metalorganic chemical vapor deposition from bis[di(tert- butyl)amido]metal(II) precursors and ammonia. These metal nitrides are known to have useful mechanical and magnetic properties, but there are few thin film growth techniques to produce them based on a single precursor family. I report the deposition of metal nitride thin films below

300 °C from three recently synthesized M[N(t-Bu)2]2 precursors, where M = Fe, Co, and Ni, with growth onset as low as room temperature. Metal-rich phases are obtained with constant nitrogen content from growth onset (as low as room temperature) to 200 °C over a range of feedstock partial pressures. Carbon contamination in the films is minimal for iron and cobalt nitride, but similar to the nitrogen concentration for nickel nitride. X-ray photoelectron spectroscopy indicates that the incorporated nitrogen is present as metal nitride, even for films grown at the reaction onset temperature. Deposition rates of up to 18 nm/min are observed. The film morphologies, growth rates, and compositions are consistent with a gas-phase transamination reaction that produces precursor species with high sticking coefficients and low surface mobilities.

. “Low-temperature CVD of iron, cobalt, and nickel nitride thin films from bis[di(tert- butyl)amido]metal(II) precursors and ammonia,” A. N. Cloud, L. M. Davis, G. S. Girolami, and J. R. Abelson, in preparation.

Chapter 6: CVD hafnium diboride as a contact material for nanoelectromechanical switches.

This paper presents the first usage of hafnium diboride (HfB2) deposited via chemical

vapor deposition as the contact material for nanoelectromechanical (NEM) switches. HfB2 is an excellent candidate for NEM switches due to its reasonable conductivity, high hardness, and

high melting point. HfB2 was deposited conformally at 250 °C onto the sidewalls of laterally

actuated, polysilicon switches. HfB2-coated switches showed sharp switching characteristics when tested in a nitrogen ambient. The contact resistance was initially 29.6 MΩ but decreased to 1.43 kΩ by adding a dilute HF dip to remove the surface oxide.

7

. “CVD hafnium diboride as a contact material for nanoelectromechanical switches,” W. S. Lee, A. N. Cloud, J. Provine, N. Tayebi, R. Parsa, S. Mitra, H.- S. P. Wong, J. R. Abelson, and R. T. Howe, Technical digest of the 2012 Solid- State Sensor and Actuator Workshop, Hilton Head Island, SC, June 3-7, 2012, Transducer Research Foundation, Cleveland (2012).

Chapter 7: Shear strength measurements of hafnium diboride films grown on Si(100) by low-temperature chemical vapor deposition.

Micromechanical and microscratch properties of CVD-synthesized HfB2 thin films are evaluated. A range of synthesis conditions was explored by varying the substrate temperature, precursor pressure, and ex situ annealing regimen. Adhesion and shear strength were evaluated using nanoindentation and nanoscratch techniques, respectively. The hardness of the as-deposited and annealed films was found to be in the 7 - 22 GPa and 6 - 32 GPa range, respectively. Nanoscratch studies revealed a coefficient of friction of 0.13 - 0.21 depending on the deposition and annealing conditions with the as-deposited films exhibiting a lower coefficient of friction. The adhesion strength was found to significantly increase with annealing (twofold and threefold increase), while annealing has no such impact on the shear strength.

. “Shear strength measurements of hafnium diboride films grown on Si(100)by low- temperature chemical vapor deposition,” J. Lee, K. Polychronopoulou, A. N. Cloud, J. R. Abelson, and A. A. Polycarpou, in preparation.

Chapter 8: Mechanical property evaluation of hafnium diboride-based thin films.

Building on the work presented in Chapter 7, the nanoindent and nanoscratch

techniques are applied to HfB2 films grown by static CVD and LPCVD films that are alloyed with nitrogen by addition of ammonia to the growth flux. The hardness, elastic modulus, friction coefficient, wear and elastic recovery, and shear strength are compared.

. “Tribological properties of hafnium diboride thin films grown by low-temperature chemical vapor deposition,” S. Chowdhury, K. Polychronopoulou, A. N. Cloud, J. R. Abelson, and A. A. Polycarpou, in preparation.

Chapter 9: Conclusions and future possibilities

The major accomplishments of the presented work are summarized. Avenues of promising future possibilities are discussed.

8

1.4 References

[1] S. M. George, Chem. Rev. 110, 111 (2010).

[2] M. Leskelä and M. Ritala, Thin Solid Films 409, 138 (2002).

[3] H. Kim, J. Vac. Sci. Technol. B 21, 2231 (2003).

[4] A. Yanguas-Gil, Y. Yang, N. Kumar, and J. R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

[5] J. E. Mahan, Physical Vapor Deposition of Thin Films (John Wiley & Sons, New York, 2000).

[6] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[7] S. Jayaraman, E. J. Klein, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 631 (2005).

[8] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J.- P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[9] Y. Yang, S. Jayaraman, D. Y. Kim, G. S. Girolami, and J. R. Abelson, Chem. Mater. 18, 5088 (2006).

[10] J. R. Abelson, American Vacuum Society, San Jose, CA, November 8-13, 2009.

[11] J. C. Rey, L. Y. Chen, J. P. McVittie, and C. Saraswat, J. Vac. Sci. Technol. A 9, 1083 (1991).

[12] B. Sell, A. Sanger, G. Schulze-Icking, K. Pomplun, and W. Krautschneider, Thin Solid Films 443, 97 (2003).

[13] J. H. Yun and S. K. Park, Jpn. J. Appl. Phys. 34, 3216 (1995).

[14] C. López, Adv. Mater. 15, 1679 (2003).

[15] J. F. Galisteo-López, M. Ibisate, R. Sapienza, L. S. Froufe-Pérez, Á. Blanco, and C. López, Adv. Mater. 23, 30 (2011).

[16] E. Chomski, Ӧ. Dag, A. Kuperman, N. Coombs, and G. A. Ozin, Chem. Vap. Deposition 2, 8 (1996).

9

[17] A. Blanco, E. Chomski, S. Grabtchak, M. Ibisate, S. John, S. W. Leonard, C. Lopez, F. Meseguer, H. Miguez, J. P. Mondia, G. A. Ozin, O. Toader, and H. M. van Driel, Nature 405, 437 (2000).

[18] H. Miguez, S. M. Yang, N. Tétreault, and G. A. Ozin, Adv. Mater. 14, 1805 (2002).

[19] H. Miguez, E. Chomski, F. Garcia-Santamaria, M. Ibisate, S. John, C. Lopez, F. Meseguer, J. P. Mondia, G. A. Ozin, O. Toader, and H. M. van Driel, Adv. Mater. 13, 1634 (2001).

[20] F. Garcia-Santamaria, M. Ibisate, I. Rodriguez, F. Meseguer, and C. Lopez, Adv. Mater. 15, 788 (2003).

[21] T. J. Knisley, L. C. Kalutarage, and C. H. Winter, Coord. Chem. Rev. xxx, xxx (2013) http://dx.doi.org/10.1016/j.ccr.2013.03.019

[22] A. Kafizas, C. J. Carmalt, and I. P. Parkin, Coord. Chem. Rev. 257, 2073 (2013).

[23] S. Dong, X. Chen, X. Zhang, and G. Cui, Coord. Chem. Rev. 257, 1946 (2013).

[24] L. Toth, Transition Metal Carbides and Nitrides (Academic Press, New York, 1971).

[25] S. T. Oyama, Chemistry of Transition Metal Carbides and Nitrides (Blackie Academic & Professional, Glasgow, 1996).

[26] J. Malzbender, J. M. J. den Tooder, A. R. Balkenende, and G. de With, Mater. Sci. Eng., R 36, 47 (2002).

[27] K. Holmberg and A. Matthews, Coating Tribology: Properties, Mechanisms Techniques and Applications in Surface Engineering (Tribology and Interface Engineering Series, 56, Elsevier, 1994).

[28] J. Castaing and P. Costa, J. Phys. Chem. Solids. 33, 533 (1972).

[29] R. Kieffer and F. Benesovsky, Harstoffe (Springer-Verlag, 1963).

[30] A. Chatterjee, S. Jayaraman, J. E. Gerbi, N. Kumar, J. R. Abelson, P. Bellon, A. A. Polycarpou, and J. P. Chevalier, Surf. Coat. Technol. 201, 4317 (2006).

[31] A. Chatterjee, N. Kumar, J. R. Abelson, P. Bellon, and A. A. Polycarpou, Wear 265, 921 (2008).

[32] A. Chatterjee, N. Kumar, J. R. Abelson, P. Bellon, and A. A. Polycarpou, Tribol. T. 53, 731 (2010).

10

[33] K. Holmberg, A. Matthews, and H. Ronkainen, Tribol. Int. 31, 107 (1998).

[34] K. Holmberg, H. Ronkainen, and A. Matthews, Ceram. Int. 26, 787 (2000).

[35] V. Imbeni, C. Martini, E. Lanzoni, G. Poli, and I. M. Hutchings, Wear 251, 997 (2001).

[36] I. A. Polonsky and L. M. Keer, Trans. ASME 122, 30 (2000).

11

1.5 Figures

Figure 1.1: Conformality can be enhanced by achieving growth rate saturation on a feature’s surfaces. The plotted line represents the film growth rate versus pressure for some hypothetical precursor at a given temperature. At high pressures the growth rate reaches an asymptotic value. Two identical trench structures are indicated on the plot (on their sides); the pressure drop down the trench is comparable for each, but the growth rate differential from top to bottom differs dramatically. Most CVD precursors have low vapor pressures resulting in the poor conformality indicated in the left trench. When high pressures can be attained, step coverage is high as indicated in the right trench.

12

Figure 1.2: Minimizing the sticking probability, β, of the precursor allows molecules to penetrate deeper into a structure before forming film, improving the coating uniformity.

Figure 1.3: Plot of the sticking probability required to coat a feature of a given aspect ratio to various step coverage values. Reproduced from Reference 10. The curves were calculated using a diffusion-reaction equation.

13

Figure 1.4: Schematic of conformal LPCVD. Because conformal growth relies on reducing the sticking coefficient of the precursor to very low values (Figure 1.3), the majority of the precursor does not contribute to film growth. Actively pumping the deposition chamber means that most of the precursor is pumped away, resulting in poor precursor utilization rates.

Figure 1.5: Schematic of the LPCVD system used for the majority of John Abelson et al.’s conformal CVD work. The chamber has numerous analytical tools useful for experimental study.

14

Figure 1.6: Photograph of the LPCVD system.

15

CHAPTER 2

SUPERIOR INFILTRATION OF CONVOLUTED STRUCTURES BY STATIC CHEMICAL VAPOR DEPOSITION

2.1 Introduction

Conformal coating of deep structures remains a critical challenge in microfabrication. As technological drivers push device dimensions ever smaller, feature aspect ratios (AR) increase [1]. Gas transport is impeded in these narrower features, increasing the difficulty of conformal coating.

Chemical vapor deposition (CVD) can afford smooth and conformal films in high AR structures at low substrate temperature and high precursor pressure [2-6]. Under these conditions, adsorbed precursor molecules cover the growth surface and react to deposit film at useful rates, but also block active sites such that the effective sticking coefficient is reduced. In this regime, the growth rate is highly reaction rate-limited and reaches an asymptotic limit with respect to pressure everywhere in the feature. The result – conformal films in trenches deeper than 30:1 – can be competitive with atomic layer deposition (ALD). This is not surprising, given that the underlying kinetic principle, surface site-blocking, is the same.

As we derive below, the growth time in CVD scales linearly with AR, and the feature depth that can be conformally coated increases linearly with precursor partial pressure [6]. We previously demonstrated conformal CVD in a low pressure, dynamically pumped system [2-6]. However, there are drawbacks: due to the low effective sticking coefficient of the precursor under conformal conditions, most of the precursor flux is lost to the vacuum pump. Additionally, pumping depresses the precursor pressure by orders of magnitude compared to the available vapor pressure.

Here we explore the generally overlooked high pressure regime of operation with a simple, unpumped static CVD (SCVD) approach that enables conformal filling of extremely deep structures. The highly conformal coating of microtrenches, colloidal crystals, aerogels, and other porous networks confirms that the effective sticking coefficient is < 10-5. The low sticking coefficient also affords excellent surface smoothness. Many substrates can be loaded into the deposition chamber such that the throughput is good in batch mode. The precursor utilization is excellent (~ 25 %), unlike the case of flowing CVD in which most of the precursor is wasted.

We have thus far demonstrated this method of growth for HfB2 and Fe films, and we offer precursor selection criteria for other significant film materials.

16

2.2 Experimental apparatus

The present work utilizes the simplest possible apparatus; the method is inherently insensitive to reactor geometry and is amenable to batch processing. The deposition system consists of two glass tubes; one contains the precursor and the other contains a batch of substrates. The sample container is inserted into a tube furnace and acts as the growth chamber. This is a hot-wall CVD apparatus; this is not requirement, but the growth of film along the chamber walls helps reduce impurities by gettering. The tubes are joined by valved ground glass joints sealed with vacuum-compatible hydrocarbon-based grease. Both tubes are connected to a Schlenk line that allows them to be independently pumped to rough vacuum and backfilled with argon. A schematic of the growth system appears in Figure 2.1.

Once samples are loaded into the growth chamber and the apparatus is sealed, both tubes are pumped to rough vacuum. The reaction tube can be baked and/or pump-purged at this time if desired. The precursor tube is then allowed to achieve the equilibrium vapor pressure of the single-source precursor. The central valve is opened for 15 minutes to allow the precursor pressure to equilibrate at all depths in the features to be coated. The valve is subsequently closed and the furnace is heated slowly (1 °C/min or less) to the desired deposition temperature. The slow ramp ensures temperature homogeneity in the samples. Typical growths are conducted between 100 - 200 °C for 2 - 10 hours. At the conclusion of the growth, the tube and furnace are allowed to cool and then the remaining gas is pumped away.

By not pumping during the growth, precursor pressure over the samples is maximized, allowing lower reaction temperatures and higher precursor pressures while enhancing precursor utilization. The precursor vapor charge at room temperature is sufficient to deposit coatings up to 100 nm.

2.3 Results

2.3.1 Conformal hafnium diboride

Microtrenches and vias are the structures of primary concern in microelectronics, and these are easily coated or filled by SCVD. Figure 2.2 shows microtrenches (up to 7:1 AR) at progressive stages of complete filling with HfB2. The growth is conducted using Hf(BH4)4 precursor, which is supplied to the growth chamber at its room temperature vapor pressure of 15 Torr [7]. The growth tube and substrates are heated to 200 °C for deposition. While these microtrenches are not especially deep, it is important to note that the fully infilled microtrenches

17

[Figure 2.2(c)] exhibit no seam. As film grows along the walls, the AR of the trench increases. Despite this continually increasing AR, we observe complete filling.

Filling is more challenging in structures with reduced diffusivity due to tortuous diffusion paths [8]. Figure 2.3 demonstrates uniform filling in such a structure, a colloidal crystal

composed of 7 layers of 635 nm-diameter SiO2 microspheres. The equivalent AR (ratio of structure depth to critical diameter of the inter-sphere voids) is 12:1. This growth requires 8 hours including sample insertion, precursor dosing, and temperature ramp, hold, and cooling steps (no attempt was made to minimize the time requirements). The samples are held at the 200 °C reaction temperature for 2 hours. At 200 °C, the average film growth rate is ~ 40 nm/h. With the exception of the overlayer formed after pinch-off, the film thickness on each layer of microspheres is identical. Void spaces within the filled crystal are due to preexisting lattice defects, not coating inhomogeneity. Colloidal crystals are important templates for nanoscale devices, and simple techniques for filling them are useful for the production of these devices

[9, 10]. We have used SCVD HfB2-infilled colloidal crystals to make metallic, thermally stable inverse opal photonic crystals that exhibit photonic bandgap behavior [11]. In Ref. 11, identical

templates were infilled with 50 nm of tungsten by ALD using WF6 and dilute SiH4; that growth required ~ 19 hours.

To investigate the penetration limits of SCVD we infill silica aerogels. Aerogels are porous materials which are > 90 vol. % void space [12]. The 20 nm voids are interconnected, but gas diffusivity is very poor due to the solid membrane separating the voids [13]. Due to the porous structure and low diffusivity, aerogel is a poor thermal conductor [14]. This presents an obstacle for thermal CVD. After baking the aerogel under vacuum to remove moisture, the sample tube is equilibrated to the precursor vapor pressure. The temperature ramp is slowed to 0.1 °C/min to heat the samples more evenly throughout. The temperature is maintained at 150 °C for 40 hours. The samples are subsequently pumped under vacuum overnight to remove unreacted precursor. Figure 2.4 shows an X-ray computed tomography (CT) slice of a cylindrical aerogel that was quartered along the long axis. CT imaging enables nondestructive analysis of the infiltrated aerogel and clearly demonstrates the macroscale penetration in a way that previously used analytical methods cannot. Two quarters are infiltrated and two are unaltered in order to serve as references. The infilled samples exhibit a bright, 1 mm-thick perimeter. The scattering contrast is due to the presence of hafnium. The penetration is comparable to previous ALD reports [15-17]. The effective aspect ratio of the coated region is

18

> 105:1. This demonstrates the capability not just to deposit thin films, but to process macroscale surface layers.

Auger electron spectroscopy (AES) shows no appreciable composition variations

between SCVD HfB2 films and those grown in a conventional UHV system; hafnium and boron contents differ by less than 2 at. % [Figure 2.5]. The stoichiometry is consistent even in the depth of high AR features [Figure 2.6]. Despite the high base pressure, no additional oxygen is

incorporated into the SCVD films because the highly reactive Hf(BH4)4 precursor consumes residual O2 and H2O. This leads to a negligible drop in precursor pressure, which is 3 orders of magnitude larger than the residual gas pressure. Further impurity gettering occurs on the tube walls during film growth. AES is not capable of detecting hydrogen, the only contaminant present in the precursor. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) reveals that SCVD HfB2 films contain 2 - 3x more hydrogen than LPCVD films [Figure 2.7]. We are

currently unable to quantify the H content in absolute terms, but it is expected that LPCVD HfB2 contains less than 1 at. % H. We expect the higher H content in SCVD films is due to the reduced growth temperature. Lower temperature slows the rejection of H from the growth surface.

2.3.2 Conformal iron

We wish to demonstrate that, when a suitable precursor is available, SCVD is a general approach that can deposit conformal films of various materials. Therefore, we have grown

conformal iron films from Fe(CO)5. This common CVD precursor is not associated with conformal growth: deposition under low pressure conditions produces rough and/or discontinuous films [18, 19]. However, Fe(CO)5 is attractive due to its high vapor pressure (25 Torr at 20 °C) [19], low reaction onset temperature [20], relatively stable reaction byproduct (CO), and documented use in the production of high purity iron powder in industrial processes [21].

The film smoothness and conformality are excellent, even when deposited on relatively unreactive dielectric surfaces. Nanoscale structures can be completely infilled with iron at 100 °C, including 5:1 microtrenches [Figure 2.8(a)] and colloidal crystals [Figure 2.8(b)]. The film growth rate is approximately 20 nm/h. Wetting of metal films on dielectrics is notoriously difficult; roughness developed in the initial nucleation stage can become extreme in the coalesced film [22]. It is notable that the film roughness on the silica microspheres of the colloidal crystal is minimal.

19

To demonstrate deep penetration, iron is grown inside of mesoporous silicon consisting of a 25 µm-deep interconnected network of voids 20 - 50 nm in diameter. The composition of a cross section is mapped with EDS; the iron concentration is uniform in the porous layer, which has an effective aspect ratio of ≥ 500:1 (Figure 2.9). We also infilled a carbon aerogel (Figure 2.10). The iron penetrates the aerogel monolith fully, but, curiously, the outer ~ 1.5 mm of the sample is relatively iron-deficient in comparison with the sample center.

X-ray photoelectron spectroscopy of SCVD iron films (Figure 2.11) indicates 97 at. % purity with C and O contamination of 1.5 at. % each, which is just above instrumental detection limits. Incorporation of C and O is unsurprising given the catalytic effect of Fe in dissociating adsorbed CO [20]. Nevertheless, the films are metallic enough for many practical applications. Four-point probe measurements of 70 nm-thick films (Figure 2.12) indicate a resistivity of 150 μΩ-cm. This resistivity value is comparable to that obtained using conventional low-pressure CVD at a growth temperature < 250 °C [19]. Ex situ variable angle spectroscopic ellipsometry is used to calculate the optical constants of SCVD Fe between 300 and 1700 nm; the absorption coefficient is similar to that of tungsten within this range (Figure 2.13). Rutherford backscattering spectrometry indicates the films are 90+ % of bulk iron density.

2.4 Discussion

The primary factor that limits conformality in CVD is the depletion of precursor with depth in a feature due to surface reaction. Precursor depletion is inescapable, but its effect can be mitigated. At sufficiently high precursor pressure the growth rate asymptotically saturates due to site-blocking effects, i.e., the film growth rate is only very weakly dependent on the pressure, p [6]. This behavior can be described mathematically by Eqn. 2.1 where K1(T) and

K2(T) are lumped rate coefficients.

K (T )p GR(T, p)= 1 Eqn. 2.1 [6] 1 + K2 (T )p

Note that this is exactly the form expected in a first-order model of surface adsorption and reaction. (That correlation does not, of course, prove that the microscopic reactions are as simple as a first-order Langmuirian expression; however, it does indicate that the rate-limiting steps behave kinetically in that manner.) If the precursor pressure is sufficiently high at the opening of a feature, the growth rate will be nearly saturated at all points within, which affords a uniform film thickness within the feature.

20

A traditional perspective in CVD considers the sticking coefficient of the precursor, β, to be a constant value; in conformal CVD, the use of β is not informative because of the rate saturation, where the effective β varies as precursor p-1. Nevertheless, it is instructive to consider what constant value of β would be necessary to obtain the present results. According to analytic diffusion-reaction calculations, to attain 90 % step coverage (SC) in a 100:1 trench, β must be 10-5 or lower. To do the same in a 1,000:1 feature, β must be ≤ 10-7.

We previously derived an expression in the conformal limit for the step coverage that can be attained for a given AR, temperature (T), and pressure:

∂GR cρk BT 2 SC =1 − (AR) Eqn. 2.2 [6] ∂p 2D0

c is a geometrical factor, ρ is the atomic density of the film, D0 = D/d where D is the diffusion coefficient, and d is the feature’s critical dimension [6]. This equation, along with the precursor reaction onset temperature and maximum precursor pressure, establish the borders of the conformal zone diagram (Figure 2.14) [6]. Conformal CVD is possible in the temperature- pressure parameter space outlined by these boundaries. SCVD allows us to expand the boundaries of this conformal zone by reducing the growth onset temperature and increasing the maximum precursor pressure. This affords new capabilities, such as the ability to infill aerogels.

Based on this work, a conformal zone diagram for Fe from Fe(CO)5 can be constructed for the first time (Figure 2.15).

Eqn. 2.1 can be substituted into Eqn. 2.2 to yield an expression that relates the AR of a feature that can be coated to a high SC (approaching 1) to the deposition conditions.

p 2D K (T )(1− SC) AR = 0 1 Eqn. 2.3 GR cρkBT

Thus, the feature depth that can be conformally filled increases linearly with the precursor partial pressure.

These relations assume molecular flow within the feature. If laminar flow is reached at high precursor pressure, then D falls. The same aspect ratio feature can be coated in this regime, but to maintain a high SC the growth rate must be decreased by reducing the temperature. However, for nanoscale features, the gas scattering may remain in the molecular regime, obviating this concern.

21

It is important to compare SCVD with the well-known ALD method. ALD relies on sequential, alternating dosing of the growth surface with two volatile precursors to form a layer of solid film [1]. Each dose is designed to be self-limiting at the selected substrate temperature, ensuring uniform coverage on the substrate [1]. Because ALD is inherently limited by the sequential diffusion of precursor molecules, purge gases, and reaction byproducts, it becomes a slower process in structures with low gas diffusivity (or high AR) [13]. A first-order model shows that the time required to complete filling of a feature with ALD is proportional to the AR squared [23]. In contrast, the growth rate achievable in SCVD is linearly related to AR (Eqn. 2.3). Comparison of first-order models, holding other parameters constant, indicates that ALD should be somewhat faster for AR < 10, but slower than CVD for higher AR features (see Appendix A for more details).

SCVD has been employed previously in the photonics literature for growth of semiconductor materials [9, 10, 24-28], but growth of metals has not previously been demonstrated using this method. Likewise, few metal-bearing ALD precursors exist with volatilities high enough to infill aerogels, and there is, in particular, a lack of precursors for transition metals [29].

In part, the lack of prior reports is likely a consequence of the careful precursor selection required for SCVD. In the simple system demonstrated here, there is no means of replenishing reacted precursor or removing reaction byproducts during the growth. Therefore a SCVD precursor should have a high vapor pressure (≥ 10 Torr) and benign reaction byproducts to ensure useful thickness and correct stoichiometry, respectively. Only a subset of solid or liquid precursors will meet these criteria, but there are candidates with potential utility. Based on our work with Hf(BH4)4 and Fe(CO)5, we believe conformal growth of ZrB2 from Zr(BH4)4 and Ni from

Ni(CO)4 would be straightforward. For precursors that have somewhat lower vapor pressure, we propose the use of a ‘trickle flow’ system, which is continuously fed with precursor at a low flow rates in order to keep the chamber at nearly the maximum vapor pressure; it would also limit the maximum pressure of reaction byproducts. This approach could significantly expand the set of commercially available precursors that would afford conformal films.

Sufficient vapor pressure is required to grow films of practical thickness. The film

thickness, tfilm, in SCVD is given by Eqn. 2.4.  PV  1  t =    Eqn. 2.4 film   ρ   k BT fill  A 

22

V is the volume of the growth chamber, Tfill is the temperature of system during precursor infilling, A is the total area of film coverage, and ρ is the film density (see Appendix B for

details). The HfB2 and Fe films grown here are approximately 100 nm thick, but thicker films should be obtainable in the proposed trickle-flow system that would allow precursor replenishment during growth.

In addition to the conformality advantages, SCVD also offers dramatically enhanced precursor utilization rates. The utilization rate is simply a ratio of the sample surface area to the total area coated (sample plus chamber wall). The apparatus as described here achieves a utilization rate of ~ 0.25. In a reasonably sized, optimized setup, we suggest utilization can exceed 0.85 (see Appendix C for details). As a point of comparison, we estimate a utilization rate of ~ 10-5 in the dynamically-pumped cold wall LPCVD system used in Refs. 2 - 6.

The reaction byproducts in the cases presented here may potentially have beneficial effects on conformality [30]. As a feature is filled with film material, the diffusion paths for precursor and byproduct narrow and the aspect ratio of the feature increases. It is therefore advantageous to have byproducts that act as growth inhibitors that further decrease reaction rate as the byproduct partial pressure increases [30]. Note that in Eqn. 2.3, the GR and AR terms are inversely related. By decreasing the rate with inhibitors, deeper structures can be

filled conformally. B2H6 and CO may act as inhibitors in the growth of HfB2 and Fe, respectively. Growth inhibitors have been used to preferentially slow growth on nuclei and thus enhance surface smoothness [22].

Support for the byproduct inhibition hypothesis is provided by the HfB2 infiltration of aerogels. We have never attempted growth in a structure with such a high surface area-to- volume ratio. The high surface area and low conductance of the aerogel all but assure high byproduct partial pressures. Figure 2.17 shows the intensity profile of the infilled ‘crust’ of the sample, less the signal contributed by the aerogel. This is can be treated like a plot of film growth rate versus depth in the feature. The predicted CVD growth rates versus depth for various step coverage value are plotted in Figure 2.18. Figure 2.19 compares the profile of the aerogel infiltration with the predicted growth rate curve for 0.9 step coverage. The experimentally observed profile indicates much better conformality that the theory suggests is possible. The theory, however, does not account for chemical (i.e. byproduct) effects on the surface, which may dominate in this case (see Appendix D for details.)

23

2.5 Conclusions

We have demonstrated the use of SCVD in a simple, unpumped apparatus to deposit metallic films of hafnium diboride and iron conformally in an assortment of high aspect ratio features. Filling of deep structures with tortuous diffusion paths is fundamentally difficult due to precursor consumption along the feature walls. By operating under the full vapor pressure of the precursor, growth rate saturation can be maintained deep into the feature. This additive processing capability is critical for the production of nanostructures assembled from pre-formed templates. SCVD can even infill thick layers of interconnected nanoporous networks, proving the ability to process mm-thick samples.

SCVD doses the substrate surface with precursor continuously, not intermittently as in ALD. CVD has a growth rate advantage at high aspect ratios; the ALD growth rate scales with AR-2 while the CVD rate scales with AR-1.

Despite the rough vacuum base pressure, the films grown here have low impurity contents. The film composition is stoichiometric despite the buildup of reaction products. This implies that the growth surface has almost no reactivity towards these products. Precursor selection is crucial for this mode of growth. We have offered guidelines for selection and suggested likely candidates.

The lack of pumping in SCVD allows reaction to occur at low temperatures that would be impractical in a flowing system. The deposition temperatures explored here are even compatible with some polymeric substrates. Because precursor is not removed before surface reaction, the precursor utilization rate is enhanced by orders of magnitude relative to previous conformal CVD techniques. Static CVD is conducive to batch processing and is thus technologically attractive. We envision the ability to coat cassettes of wafers simultaneously. SCVD could be key enabling technology in laboratory and industrial environments.

24

Acknowledgements

This work benefitted from the analytical assistance of Dr. Timothy Spila, Dr. Leilei Yin, and Mr. Neil Krueger. Material characterization was carried out in part in the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign. Prof. Gregory. S. Girolami acknowledges support from the National Science Foundation (grant CHE11-12360). A. N. C. acknowledges support from the National Science Foundation Graduate Research Fellowship Program. Justin Mallek assisted in the film deposition and prepared the precursors. Dr. Kevin Arpin and Prof. Paul Braun supplied synthetic opal sample and provided experimental suggestions.

25

2.6 References

[1] S. M. George, Chem. Rev. 110, 111 (2010).

[2] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[3] S. Jayaraman, E. J. Klein, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 631 (2005).

[4] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J.- P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[5] Y. Yang, S. Jayaraman, D. Y. Kim, G. S. Girolami, and J. R. Abelson, Chem. Mater. 18, 5088 (2006).

[6] A. Yanguas-Gil, Y. Yang, N. Kumar, and J. R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

[7] H. R. Hoekstra and J. J. Katz, J. Am. Chem. Soc. 71, 2488 (1949).

[8] H. L. Weissberg, J. Appl. Phys. 34, 2636 (1963).

[9] C. López, Adv. Mater. 15, 1679 (2003).

[10] J. F. Galisteo-López, M. Ibisate, R. Sapienza, L. S. Froufe-Pérez, Á. Blanco, and C. López, Adv. Mater. 23, 30 (2011).

[11] K. A. Arpin, M. D. Losego, A. N. Cloud, H. Ning, J. L. Mallek, N. P. Sergeant, L. Zhu, Z. Yu, B. Kalanyan, G. N. Parsons, G. S. Girolami, J. R. Abelson, S. Fan, and P. V. Braun, Nat. Commun. 4, (2013).

[12] S. S. Kistler, Nature 127, 741 (1931).

[13] S. O. Kucheyev, J. Biener, T. F. Baumann, Y. M. Wang, A. V. Hamza, Z. Li, D. K. Lee, and R. G. Gordon, Langmuir 24, 943 (2008).

[14] S. S. Kistler and A. G. Caldwell, Ind. Eng. Chem. 26, 658 (1934).

[15] S. O. Kucheyev, J. Biener, Y. M. Wang, T. F. Baumann, K. J. Wu, T. van Buuren, A. V. Hamza, J. H. Satcher, Jr., J. W. Elam, and M. J. Pellin, Appl. Phys. Lett. 86, 083108 (2005).

[16] T. F. Baumann, J. Biener, Y. M. Wang, S. O. Kucheyev, E. J. Nelson, J. H. Satcher, Jr., J. W. Elam, M. J. Pellin, and A. V. Hamza, Chem. Mater. 18, 6106 (2006).

26

[17] J. W. Elam, J. A. Libera, M. J. Pellin, A. V. Zinovev, J. P. Greene, and J. A. Nolen, Appl. Phys. Lett. 89, 053124 (2006).

[18] M. F. Bain, Y. H. Low, D. C. S. Bien, J. H. Montgomery, B. M. Armstrong, and H. S. Gamble, Surf. Coat. Technol. 201, 8998 (2007).

[19] Y. H. Low, J. H. Montgomery, and H. S. Gamble, ECS Trans. 2, 167 (2007).

[20] F. Zaera, Langmuir 7, 1188 (1991).

[21] H. Beller, U.S. Patent No. 2,597,701 (20 May 1952).

[22] S. Babar, N. Kumar, P. Zhang, J. R. Abelson, A. C. Dunbar, S. R. Daly, and G. S. Girolami, Chem. Mater. 25, 662 (2013).

[23] R. G. Gordon, D. Hausmann, E. Kim, and J. Shepard, Chem. Vap. Deposition 9, 73 (2003).

[24] E. Chomski, Ӧ. Dag, A. Kuperman, N. Coombs, and G. A. Ozin, Chem. Vap. Deposition 2, 8 (1996).

[25] A. Blanco, E. Chomski, S. Grabtchak, M. Ibisate, S. John, S. W. Leonard, C. Lopez, F. Meseguer, H. Miguez, J. P. Mondia, G. A. Ozin, O. Toader, and H. M. van Driel, Nature 405, 437 (2000).

[26] H. Miguez, S. M. Yang, N. Tétreault, and G. A. Ozin, Adv. Mater. 14, 1805 (2002).

[27] H. Miguez, E. Chomski, F. Garcia-Santamaria, M. Ibisate, S. John, C. Lopez, F. Meseguer, J. P. Mondia, G. A. Ozin, O. Toader, and H. M. van Driel, Adv. Mater. 13, 1634 (2001).

[28] F. Garcia-Santamaria, M. Ibisate, I. Rodriguez, F. Meseguer, and C. Lopez, Adv. Mater. 15, 788 (2003).

[30] N. Kumar, A. Yanguas-Gil, S. R. Daly, G. S. Girolami, and J. R. Abelson, J. Am. Chem. Soc. 130, 17660 (2008).

27

2.7 Figures

Figure 2.1: (top) Schematic of the SCVD system. (bottom) Photograph of the SCVD system.

28

Figure 2.2: Microtrenches at progressive stages of conformal filling with HfB2 by SCVD. (a) Partially filled 2:1 microtrenches. (b) Nearly filled 2.5:1 microtrenches. Seams along the trench axis are evident. (c) Completely filled 7:1 microtrenches with no seams.

29

Figure 2.3: Ion milled cross section of a 7-layer colloidal crystal uniformly infilled with HfB2. With the exception of the overgrowth layer formed after pinch-off, the film thickness on each layer of microspheres is identical. Void spaces within the structure are due to preexisting crystal defects, not coating inhomogeneity. SCVD can produce batches of identical samples.

30

Figure 2.4: X-ray computed tomography (CT) cross section of a quartered silica aerogel. Two

quarters (top right and bottom left) are infiltrated with HfB2. The remaining quarters are untreated. The infiltrated regions are readily visible as ~ 1mm-thick bright layers along the aerogel perimeter.

31

100

80

60 Hf B C 40 O Si

Concentration (at. %) 20

0 0 100 200 300 400 500 Sputtering time (s)

100

80

60 Hf B C 40 O Si

Concentration (at. %) 20

0 0 50 100 150 200 250 300 Sputtering time (s)

Figure 2.5: Auger electron spectroscopy profiles of HfB2 films grown by SCVD (top) and LPCVD (bottom). These plots have been corrected to account for the Auger electron energy peak overlap of silicon and hafnium at ~1620 eV by reassigning the hafnium signal to silicon once the substrate is reached.

32

100

80

60 Hf2 B1 40 C1 O1 Si1

Concentration (at. %) 20

0 0 200 400 600 800 1000 1200 Sputtering time (s)

100

80

60 Hf2 B1 40 C1 O1 Si1

Concentration (at. %) 20

0 0 100 200 300 400 500 600 Sputtering time (s)

100

80

60 Hf2 B1 40 C1 O1 Si1

Concentration (at. %) 20

0 0 100 200 300 400 500 600 Sputtering time (s)

Figure 2.6: Auger electron spectroscopy profiles of HfB2 grown by SCVD in a 1,000:1 macrotrench consisting of Si(100) substrates separated by a 25 μm-thick spacer. Measurements are conducted at various depths into the trench. (top) 19:1 (middle) 200:1 (bottom) 880:1. These plots have been corrected to account for the Auger electron energy peak overlap of silicon and hafnium at ~1620 eV by reassigning the hafnium signal to silicon once the substrate is reached.

33

6

5

4 LPCVD 1

H:B LPCVD 2 3 SCVD 1 SCVD 2

2

1 0 500 1000 1500 2000 2500 Sputtering time (s)

Figure 2.7: Plot of the hydrogen-to-boron ratio (from TOF-SIMS) of several films grown by SCVD and LPCVD. SCVD samples contain 2 - 3 times more H, but it is expected that the concentration is still relatively low.

34

Figure 2.8: Nanoscale structures completely infilled with iron via SCVD from iron pentacarbonyl precursor. (a) Microtrenches (5:1 aspect ratio) fully filled with iron. (b) A 3-layer colloidal

crystal composed of SiO2 microspheres fully infilled with iron. Wetting of metallic films on dielectric substrates is notoriously difficult, but the film roughness on these silica spheres is minimal.

35

Figure 2.9: Mesoporous silicon layer uniformly infilled with Fe by SCVD. (a) High resolution SEM cross section of a 25 μm-thick porous Si layer showing the interconnected void network. (b) SEM cross section of a 25 μm-thick porous Si layer after Fe infilling. (c) Si signal of an EDS composition map of the porous Si layer and the underlying solid Si substrate. (d) Fe signal of same EDS map exhibiting uniform infilling of the void network.

Figure 2.10: CT cross section of a carbon aerogel infiltrated with Fe. The annotated circle indicates the original outer boundary of the cylindrical sample. EDS confirms the presence of iron in the center. Curiously, the outer ~ 1.5 mm is not infilled to the same degree.

36

Figure 2.11: X-ray photoelectron spectra of SCVD iron films. (top) Fe 2p spectrum (middle) C 1s spectrum (bottom) O 1s spectrum. Analysis indicates the films are 97 at. % Fe with C and O contamination of ~ 1.5 at. % each.

37

Figure 2.12: SEM cross section of a 70 nm-thick Fe film on 300 nm of thermally grown SiO2. The film resistivity measured by four-point probe is 150 μΩ-cm. The film continuity and smoothness is notable and atypical for films grown from this precursor.

38

Figure 2.13: Optical constants of SCVD Fe plotted against those of aluminum, nickel, tungsten, and silicon. Values are measured by ex situ variable angle spectroscopic ellipsometry. (top) k (bottom) The absorption coefficient of SCVD Fe is similar to that of tungsten in the range investigated. Reference values are obtained from A. D. Rakić, Appl. Opt. (1995) and E. D. Palik, ‘Handbook of Optical Constants of Solids’ (1985).

39

Figure 2.14: Conformal zone diagram for LPCVD of HfB2. Reproduced from Reference 6.

Figure 2.15: Newly developed conformal zone diagram for Fe from Fe(CO)5.

40

1 ALD CVD

0.1

0.01 CVD

ALD Growth rate (A.U.) 1E-3

0 5 10 15 20 Aspect ratio

1 ALD CVD 0.1

0.01

1E-3

1E-4 CVD

1E-5 Growth rate (A.U.)

1E-6 ALD

0 200 400 600 800 1000 Aspect ratio

Figure 2.16: Theoretical growth rate comparison of ALD and conformal CVD. Details of these calculations can be found in Appendix A. (top) ALD has a growth rate advantage at aspect ratios lower than ~ 10, but CVD become advantageous at higher ARs. (bottom) At very high ARs like those explored in the study, CVD possesses a decisive rate advantage.

41

Aspect Ratio 0 10000 20000 30000 40000 50000

20000

15000

10000 Intensity (A.U.) Intensity

5000

0 0 200 400 600 800 1000 Distance (um)

Figure 2.17: Intensity profile of the outer ‘crust’ of the HfB2-infilled aerogel sample shown in Figure 2.4.

1E-3 SC = 0.99 SC = 0.95 1E-4 SC = 0.90

1E-5

1E-6

1E-7 Growth rate (A.U.) SC = 0.90 1E-8 SC = 0.95 SC = 0.99 1E-9 0 2x103 4x103 6x103 8x103 1x104 Aspect ratio

Figure 2.18: Predicted CVD film growth rate versus aspect ratio for step coverages of 0.90, 0.95, and 0.99.

42

104 Aerogel coating profile

103

102

101

100 Theoretical GR curve, SC = 0.9 Film thickness (A.U.) 10-1

10-2 0 5000 10000 Aspect ratio

Figure 2.19: Comparison of the aerogel coating profile and the profile expected from theory.

43

CHAPTER 3

THREE-DIMENSIONAL SELF-ASSEMBLED PHOTONIC CRYSTALS WITH HIGH TEMPERATURE STABILITY FOR THERMAL EMISSION MODIFICATION*

Static CVD is a thin film deposition technique with promising utility. This chapter presents an example of an application that was brought to life with SCVD. The text of this chapter is from a manuscript prepared by Dr. Kevin Arpin, Prof. Paul Braun, Prof. Shanhui Fan, and Prof. Mark Losego; it has been edited to highlight the collaborative fabrication and analysis of thermally stable HfB2 photonic crystals for solar thermophotovoltaic (sTPV) applications.

The efficiency limitations of current single-junction photovoltaics are well-known and described in detail in Reference 1. Multijunction solar cells address inefficiencies by employing multiple p-n junctions, but the fabrication process is complex [1]. Solar thermophotovoltaics (sTPV) hold the promise of greater efficiencies, theoretically up to 85 % [1-3], with single- junction PV cells.

Instead of harvesting photons directly from the sun, light is collected by an intermediate structure composed of a broad-band absorber and a narrow-band emitter [4]. The intermediate reaches temperatures greater than 1,000 °C and produces a modified thermal emission. The intermediate requires a high temperature material [4, 5]. The light incident on the photovoltaic cell is spectrally narrowed to a small range of energy just above the electronic bandgap energy of the solar cell [1]. The narrowed emission range can be tailored to a PV cell by adjusting the dimensions of the emitter [5, 6]. The solar cell – which can be an inexpensive low-bandgap single-junction cell [7] – can efficiently convert the intense, narrow-band light [1].

Metallic photonic crystals have been shown to exhibit spectrally narrowed emission [8, 9]. Photonic crystals preferentially emit light at a photonic bandgap edge and suppress emission within the photonic bandgap regime. Previously reported tungsten woodpile structures demonstrate narrowed thermal emission, but these structures involve a complex fabrication process requiring conventional microfabrication techniques [10, 11]. It is desirable to produce inverse opal-based photonic crystals using simple self-assembled templates and subsequent metal infilling due to the cost advantages [12]. The fabrication of thermally stable

*This chapter is comprised of a journal article written by Dr. Kevin A. Arpin and others [K. A. Arpin, M. D. Losego, A. N. Cloud, H. Ning, J. Mallek, N. P. Sergeant, L. Zhu, Z. Yu, B. Kalanyan, G. N. Parsons, G. S. Girolami, J. R. Abelson, S. Fan, and P. V. Braun, Nat. Commun. 4, (2013)]. The article has been republished with the permission of the copyright holder.

44

inverted opal structures has been a challenge, even when these structures are made from tungsten [5, 8, 13, 14].

Kevin Arpin, Justin Mallek, and I have demonstrated thermally stable nanometer-scale inverted opals of HfB2. These inverted opals are easily fabricated from self-assembled three- dimensional synthetic opal templates [9, 12] which are then infilled conformally by SCVD. The nanoscale multidimensional order of the resultant structures is preserved at temperatures beyond 1,000 °C. Fourier transform spectroscopy was used to measure, for the first time, the photonic bandgap of a metallic inverse opal photonic crystal. This work indicates that refractory ceramic materials with metal-like optical properties are viable candidates for photonic- crystal based sTPV devices and should be more extensively studied.

These structures were the first thermally stable photonic crystals of their type. Shortly after their development, Prof. Mark Losego et al. fabricated thermally stable tungsten structures via atomic layer deposition. These are of greater interest to the photonic community because tungsten is a well-known, well-characterized optical material. While my involvement only entailed work with the HfB2-based structures, the contents of the project are reproduced in full in this chapter in order to present a cohesive story.

This work was highly collaborative and demanded the input of many specialists. Justin

Mallek prepared the HfB2 CVD precursor. Justin Mallek and I conformally infilled colloidal

crystal samples with HfB2 using static CVD. I conducted the materials analysis of the HfB2- infilled samples subsequent to their fabrication. Prof. Mark Losego, Berç Kalanyan, and Prof. Gregory Parsons deposited tungsten and assisted with the characterization of the material. Hailong Ning performed simulation experiments and assisted with various fabrication and processing steps. Dr. Nicholas Sergeant, Linxiao Zhu, and Prof. Zongfu Yu performed the thermal emission measurements and analyzed the data. Dr. Kevin Arpin performed all other fabrication and characterization. Dr. Arpin and Prof. Paul Braun directed the research. Dr. Arpin, Prof. Braun, Prof. Shanhui Fan, and Prof. Losego wrote the manuscript. All authors discussed data and commented on the manuscript.

3.1 Introduction

The broad energy distribution of solar radiation is one of the fundamental factors limiting the efficiency of photovoltaic (PV) cells. Single-junction PV cells convert radiation to electricity most efficiently over a narrow range of energies, located slightly above the electronic band gap of the PV cell. Losses due to unabsorbed low-energy photons and thermalization of high-

45 energy photons contribute to the limit in efficiency that can be achieved using a single-junction PV cell under solar irradiation (the Shockley-Queisser limit) [15]. Multijunction cells provide increased efficiencies, but are challenging to manufacture at low cost. Another approach to high efficiency solar energy harvesting is to convert the solar spectrum to near monochromatic radiation with energies comparable to the electronic band gap energy of a single-junction PV cell, minimizing losses due to unabsorbed photons and band edge thermalization, enhancing the solar energy conversion efficiency. Solar thermophotovoltaic (sTPV) devices implement this approach by thermally coupling a broadband solar absorber with a spectrally selective thermal emitter, whose narrowed thermal emission can be efficiently converted to electricity using a single junction PV cell [2, 3, 7, 8, 16-19]. Strategies for broadband absorption of solar radiation are well-understood [4, 19, 20], however engineering spectral emissivity is challenging because emissivity is generally dominated by the fundamental optical properties of the constituent materials. This spectral conversion element must also be thermally stable and most calculations indicate the emitter temperature should be 1,000 °C or greater to be practical [3, 7, 17-19, 21, 22]. A small increase in the emitter operating temperature (T) significantly increases the power output of the sTPV device (∝ T4) as predicted by the Stefan-Boltzmann Law. Large emitter areas are also required because the emitted power scales with the emitter area, and fundamental thermodynamics require an emitter that has a substantially larger area as compared to the absorber [19].

Periodic structuring of materials on the order of the wavelength of light is one approach to spectrally alter emissivity [8, 11, 23-25]. Both two- [20, 24, 25] and three-dimensional architectures [8, 11, 23] can enhance or suppress thermal emissivity in desired frequency regimes yielding a spectral emissivity that is very attractive for sTPV applications. Three- dimensional (3D) photonic crystals [9, 26, 27] may provide control of emissivity in all directions relative to the emitter, as well as more degrees of freedom for the design of structures with desired emission properties [8, 11, 22, 28]. Previous reports of metallic, 3D photonic crystals have demonstrated the potential of such structures for thermal emission control [11, 23]. However, fabricating a thermally stable, large area component that selectively emits in the NIR remains a challenge for the realization of efficient, cost-effective sTPV devices.

Here, we use self-assembled colloidal crystals to template the growth of a refractory material (tungsten or hafnium diboride) and generate photonic crystals that are useful for the narrowband thermal emitting component of a sTPV device. This approach is quite general and

46

other scalable templates (i.e. those formed by interference lithography [29]) could also be considered. Our tungsten structures are stable to at least 1,400 °C and suppress thermal emission of otherwise wasted low energy photons at elevated temperatures. This combination of thermal stability and modified thermal emission has not been previously demonstrated.

Comparable thermal and optical properties for hafnium diboride (HfB2) photonic crystals are also demonstrated, suggesting that refractory ceramic materials with metal-like optical properties, which are previously unexplored, are useful for sTPV applications.

3.2 Thermally stable tungsten photonic crystals prepared by atomic layer deposition

Tungsten photonic crystals are widely studied for thermophotovoltaic systems because tungsten is both refractory and exhibits intrinsically preferential thermal emission for sTPV (higher emissivity at optical frequencies and lower emissivity in the IR). Previous reports of tungsten photonic crystals formed from self-assembled templates used chemical vapor [13, 30], sol gel [5, 31], or electrochemically [14] deposited tungsten. The thermal stability of the resulting structures was limited in part by the quality and density of the deposited tungsten, and no optical or emission properties were discussed. In contrast, we have deposited tungsten conformally on silica colloidal crystal templates using atomic layer deposition (ALD).* Then, tungsten was removed from the top of the structure by reactive ion etching, enabling removal of the silica template by chemical etching with hydrofluoric acid (HF), resulting in a tungsten inverse colloidal crystal.

Figure 3.1 includes the microstructure and optical properties of the tungsten photonic crystals after annealing at 1,000 °C for 12 hours. The data in the left column corresponds to tungsten-coated colloidal crystals and the right column contains data for the tungsten inverse colloidal crystals. Both the tungsten-coated colloidal crystals and tungsten inverse colloidal crystals retain their 3D periodic structure after they were annealed at 1,000 °C for 12 hours and no significant cracking is observed. This result is unprecedented. All prior approaches at these length scales resulted in micron scale cracking and/or structural collapse at 1,000 °C [11-15]. We attribute this success to the tungsten ALD process, which may provide a denser tungsten

*Tungsten was deposited inside colloidal crystal templates using a homebuilt flow-tube ALD system at 215 °C and 0.6 Torr using nitrogen as a carrier gas flowing at 20 sccm (50 nm, 125 cycles, 1 s pulse of WF6, 60 s hold, 60 s purge, 300 s pulse of SiH4, 120 s purge). Prior to tungsten ALD, 10 nm of Al2O3 was deposited inside the colloidal crystal template (90 cycles, 0.3 s pulse of trimethylaluminum, 20 s hold, 60 s purge, 0.3 s pulse of water, 20 s hold, 90 s purge). The Al2O3 layer improves nucleation of tungsten during deposition. Additional experimental details regarding the ALD reactor design are included in a separate publication [32]. Template etching was achieved by first removing the top tungsten layer using a 20 s reactive ion etch (50 mTorr, 100 Watts, 4 sccm O2, 16 sccm SF6).

47 material than previous methods, reducing material shrinkage and cracking at elevated temperatures.

Heating the tungsten-coated silica colloidal crystals above 1,200 °C completely destroys the 3D structure. Failure is most likely a result of tungsten sintering and concurrent template softening as silica has a glass transition temperature of ~ 1,200 °C. Similarly, tungsten inverse colloidal crystals are also destroyed after heating to temperatures greater than 1,200 °C, likely due to tungsten sintering [Figure 3.2(a)]. However, a protective coating of a refractory oxide

(here 20 nm of hafnium dioxide, HfO2) conformally deposited by ALD onto the tungsten inverse colloidal crystals significantly enhances their high temperature stability [14]. As a result, we observe substantial retention of the 3D mesostructures even after annealing at 1,400 °C for 1 hour [Figures 3.2(b) and (c)]. While some of the fine structural features are degraded, the overall 3D periodicity is retained and we observe no evidence of large scale cracking [Figure 3.2(c)]. The structural integrity achieved upon heating to 1,400 °C is unprecedented. We suspect optimization of the refractory coating may enable even greater thermal stability.

The optical properties of the tungsten photonic crystals were probed by collecting reflectance (R) measurements using a Fourier transform infrared spectrometer (FTIR). Reflectance is commonly used to predict the spectral emissivity of a material because Kirchhoff’s Law states that emission is equal to absorption, which is equal to 1-R. Materials that have a high reflectance at a given frequency will have a correspondingly low emissivity. Suppressed emissivity (high reflectance) in the IR is important for a sTPV device because black body emission contains a large number of sub electronic band gap photons that do not have sufficient energy to be absorbed and converted to electricity by a PV cell. As deposited, the tungsten-coated colloidal crystals exhibit low reflectance in the visible regime that sharply increases around 1 μm to 70 % [Figure 3.1(d), black spectrum]. The high reflectance in the IR is observed until at least the limits of the detector (5 μm). After the samples are annealed at 1,000 °C for 12 hours, the reflectance of the tungsten-coated colloidal crystals remains low in the visible and becomes enhanced in the IR, reaching a value of ~ 90 % [Figure 3.1(d), solid red spectrum]. Crystallization upon heating likely alters the optical properties of the tungsten material and thus, the photonic crystal. In general, the reflectance in the IR of the tungsten inverse colloidal crystals is lower in intensity and the transition from low reflectance to high reflectance is red shifted as compared to the tungsten-coated colloidal crystals. Figure 3.1(h) is the reflectance spectrum of a tungsten inverse colloidal crystal after it was annealed at 1,000 °C for 12 hours (solid red spectrum).

48

The finite difference time domain (FDTD) method was used to calculate the expected reflectance spectra of these structures using optical constants of crystalline tungsten taken from the literature. For the tungsten-coated colloidal crystals annealed for 12 hours at 1,000 °C, the general form of the simulated reflectance spectrum [Figure 3.1(d), dashed red spectrum] approaches the measured reflectance spectrum. The reflectance of the tungsten inverse colloidal crystals as predicted by FDTD is included in Figure 3.1(h) (dashed red spectrum) and the spectral shape closely matches the measured data (solid red spectrum). The measured reflectance is less than the calculated intensity, in particular at longer wavelengths, however, this result is not surprising given the roughness of the top surface of the etched tungsten photonic crystal, which is not included in the simulation.

Thermal emission measurements of the tungsten photonic crystals at elevated temperatures (900 °C) were conducted using a home-built emissometer to experimentally verify suppression of thermal emission at undesired energies. In brief, the samples were heated under vacuum to 900 °C and thermal emission was collected using an FTIR spectrometer. A calibrated black body standard was used as a reference to calculate the relative emissivity of the photonic crystals. Figure 3.3 shows the measured reflectance (R) and measured emissivity of a tungsten coated colloidal crystal [Figure 3.3(a)] that was previously annealed at 1,000 °C

for 12 hours and a tungsten inverse colloidal crystal protected with HfO2 [Figure 3.3(b)] that was previously annealed at 1,400 °C for 1 hour. As predicted from Kirchhoff’s Law, the measured relative emissivity is very close to 1-R. The agreement between these two independent measurements of reflectance and emissivity provides an indication of the consistency of the data. Both structures exhibited suppressed thermal emission at long wavelengths. In any sTPV device, suppressed emission of long wavelength photons will result in fewer sub electronic band gap photons arriving at the PV cell and thus less wasted energy.

The power of thermal emission from a real material, at a given energy, cannot exceed the power emitted by a theoretical black body at the same temperature, T. Thus, maximum power output from a narrowband thermal emitter at temperature, T, is achieved when the spectral position of the allowed emission band coincides with the spectral position of the maximum in the black body spectrum for the same temperature, T. To achieve high efficiency energy conversion, the photovoltaic material must efficiently convert light to electricity within that narrow band of allowed emission. Figure 3.4 shows that the peak emissivity (low reflection) of the HfO2-protected tungsten inverse colloidal crystal is spectrally aligned with the maximum in theoretical black body emission at 1,400 °C and with the electronic band gap energy of

49

germanium (1.85 μm, 0.67 eV), a low band gap energy PV material commonly suggested for TPV applications.

3.3 Hafnium diboride photonic crystals prepared by static CVD

It is also interesting to consider alternative materials for sTPV. Certain refractory ceramics, for example some borides, nitrides, and carbides, possess both metal-like optical properties and exceptional thermal stability. Here, using a similar process as demonstrated for the tungsten photonic crystals, for the first time, we fabricate a metallic refractory ceramic photonic crystal to investigate the possibility of these materials for sTPV applications. The

hafnium diboride (HfB2) photonic crystals were fabricated by conformal deposition on silica colloidal crystal templates using a static chemical vapor deposition (SCVD) system. This process has been described in Chapter 2 and in Reference 33. A schematic of the static CVD growth apparatus is presented in Figure 3.5. Static CVD deposits conformal and uniform film throughout the bulk of the colloidal crystal template [Figure 3.6]. Figure 3.7 is the AES depth

profile of a HfB2 film that is approximately 50 nm-thick, deposited by static CVD. The material is near stoichiometric. The Auger signal from oxygen within the film corresponds to ~ 0.5 at. % and the carbon signal is ~ 1.5 at. %.

After static CVD, template etching was achieved by first removing the top HfB2 layer

using a 2 hour reactive ion etch (100 mTorr, 150 Watts, 4 sccm O2, 16 sccm CF4). This over- layer removal exposed the silica template, which was subsequently etched using 5 % hydrofluoric acid (HF) diluted with ethanol and glycerol (60:40 % by volume).

As deposited, the HfB2 coated colloidal crystals did not demonstrate high reflectance in the IR [Figure 3.8(d), black spectrum]. However, after being annealed, the HfB2 coated colloidal crystals exhibit low reflectance in the visible and higher reflectance (60 %) above around 1 μm [Figure 3.8(d), red spectrum]. Previous studies indicate that annealing low temperature

deposited HfB2 alters its optical properties [34], probably due to crystallization upon heating

[Figure 3.9]. The HfB2 is amorphous as deposited. After annealing to 1,000 °C for 12 hours the material is crystalline. The silicon peaks in the X-ray diffraction pattern are present due to the

silicon substrate. The thermal stability of the HfB2 photonic crystals is also presented in

Figure 3.8. Figure 3.8(a) is a top-view SEM micrograph of a HfB2-coated colloidal crystal, as deposited. Figure 3.8(b) is a top-view SEM micrograph of a HfB2-coated colloidal crystal after it was annealed at 1,000 °C for 12 hours. The 3D structure is preserved; however, there is evidence of larger scale cracking after being annealed [Figure 3.10]. This is likely due to

50

material densification. Improving the density of the as-deposited material could reduce the prevalence of cracking at elevated temperatures. Figure 3.8(c) is a fracture cross section SEM

of a HfB2 inverse colloidal crystal after it was annealed at 1,000 °C for 12 hours. Above 1,000 °C, the hafnium diboride samples were generally destroyed by oxidation, even though significant care was taken to remove oxygen from the annealing environment. The intrinsic

thermal stability of the HfB2 photonic crystals is notably greater than previous reports of

tungsten photonic crystals [5, 13, 14, 30, 31], but does not yet match the stability of the HfO2- protected tungsten structures presented herein. Better control of the oxygen partial pressure during annealing, possibly in conjunction with conformal protective coatings [14] or additives [35] could enhance the oxidative stability of these structures.

3.4 Conclusions

In summary, using a scalable process we fabricated tungsten photonic crystals that are thermally stable up to 1,400 °C and stable up to 1,000 °C for prolonged time (at least 12 hours). Experimental measurements of thermal emissivity at 900 °C illustrate the expected suppression of thermal emission at long wavelengths. Corresponding reflectance data agrees well with emissivity based on Kirchhoff’s Law and can be adequately explained using FDTD simulations. This combination of superior thermal stability and a direct measurement of modified thermal emission has not been previously demonstrated for a photonic crystal with feature sizes tuned for operation at high temperature and at frequencies required for TPV applications. Initial investigations of hafnium diboride photonic crystals with thermal stability up to at least 1,000 °C and optical properties comparable to tungsten photonic crystals imply that the broader class of metallic refractory ceramic materials could be useful for TPV applications and should be further studied.

Methods - Colloidal crystal template fabrication

Silica colloids were fabricated using the methods described by Stöber et al. [36]. Colloidal crystals were assembled using previously published techniques [37]. Substrates

(typically silicon or c-plane sapphire) were cleaned with piranha (3:1 H2SO4:H2O2 by volume) prior to colloidal crystal growth.

51

Acknowledgements

The authors would like to thank Prof. Easo George, Zlatomir Apostolov, Doug Jeffers, and Shivakumar Bhaskaran for experimental assistance. This work was supported by the Global Climate and Energy Project (GCEP) at Stanford University. Sample fabrication and characterization was carried out in part in the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign. Prof. John R. Abelson acknowledges support from the National Science Foundation, grant 10-05715. Prof. Gregory S. Girolami acknowledges support from NSF grant CHE11-12360. Prof. Mark D. Losego, Berç Kalanyan, and Prof. Gregory N. Parsons acknowledge support from the Research Triangle Solar Fuels Institute (RTSFI).

52

3.5 References

[1] M. A. Green, Prog. Photovoltaics: Research and Applications 9, 123 (2000).

[2] R. M. Swanson, Proc. IEEE 67, 446 (1979).

[3] N. P. Harder and P. Würfel, Semicond. Sci. Technol. 18, S151 (2003).

[4] E. Rephaeli and S. Fan, Appl. Phys. Lett. 92, 211107 (2008).

[5] N. R. Denny, S. E. Han, D. J. Norris, and A. Stein, Chem. Mater. 19, 4563 (2007).

[6] I. Celanovic, N. Jovanovic, and J. Kassakin, Appl. Phys. Lett. 92, 193101 (2008).

[7] P. F. Baldasaro, J. E. Raynolds, G. W. Charache, D. M. DePoy, C. T. Ballinger, T. Donovan, and J. M. Borrego, J. Appl. Phys. 89, 3319 (2001).

[8] S. E. Han, A. Stein, and D. J. Norris, Phys. Rev. Lett. 99, 053906 (2007).

[9] C. Lopez, Adv. Mater. 15, 1679 (2003).

[10] C. H. Seager, M. B. Sinclair, and J. G. Fleming, Appl. Phys. Lett. 86, 244105 (2005).

[11] J. G. Fleming, S. Y. Lin, I. El-Kady, R. Biswas, and K. M. Ho, Nature 417, 52 (2002).

[12] J. F. Galisteo-López, M. Ibisate, R. Sapienza, L.S. Froufe-Pérez, Á. Blanco, and C. López, Adv. Mater. 23, 30-69 (2011).

[13] G. von Freymann, S. John, M. Schulz-Dobrick, E. Vekris, N. Tétreault, S. Wong, V. Kitaev, and G. A. Ozin, Appl. Phys. Lett. 84, 224 (2004).

[14] K. A. Arpin, M. D. Losego, and P. V. Braun, Chem. Mater. 23, 4783 (2011).

[15] W. Shockley and H. J. Queisser, J. Appl. Phys. 32, 510 (1961).

[16] T. Coutts and M. Fitzgerald, Phys. World 11, 49 (1998).

[17] W. Spirkl and H. Ries, J. Appl. Phys. 57, 4409 (1985).

[18] D. L. Chubb, Fundamentals of Thermophotovoltaic Energy Conversion (Elsevier, 2007).

[19] E. Rephaeli and S. Fan, Opt. Express 17, 15145 (2009).

[20] G. Veronis, R. W. Dutton, and S. Fan, J. Appl. Phys. 97, 093104 (2005).

[21] P. A. Davies and A. Luque, Sol. Energy Mater. Sol. Cells 33, 11 (1994).

[22] S. Y. Lin, J. Moreno, and J. G. Fleming, Appl. Phys. Lett. 83, 380 (2003).

53

[23] X. D. Yu, Y. J. Lee, R. Furstenberg, J. O. White, and P. V. Braun, Adv. Mater. 19, 1689 (2007).

[24] V. Rinnerbauer, S. Ndao, Y. X. Yeng, W. R. Chan, J. J. Senkevich, J. D. Joannopoulos, M. Soljačić, and I. Celanovic, Energy Environ. Sci. 5, 8815 (2012).

[25] Y. X. Yeng, M. Ghebrebrhan, P. Bermel, W. R. Chan, J. D. Joannopoulos, M. Solijačić, and I. Celanovic, Proc. Natl. Acad. Sci. USA 109, 2280 (2012).

[26] K. A. Arpin, A. Mihi, H. T. Johnson, A. J. Baca, J. A. Rogers, J. A. Lewis, and P. V. Braun, Adv. Mater. 22, 1084 (2010).

[27] J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade, Photonic Crystals: Molding the Flow of Light, 2nd Ed. (Princeton University Press, Princeton, NJ, 2008).

[28] J. T. K. Wan and C. T. Chan, Appl. Phys. Lett. 89, 041915 (2006).

[29] S. Jeon, J.-U. Park, R. Cirelli, S. Yang, C. E. Heitzman, P. V. Braun, P. J. A. Kenis, and J. A. Rogers, Proc. Natl. Acad. Sci. USA 101, 12428 (2004).

[30] P. Nagpal, D. P. Josephson, N. R. Denny, J. DeWilde, D. J. Norris, and A. Stein, J. Mater. Chem. 21, 10836 (2011).

[31] X. Chen, F. Zhou, J. Wang, M. Li, L. Jiang, Y. Song, Z. Li, and D. Zhu, Appl. Phys. A 93, 489 (2008).

[32] B. Kalanyan, M. D. Losego, C. J. Oldham, and G. N. Parsons, Chem. Vap. Deposition 19, 161 (2013).

[33] A. N. Cloud, J. L. Mallek, K. A. Arpin, P. V. Braun, G. S. Girolami, and J. R. Abelson, "Synthesis of complex nanostructures by static chemical vapor deposition: a conformal batch process with efficient precursor utilization," in preparation.

[34] Y. Yang, S. Jayaraman, B. Sperling, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 25, 200 (2007).

[35] C. M. Carney, T. A. Parthasarathy, and M. K. Cinibulk, J. Am. Ceram. Soc. 94, 2600 (2011).

[36] W. Stober, A. Fink, and E. Bohn, J. Colloid Interface Sci. 26, 62 (1968).

[37] P. Jiang, J. F. Bertone, K. S. Hwang, and V. L. Colvin, Chem. Mater. 11, 2132 (1999).

54

3.6 Figures

Tungsten coated colloidal crystals Tungsten inverse colloidal crystals

a No thermal treatment e No thermal treatment

1μm 1μm

b 1,000 °C, 12 hours f 1,000 °C, 12 hours

1μm 1μm

c 1,000 °C, 12 hours g 1,000 °C, 12 hours

10μm 10μm

d h Simulated W inverse CC W inverse CC annealed Reflectance W coated CC – annealed Reflectance Simulated W coated CC W coated CC

Wavelength (μm) Wavelength (μm) Figure 3.1: SEM micrographs and reflectance properties of tungsten (W) photonic crystals before and after annealing. The left column, (a) - (d) includes data for tungsten-coated colloidal crystals (CC). The right column, (e) - (h) includes data for tungsten inverse colloidal crystals. (a) and (e) Top-view micrographs, before annealing, of a tungsten-coated colloidal crystal and a tungsten inverse colloidal crystal, respectively. (b) and (c) Top- view micrographs of a tungsten-coated colloidal crystal after annealing at 1,000 °C for 12 hours. (f) and (g) Top-view micrographs of a tungsten inverse colloidal crystal after annealing at 1,000 °C for 12 hours. (d) Reflectance spectra of tungsten-coated colloidal crystals. The black spectrum is the measured reflectance of a tungsten-coated colloidal crystal before annealing. The red spectrum is the measured reflectance of the same sample after annealing to 1,000 °C for 12 hours. The dashed red spectrum is the reflectance of a tungsten-coated colloidal crystal as calculated using by the FDTD method. (h) Measured (solid red) and calculated (dashed red) reflectance spectrum of a tungsten inverse colloidal crystal. The measured sampled was annealed at 1,000 °C for 12 hours.

55

a b c Unprotected HfO2 protected HfO2 protected

1μm 1μm 10μm

Figure 3.2: SEM micrographs of tungsten inverse colloidal crystals after annealing to temperatures greater than 1,000 °C. (a) Fracture cross section micrograph of a tungsten inverse colloidal crystal (unprotected) after heating at 1,200 °C for 1 hour. (b) Fracture cross section and (c) top-view micrographs of tungsten inverse colloidal crystals protected with

HfO2 after heating to 1,400 °C for 1 hour.

a b

Reflectance Emissivity

Reflectance Emissivity Reflectance,Emissivity

Wavelength (μm) Wavelength (μm)

Figure 3.3: Measured emissivity (dashed red) and reflectance (solid red) of annealed tungsten photonic crystals. (a) Emissivity and reflectance of a tungsten-coated colloidal crystal after annealing at 1,000 °C for 12 hours. (b) Emissivity and reflectance of a tungsten

inverse colloidal crystal, protected with HfO2, after annealing at 1,400 °C for 1 hour. For both graphs, note that emissivity is approximately equal to 1-R, as predicted by Kirchhoff’s Law.

56

Ge EG = 1.85μm

1,400 ºC BB Reflectance Reflectance Radiance (A.U.) Radiance

Wavelength (μm)

Figure 3.4: Reflectance of a tungsten inverse colloidal crystal, protected with HfO2, annealed at 1,400 °C for 1 hour (red spectrum) plotted with the theoretical black body emission at 1,400 °C (black spectrum). The blue line indicates the electronic band gap of germanium.

Figure 3.5: Schematic of the static CVD apparatus employed for the conformal growth of HfB2.

57

Figure 3.6: Cross-sectional SEM micrograph of a HfB2-infilled colloidal crystal template. Focused ion beam milling was used to expose the cross section of the microspheres. Complete, conformal infilling of the template was achieved. This micrograph was collected before reactive ion etching and before silica template etching. Voids are due to stacking defects

of the spheres, not nonuniformity of the HfB2 coating process.

100

80

60 Hf B C 40 O Si

Concentration (at.%) 20

0 0 100 200 300 400 500 Sputtering time (s)

Figure 3.7: Auger electron spectroscopy depth profile of HfB2 deposited by static CVD on a silicon substrate.

58

a b

1μm 1μm

c d

HfB2 PhC - annealed HfB2 PhC Reflectance

1μm Wavelength (μm)

Figure 3.8: SEM micrographs and optical properties of hafnium diboride (HfB2) photonic crystals

(PhC) before and after annealing. (a) Top-view micrograph of a HfB2-coated colloidal

crystal before annealing. (b) Top-view micrograph of a HfB2-coated colloidal crystal after

annealing at 1,000 °C for 12 hours. (c) Fracture cross section micrograph of a HfB2 inverse colloidal crystal after annealing at 1,000 °C for 12 hours. (d) Reflectance spectra

for HfB2 photonic crystals. The black spectrum is the measured reflectance of a HfB2- coated colloidal crystal before annealing. The red spectrum is the measured reflectance

of a HfB2-coated colloidal crystal after annealing at 1,000 °C for 12 hours.

59

Si

HfB2

HfB2

HfB2 IntensityA.U.

HfB2 HfB2

2θ (degrees)

Figure 3.9: X-ray diffraction pattern of a hafnium diboride (HfB2)-coated colloidal crystal after annealing at 1,000°C for 12 hours. The broad peak at low angles corresponds to the silica colloidal crystal template.

10μm

Figure 3.10: Top-view micrograph of a HfB2-coated colloidal crystal after annealing at 1,000 °C for

12 hours. Cracking is a product of HfB2 densification and shrinkage upon crystallization.

60

CHAPTER 4

LOW-TEMPERATURE CVD OF η-Mn3N2-x FROM BIS[DI(TERT-BUTYL)AMIDO]MANGANESE(II) AND AMMONIA*

4.1 Introduction

Transition metal nitrides are an important class of materials due to a unique combination of properties; studies of metal nitrides have been reported extensively in the literature [1, 2]. In particular, manganese nitrides exhibit phase-dependent electronic and magnetic properties

[3, 4]. MnxNy may be a constituent material in spintronic devices [5, 6].

However, manganese nitride thin films are difficult to prepare, thus their study has not been thoroughly reported. While there have been isolated reports of synthesis by physical methods, there exists only one report of CVD growth [7]. Gordon et al. recently synthesized

Mn4N films from bis(N,N’-diisopropylpentylamidinato)manganese(II) and ammonia [7], but no CVD routes to thin films of other manganese nitride phases are known.

The work presented in this chapter is a continuation of MnxNy CVD studies conducted by previous investigators at the University of Illinois using a new precursor, bis[di(tert- butyl)amido]manganese(II) [8, 9]. This linear, two-coordinate molecule was synthesized and described by Dr. Charles Spicer [9]. Dr. Teresa Spicer documented the first film growth using the new precursor [8]. Luke Davis and I recently replicated the previous study under more carefully controlled experimental conditions. The results of this second study largely confirmed those of the previous investigation, but enabled a more complete explanation of the experimental results and the probable reaction pathways.

The bis[di(tert-butyl)amido]manganese(II) precursor undergoes a transamination

reaction in the presence of ammonia to produce η-Mn3N2-x films at substrate temperatures as low as 80 °C. The growth of crystalline material at such low temperatures is notable. We suspect that this phenomenon is attributable to the high-moment manganese atoms in a mixed valence state and to vacancies in the nitrogen sublattice. These factors serve to lower the energetic barrier of metal-nitrogen bond scission and reformation and allow adatoms to settle in a low-energy, ordered structure.

*This chapter consists of previously published material [T. S. Spicer, C. W. Spicer, A. N. Cloud, L. M. Davis, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A, 31, 030604 (2013)]. This manuscript has been republished with the permission of the copyright holder.

61

The bulk of this chapter appears in a manuscript principally written by T. S. Spicer and C. W. Spicer [10]. C. W. Spicer and L. M. Davis prepared precursor and contributed critical insights into the chemistry of the film growth. T. S. Spicer and I deposited and analyzed the film material. The revised manuscript was prepared and discussed by all coauthors.

4.2 Experimental details

The experimental conditions are described at length in Reference 10. Several improvements were made to the initial experimental protocols. While the initial growth studies were conducted with solid precursor at 40 °C, subsequent growths were conducted with precursor heated to greater temperatures (up to 85 °C). The elevated precursor temperature increases the available precursor vapor pressure and thus the precursor flux on the substrate surface. The secondary study also employed an argon carrier gas to ensure that all the nitrogen incorporated into the films is supplied by the reactants. Ammonia was delivered from a source container filled with ultra-high purity ammonia that had been dried with solid sodium. Films were grown on substrates between 80 and 300 °C. Selected films were capped with a

conformal layer of HfB2. The conformal growth of this material has been previously described

[11]. HfB2 is an effective diffusion barrier [11] and in this case serves to protect the underlying manganese nitride from oxidation. This allows an added measure of confidence in the ex situ composition analysis.

4.3 Results

Film growth proceeds rapidly on substrates between 80 and 200 °C upon coflow of

Mn[N(t-Bu2]2 and NH3. The films adhere well to the etched, hydrogen-terminated Si(100) substrates used. A cross-sectional SEM image of a film grown at 80 °C is shown in Figure 4.1.

The films exhibit a distinctly columnar morphology from reaction onset to 200 °C. A HfB2- capped film is shown in Figure 4.2; the HfB2 conformally covers the uneven film surface.

The composition of the films is determined by Auger electron spectroscopy. The observed Mn:N ratio is ~ 2.5:1. The films are slightly nitrogen-deficient – the formal oxidation

state of the manganese in the precursor Mn[N(t-Bu2]2 is +2, which should give rise to

stoichiometric Mn3N2 films if no nitrogen loss takes place. The films grown here are denoted as

Mn3N2-x where x is approximately 0.7 [10].

The chemical bonding in the film is assessed using X-ray photoelectron spectroscopy. A plot of the spectrum in the nitrogen region is presented in Figure 4.3. The peak energy is

62

consistent with a metal nitride film [12, 13]. This film was grown at 80 °C and the XPS spectrum is representative of films grown at substrate temperatures up to 200 °C. The films grown here are nitrided even at the lowest temperature at which growth occurs.

Contrary to the results of the previous investigators [10], the film growth rate is weakly dependent on substrate temperature from onset to 200 °C; this finding suggests that even at the lowest temperature the growth is in the flux-limited regime [10]. Films grown on substrates at 300 °C begin to incorporate carbon from the precursor.

Even at the lowest ammonia flow rates we investigated, the flux of ammonia is much higher than that of the precursor, and growth rates are nearly invariant to ammonia flow rate between 1 and 10 sccm. At sufficiently high ammonia flow rates (> 40 sccm), the growth is arrested. Growth also does not occur in the absence of ammonia below 300 °C. Above that temperature, the deposits are likely due to precursor decomposition only.

The growth rate can be increased significantly by increasing transport of precursor out of the container, either by heating to 75 °C (i.e. above the precursor melting point of ~ 72 °C [14]) or by increasing the carrier gas flow rate. Growth rates of up to 10 nm/min can be achieved with liquid precursor.

4.4 Discussion

These new results support the hypothesis that growth proceeds as the precursor undergoes a rapid, irreversible, gas-phase transamination reaction with ammonia and that

ammonia is the source of the nitrogen present in the films. The reaction likely yields Mn-NH2 groups and di(tert-butyl)amine [10]. The Mn-NH2 groups react to form film and the di(tert- butyl)amine is pumped away. The nitrogen-deficient films likely lose nitrogen as subsequent

reactions eliminate NH3 and N2, leaving the resultant Mn3N2-x product [10].

The columnar morphology of the films is suggestive of a growth species with a high sticking coefficient and a surface adsorbate with a low mobility [15]. This is consistent with the above hypothesis. Additional support is provided by the temperature independence but precursor flux dependence of the growth rate between 80 and 200 °C.

The chamber design (the distance between precursor dosing tube outlet and substrate is ca. 4 cm) and mean chamber pressure during growth (ca. 5 mTorr) ensure that gas-phase collisions between the precursor and ammonia will occur before the precursor arrives at the substrate. The incorporation of carbon at temperatures above 300 °C could mean that the

63

transamination is incomplete in the gas phase, and that γ-hydrogen abstraction from residual di(tert-butyl)amide groups generates metallacycles containing Mn-C bonds. If this mechanism is correct, it may be possible to obtain carbon-free manganese nitride films at 300 °C by employing higher ammonia fluxes than these explored here, such that the transamination rate exceeds the γ-hydrogen abstraction rate [10]. Alternatively, the carbon could arise because the di(tert-butyl)amine byproduct is reactive at these higher temperatures and decomposes on the

surface to generate Mn23C6. In this case reducing the residence time of the byproducts in the hot zone could reduce the carbon content.

The complete suppression of growth by high pressures of ammonia is not likely to be a result of volatilization of surface manganese amido fragments as ammonia adducts,

Mn(NH2)2(NH3)x; such species are not expected to be stable or volatile enough. It is more likely that large ammonia fluxes block reactive sites on the surface, preventing the gas-phase manganese-containing species from adsorbing and reacting [16, 17]. While ammonia dissociates on bare Si(100) surfaces with a high sticking coefficient (for example, it is 0.9 at 80 °C and 0.5 at 300 °C), as the surface coverage of adsorbates approaches unity this coefficient drops to less than 0.1 at all temperatures [18]. On the Si(100)-H surfaces used in our experiments, ammonia does not dissociate and thus does not adsorb strongly [19].

Although we did not carry out any experiments on SiO2, it is known that ammonia can suppress CVD growth on this surface despite the fact that ammonia adsorbs only weakly [20]. For example, inhibition of film growth by ammonia has been reported for TiN deposition from

TDMAT on SiO2 [21], and complete suppression reported for carbon deposition from acetylene on amorphous SiO2 [22]. We have reported complete suppression of the growth of HfB2 from

Hf(BH4)4 on SiO2 at 250 °C with 1 mTorr of ammonia [23], and recently determined that nucleation proceeds unabated but steady-state growth is suppressed by ammonia adsorption to

HfB2 surfaces [24]. Thus, it seems reasonable to conclude that inhibition of growth by high pressures of ammonia in our experiments also entails site-blocking by ammonia, which either suppresses nucleation on Si(100)-H or suppresses steady-state growth on the nucleated manganese nitride surface.

We were surprised that crystalline films of the ceramic phase η-Mn3N2-x can be grown at

growth temperatures as low as 80 °C. Recently, Mn4N films with at least some crystallinity have been grown by CVD at 130 °C [7]; in contrast, temperatures of 290 °C or higher are required to obtain crystalline manganese nitrides by other techniques such as solvo-thermal synthesis from

MnCl2 and sodium azide [25]. Both the low growth temperature and the crystallinity of the CVD-

64

grown manganese nitride films may reflect the high chemical reactivity of the high-spin, two-

coordinate manganese(II) center in Mn[N(t-Bu)2]2 [9, 14]. Two-coordinate complexes are often very reactive owing to coordinative unsaturation [26], and complexes featuring high-spin transition metal centers are kinetically labile and undergo rapid ligand substitution reactions [27].

In addition, the high-spin Mn2+ center in the precursor is likely to remain high-spin throughout a significant fraction of the reaction sequence that leads to film growth [10]. Notably,

the more nitrogen-rich manganese nitrides, including Mn3N2, have magnetic properties and Mn- N distances that are consistent with the presence of high-moment manganese atoms in mixed valence states [3, 4, 28-33]. Because the different valence states of manganese have different preferred coordination geometries, we suggest that change in the charge state of a manganese atom can promote local atomic rearrangement, similar to the Bourgoin-Corbett diffusion mechanism [34]. In addition, the presence of vacancies in the nitrogen sublattice can provide mechanisms for atom movement and lower the annealing temperatures. Together, these factors lower the energies needed to break and reform metal-nitrogen bonds and thus allow the deposited atoms to settle more easily into a low-energy ordered arrangement. As a result, crystalline films are formed even at what are extraordinarily low growth temperatures for a ceramic phase.

4.5 Conclusions

We have demonstrated the growth of crystalline η-Mn3N2-x films between 80 and 200 °C. The growth likely proceeds via rapid transamination of the precursor with ammonia to afford manganese amido fragments with high sticking coefficients and low surface mobilities. These fragments decompose to the manganese nitride film, and crystallization during this process may be facilitated by the kinetic lability of the high-spin manganese atoms along with the presence of manganese centers in mixed valence states and vacancies in the nitrogen sublattices. A general strategy to obtain ceramic films with good crystallinity at low CVD growth temperatures may be to employ precursors with high-spin metal centers able to adopt two or more valence states. Finally, the present results indicate that it may be possible to grow epitaxial films of

MnxNy phases at low temperatures on suitable substrates.

65

Acknowledgements

This research was supported by NSF grants CHE 11-12360 and DMR 10-05715. A. N. Cloud was supported by a National Science Foundation Graduate Research Fellowship. The film characterization was carried out in the Frederick Seitz Materials Research Laboratory Central Facilities, University of Illinois.

66

4.6 References

[1] L. Toth, Transition Metal Carbides and Nitrides (Academic Press, New York, 1971).

[2] S. T. Oyama, Chemistry of Transition Metal Carbides and Nitrides (Blackie Academic & Professional, Glasgow, 1996).

[3] N. A. Gokcen, Bull. Alloy Phase Diagrams 11, 33 (1990).

[4] R. Z. Niewa, Kristallogr. 217, 8 (2002).

[5] Z. G. Hu, A. B. Weerasekara, N. Dietz, A. G. U. Perera, M. Strassburg, M. H. Kane, A. Asghar, and I. T. Ferguson, Phys. Rev. B 75, 205320 (2007).

[6] A. Bonanni, Semicond. Sci. Technol. 22, R41 (2007).

[7] Y. Au, Y. Lin, and R. G. Gordon, J. Electrochem. Soc. 158, D248 (2011).

[8] T. S. Lazarz, “Low-Temperature Chemical Vapor Deposition of Ruthenium and Manganese Nitride Thin Films,” Ph.D. dissertation (University of Illinois at Urbana- Champaign, 2009).

[9] C. W. Spicer, “Synthesis, characterization, and chemical vapor deposition of transition metal di(tert-butyl)amido compounds,” Ph.D. dissertation (University of Illinois at Urbana- Champaign, 2008).

[10] T. S. Spicer, C. W. Spicer, A. N. Cloud, L. M. Davis, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A, 31, 030604 (2013).

[11] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[12] J. C. Carver, G. K. Schweitzer, and T. A. Carlson, J. Chem. Phys. 57, 973 (1972).

[13] C. D. Wagner, W. M. Riggs, L. E. Davis, J. F. Moulder, and G. E. Muilenberg, Handbook of X-Ray Photoelectron Spectroscopy (Physical Electronics Division, Perkin Elmer Corporation, Eden Prairie, Minnesota, 1979).

[14] C. W. Spicer, G. R. Potratz, L. M. Davis, W. M. Reiff, C. E. Schulz, M. J. Nilges, and G. S. Girolami (unpublished).

[15] J. A. Thornton, J. Vac. Sci. Technol. A 4, 3059 (1986).

[16] A. Yanguas-Gil, N. Kumar, Y. Yang, and J. R. Abelson, J. Vac. Sci. Technol. A 27, 1244 (2009).

67

[17] A. Yanguas-Gil, Y. Yang, N. Kumar, and J. R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

[18] T. Takaoka and I. Kusunoki, Surf. Sci. 412/413, 30 (1998).

[19] M. J. Dresser, P. A. Taylor, R. M. Wallace, W. J. Choyke, and J. T. Yates, Jr., Surf. Sci. 218, 75 (1989).

[20] Z. Liu, J. Tabora, and R. J. Davis, J. Catal. 149, 117 (1994).

[21] B. H. Weiller and S. D. Adamson, J. Electrochem. Soc. 144, L40 (1997).

[22] L.-H. Lai and S.-T. Shiue, Surf. Coat. Technol. 215, 161 (2013).

[23] N. Kumar, W. Noh, S. R. Daly, G. S. Girolami, and J. R. Abelson, Chem. Mater. 21, 5601 (2009).

[24] S. Babar, N. Kumar, P. Zhang, A. C. Dunbar, S. R. Daly, G. S. Girolami, and J. R. Abelson, Chem. Mater. 25, 662 (2013).

[25] J. Choi and E. G. Gillan, Inorg. Chem. 48, 4470 (2009).

[26] P. P. Power, Chem. Rev. 112, 3482 (2012).

[27] H. Taube, Chem. Rev. 50, 69 (1952).

[28] A. Leineweber, R. Niewa, H. Jacobs, and W. Kockelmann, J. Mater. Chem. 10, 2827 (2000).

[29] M. Tabuchi, M. Takahashi, and F. Kanamaru, J. Alloys Compd. 210, 143 (1994).

[30] G. Kreiner and H. Jacobs, J. Alloys Compd. 183, 345 (1992).

[31] K. Suzuki, T. Kaneko, H. Yoshida, Y. Obi, H. Fujimori, and H. Morita, J. Alloys Compd. 306, 66 (2000).

[32] S. Nakagawa and M. Naoe, J. Appl. Phys. 75, 6568 (1994).

[33] M. H. Kane, A. Asghar, C. R. Vestal, M. Strassburg, J. Senawiratne, Z. J. Zhang, N. Dietz, C. J. Summers, and I. T. Ferguson, Semicond. Sci. Technol. 20, L5 (2005).

[34] J. C. Bourgoin, J. W. Corbett, and H. L. Frisch, J. Chem. Phys. 59, 4042 (1973).

68

4.7 Figures

Figure 4.1: SEM cross section of a manganese nitride film grown on a substrate heated to 80 °C. The morphology is clearly columnar, suggesting a growth species with a high sticking coefficient and low surface mobility.

Figure 4.2: SEM cross section of a manganese nitride film with a HfB2 capping layer grown on top.

The HfB2 is grown under conformal conditions to seal the surface and prevent oxidation of the underlying film.

69

1.4x103

1.3x103

1.2x103

1.1x103

1.0x103

Counts per second 9.0x102

8.0x102

7.0x102 404 402 400 398 396 394 392 Binding energy (eV)

Figure 4.3: XPS spectrum of the N region of a manganese nitride film grown on a substrate heated to 80 °C. The peak binding energy is consistent with metal nitride bond energies [12, 13]. The films grown in this process are nitrided even at the growth onset temperature.

70

CHAPTER 5

LOW-TEMPERATURE CVD OF IRON, COBALT, AND NICKEL NITRIDE THIN FILMS FROM BIS[DI(TERT-BUTYL)AMIDO]METAL(II) PRECURSORS AND AMMONIA

Chapter 4 described the growth of η-Mn3N2-x from bis[di(tert-butyl)amido]manganese(II) and ammonia. Using the same methods, related precursors can be synthesized in which the metal center is one of the late transition metals iron, cobalt, or nickel. Here I report low- temperature chemical vapor deposition of iron nitride, cobalt nitride, and nickel nitride thin films from bis[di(tert-butyl)amido]metal(II) precursors and ammonia. Few thin film synthesis routes exist for these materials despite their high utility. In this work, transamination of bis[di(tert- butyl)amido]metal(II) precursors with ammonia is shown to be a general strategy for the growth of metal nitride films. As for manganese nitride growth, deposition occurs at low substrate temperatures – remarkably, as low as room temperature in the case of iron nitride.

This study is a collaborative effort. Luke M. Davis prepared and characterized the precursors and provided ultra-high purity ammonia. I deposited the metal nitride films and conducted the materials analysis. The manuscript that provides the basis of this chapter was written by L. M. Davis and myself.

5.1 Introduction

Late transition metal nitrides are an interesting class of materials with useful mechanical, magnetic, and electronic properties. Realized and potential applications of late transition metal nitrides include tool coatings, magnetic recording media, and battery electrodes [1, 2]. Although bulk samples of late transition metal nitrides have been extensively studied [3, 4], thin films of these materials are difficult to prepare. Magnetron sputtering of ferromagnetic materials can be problematic due to the reduction and destabilization of the magnetic flux near the target, resulting in relatively poor quality films [5, 6]. For this and other reasons, chemical vapor deposition (CVD) represents an attractive alternative to physical vapor deposition (PVD) techniques. High-temperature (> 400 °C) plasma-enhanced CVD techniques have been explored [1], but these techniques restrict the potential applications to those that involve thermally robust substrates. The present work shows that a new family of precursor molecules can provide late transition metal nitride films at growth temperatures as low as 25 °C.

71

* The thermodynamically stable phases of iron nitride are limited to orthorhombic ζ-Fe2N,

hcp ε-Fe3-xN (0 ≤ x ≤ 1), fcc γ'-Fe4N, and solid solutions in bcc α-Fe [1, 8, 9]. Also commonly

encountered is the metastable fcc γ-Fe4N phase (N atoms disordered in the octahedral holes of bcc α-Fe; the related stable γ'-Fe4N phase is ordered) [10].

The earliest interest in metal nitride films was as wear-resistant coatings for steel

tools [1]. FexN phases with 2 ≤ x ≤ 8 exceed pure iron in mechanical hardness and chemical stability and also saturation magnetization [1, 11]. In general, the high saturation magnetization, moderate coercivity, and easy perpendicular magnetization of iron nitrides make them compelling candidates for high density magnetic recording applications [1, 11-13]. The

metastable bct α''-Fe16N2 phase has been reported to have an exceptionally high room-

temperature saturation magnetization of 2050 G, 100 G higher than the Fe0.65Co0.35 alloy found at the apex of the Slater-Pauling curve [14].

Several PVD routes to iron nitride phases have been reported: fcc γ''-FeN films have

been obtained by reactive magnetron sputtering [15, 16], FeN1-x, γ'-Fe4N, and Fe16N2 films have

been grown by molecular beam epitaxy (MBE) [13, 17, 18], a mixture including ε-Fe3N, γ-Fe4N,

Fe16N2, and α-Fe has been deposited by electron cyclotron resonance (ECR) microwave plasma nitriding [11], and films as nitrogen-rich as Fe4N, including Fe16N2, have been obtained by reactive evaporation [12, 14, 19]. CVD methods include various high-temperature (> 400 °C)

and plasma-enhanced routes to afford ζ-Fe2N, ε-Fe2-3N, and γ-Fe4N films [1, 20]. In the only

known example of low-temperature CVD, iron nitride in a mixture of α-Fe and γ'-Fe4N phases

has been deposited from the molecular cluster HFe4(CO)12N at 160 - 180 °C [21]. Although carbon and oxygen concentrations were reported to be low, the film growth self-terminated after ~ 40 nm [21], probably owing to poisoning effects.

Common metastable phases of cobalt nitride are fcc α-Co4N and hcp γ-Co3N; CoN and

orthorhombic δ-Co2N have also been prepared [6, 22]. Cobalt nitride films have a broad range of potential applications. Thin films of Co4N and especially Co3N possess large perpendicular magnetic anisotropy and an easy magnetization axis normal to the film surface [23, 24] and may

be useful for perpendicular magnetization devices [24]. Cobalt nitrides such as CoN, Co3N, and

*In discussing the thermodynamic stability of late transition metal nitrides, it is important to note that most phase diagrams are constructed from the metal in equilibrium with 1 atm of NH3/H2 mixtures having the equivalent fugacity of several thousand atm of N2 [7]. Consistent with this fact, calculations suggest that only those phases of iron nitride with Fe:N ≥ 1.7:1 are thermodynamically stable at room temperature under 1 atm of N2, and no phases of cobalt nitride or nickel nitride are stable [8]. However, high kinetic barriers to nitrogen atom recombination allow for a quite broad compositional range of metastable phases for all three metals.

72

Li2.6Co0.4N have been studied as anode materials for next-generation lithium ion batteries [2, 25-

28]. Li2.6Co0.4N is foremost in the literature; it is promising due to its high reversible capacity of 800 mAh/g [26] (compared with 372 mAh/g for commercially prepared graphite [27]) and

excellent cycle stability [25, 26]. The Co4N phase has also recently been investigated as a microelectronic adhesion layer in copper interconnects, enabled by the close lattice match with

Cu and strong bonding with nitride diffusion barrier materials [29]. The Co4N layer promotes the nucleation of Cu seed layers and provides a stable interface up to 400 °C [29].

Few synthetic routes to cobalt nitride thin films have been reported. Reactive sputtering

of cobalt metal in the presence of N2 has given cobalt nitride thin films, with N contents ranging from dilute solid solutions to CoN [5, 22-24, 30-34]. Pulsed laser deposition from cobalt metal in

a nitrogen environment affords films as nitrogen-rich as Co2N3 [6]. Tetragonal Co2N has been

grown from CoCl2 in the presence of a N2-H2-Ar plasma at 455 °C [35]. To our knowledge, the

only example of thermal CVD is the growth of CoxN (2.3 ≤ x ≤ 6.9) from CVD using bis(N-tert- butyl-N'-ethylpropionamidinato)cobalt(II) in conjunction with a gaseous mixture of NH3 and H2 between 100 and 180 °C [29].

The most common phase of metastable nickel nitride is Ni3N, which exists as an hcp α- form with disordered nitrogen and an hcp β-form with a hexagonal nitrogen superlattice [36]. A

bct Ni2N phase has been characterized, as have fcc, pc, and fct Ni4N phases and one fcc Ni8N phase [36, 37]. Although these phases are kinetically stable at room temperature, all nickel nitrides decompose rapidly at 350 °C in vacuum [37]. Ni3N has attracted interest for use in magnetic memory storage devices [38]. Nickel nitrides, particularly Ni3N, are promising anode materials for lithium ion batteries [39]. Surface nitriding of nickel films affords counter electrodes for dye-sensitized solar cells that approach the performance of platinum [40].

Nickel nitride thin films have typically been prepared by physical means, namely ion implantation [36, 41] and reactive sputtering [37, 42-44]. Annealing α-Ni3N films prepared by ion implantation leads to nitrogen release and successive formation of β-Ni3N, Ni4N, and Ni8N films [36]. Variation in the nitrogen gas pressure during reactive sputtering allows access to

several Ni4N phases and the previously unrecognized Ni2N phase [37]. Ni3N has also been

deposited from NiCl2 in the presence of a N2-H2-Ar plasma at 440 °C [35]. We know of only two

reports of the thermal CVD of a nickel nitride phase. Ni3N deposits from bis(2,2,6,6-tetramethyl-

3,5-heptanedionato)nickel(II) and NH3 between 200 and 300 °C [45]. Smooth, conformal films

of NixN, 3 ≤ x ≤ 15, result from the direct-liquid-injection CVD of bis[N,N'-di(tert-

73

butyl)acetamidinato]nickel(II) in the presence of NH3 between 160 and 200 °C; the stoichiometry can be controlled by varying the ammonia partial pressure [46].

We recently described the low-temperature CVD of η-Mn3N2-x from Mn[N(t-Bu)2]2 in the presence of ammonia [47]. Here, we report our explorations of the deposition of metal nitride

thin films from three other new M[N(t-Bu)2]2 precursors [48-50], where M = Fe, Co, Ni. These precursors deposit films of iron, cobalt, and nickel nitrides in the presence of ammonia, in some cases affording films at room temperature.

5.2 Experimental details

Thin films of late transition metal nitrides are grown by metalorganic chemical vapor deposition (MOCVD) in a cold wall, high vacuum chamber described previously [51]. The base pressure is 8.0×10-7 Torr. The substrate and its holder are heated radiatively by a nearby tungsten filament; the substrate temperature is monitored by a K-type thermocouple mounted on the sample holder.

Films are grown on degenerately doped p-type Si(100) and, in selected cases, on MgO(100). All substrates are cleaned ultrasonically for 10 minutes in successive acetone and isopropanol baths. The silicon substrates are etched in 2 % HF solution to remove the native oxide; these substrates are then rinsed in deionized water, resulting in a hydrogen-passivated surface [52]. Chemically etched samples are inserted into the vacuum chamber within 5 minutes of the etch.

The M[N(t-Bu)2]2 precursors (M = Fe, Co, Ni) are prepared as described previously [48, 49]. The precursors are sensitive to air and moisture, and are handled and stored under argon or in vacuum. Each precursor is purified before use by sublimation, and their identity and purity are confirmed by comparison of the melting point and infrared (IR) absorption spectrum to the values reported for the completely characterized compound [48, 49]. The precursors are contained in a stainless steel reservoir with inlet and exhaust tubes to allow the use of a carrier gas (ultra-high purity Ar, 40 sccm) to improve vapor transport to the substrate. This measure is necessary owing to the low vapor pressure of the precursors at room temperature (estimated to be a few mTorr). To increase precursor transport out of the container, the reservoir is heated in a water bath to between 55 and 100 °C, depending on the precursor in use. The reservoir temperature is selected to exceed the melting point of the precursor but avoid thermal

decomposition. This procedure results in different partial pressures for each M[N(t-Bu)2]2 precursor, owing to the different melting points: 72, 40, and 52 °C for M = Fe, Co, and Ni [48].

74

The growth reaction requires a coflux of NH3 to form the metal nitride film on the substrate. To avoid oxygen-containing contaminants, we purified the ammonia for this study by condensing it into a flask, introducing sodium metal to ensure that the ammonia is thoroughly dry, and then vacuum distilling the product into a separate stainless steel container. Ammonia flow into the deposition chamber is controlled by a series of needle valves. It is necessary to segregate the precursor and the ammonia before their entry into the chamber because these molecules can react in the gas phase.

Films are grown on substrates from room temperature to 300 °C. Chamber pressure is measured with a capacitance manometer. The ammonia partial pressure is varied between 0 and 3 mTorr, which corresponds to an excess of ammonia relative to the flow of precursor. The average chamber pressure during deposition is ~ 5.5 mTorr, the balance of the gas being argon. Because the precursor and ammonia are delivered to the chamber through separate 3.8 mm ID tubes pointed directly at the substrate, the local partial pressures at the surface are considerably larger than indicated by the average background pressure of the chamber.

Film growth rates are determined by measuring film thickness by scanning electron microscopy (SEM) and dividing by the growth time indicated by changes in the optical response of the sample as measured by in situ ellipsometry. Composition is determined primarily by ex situ Auger electron spectroscopy (AES). To prevent oxidation before analysis, a 50 nm-thick

HfB2 diffusion barrier [51] is grown atop the surface of selected films immediately after the

nitride growth and before removal from the deposition chamber. The HfB2 deposition is highly conformal and expected to fill the gaps between film columns to form a continuous, impermeable cap [51]. The capping layer is sputtered away during analysis to reveal the protected nitride film underneath. No difference in metal-to-nitrogen ratio is observed between

HfB2-capped and uncapped films. Uncapped films are heavily oxidized and, due to the columnar morphology of the films, oxygen contamination can extend below the surface of the film.

The deposited films are characterized by ex situ X-ray photoelectron spectroscopy (XPS) using a Physical Electronics PHI 5400 spectrometer. The iron and cobalt nitride films are irradiated by a Mg Kα X-ray source driven at 300 W, whereas the nickel nitride films are probed

by a monochromatic Al Kα source to avoid superposition of the LMM Auger lines of nickel with the nitrogen 1s signal. Unless stated otherwise, XPS is conducted without sputtering into the bulk to avoid the possibility of altering the bonding states by bombardment with energetic ions. The binding energy scale is calibrated by setting the prominent C 1s signal to 285 eV. Fitting

75

the spectra of transition metals is notoriously difficult and there is no unique solution. Therefore we analyze only the binding energy of the N 1s peaks, which can be fit with a high level of confidence.

TOF-SIMS is performed on selected FexN films. Obtaining an absolute calibration of the hydrogen content of the films by TOF-SIMS requires a standard with the same matrix as the film, but there is no straightforward way to ensure that the reference has the same density and morphology except by ion implantation of a sample. None of the films is thick enough to implant H with a spatial distribution suitable for calibration purposes, however.

5.3 Results

5.3.1 Iron nitride

Passage of a mixture of Fe[N(t-Bu)2]2 and NH3 over a H-passivated Si(100) surface

results in the deposition of FexN films at temperatures as low as 25 °C. Growth begins rapidly following the introduction of the precursor into the chamber with little or no nucleation delay. The films have a columnar morphology that remains unchanged up to substrate temperatures of 200 °C, as shown by bright-field TEM and SEM cross sections of iron nitride films grown at 200 °C [Figure 5.1(a)] and room temperature [Figure 5.1(b)].

Composition analysis by AES profilometry indicates that the films have stoichiometries close to Fe4N (FexN, 4.0 ≤ x ≤ 4.25). The Fe:N ratio is invariant (± 5 %) between 25 and 200 °C. The carbon signal in these films is below the instrument detection levels, but there is a small amount of oxygen, likely due to residual gas in the growth chamber. By increasing the precursor flux and thus the film growth rate (to a maximum of ~ 11 nm/min), the oxygen concentration is reduced to ~ 3 at. %. Time-of-flight secondary ion mass spectrometry (TOF- SIMS) shows that there is little difference in the relative hydrogen concentrations in the films grown at 25, 60, 100, and 200 °C.

XPS analysis indicates that the films consist of metal nitride, even at the lowest growth temperature. For a film grown at 25 °C, 95 % of the N 1s signal [Figure 5.2] is due to a component whose peak binding energy of 397 eV is consistent with metal nitride phase [53]. Films grown at 100 °C and higher have only one N 1s peak, which is attributable solely to metal nitride.

As-deposited FexN films on Si(100)-H are X-ray amorphous and do not produce electron diffraction patterns in TEM. Coatings grown on MgO(100) substrates are also X-ray amorphous

76

as deposited, but they crystallize upon annealing at 600 °C in a reducing environment (forming

gas, 95 % Ar, 5 % H2; 760 Torr total pressure). We cannot make a positive identification of the crystalline phase formed, however, because the strong diffraction peaks of the substrate overlap those expected for iron nitrides.

In parallel studies using standard Schlenk techniques, ammonia was condensed onto

solid Fe[N(t-Bu)2]2 at -196 °C and then the mixture was warmed. The precursor reacts with ammonia even below -33 °C, and at room temperature affords a black powder that consists of 77.5 wt. % Fe (ICP-MS), and 10.8 wt. % N, 2.43 wt. % C, and 0.26 wt % H (combustion analysis). The Fe:N atomic ratio is 1.8:1, and only negligible amounts of hydrogen are present. These results are consistent with nitrogen being present primarily as a nitride. XPS analysis of a second batch of powder prepared in the same fashion without exposure to air gives a N 1s peak consistent with metal nitride. The hydrogen content in the powder likely represents an upper bound on the hydrogen content in CVD-grown films.

5.3.2 Cobalt nitride

Growth of cobalt nitride films from Co[N(t-Bu)2]2 proceeds rapidly in the presence of NH3 between 100 and 200 °C. Just as for iron nitride, film growth does not occur in the absence of

ammonia. An SEM cross section of a CoxN thin film grown at 100 °C exhibits a clearly columnar morphology [Figure 5.3(a)], but this compares favorably to the more porous morphology of sputtered films [30]. The growth is not conformal in recessed structures; attempts to grow film in microtrenches are hampered by the shadowing effects characteristic of a highly reactive growth

flux [54]. Because growth requires both Co[N(t-Bu)2]2 and NH3, film growth in an atomic layer deposition (ALD) mode might achieve better conformality.

Under the deposition conditions probed, the film growth rate is ~ 15 nm/min. Although the growth rate is apparently maximized with respect to the precursor flux, in situ ellipsometry

indicates a further increase in growth rate when the NH3 partial pressure is raised above 0.35 mTorr. Growth under even more ammonia-rich conditions may yield significantly higher growth rates than those reported here. As in the case of iron nitride, the substrate temperature does not strongly affect the steady-state film growth rate. At 300 °C, however, there is a long nucleation delay (2 - 4 min) before growth commences.

AES profilometry reveals a composition range that is independent of substrate temperature up to 200 °C. The cobalt-to-nitrogen ratios are between 4.6:1 and 6.0:1. The most N-rich film (70 at. % Co, 15 at. % N) is grown at 200 °C with an ammonia partial pressure of

77

0.25 mTorr. Carbon contamination is only slightly above instrument detection limits at ~ 2 at. %. The ~ 13 at. % oxygen contamination is likely due to the residual gas background of the chamber we employed. Despite the greater deposition rate of cobalt nitride films, oxygen incorporation is significantly higher than in the case of iron nitride.

The N 1s XPS spectrum of a sample grown at 100 °C exhibits a peak energy of 396.9 eV [Figure 5.3(b)] that is consistent with the presence of a metal nitride phase, which is remarkable given the low growth temperature [6, 53]. Interestingly, films grown at 300 °C do not contain nitrogen. As-deposited cobalt nitride films are X-ray amorphous, as observed for other

low temperature CoxN growth processes [6, 33].

5.3.3 Nickel nitride

Nickel nitride grows less readily from Ni[N(t-Bu)2]2 and NH3 than is the case for the iron and cobalt analogues. Film growth does not occur below 150 °C, and only 85 nm is deposited after 30 minutes at 200 °C. The film composition is approximately 81 at. % Ni and 9 at. % N, giving a Ni:N ratio of 9:1. Unlike the iron nitride and cobalt nitride films, some carbon (~ 8 at. %) is present. The oxygen content is below the instrument detection limit. XPS analysis (Figure 5.4) indicates that the binding energy of the nitrogen present is consistent with a metal nitride [53].

5.4 Discussion

The iron, cobalt, and nickel bis[di(tert-butyl)amido]metal(II) precursors all deposit metal nitride films at low temperatures in the presence of ammonia. Growth begins at 25 °C for iron nitride, 100 °C for cobalt nitride, and 150 °C for nickel nitride. The maximum observed growth rates are 11 nm/min for iron nitride, 18 nm/min for cobalt nitride, and 3 nm/min for nickel nitride. (By comparison, the analogous Mn precursor affords manganese nitride at temperatures as low as 80 °C and with growth rates as high as 10 nm/min [47].) The resulting films adhere well to the substrate and have columnar morphologies consistent with high precursor sticking coefficients.

The composition of the films varies with the metal; the metal:nitrogen atomic ratios are

Fe4N, CoxN (4.6 ≤ x ≤ 6), and Ni9N. Preserving the 2+ metal oxidation state of the precursors

would require film stoichiometries of M3N2, thus, all the films have lost nitrogen during growth, presumably as N2.

78

For all three metals, N 1s binding energies in the XPS spectra are consistent with a metal nitride phase at all growth temperatures. This is even true for 95 % of the N 1s signal of

Fe4N deposited at room temperature. Up to 200 °C, the metal-to-nitrogen ratio remains constant for a given metal (the relatively broad range for Co:N does not correlate with temperature or reactant pressure). Although iron nitride films lose nitrogen when they are annealed at 600 °C, the nitrogen content of as-deposited films remains unchanged after several months in ambient conditions.

The purity of the as-deposited metal nitride films varies somewhat as a function of the metal and the deposition conditions. Oxygen incorporation (presumably from residual gases in the deposition chamber) in iron and cobalt nitrides can be suppressed (although not eliminated) by increasing precursor flux and, in turn, the film growth rate. In the slow-growing nickel nitride, oxygen contamination remains below detection limits, but carbon (not a major contaminant for iron or cobalt nitride) reaches ~ 8 at. %. The lack of oxygen in the nickel nitride films may result from a reaction between residual oxygen and nickel carbides, consistent with previous reports of

the production of CO and CO2 from the action of oxygen on carbonaceous nickel at similar temperatures [55-57].

Metal nitride deposition from metal dialkylamides in the presence of ammonia typically proceeds via transamination to produce M-NH2 groups and free dialkylamine, as in titanium nitride growth from tetrakis(dimethylamido)titanium(IV) (TMDAT) [58-60], and as proposed for η-

Mn3N2-x growth from Mn[N(t-Bu)2]2 [47]. The metal amide species evolve to form the metal nitride film, while the amine byproduct leaves the growth surface. The lack of film deposition below 300 °C in the absence of ammonia implies that transamination also initiates the reaction sequence leading to film deposition in the present systems.

The columnar film morphologies are consistent with a process that involves gas-phase precursor species with a high sticking coefficient and surface-bound adsorbates with low mobility [54]. At the mean chamber pressure during growth (~ 5 mTorr) the mean free path is on the order of 1.5 - 150 mm (1.5 mm for pure precursor, 150 mm for pure argon), similar to the distance between the precursor dosing tube outlets and the substrate surface (~ 40 mm). Because the local pressure above the substrate surface is higher than the average chamber pressure, some gas-phase collisions must occur before the precursor arrives at the substrate.

Transamination may thus begin in the gas phase to generate metal-NH2 species, which we would expect to be non-volatile and thus have high sticking coefficients. We cannot, however, rule out the possibility that some of the transamination steps occur on the surface. In either

79

case, the products of the transamination, presumably M(NH2)2 species, are expected to be non- volatile.

The compounds Mn(NH2)2 and Ni(NH2)2 have been structurally characterized in the crystalline state: they are a chain of tetramers [61] and a hexamer [62], respectively. Owing to

their non-monomeric structures, M(NH2)2 species are not likely to be volatile. Interestingly,

M(NH2)2 compounds decompose to metal nitrides with loss of ammonia in vacuum at ~ 120 °C

for Mn(NH2)2 [63], < 25 °C for Fe(NH2)2 [64, 65], 120 °C for Co(NH2)2 [64], and 120 °C for

Ni(NH2)2 [62, 66, 67]. The growth onset temperatures in our studies, 80 °C (Mn) [47], < 25 °C (Fe), 100 °C (Co), and 150 °C (Ni), correlate very well with these temperatures, thus lending

support to our hypothesis that M(NH2)2 species are the intermediates in the metal nitride film growth process and that the onset temperature is determined by the kinetics of the decomposition of these species. The temperature independence of the growth rate between growth onset and 200 °C under our conditions suggests that the deposition is flux-limited above the onset temperature.

The non-zero carbon levels in the nickel nitride films indicate that a second reaction pathway is kinetically accessible at the comparatively high growth onset temperature and low growth rate of this film. One plausible hypothesis involves γ-hydrogen elimination from coordinated di(tert-butyl)amide groups to form metallacycles containing metal-carbon bonds. Such bonds are believed to participate in carbon contamination in TiN deposition from TDMAT in the absence of ammonia [58]. Alternatively, the di(tert-butyl)amine byproduct of transamination might react with the growth surface. XPS studies of the adsorption of alkylamines on nickel surfaces show dissociation or decomposition of the amines at temperatures similar to the present studies [68].

5.5 Conclusions

We have demonstrated that the chemical vapor deposition of Mn, Fe, Co, and Ni nitride films can be accomplished from the di(tert-butyl)amide precursors M[N(t-Bu)2]2 with NH3 at low substrate temperatures – in one case, even at room temperature. The XPS binding energies confirm that the nitrogen is present as nitride. The M:N ratio in the deposited films decreases from Mn to Fe to Co to Ni; all the films are nitrogen-deficient compared with the M3N2 composition that would result if no redox chemistry is taking place. The M:N ratio for each material is robust against variations in substrate temperature and reactant pressures. Carbon

80

contamination in the films is minimal for the iron and cobalt nitride films, but similar to the nitrogen content in the nickel nitride films.

Film growth likely proceeds by means of rapid transamination of the highly reactive bis[di(tert-butyl)amide] precursors with ammonia to afford metal amido fragments with high sticking coefficients and low surface mobilities. The metal amido fragments decompose to form

metal nitride films with a columnar morphology and presumably some N2. Film growth rates are flux limited at all conditions investigated and can be enhanced by increasing the precursor flux. Deposition rates up to 18 nm/min have been realized. As-deposited films are X-ray amorphous but the iron nitride film can be crystallized by annealing it at 600 °C.

Some observations of this study stand out as remarkable. Thermal CVD at room temperature is highly unusual, but we have found that iron nitride grows rapidly at 25 °C. The di(tert-butyl)amido compounds are also able to serve as CVD precursors to manganese [47], cobalt, and nickel nitride phases, for which very few other CVD methods have been described. Thus, the family of di(tert-butyl)amide precursors provides a useful synthetic pathway for late transition metal nitride films that are difficult to produce by other means; the growth conditions are appropriate for deposition on temperature-sensitive substrates.

Acknowledgements

This research was supported by NSF grants CHE 11-12360 and DMR 10-05715. A. N. Cloud is supported by a NSF Graduate Research Fellowship. The film characterization was carried out in the Frederick Seitz Materials Research Laboratory Central Facilities, University of Illinois. Valuable analytical assistance was provided by Dr. Richard Haasch, Dr. Mauro Sardela, and Dr. Timothy Spila. The authors thank Justin L. Mallek for preparing the

Hf(BH4)4 precursor for the HfB2 capping layers and Brian B. Trinh for preparing CoBr2(1,2- dimethoxyethane) used in the synthesis of Co[N(t-Bu)2]2.

81

5.6 References

[1] A. Kafizas, C. J. Carmalt, and I. P. Parkin, Coord. Chem. Rev. 257, 2073 (2013).

[2] S. Dong, X. Chen, X. Zhang, and G. Cui, Coord. Chem. Rev. 257, 1946 (2013).

[3] L. Toth, Transition Metal Carbides and Nitrides (Academic Press, New York, 1971).

[4] S. T. Oyama, Chemistry of Transition Metal Carbides and Nitrides (Blackie Academic & Professional, Glasgow, 1996).

[5] H. Asahara, T. Migita, T. Tanaka, and K. Kawabata, Vacuum 62, 293 (2001).

[6] W. De La Cruz, O. Contreras, G. Soto, and E. Perez-Tijerina, Rev. Mex. Fís. 52, 409 (2006).

[7] S. Malinov, A. J. Böttger, E. J. Mittemeijer, M. I. Pekelharing, and M. A. J. Somers, Metall. Mater. Trans. A 32A, 59 (2001).

[8] P. C. P. Bouten and A. R. Miedema, J. Less Common Met. 65, 217 (1979).

[9] K. H. Jack, Acta Cryst. 5, 404 (1952).

[10] K. H. Jack, Proc. R. Soc. Lond. A 208, 200 (1951).

[11] O. Alvarez-Fregoso, J. A. Chávez-Carvayar, J. A. Juárez-Islas, E. Camps, and J. L. García, Surf. Coat. Technol. 94-95, 255 (1997).

[12] M. Vergnat, Ph. Bauer, H. Chatbi, and G. Marchal, Thin Solid Films 275, 251 (1996).

[13] D. M. Borsa, S. Grachev, D. O. Boerma, and J. W. J. Kerssemakers, Appl. Phys. Lett. 79, 994 (2001).

[14] T. K. Kim and M. Takahashi, Appl. Phys. Lett. 20, 492 (1972).

[15] L. Rissanen, P. Schaaf, M. Neubauer, K.-P. Lieb, J. Keinonen, and T. Sajavaara, Appl. Surf. Sci. 138-139, 261 (1999).

[16] V. Demange, T. H. Loi, P. Weisbecker, and E. Bauer-Grosse, Thin Solid Films 494, 184 (2006).

[17] W. Lin, J. Pak, D. C. Ingram, and A. R. Smith, J. Alloy. Compd. 463, 257 (2008).

[18] M. Komuro, Y. Kozono, M. Hanazono, and Y. Sugita, J. Appl. Phys. 67, 5126 (1990).

[19] Y. Sugita, H. Takahashi, M. Komuro, K. Mitsuoka, and A. Sakuma, J. Appl. Phys. 76, 6637 (1994).

82

[20] N. Takahasi, Y. Toda, and T. Nakamura, Mater. Lett. 42, 380 (2000).

[21] T. P. Fehlner, M. M. Amini, W. F. Stickle, O. A. Pringle, G. J. Long, and F. P. Fehlner, Chem. Mater. 2, 263 (1990).

[22] M. Matsuoka and K. Ono, Appl. Phys. Lett. 49, 1644 (1986).

[23] M. Matsuoka, K. Ono, and T. Inukai, Appl. Phys. Lett. 49, 977 (1986)

[24] M. Matsuoka, K. Ono, and T. Inukai, IEEE Trans. Mag. 23, 2788 (1987).

[25] E. Panabière, N. Emery, S. Bach, J. P. Pereira-Ramos, and P. Willmann, Corros. Sci. 58, 237 (2012).

[26] Y. Takeda, J. Yang, and N. Imanishi, Solid State Ionics 152-153, 34 (2002).

[27] J. B. Ducros, S. Bach, J. P. Pereira-Ramos, and P. Willmann, J. Power Sources 175, 517 (2008).

[28] Y. Takeda, M. Nishijima, M. Yamahata, K. Takeda, N. Imanishi, and O. Yamamoto, Solid State Ionics 130, 61 (2000).

[29] H. B. Bhandari, J. Yang, H. Kim, Y. Lin, R. G. Gordon, Q. M. Wang, J.-S. M. Lehn, H. Li, and D. Shenai, ESC J. Solid State Sci. Technol. 1, N79 (2012).

[30] K. Oda, T. Yoshio, and K. Oda, J. Mater. Sci. 22 2729 (1987).

[31] R. Carey, M. Newman, and B. Holmes, IEEE Trans. Mag. 36, 3012 (2000).

[32] L. Maya, M. Paranthaman, J. R. Thompson, T. Thundat, and R. J. Stevenson, J. Appl. Phys. 79, 7905 (1996).

[33] M. Azuma, M. Kashihara, Y. Nakato, and H. Tsubomura, J. Electroanal. Chem. 250, 73 (1988).

[34] K. Suzuki, T. Kaneko, H. Yoshida, H. Morita, and H. Fujimori, J. Alloys Compd. 224, 232 (1995).

[35] J. Zheng, R. Yang, W. Chen, L. Xie, X. Li, and C. Chen, J. Phys. D. Appl. Phys. 42 185209 (2009).

[36] I. M. Neklyudov and A. N. Morozov, Physica B 350, 325 (2004).

[37] G. J. W. R. Dorman and M. Sikkens, Thin Solid Films 105, 251 (1983).

[38] N. S. Gajbhiye, R. S. Ningthoujam, and J. Weissmüller, Phys. Stat. Sol. A 189, 691 (2002).

83

[39] F. Gillot, J. Oró-Solé, and M. Rosa Palacín, J. Mater. Chem. 21, 9997 (2011).

[40] Q. W. Jiang, G. R. Li, S. Liu, and X. P. Gao, J. Phys. Chem. C 114, 13397 (2010).

[41] D. Vempaire, S. Miraglia, A. Sulpice, L. Ortega, E. K. Hlil, D. Fruchart, and J. Pelletier, J. Magn. Magn. Mater. 272-276, e843 (2004).

[42] S. H. Park, J.-Y. Kim, J. W. Lim, J. Shin, J.-W. Choi, and Y.-E. Sung, 220th ECS Meeting, Abstract #37 (2011).

[43] D. L. Kuznetsov, G. G. Ugodnikov, and I. E. Filatov, Tech. Phys. Lett. 34, 87 (2008).

[44] N. Popović, Ž. Bogdanov, B. Goncić, S. Štrbac, and Z. Rakočević, Appl. Surf. Sci. 255, 4027 (2009).

[45] E. Lindahl, M. Ottosson, and J.-O. Carlsson, 217th ECS Meeting, Abstract #2507

[46] Z. Li, R. G. Gordon, V. Pallem, H. Li, and D. V. Shenai, Chem. Mater. 22, 3060 (2010).

[47] T. S. Spicer, C. W. Spicer, A. N. Cloud, L. M. Davis, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 31, 030604 (2013).

[48] C. W. Spicer, “Synthesis, characterization, and chemical vapor deposition of transition metal di(tert-butyl)amido compounds,” Ph.D. dissertation (University of Illinois at Urbana- Champaign, 2008).

[49] C. W. Spicer, G. R. Potratz, L. M. Davis, W. M. Reiff, C. E. Schulz, M. J. Nilges, and G. S. Girolami (unpublished).

[50] W. M. Reiff, C. E. Schulz, M. H. Whangbo, J. I. Seon, Y. S. Lee, G. R. Potratz, C. W. Spicer, and G. S. Girolami, J. Am. Chem. Soc. 131, 404 (2009).

[51] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[52] H. F. Okorn-Schmidt, IBM J. Res. Dev. 43 (1999) 351.

[53] J. F. Moulder, W. F. Stickle, P. E. Sobol, and Kenneth D. Bomben, Handbook of X-ray Photoelectron Spectroscopy (Perkin-Elmer Corporation, Eden Prairie, Minnesota, 1992).

[54] J. A. Thornton, J. Vac. Sci. Technol. A 4, 3059 (1986).

[55] J. Freel and A. K. Galwey, Trans. Faraday Soc. 63, 404 (1967).

[56] E. G. Keim, F. Labohm, O. L. J. Gijzeman, G. A. Bootsma, and J. W. Geus, Surf. Sci. 112, 52 (1981).

84

[57] R. Sau and J. B. Hudson, Surf. Sci. 102, 239 (1981).

[58] L. H. Dubois, B. R. Zegarski, and G. S. Girolami, J. Electrochem. Soc. 139, 3603 (1992).

[59] B. H. Weiller and S. D. Adamson, J. Electrochem. Soc. 144, L40 (1997).

[60] R. G. Gordon, Electronic Materials Chemistry, H. B. Pogge, Ed. (Marcel Dekker, Inc., New York, 1996).

[61] B. Fröhling, G. Kreiner, and H. Jacobs, Z. Anorg. Allg. Chem. 625, 211 (1999).

[62] A. Tenten and H. Jacobs, J. Less Common Met. 170, 145 (1991).

[63] F. W. Bergstrom, J. Am. Chem. Soc. 46, 1545 (1924).

[64] F. W. Bergstrom, J. Am. Chem. Soc. 46, 2631 (1924).

[65] G. W. Watt and W. A. Jenkins, Jr., J. Am. Chem. Soc. 73, 3275 (1951).

[66] G. S. Bohart, J. Phys. Chem. 19, 537 (1915).

[67] G. W. Watt and D. D. Davies, J. Am. Chem. Soc. 70, 3753 (1948).

[68] K. Inamura, Y. Inoue, S. Ikeda, and K. Kishi, Surf. Sci. 155, 173 (1985).

85

5.7 Figures

Figure 5.1: (a) Bright-field TEM image of an electron-transparent cross section of a Fe4N film grown

at 200 °C on Si(100) and protected by a HfB2 capping layer. The HfB2 is deposited in situ

by CVD; this conformal diffusion barrier prevents the Fe4N from being exposed to the atmosphere after the sample is removed from the vacuum chamber. (b) SEM fracture

cross section of a Fe4N film grown at room temperature (without the HfB2 capping layer). The columnar character of the film is evident.

86

1.8

1.6 ) 3

1.4

1.2

1.0

Counts per second (x10 0.8

0.6 408 406 404 402 400 398 396 394 392 Binding energy (eV)

Figure 5.2: XPS N 1s spectrum of a Fe4N sample grown at room temperature. The peak centered at 397 eV, which represents 95 % of the total N 1s signal, is consistent with metal nitride [53].

87

1.5 )

3 1.4

1.3

1.2

1.1

1.0 Counts per second (x10 0.9

0.8 401 400 399 398 397 396 Binding energy (eV)

Figure 5.3: (a) SEM cross section of a CoxN film grown at 100 °C. The columnar character of the

film is evident. (b) XPS N 1s signal of a CoxN sample grown at 100 °C. The peak binding energy is consistent with metal nitride [53].

88

9.0

) 8.5 2

8.0

7.5

7.0 Counts per second (x10 6.5

402 401 400 399 398 397 396 Binding energy (eV)

Figure 5.4: XPS N 1s signal of a Ni9N sample grown at 200 °C. The peak binding energy of 397.5 eV is consistent with metal nitride [53]. The surface of this sample was sputtered prior to measurement.

89

CHAPTER 6

CVD HAFNIUM DIBORIDE AS A CONTACT MATERIAL FOR NANOELECTROMECHANICAL SWITCHES*

This chapter documents a collaborative effort in partnership with researchers from Stanford University to demonstrate the first use of hafnium diboride thin films as a contact material for nanoelectromechanical (NEM) relay switches. HfB2 is a material of interest for the NEMS community because it is quite hard, chemically resilient, stable at high temperatures, and

electrically conductive. The conformal coating processes developed for HfB2 CVD are conducive to NEMS device manufacturing: very smooth, conformal coatings can be deposited on the often intricate nanoscale structures. Films are deposited by conformal low-pressure chemical vapor deposition onto pre-patterned substrates. Subsequent processing of the coated

samples releases laterally actuated nanoscale polysilicon switches. The HfB2-coated switches tested here demonstrate sharp on-off switching when tested in an inert atmosphere. This on-off behavior is desired for applications requiring energy efficiency; it eliminates the unavoidable leakage current of solid state devices. However, HfB2 films develop an insulating oxide layer when exposed to the atmosphere. The oxide layer can be mitigated by post-deposition etching with a buffered oxide etch solution. Etched samples demonstrate contact resistances comparable to those measured in platinum-coated switches, which have been reported in prior work.

While LPCVD is used in this case to deposit HfB2 film, it should be noted that static CVD, which was developed subsequent to these experiments, would be desirable for future NEMS/MEMS applications. Using SCVD, large batches of samples can be simultaneously coated with identical and precisely controlled film thickness. SCVD can also be used on temperature-sensitive substrates due to the lower achievable growth temperature.

This work was highly collaborative. W.S. Lee et al. at Stanford University prepared the

patterned substrates, conducted the post-HfB2 deposition processing and characterization, and

drafted the manuscript. I deposited the HfB2 films, characterized the film material, developed the oxide etch protocols, and wrote the CVD sections of the manuscript.

*This chapter consists entirely of a manuscript prepared for the Hilton Head 2012 Solid-State Sensors, Actuators, and Microsystems Workshop. The manuscript appears in the event’s technical digest: W. S. Lee, A. N. Cloud, J. Provine, N. Tayebi, R. Parsa, S. Mitra, H.-S. P. Wong, J. R. Abelson, and R. T. Howe, Technical digest of the 2012 Solid-State Sensor and Actuator Workshop, Hilton Head Island, SC, June 3-7, 2012, Transducer Research Foundation, Cleveland (2012). This manuscript has been republished with the permission of the copyright holder.

90

6.1 Introduction

Complementary metal-oxide-semiconductor (CMOS) scaling has resulted in the mass production and proliferation of microelectronics. Recently, power constraints have become a limiting factor to scaling. Scaling the supply voltage requires simultaneous scaling of the threshold voltage to avoid incurring a delay penalty [1]. Threshold voltage scaling, however, leads to an exponential increase in leakage current. A further reduction in CMOS power consumption requires a device that does not suffer from these same scaling limits such as the nanoelectromechanical (NEM) switch. The NEM switch has zero leakage current, highly scalable actuation voltages, and very sharp switching characteristics [2, 3].

A three terminal NEM switch consists of a movable beam electrode, a fixed gate

electrode, and a fixed drain electrode. Initially, the gate-to-beam voltage, VGB, is zero, and the

beam is electrically isolated from the drain so the drain-to-beam current, IDB, is zero. As VGB

increases, the electrostatic force is balanced by the elastic force of the beam. As VGB continues to increase, the beam can no longer balance the electrostatic force so the beam pulls into the drain, and current flows between the drain and beam. This voltage is called the pull-in voltage,

VPI, and the switch is in the ‘on’ state. As VGB decreases, the electrostatic force also decreases.

Due to the reduced gap between the beam and gate the on state, VGB must decrease below VPI

for the beam to pull-out. This voltage is called the pull-out voltage, VPO. A five terminal NEM switch has an additional gate and drain electrode that enables bi-directional switching.

Unfortunately, NEM switches suffer from a long mechanical delay. Hybrid systems that combine CMOS with NEM switches have been proposed that take advantage of the low power characteristics of NEM switches while mitigating their long switching delay. Examples include FPGA routing [4], CMOS power gating [5], and SRAM cells [6]. The FPGA routing application showed substantial power and area reductions without a delay penalty by utilizing switches with 2 kΩ contact resistances [7]. This contact resistance requirement is much higher than the sub- 1 Ω resistances required by RF MEM switch applications [8] and enables the use of harder, more robust contact materials.

We investigate hafnium diboride (HfB2) as a contact material for NEM switches. HfB2 is a conductive ceramic with a hardness, elastic modulus, and melting point of 20 GPa, 400 GPa, and 3250 °C [9], respectively, that can be deposited via chemical vapor deposition (CVD) at back-end-of-line compatible temperatures. Since the deposited film is very conformal, it can be

used to coat trenches and form sidewalls [9]. HfB2 has been shown to have higher scratch resistance with a lower coefficient of friction than titanium nitride [10] and has been used as a

91

protective coating on a silicon tip showing negligible wear after 5 x 109 μm of sliding [11]. Hard coatings are desirable for NEM switches because they can suppress plastic deformation of asperities, which leads to variations in contact area, contact resistance, and surface forces.

6.2 Contact mechanics

NEM switches are actuated either laterally or vertically. We characterize HfB2 with

lateral NEM switches because the fabrication process requires a single HfB2 deposition and two mask layers. The fabrication will be further described in the next section. The shape of the contacting surface between the beam and the drain is defined by the sidewall roughness of the polysilicon reactive ion etch. We approximate the contacting surface as a single asperity with a radius, r, which is on the order of 10 nm. The contact asperity radius contributes to both the

Maxwell resistance, RM, and Sharvin resistance, RS, components of the total contact resistance,

RC. They originate from electron lattice scattering and electron boundary scattering, respectively. For a round asperity that undergoes elastic deformation, RC is given by References 12 and 13:

 λ  1 + 0.83   λ   r  ρ 4ρλ RC = γ  RM + RS = + Eqn. 6.1  r   λ  2r 3πr 2 1 + 1.33   r  where ρ is the resistivity of the material, λ is the electron mean free path, and γ is a fitting function used to transition between the Maxwell and Sharvin resistance regimes. The resistivity

of HfB2 was measured to be 303 μΩ-cm. Since λ is unknown for HfB2, we estimate the contact resistance for two cases. The first case is when the contact asperity is much larger than the electron mean free path (i.e., λ/r ≈ 0). The second case is when the contact asperity is roughly the same size as the electron mean free path (i.e., λ/r ≈ 1). Figure 6.1 shows the contact resistance for each of these cases for contact radii ranging from 1 nm to 50 nm. For a 10 nm radius, the estimated contact resistance is 150 Ω and 250 Ω, for λ/r = 0 and λ/r = 1, respectively. These estimated contact resistances are only based on the contact geometry and do not account for any insulating layer that may appear on the surface of the contact. The measured contact resistance will be higher than these values if there is an oxide or contamination layer on the surface of the contact.

92

6.3 Design and fabrication

The lateral switch fabrication process flow initially follows the methods presented at Hilton Head 2010 [14] where a 1 μm in situ doped polysilicon structural layer is deposited on a 2 μm LTO sacrificial layer and annealed. The polysilicon layer is patterned using a photoresist mask exposed with an i-line stepper. The pattern is transferred to the polysilicon layer using a reactive ion etch (RIE) (12 mTorr, 25 sccm Cl2, 140 sccm HBr, 3 sccm O2). Instead of coating the devices with sputtered platinum as in Reference 14, the devices are coated in CVD HfB2 at

250 °C using Hf(BH4)4 precursor in an ultra high vacuum chamber as described in Reference 15. Figure 6.2 shows an SEM cross section of a typical 30 nm-thick film deposited on a silicon substrate. A contact aligner is used to define the pads for the devices. The HfB2 is etched using a directional, chlorine-based RIE (5 mTorr, 40 sccm BCl3, 10 sccm Cl2, 10 sccm Ar) that

removes the HfB2 from the exposed lateral surfaces and electrically isolates the various

terminals. A continuous layer of HfB2 remains on the device sidewalls and on the photoresist

protected pads of the devices. The photoresist is stripped using an O2 plasma followed by a 10 minute acetone soak and isopropanol rinse. The switches are released by etching the LTO

for 15 minutes in a 5:3 mixture of buffered oxide etch (6 parts 40 % NH4F to 1 part 49 % HF) to glycerin, which obtains a good etch selectivity between the LTO and the HfB2. The switches were dried after the BOE/glycerin etch using a critical point dryer (CPD) to avoid stiction. The final released device is shown in Figure 6.3. The zoomed-in view of the contacting region in

Figure 6.3 shows the high conformality of the HfB2 coating. The sidewall surface roughness is equivalent to the bare polysilicon devices and is much smoother than the sputtered platinum

coated devices [14]. The presence of HfB2 on the sidewalls was confirmed by etching a device

in XeF2 that removed the polysilicon layer without attacking the HfB2 sidewalls as shown in Figure 6.4.

6.4 Results

The switches were tested in a nitrogen glovebox at room temperature using a Keithley

4200 parameter analyzer. The drain-beam voltage, VDB, was set to 1 V, the beam voltage, VB, was set to 0 V, and the current compliance was set to 100 nA to protect the contact from welding and Joule heating. VGB was swept from 0 V to 60 V, and no drain current was

measured with the 1 V VDB. The drain-beam voltage was then increased to 5 V, the VGB sweep was repeated, and the current reached the 100 nA compliance with sharp switching characteristics as shown in Figure 6.5(a). To maintain the current compliance, the parameter analyzer adjusts the voltage on both the beam and drain electrodes. By measuring the voltages

93 required to maintain the current compliance, the voltage across the contact and the contact resistance can be calculated. For these initial devices, the contact resistance was 29.6 MΩ, which is much higher than the predicted contact resistance of 250 Ω. On the subsequent voltage sweep, the current compliance was increased to 1 μA [Figure 6.5(b)] while maintaining the VDB at 5 V. The contact resistance decreased to 171 kΩ. For the third voltage sweep, VDB voltage was decreased to 1 V [Figure 6.5(c)], the 1 μA current compliance was still reached, and the contact resistance decreased to 8.9 kΩ. By passing 1 μA of current through the contact, the contact resistance decreased from 29.6 MΩ to 8.9 kΩ while the minimum voltage across the contact decreased from 3.0 V to 8.9 mV. These results were indicative of an insulating surface film that experienced breakdown with sufficient current flow.

The HfB2 surface modifications were further characterized by performing a voltage sweep on an unpatterned HfB2 film. The current flow was initially very small. When the applied voltage was 2.8 V, breakdown of the surface was observed, and the current increased significantly [Figure 6.6]. A second voltage sweep showed only resistive current flow without any breakdown voltage. These results matched the device results showing where the initial contact voltage was measured to be 3.0 V to observe current flow.

To determine the source of the breakdown voltage, X-ray photoelectron spectroscopy

(XPS) was performed on a sample of HfB2 that had been exposed to air for three months. The analysis confirmed the presence of an oxide layer on the surface. As shown in Figure 6.7(top), approximately 80 % of the Hf signal and 50 % of the B signal have binding energy peaks indicative of oxides. The fabricated switches are exposed to a 15 minute etch in the BOE/glycerin solution to release the devices that could also affect the surface oxide layer.

Therefore, the HfB2 sample was exposed to this same solution for 15 minutes and reanalyzed under XPS. The oxygen content was significantly reduced as shown in Figure 6.7(middle), but it was still present. To further reduce the oxide layer, a 30 s dip in 50:1 HF following the BOE/glycerin etch was added. The oxygen content continued to decrease as shown in Figure 6.7(bottom). The reduced oxygen content is closer to the less than 1 % oxygen content found in the as-deposited film [9]. Based on the XPS results, a 60 s dip in 50:1 HF was added to the release process between the BOE/glycerin step and the CPD step. After the CPD step, the samples are immediately stored in nitrogen. The two probe test was repeated and the breakdown was eliminated [Figure 6.6].

With the added dilute HF dip, the 100 nA current compliance was reached with a 1 V drain bias without requiring high current to breakdown an insulating film [Figure 6.8]. The

94

measured voltage across the contact was 143 μV corresponding to a measured contact resistance of 1.43 kΩ. The switch showed correct operation over five cycles [Figure 6.9].

6.5 Conclusions

HfB2-coated polysilicon switches were fabricated and tested. The switches initially had a contact resistance of 29.6 MΩ, which was attributed to the presence of a surface oxide. By removing this oxide, the contact resistance decreased to 1.43 kΩ, which is close to the 250 Ω calculated contact resistance. The measured contact resistance may be higher due to either the contacting asperity being smaller than the estimated 10 nm or due to the presence of a thin insulating film on the surface of the contact.

These preliminary results show that HfB2 is a promising NEM switch contact material, but further investigation into long term cycling and device statistics are required. Furthermore,

future HfB2 switches should be encapsulated in an oxygen free ambient to prevent oxidation.

Acknowledgements

Fabrication work performed at the Stanford Nanofabrication Facility (member of the National Nanotechnology Infrastructure Network) was supported by the NSF under grant ECS-

9731293. HfB2 film deposition took place at the University of Illinois at Urbana-Champaign. Materials characterization was carried out in part in the Frederick Seitz Materials Research Laboratory Central Facilities, University of Illinois. The work was supported by the DARPA MTO program “Nano Electro Mechanical Computers (NEMS)” (contract number: NBCH 1090002, program manager: Dr. T. Akinwande).

95

6.6 References

[1] V. Kursen and E. G. Friedman, Multi-Voltage CMOS Circuit Design (John Wiley & Sons, Ltd., England, 2006).

[2] F. Chen, M. Spencer, R. Nathanael, C. Wang, H. Fariborzi, A. Gupta, H. Kam, V. Pott, J. Jeon, T.-J. Liu, D. Markovic, V. Stojanovic, and E. Alon, IEEE ISSCC 150 (2010).

[3] K. Akarvardar, D. Elata, R. Parsa, G. C. Wan, K. Yoo, J. Provine, P. Peumans, R. T. Howe, and H.-S. P. Wong, IEDM 299 (2007).

[4] Y. Zhou, S. Thekkel, and S. Bhunia, Proc. ISLPED 14 (2007).

[5] H. Fariborzi, M. Spencer, V. Karkare, J. Jeon, R. Nathanael, C. Wang, F. Chen, H. Kam, V. Pott, T.-J. K. Liu, E. Alon, V. Stojanović, and D. Marković, CICC 1 (2010).

[6] S. Chong, K. Akarvardar, R. Parsa, J.-B. Yoon, R. T. Howe, S. Mitra, and H.-S. P. Wong, ICCAD 478 (2009).

[7] C. Chen, W. S. Lee, R. Parsa, S. Chong, J. Provine, J. Watt, R. T. Howe, H.-S. P. Wong, and S. Mitra, IEEE/ACM Design Automation and Test in Europe, Dresden, Germany, March 2012.

[8] G. Rebeiz, RF MEMS: Theory, Design, and Technology (John Wiley & Sons, Ltd., England, 2004).

[9] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J.- P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[10] A. Chatterjee, N. Kumar, J. R. Abelson, P. Bellon, and A. A. Polycarpou, Wear 265, 921 (2008).

[11] N. Tayebi, A. Yanguas-Gil, N. Kumar, Y. Zhang, J. R. Abelson, Y. Nishi, Q. Ma, and V. R. Rao, Appl. Phys. Lett. 101, 091909 (2012).

[12] B. Nikolić and P. B. Allen, Phys. Rev. B 60, 3963 (1999).

[13] B. D. Jensen, L. L.-W. Chow, K. Huang, K. Saitou, J. L. Volakis, and K. Kurabayashi, J. Microelectromech. Syst. 14, 935 (2005).

[14] R. Parsa, S. Chong, N. Patil, K. Akarvardar, J. Provine, D. Lee, D. Elata, S. Mitra, H.-S. P. Wong, and R. T. Howe, technical digest of the 2010 Solid-State Sensor and Actuator Workshop, Hilton Head Island, SC, June 6-10, 2010, Transducer Research Foundation, Cleveland, 7 (2010).

96

[15] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

97

6.7 Figures

] 1000 Ω [ C 800 λ/r=0 600 λ/r=1

400

200 ContactResistance, R 0 0 10 20 30 40 50 Asperity Radius, r [nm]

Figure 6.1: Contact resistance, RC, for HfB2 as a function of contact radius for λ/r ≈ 0 (i.e., contact asperity radius is much larger than the electron mean free path) and λ/r ≈ 1 (i.e., the contact asperity radius is approximately the same size as the electron mean free path).

30 nm

300nm

Figure 6.2: 30 nm of HfB2 film with sub-nanometer surface roughness deposited on crystalline silicon by chemical vapor deposition.

98

Beam

Gate 1 Gate 2

1µm Drain 1 Drain 2 5µm

Figure 6.3: (left) SEM image of an actuated HfB2-coated relay in the ‘on’ position. (right) Close view of the contact region.

5µm 1µm

Figure 6.4: (left) Wide view of an HfB2-coated switch that was etched with XeF2 to remove the

polysilicon and confirm the presence of HfB2. (right) A close view reveals very smooth

HfB2 sidewalls.

99

1.0E-6 100nA

1.0E-8 [A] D I 1.0E-10

1.0E-12 0 15 30 45 60 V [V] GB (a)

1.0E-6 1µA 1.0E-8 [A] D I 1.0E-10

1.0E-12 0 15 30 45 60 V [V] GB (b)

1.0E-6 1µA

1.0E-8 [A] D I 1.0E-10

1.0E-12 0 15 30 45 60 V [V] GB (c)

Figure 6.5: (a) Initial I-V sweep of HfB2-coated relay that requires high drain voltage to break down

insulating film (VDB = 5V, current compliance = 100 nA). (b) Subsequent I-V sweep with

increased current compliance (VDB = 5 V, current compliance = 1 µA). (c) Subsequent

sweep with decreased drain voltage with the higher current maintained (VDB = 1 V, current compliance = 1 µA). Insulating film broken down at contact by high voltage and

high current. Actual VDB were less than the setpoint to maintain current compliance.

100

1.0E-1 Sweep 2 1.0E-1 ] ] [A 5.0E-2 [A 5.0E-2 DS DS I I Sweep 1 Sweep 1 0.0E+0 0.0E+0 0 1 2 3 4 5 0 1 2 3 4 5 V [V] V [V] DS DS

Figure 6.6: (left) I-V characteristics of HfB2 film prior to HF dip and (right) after 30 s dip in 50:1 HF.

Two probes were placed on an HfB2 pad, and the voltage between them was swept.

Figure 6.7: (top) XPS spectra of a HfB2 film that was exposed to air for 3 months. (middle) Same film after 15 minute etch in glycerin/BOE solution. (bottom) Same film after 15 minute etch in glycerin/BOE and 30 s dip in 50:1 HF. The oxygen content of the surface layer is significantly reduced by the etching steps.

101

1.0E-06 100nA

1.0E-08 [A] D

I 1.0E-10

1.0E-12 0 10 20 30 40 50 VGB[V]

Figure 6.8: I-V characteristics of HfB2-coated relay treated with a 60 s etch in 50:1 HF. Required

drain voltage is reduced (VDB = 1 V) without requiring an initial high drain voltage sweep.

-6 10

Sweep 1 Sweep 2 -8 10 Sweep 3 Sweep 4

[A] Sweep 5 D I -10 10

-12 10 0 10 20 30 40 50 V [V] GB

Figure 6.9: Five cycles of a HfB2-coated switch with VDB set to 1 V with 100 nA current compliance. The contact resistance was measured to be 1.43 kΩ based on the measured drain-to- beam voltage when actuated.

102

CHAPTER 7

SHEAR STRENGTH MEASUREMENTS OF HAFNIUM DIBORIDE FILMS GROWN ON Si(100) BY LOW-TEMPERATURE CHEMICAL VAPOR DEPOSITION

This chapter is product of collaboration with Professor Andreas Polycarpou’s group.

HfB2 is an interesting material for tribology applications due to its unique combination of mechanical properties. The development of hard, wear-resistant coatings is incredibly complex and, in fact, the performance and optimization of such coatings is not fully understood.

This study investigates an important hard coating parameter – how well the film adheres to the substrate. Determining the adhesion and shear strength is quite complex, but understanding the adhesion behavior is greatly beneficial to the development of new coatings. Coating failure by delamination is common and can result in a catastrophic failure of the coated part.

The work presented here is a collaborative effort between Dr. Jungkyu Lee, Dr. Kyriaki Polychronopoulou, Prof. Polycarpou, Prof. Abelson, and myself. I prepared the substrates,

deposited the HfB2 films, and conducted post-growth annealing. Drs. Lee and Polychronopoulou characterized the samples by conducting nanoindent and nanoscratch experiments and analyzing the data. Drs. Lee and Polychronopoulou wrote the manuscript from which the following text is derived. I have edited the manuscript here to enhance clarity. Further details of the analysis can be found in Reference 1.

7.1 Introduction

Thin hard coatings are in common use as protective layers to preserve the integrity of the underlying bulk material in order to increase its useful lifetime and operating efficiency [2, 3]. Hafnium diboride, a metallic ceramic material, exhibits attractive properties for microelectronic and hard coating applications. HfB2 has a high melting temperature of 3250 °C, high bulk hardness of 29 GPa, and a metal-like bulk resistivity of 15 μΩ-cm [4, 5]. Jayaraman et al. demonstrated a low-temperature chemical vapor deposition (CVD) process that produces stoichiometric thin films of HfB2 [6]. Subsequently, Chatterjee et al. [7-9] measured the hardness, elastic modulus, nanoscale friction coefficient, and nano- and macro-scale wear

response of HfB2 thin films. Annealed HfB2 films were found to be superhard (H = 43 GPa) and wear resistant [7, 8], but as-deposited films suffered from sudden failure by delamination [7-9].

103

The shear and adhesion strength of the film-substrate interface is a critical factor in the complete tribological performance of the system [10-13]. Weakly-adhered films are at risk of catastrophic delamination. While it is desirable to quantitatively measure film adhesion, the behavior of layered surfaces is not currently fully understood.

In this work CVD HfB2 thin films on Si(100) are studied in order to advance the understanding of adhesion and shear strength of this system. These parameters are measured using the nanoscratch technique with a conospherical tip. Hardness, elastic modulus, and friction coefficient are measured as well.

Many methods exist for evaluation of film adhesion; this is a reflection of both the importance of this property and the significant limitations of each technique. Nanoscratch provides an excellent way to measure film adhesion; the sharp tip of the sliding indenter produces sufficient stress to exceed the interfacial strength of even well-adhered films.

7.2 Experimental methods

7.2.1 HfB2 film deposition

HfB2 thin films are grown on Si(100) substrates by low-pressure chemical vapor deposition (LPCVD) from the single-source precursor tetrakis(tetrahydroborato)hafnium,

Hf(BH4)4. A detailed description of the CVD process was previously reported by Jayaraman et al. [6]. All substrates are cleaned in an ultrasonic bath for 20 minutes – 10 minutes in acetone and 10 minutes in isopropyl alcohol. The samples are subsequently rinsed with de-ionized water and then blown dry with nitrogen before being loaded in the deposition chamber. The base pressure of the chamber is 1×10-6 Torr. Films are grown at substrate temperatures ranging from 300 to 400 °C. For conciseness, films that have been deposited at 300 and 400 °C at 0.075 mTorr precursor pressure will be denoted heretofore as Film A and Film B, respectively. Films deposited at 300 °C with 0.95 mTorr of precursor pressure will be denoted as Film C.

7.2.2 Film annealing

Subsequent to the film deposition, half of each sample (Films A, B, and C) is annealed in a tube furnace at ≥ 700 °C for 1 hour. These films have been shown to crystallize upon annealing at temperatures greater than 600 °C [14]. Annealing is conducted under a reducing atmosphere (forming gas, 95 % Ar, 5 % H2) to prevent oxidation. The remaining half of the samples are left in as-deposited condition.

104

7.2.3 Film morphology and roughness assessment

Scanning electron microscopy (SEM) is used to characterize the film morphology, measure film thickness, and examine the nanoscratch tracks. Atomic force microscopy (AFM) is used to measure the surface roughness of the films.

7.2.4 Nanoindentation

Film hardness, H, and reduced elastic modulus, Er, are measured by nanoindentation using the procedure described by Oliver and Pharr [15]. A cube corner indenter with a tip radius of 50 nm is used. In order avoid convolution of the film properties with those of the substrate, indentation depths are limited to less than 50 nm.

7.2.5 Nanoscratch

Nanoscratch experiments are performed with a conospherical tip (60° included angle, 5 μm radius of curvature) driven by a 3D Omniprobe that is able to exert a significantly higher normal force than standard capacitive transducers. High force is necessary to induce stress sufficient to cause delamination of the coatings. Peak applied loads range from 90 mN to 160 mN. The scratch length is 100 μm and the horizontal tip velocity is 1 μm/s.

7.3 Results and discussion

7.3.1 Morphology and thickness characterization with SEM and AFM

Figure 7.1 shows cross-sectional SEM micrographs of representative HfB2 thin films grown on Si(100) substrates. The film morphology is dependent on the deposition conditions. The precursor is weakly reactive at low temperatures (< 300 °C), resulting in a low effective sticking coefficient. Low sticking coefficients are associated with the growth of smooth and conformal films [16]. Self site-blocking effects further reduce the effective sticking coefficient at high precursor pressures. The combined effect of these phenomena is demonstrated in Figure 7.1(e); this film, grown at 300 °C under a relatively high precursor pressure of 0.95 mTorr, is glassy and smooth (0.79 nm RMS roughness). At higher temperatures, the precursor reacts more readily on the substrate surface and the film becomes more columnar and coarse. The films grown at 400 °C, shown in Figure 7.1(c) and (d), have an especially columnar character. A complete record of deposition conditions and measured film properties is presented in Table 7.1.

105

7.3.2 Hardness and reduced modulus of HfB2 films

Average values of hardness and elastic modulus obtained for films A, B, and C below a contact depth of 30 nm are summarized in Table 7.1. The highest hardness and modulus values are obtained from the annealed Film C (32.7 and 225.6 GPa, respectively), which also possesses the densest morphology. While the maximum hardness value is significantly lower than that measured by Chatterjee et al. (43 GPa) [8], it is still much higher than the hardness of

bulk HfB2. There are multiple explanations for the discrepancy in the hardness values between the current and former studies – Chatterjee et al. examined films grown under different deposition conditions than those explored here [8] and it is difficult to reliably measure hardness values in this range using contemporary techniques. Annealing increases the hardness and elastic modulus of all films, but the effect is minor for Films A and B while it is significant for Film C.

7.3.3 Shear and adhesion strength measurements by scratch testing

The nanoscratch experiments explore the properties of four samples prepared expressly for this experiment. The films described above are synthesized under conditions selected to yield films of practical thickness for measuring their mechanical properties by indentation. For the scratch experiments another separate set of synthesis conditions is explored to investigate adhesion properties. The films presented above were used as a foundation for tailoring the adhesion of the new ones. The nanomechanical properties of these new films are reported in Table 7.2. Films D and E are grown at 350 °C and 300 °C, respectively, under a precursor pressure of 0.06 mTorr. In order to ensure solid bonding to a known substrate, the Si(100) is chemically etched with 2% HF solution to remove the native oxide layer. The samples are rinsed with deionized water, leaving a hydrogen-terminated surface [17]. In addition, a smooth nucleation layer is ensured by starting the growth with a small (~ 0.03 mTorr) partial pressure of

NH3. NH3 has been shown to be a growth inhibitor that acts preferentially on film islands, resulting in increased nucleation density and smoother films [18]. Again, one half of each sample is left as-deposited while the other is annealed at 700 °C for 1 h. Hardness and elastic modulus results for these films are presented in Table 7.2. These results are comparable to the values reported for the first set of films.

The nanoscratch method used here consists of sliding a sharp diamond tip across the surface of the film in order to initiate coating delamination. Delamination onset occurs when the force exerted by the tip’s movement in the vertical and horizontal directions exceeds some

critical load, Pc, and induces coating failure. Delamination is the prevailing failure mechanism in

106 the initial stages of detachments, but, as the tip penetrates more deeply, the plowing mechanism dominates.

The shear strength of the film-substrate interface, τc, is calculated using Equation 7.1, where H is the substrate hardness and r is the tip radius. This relation is useful when the substrate deforms plastically.

H ⋅ P τ = c Eqn. 7.1 [19, 20] c  P  π r 2 − c   π ⋅ H 

The adhesion strength, σa, is calculated using Equation 7.2

2P  3πµ  σ = c ( +ν ) − ( − ν ) Eqn. 7.2 [19] a 2  4 s 1 2 s  πd c  8  where dc is the width of the scratch track, μ is the coefficient of friction of the film, and νs is Poisson’s ratio for the silicon substrate (0.28). The calculated shear and adhesion strengths of the films are listed in Table 7.2, along with the measured values used to calculate them.

The as-deposited films exhibit very similar values of shear (~ 4 GPa) and adhesion strength (1.1 GPa) despite the difference in deposition temperature and significant variation of hardness. Both of the annealed samples have significantly higher adhesion strengths than their as-deposited analogues. The maximum shear strength, 4.9 GPa, is encountered for the annealed Film D grown at 350 °C. The maximum adhesion strength, 3.5 GPa, occurs in the annealed Film E grown at 300 °C. Curiously, this film also has the lowest shear strength of all the samples. The increase in adhesion strength appears to be positively correlated with the annealing process. Adhesion is known to be dependent on the residual stress state of the films [21], something that is at play here due to crystallization and subsequent shrinking of the film.

Microscopy investigation of the nanoscratch wear track confirms that delamination is the

main failure mechanism in both as-deposited and annealed HfB2 films.

Accurately evaluating the performance of a tribological film material is quite difficult without actually subjecting samples to the precise environment in which they will be used. Obtaining empirical results for an array of samples from real-world processes is impractical, thus some metrics are needed in order to evaluate potential candidate films and understand the relationships between materials processing conditions and functional performance. These metrics should be useful for selecting promising coatings. In this work we evaluate film

107 hardness, elastic modulus, and coefficient of friction; these values are indeed useful for identifying, to a first approximation, which materials and processing conditions are worthy of further study.

Nevertheless, these basic measurements are not sufficient to draw specific conclusions regarding the complete tribological performance. For that, further understanding of the physical and chemical mechanisms that govern the behavior of the film-substrate system are required. This understanding must include knowledge of the vital role of interfacial adhesion strength, a parameter that is currently difficult to investigate yet has a profound effect on the durability of a coated material under load.

7.4 Conclusions

A critical factor in the efficacy of wear-resistant thin films is their adhesion and shear strength at the film-substrate interface. Poor adhesion can result in delamination and catastrophic component failure when subjected to a load. Unfortunately, the evaluation of the film-substrate adhesion is difficult and the behavior of layered surfaces is not entirely

understood. In this work, we have investigated the tribological behavior of HfB2 thin films grown on Si(100) by low-temperature CVD. A nanoscratch method was employed to measure the adhesion strength of the films. The mechanical properties of these films – hardness, modulus, and surface roughness – were also measured as a function of deposition conditions. The highest hardness measured was 36.5 GPa; this value was obtained from a film deposited at a substrate temperature of 300 °C and precursor pressure of 0.95 mTorr and subsequently crystallized by annealing at 700 °C for 1 hour. By increasing the precursor pressure, smooth films with an RMS roughness as low as 0.79 nm are obtainable. The morphology and mechanical properties of the films can be controlled by varying the film growth parameters. Tribological analysis of deposited films can help guide optimization of the coating process. Adhesion and shear strengths of 1.1 GPa and 4.5 GPa, respectively, were measured on an as- deposited film sample; the values for annealed films were 3.5 GPa and 3.7 GPa, respectively.

108

7.5 References

[1] J. Lee, “Tribological studies of micro/nanoscale thin solid films,” Ph.D. dissertation (University of Illinois at Urbana-Champaign, 2012).

[2] J. Malzbender, J. M. J. den Tooder, A. R. Balkenende, and G. de With, Mater. Sci. Eng., R 36, 47 (2002).

[3] K. Holmberg and A. Matthews, Coating Tribology: Properties, Mechanisms Techniques and Applications in Surface Engineering (Tribology and Interface Engineering Series, 56, Elsevier, 1994).

[4] J. Castaing and P. Costa, J. Phys. Chem. Solids. 33, 533 (1972).

[5] R. Kieffer and F. Benesovsky, Harstoffe (Springer-Verlag, 1963).

[6] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[7] A. Chatterjee, S. Jayaraman, J. E. Gerbi, N. Kumar, J. R. Abelson, P. Bellon, A. A. Polycarpou, and J. P. Chevalier, Surf. Coat. Technol. 201, 4317 (2006).

[8] A. Chatterjee, N. Kumar, J. R. Abelson, P. Bellon, and A. A. Polycarpou, Wear 265, 921 (2008).

[9] A. Chatterjee, N. Kumar, J. R. Abelson, P. Bellon, and A. A. Polycarpou, Tribol. T. 53, 731 (2010).

[10] K. Holmberg, A. Matthews, and H. Ronkainen, Tribol. Int. 31, 107 (1998).

[11] K. Holmberg, H. Ronkainen, and A. Matthews, Ceram. Int. 26, 787 (2000).

[12] V. Imbeni, C. Martini, E. Lanzoni, G. Poli, and I. M. Hutchings, Wear 251, 997 (2001).

[13] I. A. Polonsky and L. M. Keer, Trans. ASME 122, 30 (2000).

[14] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J. P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[15] W. C. Oliver, J. Mater. Res. 7,1564 (1992).

[16] J.A. Thornton, J. Vac. Sci. Technol. A 4, 3059 (1986).

[17] H. F. Okorn-Schmidt, IBM J. Res. Dev. 43, 351 (1999).

109

[18] S. Babar, N. Kumar, P. Zhang, J. R. Abelson, A. C. Dunbar, S. R. Daly, and G. S. Girolami, Chem. Mater. 25, 662 (2013).

[19] P. Benjamin and C. Weaver, Proc. R. Soc. A, 254, 163 (1960).

[20] I. A. Ashcroft and B. Derby, J. Mater. Sci. 28, 2989 (1993).

[21] W. Herr and E. Broszeit, Surf. Coat. Technol. 97, 669 (1997).

110

7.6 Figures and tables

Table 7.1: Deposition conditions and measured properties of HfB2 films.

o Tsub ( C) Pprecursor (mTorr) t* (nm) Rq** (nm) H (GPa) Er (GPa)

Film A, as-deposited 300 0.075 310 6.13 12.4 184.7

Film A, annealed 300 0.075 307 11.98 13.0 226.0

Film B, as-deposited 400 0.075 162 12.20 7.6 140.2

Film B, annealed 400 0.075 162 4.37 7.7 110.8

Film C, as-deposited 300 0.95 125 NA 22.4 219.7

Film C, annealed 300 0.95 125 0.79 32.4 227.0

*measured by SEM **measured by AFM

Table 7.2: Measured properties from nanoscratch and nanoindentation studies.

o Tsub ( C) P (mTorr) t* (nm) Pc (mN) dc* (um) μ H (GPa) Er (GPa) σα (GPa) τc (GPa)

Film D, as-deposited 350 0.06 227 83.5 9.3 0.13 13.8 189.1 1.1 4.0

Film D, annealed 350 0.06 227 104.3 5.2 0.14 16.5 190.6 2.5 4.9

Film E, as-deposited 300 0.06 200 100.6 13.0 0.13 21.5 226.1 1.1 4.5

Film E, annealed 300 0.06 200 70.4 11.1 0.21 36.5 244.4 3.5 3.7

*measured by SEM **measured by AFM

111

Figure 7.1: Fracture cross section SEM micrographs of HfB2 films grown by low-temperature CVD on Si(100) substrates. (a) Film grown at 300 °C with a precursor pressure of 0.075 mTorr for 7.5 minutes, yielding a thickness of ~ 310 nm. (b) This film was then annealed at

700 °C for 1 hour in a reducing atmosphere (95 % Ar, 5 % H2). (c) and (d) are reproductions of (a) and (b), but they were grown at 400 °C. The film thickness is ~ 160 nm. (e) This film was grown at 300 °C at >10 times the precursor pressure (0.95 mTorr). This sample was subsequently annealed at 800 °C for 1 hour under a reducing atmosphere. Films grown under low precursor pressure have a distinctly columnar morphology. The columnar nature is even more apparent at high growth temperatures. Increasing the pressure yields smoother, glassier films as in (e).

112

CHAPTER 8

MECHANICAL PROPERTY EVALUATION OF HAFNIUM DIBORIDE-BASED THIN FILMS

In Chapter 7 the mechanical properties of HfB2 films grown by low-pressure CVD under a variety of processing conditions were measured. This chapter briefly explores measurements of the same properties, but for radically different films. Here, the hardness, elastic modulus, friction coefficient, wear and elastic recovery, and shear strength are measured for a HfB2 film grown by SCVD and two films alloyed with nitrogen during LPCVD. One of these nitride films is

a single layer of HfBN and the other is a HfBN/HfB2 multilayer.

This work is a collaborative effort with Prof. Andreas Polycarpou and his students Dr. Jungkyu Lee and Shahla Chowdhury. I grew the films and conducted the material microanalysis while Dr. Lee and Mrs. Chowdhury performed the mechanical measurements. A more detailed description of the mechanical measurements can be found in Reference 1.

8.1 Film deposition and annealing procedure

As discussed in Chapter 2, SCVD can produce stoichiometric thin films of HfB2 in a batch process that is conducive to industry. Hard tool coating is one possible application for this

growth mode. However, because SCVD HfB2 films are grown under conditions that differ radically from those explored by Jayaraman et al. [2], the mechanical properties are not well known. In this study, a SCVD HfB2 film grown on Si(100) at 200 °C is tested and compared to two films alloyed with nitrogen. The nitrogen-containing films are grown in the standard vacuum system previously used in References 2 and 3.

A HfBN film is deposited by growing HfB2 on a substrate heated to 400 °C with a 0.275 mTorr partial pressure of ammonia. At substrate temperatures above 350 °C, ammonia

decomposes on the growth surface and adds nitrogen to the film [4]. A HfBN/HfB2 multilayer

film is synthesized by introducing ammonia at regular intervals during the HfB2 growth. The sample studied here consists of 4 bilayers – each HfB2 layer is approximately 100 nm-thick and is separated by a ~20 nm-thick layer of HfBN. The terminal surface of the multilayer film is HfBN. Film deposition parameters are recorded in Table 8.1. Electron micrograph cross sections of the films are presented in Figure 8.1.

All films are X-ray amorphous as deposited. Subsequent to the film deposition, half of each sample is annealed in a tube furnace at ≥ 700 °C for 1 hour. These films have been shown to crystallize upon annealing at temperatures greater than 600 °C [2]. Annealing is

113 conducted under a reducing atmosphere (forming gas, 95 % Ar, 5 % H2) to prevent oxidation. The remaining half of the samples are left in ‘as-deposited’ condition.

8.2 Roughness characterization

The root mean square (RMS) roughness of the films is measured by examining ten 5 ˣ 5 μm areas for each film using contact mode atomic force microscopy (AFM). The values are reported in Table 8.1.

Pure HfB2 exhibited the lowest surface roughness in both as-deposited and annealed cases (2.6 and 2.2 nm, respectively). HfBN is considerably less smooth in the as-deposited case (5.4 nm) and becomes rougher still after annealing (7.6 nm). This is opposite of the trend

for HfB2. The HfBN/HfB2 multilayer sample, which has a terminal surface of HfBN, exhibits an as-deposited roughness very similar to the single HfBN film (5.7 nm). However, the multilayer

becomes smoother after annealing. The annealing crystallizes the HfB2 material, but the mechanisms for smoothing and roughening are not obvious from this data.

8.3 Film hardness and reduced modulus measurement by nanoindentation

Film hardness and elastic modulus are measured by multiple nanoindents using a Berkovich tip. Indent depth is limited to 20 % of the film thickness to avoid convolution of the film properties with that of the underlying substrate. Averaged values for the hardness and modulus of the examined films are presented in Figures 8.2 and 8.3, respectively.

The highest values of hardness and elastic modulus belong to the annealed HfB2 film (21.6 and 219.5 GPa, respectively). This film is significantly softer than the dense annealed

LPCVD HfB2 grown at 300 °C reported in Chapter 7. While the SCVD films appear dense in electron micrograph cross sections, they contain significantly more hydrogen due to slower rejection from the surface at the low SCVD growth temperatures. SCVD films may be less dense than those grown at slightly higher temperatures under dynamically pumped conditions.

The inclusion of nitrogen results in somewhat softer films (14.6 and 12.9 GPa for

annealed HfBN and HfBN/HfB2, respectively). All annealed films exhibit higher values of hardness and elastic modulus.

8.4 Friction coefficient, wear recovery, and shear strength by nanoscratch

The microscale friction coefficient (COF) and wear recovery are measured by nanoscratch using a conospherical tip (870 nm radius). The coefficient of friction is measured

114 under constant loads of 100, 200, and 350 μN with a sliding velocity of 0.3 μm/s. The results are presented in Figure 8.4. The COF increases with applied load for all films tested. In all cases, the COF is on the order of 0.1. In general, annealed samples exhibit lower COF than as- deposited films. The lowest COF is observed for the annealed HfBN film.

Subsequent to the nanoscratch procedure, the wear scars are scanned to measure the wear depth and estimate elastic recovery of the film. Figures 8.5 and 8.6 display the wear depths and elastic recoveries of the films under a load of 200 μN and 350 μN, respectively. As- deposited films exhibit a fairly consistent recovery ca. 35 %, but the recovery of the annealed films varies greatly with composition. Notably, annealed films containing HfBN, whether a single layer or multilayer, demonstrated very high wear recovery (up to 86 %).

The shear strengths of the films analyzed here are calculated using the same procedure presented in Chapter 7. The calculated shear strengths for the films (and the critical loads used to calculate them) appear in Table 8.1. For all films, annealed samples exhibit nearly twice the shear strength of as-deposited films. The highest shear strength is calculated for the annealed HfBN (5.96 GPa). Overall, the values match well with those measured in Chapter 7.

115

8.5 References

[1] S. Chowdhury, “Molecularly thin rheological and contact interfaces,” Master of Science thesis (University of Illinois at Urbana-Champaign, 2013).

[2] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J. P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[3] A. Chatterjee, S. Jayaraman, J. E. Gerbi, N. Kumar, J. R. Abelson, P. Bellon, A. A. Polycarpou, and J. P. Chevalier, Surf. Coat. Technol. 201, 4317 (2006).

[4] N. Kumar, W. Noh, S. R. Daly, G. S. Girolami, and J. R. Abelson, Chem. Mater. 21, 5601 (2009).

116

8.6 Figures and tables

Table 8.1: Deposition parameters, film thickness, RMS roughness, critical load, and shear strength of the tested films.

o Tsub ( C) Pprecursor (mTorr) t* (nm) Rq** (nm) Pc (mN) τc (GPa)

3 HfB2, as deposited 200 1.5ˣ10 ~100 2.56 48 2.66

3 HfB2, annealed 200 1.5ˣ10 ~100 2.18 74 5.41

HfBN, as deposited 400 0.05 102 5.38 49 3.24

HfBN, annealed 400 0.05 102 7.63 121 5.96

HfBN/HfB2, as deposited 400 0.05 640 5.71 53 2.78

HfBN/HfB2, annealed 400 0.05 640 4.7 91 4.80

*measured by SEM **measured by AFM

117

Figure 8.1: Electron micrograph cross sections of the films studied. (a) Representative image of a

HfB2 film grown by SCVD at 200 °C. (b) Single-layer HfBN film grown at 400 °C under a

0.275 mTorr partial pressure of ammonia. (c) HfBN/HfB2 multilayer consisting of 4 bilayers (8 layers total). The terminal surface is HfBN. This sample is created by intermittently exposing the growth surface to ammonia. The layered structure can clearly be seen in (d), a bright-field TEM cross section of the same film.

118

annealed - annealed annealed AD HfB2 AD HfBN - HfBN - HfBN - AD - HfB2/ HfBN - HfB2/ HfB2

Figure 8.2: Film hardness measured by nanoindentation with a Berkovich tip. The indent depth is restricted to 20 % of the film thickness to avoid convolution of the film properties with that of the underlying substrate. annealed - annealed AD annealed AD HfB2 HfBN - HfBN - AD - HfBN - HfBN - HfB2/ HfB2/ HfB2

Figure 8.3: Film reduced modulus measured by nanoindentation with a Berkovich tip.

119

Figure 8.4: Measured microscale friction coefficient under different loads ranging from 100 to 350 μN. The COF was measured by nanoscratch with a conospherical tip sliding at 0.3 μm/s.

31.2% 32.6% 38.9% 9.5% 62.3% 76.3%

HfB2 HfB2 HfB2/ HfB2/ HfBN HfBN AD Anne HfBN HfBN AD Anne aled AD Anne aled aled

Figure 8.5: In situ wear scar depth and residual depth subsequent to nanoscratch with a conospherical tip under a 200 μN load.

120

39.9% 39.3% 39.2% 31.3% 52.1% 86.9%

HfB2 HfB2 HfB2/ HfB2/ HfBN HfBN AD Anne HfBN HfBN AD Anne aled AD Anne aled aled

Figure 8.6: In situ wear scar depth and residual depth subsequent to nanoscratch with a conospherical tip under a 350 μN load.

121

CHAPTER 9

CONCLUSIONS AND FUTURE POSSIBILITES

9.1 Conclusions

9.1.1 Conformal growth

I have demonstrated the use of CVD in a simple, unpumped apparatus to conformally deposit metallic films of hafnium diboride and iron in high aspect ratio features. By operating under the full vapor pressure of the precursor, growth rate saturation can be maintained deeply into features.

SCVD compliments the capabilities of atomic layer deposition. ALD is well entrenched in the literature and in industry, but has some limitations. SCVD doses the substrate surface with precursor continuously, not intermittently as in ALD. As a consequence, CVD has a growth rate advantage in high aspect ratio features; the ALD growth rate scales with AR-2 while the CVD rate scales with AR-1.

For the cases explored here, the film composition is stoichiometric despite the buildup of reaction products. Precursor selection is crucial for this mode of growth; I have offered guidelines for selection and suggested likely candidates, some of which are readily available from commercial suppliers.

The lack of pumping in SCVD allows reaction to occur at low temperatures that would be impractical in a typical flowing system. The deposition temperatures explored here are even compatible with some polymeric substrates. Because precursor is not removed before surface reaction, the precursor utilization rate is enhanced by orders of magnitude relative to previous conformal CVD techniques. Static CVD is highly scalable and conducive to batch processing. I envision the ability to coat cassettes of wafers simultaneously. SCVD could be a key enabling technology in laboratory and industrial environments. Hot-wall deposition typically prevails in industry and the consumption of precursor on the large chamber walls significantly reduces the precursor pressure. In conventional LPCVD designs, this pressure drop may be enough to prevent growth rate saturation on the substrate surface. SCVD can account for losses on the wall by supplying much more precursor than is needed to reach growth rate saturation.

The additive processing capability provided by SCVD is critical for the production of nanostructures assembled from pre-formed templates. Using this scalable process hafnium diboride photonic crystals were fabricated that are thermally stable up to 1,000 °C and have

122 optical properties comparable to tungsten photonic crystals. The combination of superior thermal stability and modified thermal emission has not been previously demonstrated for a photonic crystal with feature sizes tuned for frequencies required for TPV applications. This promising result suggests that metallic refractory ceramic materials could be useful for TPV applications and are deserving of further study.

9.1.2 Low temperature growth of transition metal nitrides

CVD of transition metal nitride films (where the metal is manganese, iron, cobalt, or

nickel) can be accomplished from the di(tert-butyl)amide precursors M[N(t-Bu)2]2 with NH3 below 300 °C. The M:N ratio in the deposited films decreases from Mn to Fe to Co to Ni; the films

grown include Mn3N2-x (x ≈ 0.7), Fe4N, CoxN (4.6 ≤ x ≤ 6.0), and Ni9N. All films are nitrogen- deficient compared with the M3N2 composition that would result if the only reaction taking place is transamination. The M:N ratio for each material is robust against variations in substrate temperature and reactant pressures. Carbon contamination in the films is minimal for manganese, iron, and cobalt nitrides, but similar to the nitrogen concentration in nickel nitride.

The growth likely proceeds via rapid transamination of the highly reactive precursors with ammonia to afford metal amido fragments with high sticking coefficients and low surface mobilities. Decomposition of these intermediates results in columnar, nonconformal films. Film growth rates are flux limited at all conditions investigated and can be enhanced by increasing the precursor flux. Deposition rates of up to 18 nm/min are realized.

Thermal CVD at room temperature is highly unusual, but we have found that iron nitride grows rapidly at 25 °C. The di(tert-butyl)amido compounds are also able to serve as CVD precursors to cobalt and nickel nitride phases, for which very few other CVD methods have been described. The family of di(tert-butyl)amide precursors provides a useful synthetic pathway for late transition metal nitride films, which are difficult to produce by other means; the growth conditions are appropriate for deposition on temperature-sensitive substrates.

9.1.3 CVD HfB2 hard coatings

To demonstrate the utility of HfB2 as a wear-resistant protective coating for nanoscale applications, polysilicon switches were coated with CVD HfB2 and evaluated. After removal of the naturally occurring oxide that develops on the film subsequent to air exposure, the switch contact resistance decreased from 29.6 MΩ to 1.43 kΩ, which is close to the 250 Ω predicted by theory. Functional devices demonstrated reproducible, sharp switching characteristics

indicative of a stable contact. These preliminary results show that HfB2 is a promising NEM

123 switch contact material, but further investigation into long term cycling and device statistics are required.

A critical factor in the efficacy of wear-resistant thin films is their adhesion and shear strength at the film-substrate interface. Poor adhesion can result in delamination and catastrophic component failure when subjected to a load. Unfortunately, the evaluation of the film-substrate adhesion is difficult and the behavior of layered surfaces is not entirely understood.

A nanoscratch method was employed to measure the adhesion strength of CVD HfB2 films on Si(100). The mechanical properties of these films – hardness, modulus, and surface roughness – were also measured as a function of deposition conditions. The highest hardness measured was 36.5 GPa; this value was obtained from a film deposited at a substrate temperature of 300 °C and precursor pressure of 0.95 mTorr and subsequently crystallized by annealing at 700 °C for 1 hour. By increasing the precursor pressure, smooth films with an RMS roughness as low as 0.79 nm are obtainable. The morphology and mechanical properties of the films can be controlled by varying the film growth parameters. Tribological analysis of deposited films can help guide optimization of the coating process. Adhesion and shear strengths of 1.1 GPa and 4.5 GPa, respectively, were measured on an as-deposited film sample; the values for annealed films were 3.5 GPa and 3.7 GPa, respectively. HfB2 films grown by static CVD exhibit similar mechanical properties.

9.2 Future possibilities

The development of SCVD potentially has huge implications for conformal coating. The preceding work only scratches the surface of the technique’s possibilities. Here I outline just a few promising opportunities for future development.

9.2.1 Static CVD of boron nitride from borazine

Boron nitride is a III-V compound of interest due to a number of material properties that make it conducive to mechanical and electrical applications. It is a good material for mechanical applications due to its high hardness [1, 2], high melting temperature / high temperature stability [3-5], resistance to thermal shock [3], high thermal conductivity [1, 3, 5-7] (400 Wm-1K-1 at 300 K [8]), and self-lubrication properties [3, 5]. These characteristics make it an excellent candidate for tribological applications [1]. Boron nitride is also a desirable material for its dielectric behavior [2, 4]; it has high electrical resistivity [3-6] (1017 Ω-cm at room temperature [9]), low dielectric constant (8) [10, 11] and loss from dc to microwave frequencies [3, 5, 6, 12] and

124 dielectric breakdown strength of 107 V/cm [9]. The material also has the advantages of being low density and chemically inert [2, 3, 5, 6]. Boron nitride crystallizes in a cubic zinc blende and hexagonal structure, analogs of diamond and graphite [6, 13].

Boron nitride has been researched extensively for potential applications in the electronics industry [6] as restricted-area boron diffusion sources [14, 15], transparent mask substrates for X-ray lithography [16-20], and high quality insulators [21-25]. BN serves an additive material that can be used to increase the hardness of other materials [26-31] and improve thermal management in composites [32-34]. Films can be used to protect substrate materials at high temperatures and provide resistance to oxidation [6, 35]. The onset of oxidation for BN is 800 °C and the material is self-healing – it forms a protective barrier against further oxidation [5, 36]. This makes BN useful in items such as brake materials and aeronautical engines [5].

In addition to the aforementioned motivations, BN SCVD could demonstrate a powerful proof of concept. BN is an example of a class of materials – insulators – that cannot be deposited by electrochemical means. It would also demonstrate the use of distinct type of precursor molecule, borazine.

The growth of BN thin films has been reported extensively in the literature. CVD approaches are well known, including both thermal [3-6, 37-39] and plasma-activated routes [1, 4, 6, 40, 41]. The traditional chemical route to BN CVD has been pyrolysis or plasma decomposition of boron halides or boron hydrides with ammonia [2-5, 40-50]. The resulting films are nonstoichiometric and require substrate temperatures in excess of 600 °C due to the high thermal stability of ammonia [4]. Use of halide-containing precursors can result in film contamination and the reaction byproducts are corrosive [3, 4, 41]. Nevertheless, ALD processes for smooth, stoichiometric BN continue to use halide precursors in a method in which the reaction with ammonia is split into two half-reactions [7, 51].

CVD of BN has also been demonstrated from complex organic molecules, but the presence of carbon invites contamination issues [1, 3, 23, 52-56]. There has been a trend away from these precursors and toward the single source precursor borazine, B3N3H6 [42]. Borazine is isostructural with benzene, a six-member ring of alternating boron and nitrogen [3]. It has the natural advantage of a 1:1 ratio of B to N [4]. It does not contain carbon, oxygen, halides, or any other likely film contaminants. Borazine is highly volatile with a vapor pressure of 85 Torr at 0 °C [3]. It has been identified previously as an excellent CVD precursor for BN [57] and attractive from both an economical and technical perspective [58].

125

Several studies of thermal CVD from borazine have been conducted [3-6, 37-39]. The first such study with borazine was conducted by Adams [39]. The majority have investigated LPCVD [3-6, 37, 39] with at least one study of APCVD [38] although the majority of the flux was nitrogen carrier gas. All current studies in the literature have neglected conditions that might lead to conformal growth. Typical precursor pressures were 1 - 10 Torr at temperatures from 300 to 2,000 °C. Boron nitride films grown at low temperatures (< 500 °C) characteristic of SCVD are observed to be amorphous [4, 37] and substoichiometric in nitrogen, having a . formula of BN0.67 [4] The expected growth reaction at low temperature is

B3N3H6(g) → B3N2(s) + ½N2(g) + 3H2(g) [4].

While these films are typically free from carbon and oxygen impurities, some residual hydrogen may remain [4]. Low temperature films are unstable in atmospheric moisture, likely due to hydrolysis of the B-N bond to B-OH [4, 39]. Some authors have observed decreased sensitivity to moisture with increased crystallization fraction [59]. Post-deposition annealing can crystallize the deposited films and reduce their sensitivity to moisture [36, 60, 61].

It is expected that borazine is an excellent candidate for SCVD. Previous studies have indicated uniform growth on microscale fibers [5], but there appears to be no study of conformal films on smaller or higher aspect ratio structures. ALD produces smooth films with uniform coverage on particles [7, 51], but this has not been demonstrated in convoluted structures.

9.2.2 Static CVD of cobalt and nickel

The proof of concept demonstration of metal CVD via iron growth is important, but to extend the use of SCVD a greater variety of materials is needed.

Cobalt is an interesting material for magnetic applications. Cobalt tricarbonyl nitrosyl,

Co(CO)3NO, also holds promise as a potential SCVD precursor. It has a high vapor pressure of 100 Torr at 25 °C [62] and had been demonstrated previously as a good CVD precursor in conventional schemes [62-65]. The reaction proceeds according to the equation

Co(CO)3NO(g) → Co(s) + 3CO(g) +NO(g) [66].

In order to reduce contamination from the carbonyl byproducts a coflux of hydrogen can be added [62, 64]. Hydrogen can react with dissociated CO on the surface to produce volatile products, reducing the incorporation of carbon and oxygen.

Growth of nickel films from nickel carbonyl could be trivial given the iron growth results. Nickel films are expected to be impurity free [67-69]. FeNi alloys for magnetic memory

126 applications are the subject of intense interest [70] and SCVD could be an inexpensive way of producing such films.

9.2.3 Displacement plating of SCVD films

There is strong demand for conformal thin films of noble metals such as silver, particularly for plasmonic applications [71-75]. CVD of silver is difficult because there are few suitable precursors. It may not be possible to find a silver compound conducive to the SCVD process. However, it may be possible to use electrochemical methods to exchange iron for silver post-growth.

Displacement plating is a technique commonly using in the refinishing of antiques. With this process, iron could be grown conformally on any structure using SCVD. After the deposition, the iron-coated sample can be placed in a silver bath. The easily reduced silver will replace the easily oxidized iron [76]. The reaction is self-limiting and will terminate once a continuous layer of iron has been exchanged for silver [76]. This process is general for any combination of active and noble metals.

9.2.4 Quasi-static ‘trickle flow’ CVD

The SCVD apparatus used in Chapters 2 and 3 is the simplest possible design. This is useful for proof of concept purposes and processes that are amenable to the simple design, but modifications could allow greater capabilities.

The current design does not allow transport of precursor during the growth. As precursor decomposes to form film its pressure falls until it is completely depleted. Therefore, to produce films of practical thickness (10 - 100 nm) the vapor pressure of the precursor should be ~ 10 Torr. Many precursors do not meet this requirement and, thus, are not candidates for the current system. However, precursors with low vapor pressures could be utilized in a quasi- static ‘trickle flow’ system. This apparatus would be continuously pumped at low speed during the growth and constantly supplied with precursor at a low flow rate. This method would introduce new precursor continuously, but maintain the high chamber pressures needed for conformal growth. This approach could significantly expand the set of commercially available precursors that would afford conformal films.

127

9.3 References

[1] A. Rotna Phani, J. Mater. Res. 14, 829 (1998).

[2] C. Gómez-Aleixandre, A. Essafti, M. Fernández, J. L. G. Fierro, and J. M. Albella, J. Phys. Chem. 100, 2148 (1996).

[3] J.-S. Li, C.-R. Zhang, B. Li, F. Cao, and S. Q. Wang, Surf. Coat. Technol. 205, 3736 (2011).

[4] J. Kouvetakis, V. V. Patel, C. W. Miller, and D. B. Beach, J. Vac. Sci. Technol. A 8, 3929 (1990).

[5] J.-S. Li, C.-R. Zhang, and B. Li, Appl. Surf. Sci. 257, 7752 (2011).

[6] S. P. S. Arya, Thin Solid Films 157, 267 (1988).

[7] J. D. Ferguson, A. W. Weimer, and S. M. George, Thin Solid Films 413, 16 (2002).

[8] E. K. Sichel, R. E. Miller, M. S. Abrahams, and C. J. Buiocchi, Phys. Rev. B 13, 4607 (1976).

[9] R. J. Patterson, R. D. Humphries, and R. R. Haberecht, Abstracts, Meeting of the Electrochemical Society, Pittsburgh, PA, 1963, Electrochemical Society, Princeton, NJ, 1963, Abstract, 103.

[10] S. B. Hyder and T. O. Yep, J. Electrochem. Soc. 123, 1721 (1976).

[11] G. Petrocco, S. P. S. Arya, A. Bearzotti, M. Gentili, A. Paoletti, and A. D’Amico, Symposium On Sputtering, Spitz a.d. Donau/Vienna, June 2-6, 1986.

[12] A. J. Noreika and M. H. Francombe, J. Vac. Sci. Technol. 6, 722 (1969).

[13] A. N. Golubenko, M. L. Kosinova, A. A. Titov, and F. A. Kuznetsov, Inorg. Mater. 39, 362 (2003).

[14] M. J. Rand and J. Roberts, J. Electrochem. Soc. 115, 423 (1968).

[15] M. Hirayama and K. Shohno, J. Electrochem. Soc. 122, 1671 (1975).

[16] S. S. Dana and J. R. Maldonado, J. Vac. Sci. Technol. B 4, 235 (1986).

[17] P. L. King, L. Pan, P. Pianetta, A. Shimkunas, P. Mauger, and D. Seligson, J. Vac. Sci. Technol. B 6, 162 (1988).

128

[18] D. Maydan, G. A. Coquin, H. J. Levinstein, A. K. Sinha, and D. N. K. Wang, J. Vac. Sci. Technol. 16, 1959 (1979).

[19] R. E. Acosta, J. R. Maldonado, and R. Fair, J. Vac. Sci. Technol. B 4, 240 (1986).

[20] L. Hanlon, M. Greenstein, W. Grossman, and A. Neukermans, J. Vac. Sci. Technol. B 4, 305 (1986).

[21] W. Schmolla and H. L. Hartnagel, J. Phys. D 15, L95 (1982).

[22] W. Schmolla and H. L. Hartnagel, J. Electrochem. Soc. 129, 2636 (1982).

[23] W. Schmolla and H. L. Hartnagel, Solid-State Electron. 26, 931 (1983).

[24] A. Bath, P. J. Vanderput, J. G. M. Becht, J. Schoonman, and B. Lepley, J. Appl. Phys. 70, 4366 (1991).

[25] S. V. Nguyen, T. Nguyen, H. Treichel, and O. Spindler, J. Electrochem. Soc. 141, 1633 (1994).

[26] K. L. Chopra, V. Agarwal, V. D. Vanker, C. V. Deshpandey, and R. F. Bunshah, Thin Solid Films 126, 307 (1985).

[27] C. Weissmantel, K. Bewilogua, D. Dietrich, H. J. Erler, H. J. Hinnerberg, S. Klose, W. Nowich, and G. Reisse, Thin Solid Films 72, 19 (1980).

[28] C. Weissmantel, K. Bewilogua, K. Breuer, D. Dietrich, U. Ebersbach, H. J. Erler, B. Rau, G. Reisse, Thin Solid Films 96, 31 (1982).

[29] B. Rother, H. D. Zscheile, C. Weissmantel, C. Heiser, G. Holzhuter, G. Leonhardt, P. Reich, Thin Solid Films 142, 83 (1986).

[30] C. Weissmantel, J. Vac. Sci. Technol. 18, 179 (1981).

[31] S. Shanfield, R. Wolfson, J. Vac. Sci. Technol. A 1, 323-325 (1983).

[32] P. Bujard, Helv. Phys. Acta 61, 131 (1988).

[33] H. Ishida and S. Rimdusit, Thermochimi. Acta 320, 177 (1998).

[34] C. P. Wong and R. S. Bollampally, IEEE Trans. Adv. Packag. 22, 54 (1999).

[35] T. Takahashi, H. Itoh, and A. Takeuchi, J. Cryst. Growth 47, 245 (1979).

[36] S. Le Gallet, G. Chollon, F. Rebillat, A. Guette, X. Bourrat, R. Naslain, M. Couzi, and J. L. Bruneel, J. Eur. Ceram. Soc. 24, 33 (2004).

129

[37] V. N. Demin, I. P. Asanov, and Z. L. Akkerman, J. Vac. Sci. Technol. A 18, 94 (1999).

[38] Y. Ye, U. Graupner, and R. Krüger, Chem. Vap. Deposition 17, 221 (2011).

[39] A. C. Adams, J. Electrochem. Soc. 128, 1378 (1981).

[40] T. P. Smirnova, L. V. Jakovkina, I. L. Jashkin, N. P. Sysoeva, and J. I. Amosov, Thin Solid Films 237, 32 (1994).

[41] T. P. Smirnova, M. Terauchi, F. Sato, K. Shibata, and M. Tanaka, Chem. Sust. Dev. 8, 63- 67 (2000).

[42] F. A. Kuznetzov, A. N. Golubenko, and M. L. Kosinova, Appl. Surf. Sci. 113/114, 638 (1997).

[43] S. Jacques, H. Vincent, C. Vincent, et al., J. Solid State Chem. 162, 358 (2001).

[44] J. Huang, C. Pan, and D. Lii, Surf. Coat. Technol. 122, 166 (1999).

[45] B. Choi, Mater. Res. Bull. 34, 2215 (1999).

[46] Y. Cheng, X. Yin, Y. Liu, S. Li, L. Cheng, and L. Zhang, Surf. Coat. Technol. 204, 2797 (2010).

[47] N. I. Archer, Chem. Soc. (London) Special Publication 30, 167 (1977).

[48] H. O. Pierson, J. Compos. Mater. 9, 228 (1975).

[49] M. Sano and M. Aoki, Thin Solid Films 83, 247 (1981).

[50] K. Nakamura, J. Electrochem. Soc. 132, 1757 (1985).

[51] B. Mårlid, M. Ottosson, U. Pettersson, K. Larsson, and J.-O. Carlsson, Thin Solid Films 402, 167 (2002).

[52] A. Ratna Phani, S. Devi, S. Roy, and V. J. Rao, JCS Chem. Commun. 6, 684 (1990).

[53] A. Ratna Phani, S. Roy, and V.J. Rao, Thin Solid Films 258, 21 (1995).

[54] H. Strakov, G. Hackl, N. Popovska, et al., Chem. Vap. Deposition 10, 325 (2004).

[55] C. Lorrette, P. Weisbecker, S. Jacques, et al., J. Eur. Ceram. Soc. 27, 2737 (2007).

[56] B. Deb, B. Bhattachararjee, A. Ganguli, et al., Mater. Chem. Phys. 76, 130 (2002).

[57] S. Seghi, J. Lee, and J. Economy, Carbon 43, 2035 (2005).

130

[58] F. I. Hurwitz, T. R. McCue, Y. L. Chen, P. V. Chayka, and C. Xu, Ceram. Eng. Sci. Proc. 267 (2008).

[59] C.G. Cofer and J. Economy, Carbon 33, 389 (1995).

[60] J. Thomas, N. E. Weston, and T. E. O’Connor, J. Am. Chem. Soc. 84, 4619 (1963).

[61] S. Alkoy, C. Toy, T. Gönül, et al., J. Eur. Ceram. Soc. 17, 1415 (1997).

[62] A. R. Ivanova, G. Nuesca, X. Chen, C. Goldberg, A. E. Kaloyeros, B. Arkles, J. J. Sullivan, J. Electrochem. Soc. 146, 2139 (1999).

[63] P. A. Lane, P. E. Oliver, P. J. Wright, C. L. Reeves, A. D. Pitt, and B. Cockayne, Chem. Vap. Deposition 4, 183 (1998).

[64] N. Deo, M. F. Bain, J. H. Montgomery, and H. S. Gamble, J. Mater. Sci.: Mater. Electron. 16, 387 (2005).

[65] G. C. Gazzadi, J. J. L. Mulders, P. Trompenaars, A. Ghirri, A. Rota, M. Affronte, and S. Frabboni, Microelectron. Eng. 88, 1955 (2011).

[66] The National Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA (1997).

[67] F. Fau-Canillac and F. Maury, Surf. Coat. Technol. 64, 21-27 (1994).

[68] J. P. Day, R. G. Pearson, and F. Basolo, J. Am. Chem. Soc. 90, 6933 (1968).

[69] W. Kraeuter, D. Baeuerle, and F. Fimberger, Appl. Phys. A A31, 13 (1983).

[70] S. F. Moustafa and W. M. Daoush, J. Mater. Process. Technol. 181, 59 (2007).

[71] M. Rycenga, C. M. Cobley, J. Zeng, W. Li, C. H. Moran, Q. Zhang, D. Qin, and Y. Xia, Chem. Rev., 111, 3669 (2011).

[72] A. L. Pyayt, B. Wiley, Y. Xia, A. Chen, and L. Dalton, Nat. Nanotechnol. 3, 660 (2008).

[73] M. J. Mulvihill, X. Y. Ling, J. Henzie, P. Yang, J. Am. Chem. Soc. 132, 268 (2010).

[74] B. Pietrobon, M. McEachran, and V. Litaev, ACS Nano 3, 21 (2009).

[75] C. M. Cobley, S. E. Skrabalak, D. J. Campbell, and Y. Xia, Plasmonics 4, 171 (2009).

[76] Finishing techniques in metalwork, 2012, Philadelphia Museum of Art, August 27, 2012, http://www.philamuseum.org/booklets/7_42_75_1.html

131

APPENDIX A

GROWTH RATE COMPARISON: ALD VERSUS CVD

Growth rate is a crucial concern in film growth. Here I present a comparison of the growth rate of ALD versus that of CVD in deep structures.

ALD growth rate model

Consider an ALD recipe with eight process steps:

1. Dose surface with precursor A 2. Pump away excess precursor A 3. Purge chamber with inert gas 4. Pump away purge gas 5. Dose surface with precursor B 6. Pump away excess precursor B 7. Purge chamber with inert gas 8. Pump away purge gas

A simplified schematic of this recipe is shown in Figure A.1. For most ALD processes, a single cycle produces 0.2 - 0.4 monolayers of film so these steps are repeated many times to achieve the desired film thickness.

Figure A.1: Simplified schematic of an ALD cycle.

The total growth time of a given ALD recipe can be modeled as

ttotal = N[tA,dose + tA, pump + t purge + t pump + tB,dose + tB, pump + t purge + t pump ] Eqn. A.1

132 where N is the number of cycles.

The growth rate is simply

 1  GR =  [h] Eqn. A.2  ttotal 

where h is the film thickness.

R.G. Gordon et al. developed a kinetic model for the time required to dose a deep via with a monolayer of precursor [1]:

ns 2πmkBT  19   3  2  tdose = 1+  (AR)+  (AR)  Eqn. A.3 P   4   2   where ns is the density of surface sites, m is the molecular weight of the precursor molecule, T is the temperature, P is the precursor pressure, and AR is the aspect ratio of the via. For deep features the ALD growth rate is essentially proportional to AR-2.

The expression developed by Gordon et al. tells us the value of tA, dose and tB, dose. In practice, the pumping time for removing excess precursor is tdose plus some number of seconds (this additional time is a function of substrate temperature). To simplify the analysis and

generate an upper bound estimate for the growth rate, assume tA, pump and tB, pump are equal to the precursor dosage time. We further assume that the purge gas exposure and pump times are equal to this expression. Therefore, the total growth time in ALD can be written as

ns 2πmkBT  19   3  2  tcycle = 8N 1+  (AR)+  (AR)  Eqn. A.4 P   4   2  

The growth rate is simply the amount of film deposited per cycle in one cycle’s time or

1 − θ ⋅ n 2 GR = s Eqn. A.5 tcycle where Θ is the fraction of a monolayer deposited during the single cycle (usually between 0.2 and 0.4).

133

CVD growth rate model

For the CVD case, A. Yanguas-Gil derived an expression that links the growth rate, GR, step coverage, SC, and process parameters in the conformal growth limit [2]:

(GR)(AR) cρk T P = B Eqn. A.6 1− SC 2D0K1(T ) where c is a geometrical factor (2 for a trench and 4 for a via) and ρ is the atomic density of the

film. D0 is the diffusivity and is given by

1 D = κ v Eqn. A.7 0 3 th where κ is a non-dimensional constant close to 1 and vth is the thermal velocity of the precursor

molecules. K1 is a lumped rate coefficient given by

S K1 = β0kads = β0 Eqn. A.8 2πmkBT

where β0 is the sticking coefficient of the precursor on a bare site (typically between 0.3 and 0.5) and S is the area of a surface site.

By substitution of other relations from Reference 2, this can be rewritten to provide an expression for the growth rate.

P β (1− SC) GR = 0 Eqn. A.9 ρ(AR) 3mkBT

The growth rate in CVD is proportional to AR-1.

Comparison

Assume that the precursor and processing parameters (P, ρ, m, and T) are the same in ALD and CVD. It is unlikely in practice for these values to be the same because in general the precursors will be different, but the assumption allows a comparison of growth rate as a function of feature aspect ratio only.

A few assumptions are technique-specific. The ALD GR is dependent on Θ, the

fractional monolayer deposited in one cycle, while the CVD GR depends on β0, the sticking coefficient on a bare site. In order to conservatively estimate the CVD rate relative to that of

ALD, Θ is assumed to be 0.4 (on the upper end of its typical range) and β0 is assumed to be 0.3

134

(on the lower end of its range). The resultant plot of the growth rates for ALD and CVD is presented in Figure A.2.

1 ALD CVD

0.1

0.01 CVD

ALD Growth rate (A.U.) 1E-3

0 5 10 15 20 Aspect ratio

1 ALD CVD 0.1

0.01

1E-3

1E-4 CVD

1E-5 Growth rate (A.U.)

1E-6 ALD

0 200 400 600 800 1000 Aspect ratio

Figure A.2: Plots of the theoretical growth rate of ALD and conformal CVD. (top) ALD has a growth rate advantage at aspect ratios lower than ~ 10:1, but CVD become advantageous at higher ARs. (bottom) At very high ARs like those explored in the study, CVD possesses a decisive rate advantage.

As expected, the growth rate for both techniques falls precipitously with increasing aspect ratio. ALD has a growth rate advantage at aspect ratios lower than ~ 10:1, but CVD become advantageous at higher ARs. Because of the CVD expression’s weaker AR dependence, CVD possesses a decisive rate advantage at the very high ARs explored in the study.

135

References

[1] R.G. Gordon, D. Hausmann, E. Kim, and J. Shephard, Chem. Vap. Deposition 9, 73 (2003).

[2] A. Yanguas-Gil, Y. Yang, N. Kumar, and J.R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

136

APPENDIX B

FILM THICKNESS IN SCVD

In the SCVD apparatus discussed here, no pumping occurs during the film growth. This has two important consequences. Firstly, reaction byproducts build up in the reaction tube. Additionally, no precursor replenishment occurs during the growth. The film thickness attainable in this SCVD apparatus is limited by the amount of precursor vapor that is contained in the reaction tube upon the commencement of the reaction. The maximum film volume that can be deposited is given by Equation B.1:

 1  V film = Afilmt film = n  Eqn. B.1  ρ  where Vfilm is the volume of film material, Afilm is the area of the film coverage, tfilm is the film thickness, n is the number of formula units in the film, and ρ is the atomic density of the film material. This can be rewritten to give an expression for the theoretical film thickness.

n t film = Eqn. B.2 (Afilm ⋅ ρ)

The number of precursor molecules, n, in the growth tube can be calculated from the Ideal Gas Law:

Pvap ⋅V fill n = Eqn. B.3 k B ⋅T fill where Pvap is the vapor pressure of the precursor, Vfill is the volume of the growth tube, kB is

Boltzmann’s constant, and Tfill is temperature of the growth tube and vapor during filling. Substituting Equation B.3 into Equation B.1 gives an expression for the obtainable film thickness.

Pvap ⋅Vtube t film = Eqn. B.4 Afilm ⋅ ρ ⋅ k B ⋅T fill

This expression can be used to estimate the film thickness for the HfB2 and Fe films explored here.

137

Thickness estimate for HfB2 SCVD

2 Pvap = 14.3 Torr = 1906 N/m (at room temperature)

-5 3 Vtube = 93 ml = 9.3ˣ10 m 2 2 Afilm = 100 cm = 0.01 m (a typical value of the coated surface area) ρ = 2.5ˣ1028 m-3 (estimate based on Reference 1) -23 kB = 1.38ˣ10 J/K

Tfill = 296 K (room temperature)

 N  1906 (9.3×10−5 m3 )  m2  t = ≈ 170nm film  J  (0.01m2 )(2.5×1028 m−3 )1.38×10−23 (296K )  K 

This is greater than the actual thickness of the film deposits grown after a reaction with a 2 hour hold time (~ 100 nm). It is possible that the reaction has not been driven to completion in the present studies.

Thickness estimate for Fe SCVD

2 Pvap = 25.3 Torr = 3373 N/m (at room temperature)

-5 3 Vtube = 93 ml = 9.3ˣ10 m 2 2 Afilm = 100 cm = 0.01 m (a typical value of the coated surface area) ρ = 2ˣ1029 m-3 (based on the bulk density of iron, 7.84 g/cm3) -23 kB = 1.38ˣ10 J/K

Tfill = 296 K

 N  3373 (9.3×10−5 m3 )  m2  t = ≈ 31nm film  J  (0.01m2 )(2.5×1029 m−3 )1.38×10−23 (296K )  K 

The iron films grown in this study are all much thicker than 31 nm; typically, they are also ~ 100 nm. In the current growth system, film typically nucleates only in a very small region near the samples. This behavior may be attributable to a lack of nucleation on the clean glass surface of the growth tube. Because the coated area is smaller than expected, film thickness is greater than predicted here.

138

References

[1] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[2] Y. H. Low, J. H. Montgomery, and H. S. Gamble, ECS Trans. 2, 167 (2007).

139

APPENDIX C

PRECURSOR UTILIZATION COMPARISON: LOW-PRESSURE CVD VERSUS STATIC CVD

An important parameter in any thin film growth technique is precursor utilization (or, in the case of PVD, target utilization). Low precursor utilization in low-pressure conformal CVD is one of the main motivations for pursuing growth in the flowless regime.

Precursor utilization rates in low-pressure CVD

The precursor utilization rate in LPCVD can be calculated based on system parameters. A schematic of the LPCVD system is shown below.

Figure C.1: Schematic of a conformal LPCVD system. Because conformal growth relies on reducing the sticking coefficient of the precursor to very low values, the majority of the precursor does not contribute to film growth. Actively pumping the deposition chamber means that most of the precursor is pumped away, resulting in poor precursor utilization rates.

The approximate precursor utilization rate in the system described by References 1 - 5 can be calculated by an area argument. The fraction of precursor that reacts to form film is given by Equation C.1

A β Utilization = sub Eqn. C.1 Apump ⋅ f ′

where Asub is the area of the heated substrate, β is the effective sticking coefficient of the

precursor, Apump is the area of the opening to the pump, and f’ is the efficiency of the pump. Note that, in growth rate saturation, β is inversely proportional to the precursor pressure. Therefore Eqn. C.1 can be restated as

140

A 1 Utilization = sub Eqn. C.2. Apump ⋅ f ′ P

A In this system, sub is ~ 0.3. The efficiency of a turbomolecular pump is ~ 1. The utilization Apump

expression is dominated by the sticking coefficient term. For the conformal growth explored in the work, β must be ≤ 10-5. Therefore the utilization is also on the order of 10-5. Because the utilization rate in LPCVD is overwhelmingly dependent on β, the actual values of chamber dimensions do not strongly affect utilization rate. Any comparable conformal LPCVD system will suffer from the same utilization problem.

Precursor utilization rate in SCVD

If we assume that the reaction is driven to completion and all the precursor in the tube is consumed, the utilization rate is again simply calculated by an area argument.

A Precursor utilization = sub Eqn. C.3 Asub + Awall

The growths presented in this work are conducted in a cylindrical glass tube that is 0.3 m long, 0.019 m diameter, and has a volume of 93 ml. However, film growth only occurs along the ~ 0.15 m of tube length that is sufficiently heated. The wall area that is coated is

1 1 2 A = πld + πd 2 = (0.15m)(0.019m)π + (0.019m) π = 9.5×10−3 m2 Eqn. C.4 wall 2 2

The surface area of the substrates is of course dependent on the size and number of samples. A typical substrate load in the present work is five 1.5 ˣ 1.5 cm samples. Both sides are coated, thus a typical substrate area is 2.25ˣ10-3 m2. Therefore, the precursor utilization rate is ~ 24%.

In contrast to the LPCVD case, the precursor utilization rate can indeed be greatly enhanced by proper reactor design in the SCVD mode. Image a hypothetical reactor whose cross section is shown in the schematic below.

141

Figure C.2: Schematic of a hypothetical SCVD reactor with the critical dimensions labeled.

Assume this reactor is optimized for film growth on a cassette of 4 inch diameter wafers. A typical cassette consists of 25 wafers. The substrate area is given by

 2  (dsample ) 2 Asub = Nπ  + (dsample )t = 4094cm Eqn. C.8  2 

To determine the wall area, the reactor must be designed with sufficient space between the wafers such that the film thickness is uniform across the wafers’ diameters. This inter-wafer region will be in viscous flow, so macrotrench results provide some guidance. In the macrotrench, 95 % step coverage is achieved up to ~ 300 μm into the trench. The trench mouth is 25 μm wide, so the step coverage requirement is met up to 12:1. This suggests that the spacing between the wafers should be 4.2 mm. Suppose, to be safe, the spacing is 5 mm. The wall area is then

1 2 A = πld + π (d ) = 660cm2 Eqn. C.9 wall chamber 2 chamber

where l = (Nt)+ (N −1)s . Eqn. C.10

In this hypothetical system, the precursor utilization rate is 86%.

SCVD is a thin film growth method that may have high utility in industrial applications. In

these applications, precursor utilization is critical concern. Hf(BH4)4 is not currently available from commercial vendors; the starting materials for precursor synthesis cost approximately

142

$100 per gram of precursor product and a skilled chemist is required. Industrial users are wary of growth techniques with low usage rates.

References

[1] S. Jayaraman, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

[2] S. Jayaraman, E. J. Klein, Y. Yang, D. Y. Kim, G. S. Girolami, and J. R. Abelson, J. Vac. Sci. Technol. A 23, 631 (2005).

[3] S. Jayaraman, J. E. Gerbi, Y. Yang, D. Y. Kim, A. Chatterjee, P. Bellon, G. S. Girolami, J.- P. Chevalier, and J. R. Abelson, Surf. Coat. Technol. 200, 6629 (2006).

[4] Y. Yang, S. Jayaraman, D. Y. Kim, G. S. Girolami, and J. R. Abelson, Chem. Mater. 18, 5088 (2006).

[5] A. Yanguas-Gil, Y. Yang, N. Kumar, and J. R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

143

APPENDIX D

COATING PROFILE OF DEEP AEROGEL INFILTRATION

SCVD has the ability to penetrate deeply into convoluted structures and infill them with film material. This has been demonstrated by infilling silica aerogels with HfB2, shown in

Figure D.1. A close view of the intensity profile of the HfB2-infilled crust appears in Figure D.2.

4.5x104

4.0x104

3.5x104

3.0x104 Intensity (A.U.) 2.5x104

2.0x104

1.5x104 0 5000 10000 15000 20000 Distance (um)

Figure D.1: Silica aerogel monoliths deeply infilled with HfB2. (left) A CT cross section of a quartered

cylinder of aerogel. The top right and bottom left quarters have been infilled with HfB2 by SCVD. The remaining two quarters are controls. The bright ring around the infilled samples is indicative of the presence of Hf. (right) An intensity profile of the image brightness due to x-ray scattering. The infilled region is ~ 800 μm-thick.

Aspect Ratio 0 10000 20000 30000 40000 50000

20000

15000

10000 Intensity (A.U.) Intensity

5000

0 0 200 400 600 800 1000 Distance (um)

Figure D.2: Intensity profile of the outer ‘crust’ of the HfB2-infilled aerogel sample shown in Figure D.1.

144

The intensity profile shown in Figure D.2 can be interpreted as a coating profile. It is interesting to see whether the coating profile matches that predicted by the conformal CVD equations. A. Yanguas-Gil derived an expression to relate the deposition conditions and coating requirements to the growth rate [1]:

2 (GR)(AR) cρ 3k T P = B Eqn. D.1 1− SC 2D0K1(T ) where P is the precursor pressure, GR is the growth rate, AR is the aspect ratio of the feature to be coated, SC is the desired step coverage, c is a geometrical constant, ρ is the atomic density

of the film, kB is Boltzmann’s constant, T is the growth temperature, D0 is the diffusivity, and K1 is a lumped rate coefficient.

Equation D.1 can be rewritten to obtain an expression for the growth rate.

P 2D0K1(T )(1− SC) GR = 4 Eqn. D.2 AR 3 cρ kBT

For the HfB2 growth, the values are given below:

Pvap = 20 Torr = 2600 Pa (assuming the reaction occurs exclusively at the dwell temperature of 150 °C) d = 20 nm (approximate pore diameter of silica aerogel)

D 1 1 D0 = = κ ν = (1)(200m / s) = 65m / s , when κ ≈ 1 [1] d 3 th 3

s K1 = β0kads = β0 2πmkBT

−19 2 1 ν th (1.17 ×10 m )(200m/ s) m ⋅ s = = = kads s −21 1008.6 4 kBT 4 ⋅ (5.8×10 J ) kg

c = 4 (assuming aerogel pores are geometrically similar to a via) ρ = 2.5ˣ1022 cm-3 (from Reference 2) T = 150 °C = 423 K Substituting these values into Equation D.2 yields an expression for the theoretical growth rate as a function of depth into the aerogel and step coverage. Figure D.3 shows such a plot for step coverage values of 0.9, 0.95, and 0.99.

145

1E-3 SC = 0.99 SC = 0.95 1E-4 SC = 0.90

1E-5

1E-6

1E-7 Growth rate (A.U.) SC = 0.90 1E-8 SC = 0.95 SC = 0.99 1E-9 0 2x103 4x103 6x103 8x103 1x104 Aspect ratio

Figure D.3: Predicted CVD film growth rate versus aspect ratio for step coverages of 0.90, 0.95, and 0.99.

The growth rate calculated at the surface of the feature (0 on the x-axis) can be normalized to the intensity at the aerogel surface and the profiles compared. Figure D.4 compares the profile of the aerogel infiltration with the predicted growth rate curve for 0.9 step coverage. The experimentally observed profile indicates much better conformality than the theory suggests is possible. The theory, however, does not account for chemical (i.e. byproduct) effects on the surface, which may dominate in this case.

104 Aerogel coating profile

103

102

101

100 Theoretical GR curve, SC = 0.9 Film thickness (A.U.) 10-1

10-2 0 5000 10000 Aspect ratio

Figure D.4: Comparison of the aerogel coating profile and the profile expected from theory.

146

References

[1] A. Yanguas-Gil, Y. Yang, N. Kumar, and J.R. Abelson, J. Vac. Sci. Technol. A 27, 1235 (2009).

[2] S. Jayaraman, Y. Yang, D.Y. Kim, G.S. Girolami, and J.R. Abelson, J. Vac. Sci. Technol. A 23, 1619 (2005).

147