Superscalar Processors

Total Page:16

File Type:pdf, Size:1020Kb

Superscalar Processors Superscalar Processors Superscalar Processors: Branch Prediction Dynamic Scheduling Superscalar: A Sequential Architecture Superscalar Terminology Basic Superscalar processor is a representative ILP implementation of a sequential architecture Superscalar Able to issue > 1 instruction / cycle Superpipelined Deep, but not superscalar pipeline. - For every instruction issued by a Superscalar processor, the hardware must check whether the operands interfere with the E.g., MIPS R5000 has 8 stages operands of any other instruction that is either Branch prediction Logic to guess whether or not branch will be taken, • (1) already in execution, (2) been issued but waiting for and possibly branch target completion of interfering instructions that would have been executed earlier in a sequential program, and (3) Advanced being issued concurrently but would have been executed earlier in the sequential execution of the program Out-of-order Able to issue instructions out of program order - Superscalar proc. issues multiple inst. In cycle Speculation Execute instructions beyond branch points, possibly nullifying later Register renaming Able to dynamically assign physical registers to instructions Retire unit Logic to keep track of instructions as they complete. Superscalar Execution Example Single Order, Data Dependence – In Order Adding Advanced Features Assumptions Data Flow Out Of Order Issue - Single FP adder takes 2 $f2 $f4 $f6 - Can start y as soon as adder available cycles -Must hold back z until $f10 not busy & adder available - Single FP multiplier takes 5 + + y cycles v v: addt $f2, $f4, $f10 v Critical - Can issue add & multiply w: mult $f10, $f6, $f10 w Path = $f8 $f4 together 9 cycles x: addt $f10, $f8, $f12 x w * - Must issue in-order y: addt $f4, $f6, $f4 y - <op> in,in,out + z z: addt $f4, $f8, $f10 z (In order) z x + (Single adder, data dependence) $f10 v: addt $f2, $f4, $f10 v $f12 w: mult $f10, $f6, $f10 w x: addt $f10, $f8, $f12 x y: addt $f4, $f6, $f4 (inorder) y z: addt $f4, $f8, $f10 z Adding Advanced Features Flow Path Model of Superscalars I-cache Branch Instruction FETCH Flow Predictor Instruction Buffer DECODE With Register Renaming Integer Floating-point Media Memory Memory Data v: addt $f2, $f4, $f10a v EXECUTE Flow w Reorder w: mult $f10a, $f6, $f10a Buffer x Register x: addt $f10a, $f8, $f12 (ROB) Data COMMIT y: addt $f4, $f6, $f4 y Flow Store D-cache Queue z: addt $f4, $f8, $f14 z Superscalar Pipeline Design Inorder Pipelines Fetch IF IF IF Instruction Instruction Buffer Decode Flow D1 D1 D1 Dispatch Buffer Dispatch D2 D2 D2 Issuing Buffer EX EX EX Execute Data Flow Completion Buffer WB WB WB Complete U -Pipe V -Pipe Store Buffer Intel i486 Intel Pentium Retire Inorder pipeline, no WAW no WAR (almost always true) In-order Issue into Out-of-order Pipelining 101 Diversified Pipelines Program Order IF •• • RD ← Fn (RS, RT) Ia: F1 ← F2 x F3 Inorder•• • ID •• • . Dest. Func Source Ib: F1 ← F4 + F5 Inst. •• • Reg. Unit Registers RD •• • Stream •• • EX INT Fadd1 Fmult1 LD/ST INT Fadd1 Fmult1 LD/ST Fadd2 Fmult2 Fadd2 Fmult2 Fmult3 Out-of-order WB Issue stage needs to check: Fmult3 1. Structural Dependence Ib: F1 ← “F4 + F5” WB •• • . 2. RAW Hazard 3. WAW Hazard Ia: F1 ← “F2 x F3” •• • 4. WAR Hazard What is the value of F1? WAW!!! Icache Icache Instruction Instruction buffer buffer Decode / Issue Decode / Issue Scalar issue Superscalar issue Typical FX- FD/I . FD I . pipeline layout Contrasting decoding and instruction issue in a scalar and a 4-way superscalar processor Superscalar Processors: Tasks Superscalar Issues to be considered parallel decoding Parallel decoding – more complex task than in scalar processors. superscalar instruction issue - High issue rate can lengthen the decoding cycle therefore use parallel instruction execution predecoding. - preserving sequential consistency of exception processing - partial decoding performed while instructions are loaded into the instruction cache - preserving sequential consistency of exec. Superscalar instruction issue – A higher issue rate gives rise to higher processor performance, but amplifies the restrictive effects of control and data dependencies on the processor performance as well. - To overcome these problems designers use advanced techniques such as shelving, register renaming, and speculative branch processing Superscalar issues Pre-Decoding Parallel instruction execution task – Also called more EUs than the scalar processors, therefore higher number “preservation of the sequential consistency of of instructions in execution instruction execution”. While instructions are - more dependency check comparisons needed executed in parallel, instructions are usually Predecoding – As I-cache is being loaded, a predecode unit, completed out of order in respect to a sequential performs a partial decoding and appends a number of decode bits to each instruction. These bits usually indicate: operating procedure - the instruction class Preservation of sequential consistency of exception processing task - type of resources which are required for the execution - the fact that branch target addresses have been calculated - Predecoding used in PowerPC 601, MIPS R8000,SuperSparc The Principle of Predecoding Superscalar Instruction Issues Second-level cache specify how false data and unresolved control (or memory) dependencies are coped with during instruction issue - the design options are either to avoid them during the Typically 128 bits/cycle instruction issue by using register renaming and speculative branch processing, respectively, or not Predecode unit When instructions are written into the Icache, False data dependencies between register data may E.g. 148 bits/cycle the predecode unit appends 4-7 bits to each RISC instruction1 be removed by register renaming Icache 1 In the AMD K5, which is an x86-compatible CISC-processor, the predecode unit appends 5 bits to each byte Hardware Features to Support ILP Parallel Execution when instructions executed in parallel they will finish Instruction Issue Unit out of program order - Care must be taken not to issue an instruction if another instruction upon which it is dependent is not complete - unequal execution times - Requires complex control logic in Superscalar processors specific means needed to preserve logical consistency - Virtually trivial control logic in VLIW processors - preservation of sequential consistency exceptions during execution - preservation seq. consistency exception proc. Hardware Features to Support ILP Hardware Features to Support ILP Speculative Execution Requirements for Speculative Execution - Little ILP typically found in basic blocks - Terminate unnecessary speculative computation once the • a straight-line sequence of operations with no intervening branch has been resolved control flow - Undo the effects of the speculatively executed operations - Multiple basic blocks must be executed in parallel that should not have been executed • Execution may continue along multiple paths before it is - Ensure that no exceptions are reported until it is known that known which path will be executed the excepting operation should have been executed - Preserve enough execution state at each speculative branch point to enable execution to resume down the correct path if the speculative execution happened to proceed down the wrong one. Hardware Features to Support ILP Next. Superscalar Processor Design Speculative Execution How to deal with instruction flow - Expensive in hardware - Dynamic Branch prediction - Alternative is to perform speculative code motion at compile time How to deal with register/data flow • Move operations from subsequent blocks up past branch - Register renaming operations into proceeding blocks - Requires less demanding hardware Solutions studied: • A mechanism to ensure that exceptions caused by - Dynamic branch prediction algorithms speculatively scheduled operations are reported if and only if flow of control is such that they would have been - Dynamic scheduling using Tomasulo method executed in the non-speculative version of the code • Additional registers to hold the speculative execution state Summary of discussions Superscalar Pipeline Design Fetch ILP processors Instruction Buffer - VLIW/EPIC, Superscalar Instruction Decode Superscalar has hardware logic for extracting Flow parallelism Dispatch Buffer - Solutions for stalls etc. must be provided in hardware Dispatch Stalls play an even greater role in ILP processors Issuing Buffer Software solutions, such as code scheduling through code movement, can lead to improved execution Execute times Data Flow Completion Buffer - More sophisticated techniques needed Complete - Can we provide some H/W support to help the compiler – leads to EPIC/VLIW Store Buffer Retire Flow Path Model of Superscalars Instruction Fetch Bandwidth Solutions Ability to fetch number of instructions from cache is I-cache crucial to superscalar performance Branch Instruction FETCH Flow -Use instruction fetch buffer to prefetch instructions Predictor Instruction Buffer - Fetch multiple instructions in one cycle to support the s-wide DECODE issue of superscalar processors Integer Floating-point Media Memory Design instruction cache ( I-Cache) to support this - Shall discuss solutions when Memory design is covered Memory Data EXECUTE Flow Reorder Buffer Register (ROB) Data COMMIT Flow Store D-cache Queue The Principle of Predecoding Instruction Decoding Issues Primary tasks: Second-level cache - Identify individual instructions
Recommended publications
  • GPU Implementation Over IPTV Software Defined Networking
    Esmeralda Hysenbelliu. Int. Journal of Engineering Research and Application www.ijera.com ISSN : 2248-9622, Vol. 7, Issue 8, ( Part -1) August 2017, pp.41-45 RESEARCH ARTICLE OPEN ACCESS GPU Implementation over IPTV Software Defined Networking Esmeralda Hysenbelliu* Information Technology Faculty, Polytechnic University of Tirana, Sheshi “Nënë Tereza”, Nr.4, Tirana, Albania Corresponding author: Esmeralda Hysenbelliu ABSTRACT One of the most important issue in IPTV Software defined Network is Bandwidth Issue and Quality of Service at the client side. Decidedly, it is required high level quality of images in low bandwidth and for this reason it is needed different transcoding standards (Compression of image as much as it is possible without destroying the quality of it) as H.264, H265, VP8 and VP9. During a test performed in SMC IPTV SDN Cloud Network, it was observed that with a server HP ProLiant DL380 g6 with two physical processors there was not possible to transcode in format H.264 more than 30 channels simultaneously because CPU’s achieved 100%. This is the reason why it was immediately needed to use Graphic Processing Units called GPU’s which offer high level images processing. After GPU superscalar processor was integrated and done functional via module NVENC of FFEMPEG Program, number of channels transcoded simultaneously was tremendous increased (more than 100 channels). The aim of this paper is to real implement GPU superscalar processors in IPTV Cloud Networks by achieving improvement of performance to more than 60%. Keywords - GPU superscalar processor, Performance Improvement, NVENC, CUDA --------------------------------------------------------------------------------------------------------------------------------------- Date of Submission: 01 -05-2017 Date of acceptance: 19-08-2017 --------------------------------------------------------------------------------------------------------------------------------------- I.
    [Show full text]
  • Computer Hardware Architecture Lecture 4
    Computer Hardware Architecture Lecture 4 Manfred Liebmann Technische Universit¨atM¨unchen Chair of Optimal Control Center for Mathematical Sciences, M17 [email protected] November 10, 2015 Manfred Liebmann November 10, 2015 Reading List • Pacheco - An Introduction to Parallel Programming (Chapter 1 - 2) { Introduction to computer hardware architecture from the parallel programming angle • Hennessy-Patterson - Computer Architecture - A Quantitative Approach { Reference book for computer hardware architecture All books are available on the Moodle platform! Computer Hardware Architecture 1 Manfred Liebmann November 10, 2015 UMA Architecture Figure 1: A uniform memory access (UMA) multicore system Access times to main memory is the same for all cores in the system! Computer Hardware Architecture 2 Manfred Liebmann November 10, 2015 NUMA Architecture Figure 2: A nonuniform memory access (UMA) multicore system Access times to main memory differs form core to core depending on the proximity of the main memory. This architecture is often used in dual and quad socket servers, due to improved memory bandwidth. Computer Hardware Architecture 3 Manfred Liebmann November 10, 2015 Cache Coherence Figure 3: A shared memory system with two cores and two caches What happens if the same data element z1 is manipulated in two different caches? The hardware enforces cache coherence, i.e. consistency between the caches. Expensive! Computer Hardware Architecture 4 Manfred Liebmann November 10, 2015 False Sharing The cache coherence protocol works on the granularity of a cache line. If two threads manipulate different element within a single cache line, the cache coherency protocol is activated to ensure consistency, even if every thread is only manipulating its own data.
    [Show full text]
  • Superscalar Fall 2020
    CS232 Superscalar Fall 2020 Superscalar What is superscalar - A superscalar processor has more than one set of functional units and executes multiple independent instructions during a clock cycle by simultaneously dispatching multiple instructions to different functional units in the processor. - You can think of a superscalar processor as there are more than one washer, dryer, and person who can fold. So, it allows more throughput. - The order of instruction execution is usually assisted by the compiler. The hardware and the compiler assure that parallel execution does not violate the intent of the program. - Example: • Ordinary pipeline: four stages (Fetch, Decode, Execute, Write back), one clock cycle per stage. Executing 6 instructions take 9 clock cycles. I0: F D E W I1: F D E W I2: F D E W I3: F D E W I4: F D E W I5: F D E W cc: 1 2 3 4 5 6 7 8 9 • 2-degree superscalar: attempts to process 2 instructions simultaneously. Executing 6 instructions take 6 clock cycles. I0: F D E W I1: F D E W I2: F D E W I3: F D E W I4: F D E W I5: F D E W cc: 1 2 3 4 5 6 Limitations of Superscalar - The above example assumes that the instructions are independent of each other. So, it’s easily to push them into the pipeline and superscalar. However, instructions are usually relevant to each other. Just like the hazards in pipeline, superscalar has limitations too. - There are several fundamental limitations the system must cope, which are true data dependency, procedural dependency, resource conflict, output dependency, and anti- dependency.
    [Show full text]
  • The Multiscalar Architecture
    THE MULTISCALAR ARCHITECTURE by MANOJ FRANKLIN A thesis submitted in partial ful®llment of the requirements for the degree of DOCTOR OF PHILOSOPHY (Computer Sciences) at the UNIVERSITY OF WISCONSIN Ð MADISON 1993 THE MULTISCALAR ARCHITECTURE Manoj Franklin Under the supervision of Associate Professor Gurindar S. Sohi at the University of Wisconsin-Madison ABSTRACT The centerpiece of this thesis is a new processing paradigm for exploiting instruction level parallelism. This paradigm, called the multiscalar paradigm, splits the program into many smaller tasks, and exploits ®ne-grain parallelism by executing multiple, possibly (control and/or data) depen- dent tasks in parallel using multiple processing elements. Splitting the instruction stream at statically determined boundaries allows the compiler to pass substantial information about the tasks to the hardware. The processing paradigm can be viewed as extensions of the superscalar and multiprocess- ing paradigms, and shares a number of properties of the sequential processing model and the data¯ow processing model. The multiscalar paradigm is easily realizable, and we describe an implementation of the multis- calar paradigm, called the multiscalar processor. The central idea here is to connect multiple sequen- tial processors, in a decoupled and decentralized manner, to achieve overall multiple issue. The mul- tiscalar processor supports speculative execution, allows arbitrary dynamic code motion (facilitated by an ef®cient hardware memory disambiguation mechanism), exploits communication localities, and does all of these with hardware that is fairly straightforward to build. Other desirable aspects of the implementation include decentralization of the critical resources, absence of wide associative searches, and absence of wide interconnection/data paths.
    [Show full text]
  • Trends in Processor Architecture
    A. González Trends in Processor Architecture Trends in Processor Architecture Antonio González Universitat Politècnica de Catalunya, Barcelona, Spain 1. Past Trends Processors have undergone a tremendous evolution throughout their history. A key milestone in this evolution was the introduction of the microprocessor, term that refers to a processor that is implemented in a single chip. The first microprocessor was introduced by Intel under the name of Intel 4004 in 1971. It contained about 2,300 transistors, was clocked at 740 KHz and delivered 92,000 instructions per second while dissipating around 0.5 watts. Since then, practically every year we have witnessed the launch of a new microprocessor, delivering significant performance improvements over previous ones. Some studies have estimated this growth to be exponential, in the order of about 50% per year, which results in a cumulative growth of over three orders of magnitude in a time span of two decades [12]. These improvements have been fueled by advances in the manufacturing process and innovations in processor architecture. According to several studies [4][6], both aspects contributed in a similar amount to the global gains. The manufacturing process technology has tried to follow the scaling recipe laid down by Robert N. Dennard in the early 1970s [7]. The basics of this technology scaling consists of reducing transistor dimensions by a factor of 30% every generation (typically 2 years) while keeping electric fields constant. The 30% scaling in the dimensions results in doubling the transistor density (doubling transistor density every two years was predicted in 1975 by Gordon Moore and is normally referred to as Moore’s Law [21][22]).
    [Show full text]
  • Intro Evolution of Superscalar Processor
    Superscalar Processors Superscalar Processors vs. VLIW • 7.1 Introduction • 7.2 Parallel decoding • 7.3 Superscalar instruction issue • 7.4 Shelving • 7.5 Register renaming • 7.6 Parallel execution • 7.7 Preserving the sequential consistency of instruction execution • 7.8 Preserving the sequential consistency of exception processing • 7.9 Implementation of superscalar CISC processors using a superscalar RISC core • 7.10 Case studies of superscalar processors TECH Computer Science CH01 Superscalar Processor: Intro Emergence and spread of superscalar processors • Parallel Issue • Parallel Execution • {Hardware} Dynamic Instruction Scheduling • Currently the predominant class of processors 4Pentium 4PowerPC 4UltraSparc 4AMD K5- 4HP PA7100- 4DEC α Evolution of superscalar processor Specific tasks of superscalar processing Parallel decoding {and Dependencies check} Decoding and Pre-decoding • What need to be done • Superscalar processors tend to use 2 and sometimes even 3 or more pipeline cycles for decoding and issuing instructions • >> Pre-decoding: 4shifts a part of the decode task up into loading phase 4resulting of pre-decoding f the instruction class f the type of resources required for the execution f in some processor (e.g. UltraSparc), branch target addresses calculation as well 4the results are stored by attaching 4-7 bits • + shortens the overall cycle time or reduces the number of cycles needed The principle of perdecoding Number of perdecode bits used Specific tasks of superscalar processing: Issue 7.3 Superscalar instruction issue • How and when to send the instruction(s) to EU(s) Instruction issue policies of superscalar processors: Issue policies ---Performance, tread-----Æ Issue rate {How many instructions/cycle} Issue policies: Handing Issue Blockages • CISC about 2 • RISC: Issue stopped by True dependency Issue order of instructions • True dependency Æ (Blocked: need to wait) Aligned vs.
    [Show full text]
  • Parallel Architectures MICHAEL J
    Parallel Architectures MICHAEL J. FLYNN AND KEVIN W. RUDD Stanford University ^[email protected]&; ^[email protected]& PARALLEL ARCHITECTURES currently performing different phases of processing an instruction. This does not Parallel or concurrent operation has achieve concurrency of execution (with many different forms within a computer system. Using a model based on the multiple actions being taken on objects) different streams used in the computa- but does achieve a concurrency of pro- tion process, we represent some of the cessing—an improvement in efficiency different kinds of parallelism available. upon which almost all processors de- A stream is a sequence of objects such pend today. as data, or of actions such as instruc- Techniques that exploit concurrency tions. Each stream is independent of all of execution, often called instruction- other streams, and each element of a level parallelism (ILP), are also com- stream can consist of one or more ob- mon. Two architectures that exploit ILP jects or actions. We thus have four com- are superscalar and VLIW (very long binations that describe most familiar parallel architectures: instruction word). These techniques schedule different operations to execute (1) SISD: single instruction, single data concurrently based on analyzing the de- stream. This is the traditional uni- pendencies between the operations processor [Figure 1(a)]. within the instruction stream—dynami- (2) SIMD: single instruction, multiple cally at run time in a superscalar pro- data stream. This includes vector cessor and statically at compile time in processors as well as massively par- a VLIW processor. Both ILP approaches allel processors [Figure 1(b)].
    [Show full text]
  • Modern Processor Design: Fundamentals of Superscalar
    Fundamentals of Superscalar Processors John Paul Shen Intel Corporation Mikko H. Lipasti University of Wisconsin WAVELAND PRESS, INC. Long Grove, Illinois To Our parents: Paul and Sue Shen Tarja and Simo Lipasti Our spouses: Amy C. Shen Erica Ann Lipasti Our children: Priscilla S. Shen, Rachael S. Shen, and Valentia C. Shen Emma Kristiina Lipasti and Elias Joel Lipasti For information about this book, contact: Waveland Press, Inc. 4180 IL Route 83, Suite 101 Long Grove, IL 60047-9580 (847) 634-0081 info @ waveland.com www.waveland.com Copyright © 2005 by John Paul Shen and Mikko H. Lipasti 2013 reissued by Waveland Press, Inc. 10-digit ISBN 1-4786-0783-1 13-digit ISBN 978-1-4786-0783-0 All rights reserved. No part of this book may be reproduced, stored in a retrieval system, or transmitted in any form or by any means without permission in writing from the publisher. Printed in the United States of America 7 6 5 4 3 2 1 Table of Contents PrefaceAbout the Authors x ix 1 Processor Design 1 1.1 The Evolution of Microprocessors 2 1.21.2.1 Instruction Digital Set Systems Processor Design Design 44 1.2.2 Architecture,Realization Implementation, and 5 1.2.3 Instruction Set Architecture 6 1.2.4 Dynamic-Static Interface 8 1.3 Principles of Processor Performance 10 1.3.1 Processor Performance Equation 10 1.3.2 Processor Performance Optimizations 11 1.3.3 Performance Evaluation Method 13 1.4 Instruction-Level Parallel Processing 16 1.4.1 From Scalar to Superscalar 16 1.4.2 Limits of Instruction-Level Parallelism 24 1.51.4.3 Machines Summary for Instruction-Level
    [Show full text]
  • Multithreading
    CS 152 Computer Architecture and Engineering CS252 Graduate Computer Architecture Lecture 14 – Multithreading Krste Asanovic Electrical Engineering and Computer Sciences University of California at Berkeley http://www.eecs.berkeley.edu/~krste http://inst.eecs.berkeley.edu/~cs152 Last Time Lecture 13: VLIW § In a classic VLIW, compiler is responsible for avoiding all hazards -> simple hardware, complex compiler. § Later VLIWs added more dynamic hardware interlocks, which reduce relative hardware benefits § Use loop unrolling and software pipelining for loops, trace scheduling for more irregular code § Static scheduling difficult in presence of unpredictable branches and variable latency memory § VLIW has failed in general-purpose computing, but still used in deeply embedded processors and DSPs 2 Thread-Level Parallelism (TLP) § Difficult to continue to extract instruction-level parallelism (ILP) from a single sequential thread of control § Many workloads can make use of thread-level parallelism: – TLP from multiprogramming (run independent sequential jobs) – TLP from multithreaded applications (run one job faster using parallel threads) § Multithreading uses TLP to improve utilization of a single processor 3 Multithreading How can we guarantee no dependencies between instructions in a pipeline? One way is to interleave execution of instructions from different program threads on same pipeline Interleave 4 threads, T1-T4, on non-bypassed 5-stage pipe t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 T1:LD x1,0(x2) F D X M W Prior instruction in a T2:ADD x7,x1,x4
    [Show full text]
  • A VHDL Model of a Superscalar Implementation of the DLX Instruction Set Architcture
    Rochester Institute of Technology RIT Scholar Works Theses 10-1-1996 A VHDL model of a superscalar implementation of the DLX instruction set architcture Paul Ferno Follow this and additional works at: https://scholarworks.rit.edu/theses Recommended Citation Ferno, Paul, "A VHDL model of a superscalar implementation of the DLX instruction set architcture" (1996). Thesis. Rochester Institute of Technology. Accessed from This Thesis is brought to you for free and open access by RIT Scholar Works. It has been accepted for inclusion in Theses by an authorized administrator of RIT Scholar Works. For more information, please contact [email protected]. A VHDL MODEL OF A SUPERSCALAR IMPLEMENTATION OF THE DLX INSTRUCTION SET ARCHITECTURE by Paul A. Femo A thesis submitted in partial fulfillment ofthe requirements for the degree of Masters ofScience in Computer Engineering Department ofComputer Engineering College ofEngineering Rochester Institute ofTechnology Rochester, New York October, 1996 Approvedby _ Dr. Kevin Shank, Assistant Professor Dr. Tony Chang, Professor Dr. Roy Czemikowski, Dept. Head and Professor THESIS RELEASE PERMISSION FORM ROCHESTER INSTITUTE OF TECHNOLOGY COLLEGE OF ENGINEERING Title: A VHDL Model ofa Superscalar Implementation ofthe DLX Instruction Set Architecture I, Paul A. Femo, hereby grant permission to the Wallace Memorial Library to reproduce my thesis in whole or part. Signature: _ Date: I(t-?£ ii Abstract The complexity of today's microprocessors demands that designers have an extensive knowledge of superscalar design techniques; this knowledge is difficult to acquire outside of a professional design team. Presently, there are a limited number of adequate resources available for the student, both in textual and model form.
    [Show full text]
  • Superscalar Processors and Parallel Computer Systems Objective
    Chapter 06: Instruction Pipelining and Parallel Processing Lesson 09: Superscalar Processors and Parallel Computer Systems Objective • To understand parallel pipelines and multiple execution units • Instruction level parallelism in superscalar processors Schaum’s Outline of Theory and Problems of Computer Architecture 2 Copyright © The McGraw-Hill Companies Inc. Indian Special Edition 2009 Multiple execution Units in Superscalar Processor Schaum’s Outline of Theory and Problems of Computer Architecture 3 Copyright © The McGraw-Hill Companies Inc. Indian Special Edition 2009 Superscalar Processor • Multiple execution units to execute instructions • Each execution unit reads its operands from and writes its results to a single, centralized register file Schaum’s Outline of Theory and Problems of Computer Architecture 4 Copyright © The McGraw-Hill Companies Inc. Indian Special Edition 2009 Multiple execution Units - Superscalar Processor • When an operation writes its result back to the register file, that result becomes visible to all of the execution units on the next cycle, allowing operations to execute on different units from the operations that generate their inputs Schaum’s Outline of Theory and Problems of Computer Architecture 5 Copyright © The McGraw-Hill Companies Inc. Indian Special Edition 2009 Instruction Issue Logic and Four execution units in a superscalar Schaum’s Outline of Theory and Problems of Computer Architecture 6 Copyright © The McGraw-Hill Companies Inc. Indian Special Edition 2009 Instruction Level Parallelism (ILP) in Superscalar processors • Have complex bypassing hardware that forwards the results of each instruction to all of the execution units to reduce the delay between dependent instructions • The instructions that make up a program are handled in superscalar processors by the instruction issue logic, which issues instructions to the units in parallel Schaum’s Outline of Theory and Problems of Computer Architecture 7 Copyright © The McGraw-Hill Companies Inc.
    [Show full text]
  • Memory Data Flow, VLIW and EPIC Processors
    Advanced Computer Architectures 05 Superscalar techniques - Memory data flow, VLIW and EPIC processors Czech Technical University in Prague, Faculty of Electrical Engineering Slides authors: Michal Štepanovský, update Pavel Píša B4M35PAP Advanced Computer Architectures 1 Superscalar Techniques… • The goal is to achieve a maximum throughput of instruction processing • Instruction processing can be analyzed as instructions flow or data flow, more precisely: • register data flow – data flow between processor registers • instruction flow through the pipeline Today’s lecture • memory data flow – to/from memory topic • It roughly matches to: • Arithmetic-logic (ALU) and other computational instructions (FP, bit- field, vector) processing • Branch instruction processing • Load/store instruction processing • maximizing the throughput of these three flows (or complete flow) correspond to the minimizing penalties and latencies of above three instructions types B4M35PAP Advanced Computer Architectures 2 Superscalar pipeline – see previous lesson Fetch Instruction / decode buffer Decode Dispatch buffer Dispatch Reservation stations Issue Execute Finish Reorder / Completion buffer Complete Store buffer Retire B4M35PAP Advanced Computer Architectures 3 What you know already from other subjects ... • Load / Store instructions are responsible for moving data between the memory and the processor's own registers • The processor has a very limited number of registers • The compiler generates a so-called spill code that temporarily saves data to memory/stack to make registers available - just by using the load/store instructions Significant latency of load/store instructions caused by: • Address generation – effective address computation • Address translation (see virtual memory) – TLB hit vs. TLB miss (is the page in memory × page fault, is the address in TLB × page-table walking) • Actual memory access – see next slide B4M35PAP Advanced Computer Architectures 4 Memory access • Load instruction: • Store data read from memory to rename register or reorder buffer.
    [Show full text]