Reason for Report: 3Q13 Earnings Update

Total Page:16

File Type:pdf, Size:1020Kb

Reason for Report: 3Q13 Earnings Update

May 13, 2013

Lam Research Corp. (LRCX-NASDAQ) $46.01*

Note: This report contains substantially new material. Subsequent reports will have new or revised material highlighted.

Reason for Report: 3Q13 Earnings Update

Prev. Ed.: Feb 5, 2013; 2Q13 Earnings Update (brokers’ material are as of Jan 29)

Brokers’ Recommendations: Positive 72.7% (8); Negative 18.2% (2); Neutral: 9.1% (1) Prev. Ed.: 10, 2, 1;

Brokers’ Target Price: $50.46 (↑$5.38 from last edition; 11 analysts) Brokers’ Avg. Expected Return: 9.7%

*Note: Although dated May 13, 2013, share price and broker material are as of May 3, 2013

Note: A flash update was done on Apr 25, 2013 (3Q13 Earnings Update)

Note: The tables below (Revenues, Margins and Earnings per Share) contain materials from fewer brokers than in the Valuation table. The extra figures in the Valuation table come from reports that did not have accompanying spreadsheet models.

Portfolio Manager Executive Summary

Lam Research Corporation (LRCX) is the sixth largest global manufacturer of semiconductor equipment. The company is one of the three leading vendors of dry etch systems, which are used in the chip making process in order to shape the conductive and dielectric layers into circuits. The company offers etch systems for dielectric, poly silicon and metal applications. Applied Materials and Tokyo Electron are Lam Research's major competitors.

Key factors for determining an investment strategy for Lam Research Corporation are as follows:

. Lam Research is a leading capital equipment supplier to the largest memory manufacturers in the world (including Samsung, Toshiba and Micron) and also the key supplier to TSMC, the world's largest foundry. . Lam's product portfolio consists of plasma-based etch solutions for all three etch markets. . Lam's customer base includes many top chipmakers worldwide, with a strong presence at Samsung, IBM and STMicro. . Lam continues to be an active participant in merger and acquisition (M&A). It takes aggressive restructuring measures to allow the company to post the best operating leverage and free cash flow generation in the group.

Analysts’ Opinions: Of the 11 analysts covering the stock in the Zacks Digest Group, 72.7% of the analysts maintained a positive rating, 18.2% were negative and 9.1% were positive. Target prices

© Copyright 2013, Zacks Investment Research. All Rights Reserved. provided by the analysts range from a low of $38.00 to a high of $60.00, with the average being $50.46. On an average, the analysts expect a return of 9.7% from the stock based on the current price.

Bulls (Buy or equivalent rating) – 8 analysts or 72.7%– These analysts expect Lam Research to benefit from the memory market's migration down the technology nodes with new material (i.e., copper) and manufacturing processes (i.e., double patterning). Additionally, the analysts believe the biggest variable will be the traction in its clean business that would boost that segment's margin profile.

Bears (Negative or equivalent rating) – 2 analysts or 18.2%– The company’s revenues are mainly driven by foundry customers, which analysts believe might witness a slowdown. Thus, analysts remain concerned about revenues, going forward. In addition, they believe that the etch market may underperform as less replacement of equipment is needed in this segment.

Neutral Stance (Neutral or equivalent rating) – 1 analyst or 9.1% – These analysts prefer to stay on the sidelines as they expect slowdown in orders and increasing competition. Additionally, the analysts believe that a weak top line guidance, higher operating expenses, as well as disappointing margins and EPS guidance remain matters of concern.

Long-Term Outlook: Brokerage analysts believe Lam Research is the market leader in semiconductor etch equipment, and will continue to gain market share from its top competitors, Tokyo Electron and Applied Materials. May 13, 2013

Overview

The analysts have identified the following factors for evaluating the investment merits of Lam Research:

Key Positive Arguments Key Negative Arguments Market Share Momentum – Ongoing customer wins Uncertainty of Memory Orders – Memory orders reaffirm share gains in the etch market. Additional have increased throughout the year. However, given market share opportunities still exist as Lam the uncertain macro-economic conditions, it is unclear Research is poised to meet new application needs whether this will continue in the future. and potential new customers. Cyclical Semiconductor Industry Exposure –Lam Rich Customer Base –Lam Research has a rich Research is heavily exposed to the cyclical customer base, which includes ST Microelectronics, semiconductor industry, which typically has limited Samsung, Toshiba, Sony, and some others from visibility and a high degree of uncertainty regarding Japan, Taiwan, China and the U.S. the timing and duration of cycles. Proactive Stance in Technology – Special attention Competition –Lam Research competes in the most to research and development (R&D) has made Lam competitive and price-sensitive segment of the Research more proactive in introducing advanced semiconductor equipment industry. Furthermore, its products, giving it a competitive edge. two main rivals, Applied Materials (AMAT) and Tokyo Enhancing Shareholder Value – The company Electron, continue to sustain technology and pricing undertakes share repurchase programs regularly, pressure. thereby boosting shareholder value by increasing Strategic Challenges –Lam Research faces EPS. strategic challenges as a virtual single product company in a consolidating and maturing industry.

Lam Research Corporation (LRCX), headquartered in Freemont, Calif., designs, manufactures, markets and services semiconductor processing equipment used in the fabrication of integrated circuits (ICs) for the semiconductor industry worldwide. Semiconductor wafers are subjected to a complex series of process steps that result in the simultaneous creation of many individual ICs. Lam Research’s etch and

Zacks Investment Research Page 2 www.zackspro.com chemical mechanical planarization (CMP) products selectively remove portions of various films from the wafer to create semiconductors. In addition to this, the company leverages its expertise in these areas to develop intellectual property for integrated processing solutions. Etch processes, which are repeated numerous times during the wafer fabrication cycle, are required to manufacture every type of semiconductor device. Lam Research operates a network of facilities throughout the United States, Asia and Europe to meet the complex and changing needs of its customer base primarily in the United States, Europe, Japan and Asia-Pacific. More information is available on the company’s website http://www.lamresearch.com

Note: Lam Research’s fiscal year ends on Jun 30; fiscal references differ from the calendar year.

May 13, 2013

Long-Term Growth

According to most of the analysts, Lam Research represents a pure play in the Etch segment and the company has been successful with numerous design wins at 130nm and 90nm nodes, a position that should underpin earnings growth once volume purchases commence for the next upcycle.

As the industry shifts toward smaller geometrics, analysts believe that the etch process becomes critical for determining chip yields. The etch process is increasingly being used to enhance yields by compensating front-end process variations and optimizing back-end processes. The analysts believe the Dielectric Etch segment still continues to be dominated by TEL. The company, however, continues to dominate NAND manufacturers, like Samsung and Toshiba.

Currently, it is enjoying the market-leading position in the semiconductor industry. More than its competitors, Lam Research is better poised for new opportunities owing to the expansion of its patenting techniques and the transition to FinFet structures. Management believes that it is ahead of its competitors in critical patterning, gates and isolation applications in both memory and foundry business. It also has the capability to exercise control feature dimensions and depth uniformity, which is crucial for wafer device performance. May 13, 2013

Target Price/Valuation

Provided below is a summary of target prices and valuation as complied by Zacks Digest:

Rating Distribution Positive Ratings 72.7%↓ Neutral Ratings 9.1%↑ Negative Ratings 18.2%↑ Avg. Target Price $50.46↑ Max. Target Price $60.00 Min. Target Price $38.00↑ Number of Analysts with Target Price/Total 11/11

Risks to the target prices provided by the analysts include: cyclical risk related to the semiconductor industry; risk of competitive product launches and price competition; potential inability to penetrate the wafer clean space; and IP litigation.

Zacks Investment Research Page 3 www.zackspro.com Recent Events

On Apr 24, 2013, Lam Research announced its 3Q13 financial results. Highlights are as follows:

. Revenues were $844.9 million versus $659.0 million in 3Q12.

. GAAP net income was $19.0 million or $0.11 per share versus $45.6 million or $0.38 per share in 3Q12.

. Cash and cash equivalents, short-term investments and restricted cash were $2.36 billion. Cash flows from operating activities were approximately $102.5 million.

Revenues

According to the company press release, total revenue was $844.9 million dropped 1.9% sequentially but grew 28.2% year over year. The year-over-year improvement was driven by continued strength in the Foundry segment at the 28-nanometer node.

Revenues by Geography

Revenue contribution from North America in the third quarter was 26.0%, up 6.3% from the prior quarter. Europe’s contribution was 10.0%, up 22.7% sequentially. Korea saw the strongest growth among Asian countries, increasing 14.5%, followed by Japan, which was up 8.0%. Taiwan and other Asian countries, which Lam refers to as Asia-Pacific, declined 1.9% and 36.2%, respectively. Japan, Korea, Taiwan and Asia-Pacific generated 11%, 14%, 26% and 13% of third-quarter revenues, respectively.

Shipments

Shipments were roughly $896.0 million during the quarter, increasing 11.6% from $803.0 million in the prior quarter. Foundries accounted for 56% of total shipments, NAND 15%, DRAM 16.0%, with Logic and Others bringing in the balance.

From a geographical perspective, North America contributed 21.0% of third-quarter 2013 shipments (29.0% in the second quarter of 2013). Europe generated 9.0% (same as in the prior quarter), Japan brought in 11.0% (14.0% in the prior quarter), Korea contributed 12.0% (same as in the previous quarter), the Asia-Pacific contributed 14.0% (same as in the previous quarter) and Taiwan accounted for 33.0% (22.0% in the December quarter).

Provided below is a summary of revenue as compiled by Zacks Digest:

Total Revenue ($ in 3Q12A 2Q13A 3Q13A 4Q13E 2012A 2013E 2014E 2015E million) Digest High $659.0 $860.9 $844.9 $990.0↑ $2,665.2 $3,602.7↑ $4,490.0↓ $4,950.0 Digest Low $659.0 $860.9 $844.9 $977.3↑ $2,665.2 $3,590.0↑ $3,870.0↓ $4,950.0 Digest Average $659.0 $860.9 $844.9 $983.7↑ $2,665.2 $3,596.4↑ $4,287.5↓ $4,950.0 Y/Y Growth -18.6% 47.4% 28.2% 32.6%↑ -17.7% 34.9%↑ 19.2%↓ 15.5% Q/Q Growth 12.8% -5.1% -1.9% 16.4%↑

Zacks Investment Research Page 4 www.zackspro.com Outlook

For 4Q13, management expects revenues in the range of $945.0 million–$1.05 billion. Shipments are expected to be roughly in the range of $1.045–$1.105 billion.

Analysts believes that better growth opportunities as against Lam Research’s peers and higher number of patenting technologies lift the company to a favorable position. They are also positive about the demand for NAND in the future as its demand drivers such as smartphones and tablet devices are witnessing strong growth.

According to the analysts, the company is well positioned to benefit from various new process technology shifts, resulting from 3D device structures, FinFET, new products, acquisitions and multiple patterning techniques. They believe that the company’s leading position in the etch market and increasing share in single wafer clean should lead to above-average industry growth over the next several years.

Analysts are positive that Lam Research secured a key etch win with Intel and believe that this win will drive meaningful orders for the 14nm build-out, going forward.

Some analysts are of the opinion that the acquisition of Novellus Systems may weigh on the revenues, as the integration process may take some time to successfully complete. However, some analysts expect that after the successful integration, Lam Research can offer new semiconductor products, new platforms in the market and hence, can gain market share with their new offerings.

Some analysts are pessimistic about the cyclical nature of the semiconductor industry as Lam Research’s exposure is quite high. Further, they believe that stiff competition from its peers such as Applied Materials and Tokyo Electron may hamper its revenues as its competitors have the required resources to continue to place intense technology and pricing pressure in the market. A few analysts also believe that Lam Research may come under pressure as the rising concentration of smaller suppliers is successful in poaching its customers by offering their platforms for process integration at cheaper rates.

Additionally, analysts also believe that global economic woes including the European debt crisis, rise in unemployment in the U.S. and slowdown in China as well as the cyclical nature of the semiconductor industry as a whole may lead to weaker-than-expected demand trends, going forward. Lam Research’s sales could be affected due to overcapacity and oversupply of chips such as DRAM and that can hurt its profitability.

Margins

According to the company press release, gross profit was $339.8 million in 3Q13 versus $315.4 million in 2Q13 and $267.1 million in 3Q12. Gross margin in the quarter was 40.2% versus 36.6% in 2Q13 and 40.5% in 3Q12. Lower volumes coupled with unfavorable product and customer mix were the main reasons for the gross margin contraction.

Zacks Investment Research Page 5 www.zackspro.com Operating expenses were $329.0 million versus $311.4 million in 2Q13 and $209.0 million in 3Q12. R&D expenses were $174.2 million versus $166.0 million in 2Q13 and $113.4 million in 3Q12. SG&A expenses were $154.8 million versus $144.4 million in 2Q13 and $95.6 million in 3Q12.

GAAP operating income was $10.8 million in 3Q13 versus $58.1 million in 3Q12. Operating margin was 1.3% versus 8.8% in 3Q12. The contraction was due to lower customer concentration and product mix.

GAAP net income was $19.0 million in 3Q13 compared with $6.4 million in 2Q13 and $45.6 million in 3Q12 and non-GAAP net income was $74.5 million in the reported quarter.

Provided below is a summary of margins as compiled by Zacks Digest:

Margins 3Q12A 2Q13A 3Q13A 4Q13E 2012A 2013E 2014E 2015E Gross 40.9% 44.2% 43.9% 44.4%↓ 41.3% 44.2%↓ 45.0%↓ 46.9% Operating 11.1% 11.5% 8.8% 13.6%↑ 12.2% 11.8%↑ 16.6%↓ 21.2% Pre-tax 11.6% 10.9% 8.3% 12.9%↑ 12.1% 11.3%↑ 14.1%↓ Net 9.2% 9.0% 8.8% 12.4%↑ 9.8% 10.3%↑ 13.9%↓ 18.1%

Outlook

For 4Q13, management expects gross margin in the range of 43%–45% and operating profit within a range of 11.5%–14.5%.

In the near term, the analysts expect the company’s margin to increase attributable to favorable mix, better factory utilization, proper cost control measures and broadening customer base. In addition, improved product offerings in etch, strip and deposition are expected to lead to increased margins and market share gain.

In the longer term, the analysts expect margins to recover sharply on the back of shipment growth and market share gains. Further, they believe that Lam Research’s cost controlling efforts with Novellus may strengthen margins, going forward.

Earnings per Share

According to the company press release, pro forma EPS was $0.44 in 3Q13 versus $0.45 in 2Q13. The figure excludes expenses related to acquisition and integration costs of Novellus, customer bankruptcy filing costs, rationalization of product configuration and amortization of convertible note discounts.

GAAP EPS was $0.11 versus $0.04 in 2Q13 and $0.38 in 3Q12.

Provided below is a summary of margins as compiled by Zacks Digest:

EPS 3Q12A 2Q13A 3Q13A 4Q13E 2012A 2013E 2014E 2015E

Zacks Investment Research Page 6 www.zackspro.com Digest High $0.50 $0.45 $0.44 $0.74↑ $2.08 $2.16↑ $4.56↑ $5.45 Digest Low $0.50 $0.45 $0.44 $0.70↑ $2.05 $2.12↑ $2.80↓ $5.45 Digest Average $0.50 $0.45 $0.44 $0.73↑ $2.07 $2.15↑ $3.72↓ $5.45 Y/Y Growth -65.6% 32.0% -11.8% 21.4%↑ -64.6% 3.7%↑ 73.3%↓ 46.4% Quarterly Growth 47.4% -15.6% -1.6% 64.3%↓

Outlook

For 4Q13, management expects earnings to be in the range of $0.63–$0.77 on a share count of 168.0 million.

The analysts increased their EPS estimates due to expectation of better margins and a decrease in tax rates in the future. In addition, the successful integration of Novellus might boost earnings, going forward.

StockResearchWiki.com – The Online Stock Research Community

Discover what other investors are saying about Lam Research Corporation (LRCX) at:

LRCX profile on StockResearchWiki.com

Research Analyst Anomita Mookherjee Copy Editor Kamalika Pramanik Content Ed. Shalu Saraf QCA/ Lead Analyst Sejuti Banerjea No. of brokers reported/Total 11/11 brokers Reason for Update Earnings

Zacks Investment Research Page 7 www.zackspro.com

Recommended publications