european February 2011 business press www.electronics-eetimes.com

Reaping the benefits of energy harvesting 10

Tabula unveils Special Focus: Hall 9, Stand 168 3D-PLD EDA tool 8 Embedded Computing 33 EmbeddedWorld 2011 mouser.com EmbeddedWorldSemiconductors and 2011 electronic Hall 9, Stand 168 components for design engineers. mouser.com Semiconductors and electronic components for design engineers.

CoNTENTS february 2011

Managing open source licensing for

Publisher semiconductors. 50 André Rousselot +32 27400053 [email protected]

Editor-in-Chief Julien Happich +33 153907865 [email protected]

EDITORS opinion design + products Philip Ling +44 1622746580 4 | Uncommon Market: Software is SPECIAL FOCUS: [email protected] the new hardware POWER MANAGEMENT

Christoph Hammerschmidt 50 Last Word: Managing open source 18 Circuits and algorithms to keep +49 89 44 45 02 09 [email protected] licensing for semiconductors your silicon cool 20 An RTL to GDSII approach for CONTRIBUTING EDITORS news & TECHNOLOGY Paul Buckley low power design +44 1962866460 5 | ST sees automotive and SPECIAL FOCUS: [email protected] consumer electronics converge Jean-Pierre Joosting +44 1202543405 8| Tabula takes 3D programmable 26 System design using NAND flash [email protected] logic sky-high with cloud-based EDA memory

Circulation & Finance 10 Seeing Green: A look into 2011 30 USB hub and Luc Desimpel at growing technology trends applications in the automobile [email protected] 12 ESA on analog self-steered antennas SPECIAL FOCUS: Advertising Production & Reprints Molybdenite better than graphene EMBEDDED COMPUTING Lydia Gijsegom as an alternative to silicon [email protected] 33 Testing multicore software 14 | CEA-Leti ramps up 300mm line Art Manager 34 Designing a USB streaming Jean-Paul Speliers for 3D-integration video control system over WiFi

Accounting 15 | LED surge propels MOCVD market 36 Designing USB functionality into Ricardo Pinto Ferreira 16 | Organic field-effect transistors embedded systems with no OS Regional Advertising Representatives with bilayer gate insulator show 38 PC/104 meets Qseven: Contact information at: high stability http://www.electronics-eetimes.com/en/about/ what’s your combination? sales-contacts.html Apple eyes NFC mobile payments

European Business Press SA 17 | ARM plays catch-up in graphics IP Reader offer 144 Avenue Eugène Plasky Win one of two radio energy 1030 Brussels - Belgium Printable solar cell technology 46 Tel: +32 (0)2 740 00 50 from cheap, non-toxic materials harvesting kits from Powercast Fax: +32 (0)2 740 00 59 www.electronics-eetimes.com VAT Registration: BE 461.357.437 RPM: Brussels ELECTRONIC ENGINEERING TIMES EUROPE is published monthly in 2011 by European Business Press SA, 144 Avenue Plasky, 1030 Bruxelles, Company Number: 0461357437 Belgium Tel: +32-2-740 00 50 Fax: +32-2-740 00 59 email: [email protected]. VAT Registration: BE 461.357.437. RPM: Brussels. european Volume 13, Issue 2 EE Times P 304128 AD_ecomm_EPN.qxp:EETimes 1/6/11business 12:39 press PM Page 1 It is is free to qualified engineers and managers involved in engineering decisions – see: http://www.electronics-eetimes.com/subscribe © 2011 E.B.P. SA Copyright 2011 by European Business Press SA. All rights reserved. P 304128

Vicor Products Now Also Available Online ! Vicor offers tens of Prototype Quantities at Unbeatable Lead Times thousands of standard and customizable, high-performance power conversion solutions fitting your exact specifications. Visit vicorpower.com or call us at 00800 VICOR 000 (00800 84267000) Commentary: UNCOMMON MARKET

Software is the new hardware By Philip Ling

While the importance of embedded software is now tures, but Weigl expects that to change in favour of 32-bit, powered almost exclusive- well understood by most semiconductor manufac- ly by ARM-based devices. So while Silica turers, and the increasing dominance of the ARM currently sells more 8-bit devices than 32-bit, it believes the growth potential is in architecture is encouraging more of them to differ- the high end, which means that all distribu- entiate themselves through the software support tors – including Silica – should benefit from greater sales simply by providing 32-bit they offer, the same evolution is not readily appar- MCUs. Its strategy to increase its market ent within the distribution world. share will, therefore, actually mean it needs to grow quicker than the rising market. Unlike just a few years ago, when the form of an average of 15% market share Part of the strategy to achieve that is Independent Device Manufacturers (IDMs) across Europe – until 2014. The three-year to make software the key differentiator, chose to keep the higher yielding accounts strategy reflects Silica’s position in the through a European Software Support in-house, today the distribution market MCU market; for whatever reason it isn’t Team. Silica intends to hire regional soft- handles an increasing amount of the IDM’s selling as many MCUs as its competitors. ware experts who will develop in-house business, and accounts for the majority of Weigl explained that while Silica has expertise on all the major software develop- semiconductor sales. Despite this, it main- eight out of the top ten MCU suppliers, it ment environments, operating systems and tained a hardware-centric business model, didn’t have a structured approach to selling tools. FAE training will also be key, but Wei- until now. gl was very clear about which architecture In a bold attempt to align its MCU sales would get the biggest investment; ARM. with its overall business share in the dis- And it isn’t all ARM-based devices, but the tribution market, Silica has launched the ‘sweet spot’ of Cortex-M3, -M4, -A8 and -A9. ‘Core ‘n More’ programme. The intention This notably excludes Microchip’s PIC32 is to increase its share of the MCU market family, which is based on the MIPS core, from 9% to 15% by 2014, through a homo- and the Cortex-R series of ARM processors, geneous strategy that will encompass all of which ARM has recently extended with the its business activity – not just sales – and be introduction of the Cortex-R5 and -R7. rolled out across all European regions. All of ARM’s Cortex cores are based on Silica is very clear about its intention to the ARM v7 instruction set architecture, focus on the ARM architecture to achieve making them binary compatible –some- this growth, but it will be in the provision thing ARM’s customers insist upon due to of software expertise that it expects to the massive investment they have in their differentiate itself from other distributors, embedded software, particularly in cellular something that isn’t going to directly pro- codecs which is one application area where vide a revenue stream as there is currently the Cortext-R4 has been popular. no intention to start selling software tools The architectural enhancements found and services directly. Instead the strategy in the new Cortex-R cores have been pre- Karlheinz Weigl, Silica’s Regional Vice President is to partner with other companies with dominantly led by the needs of software for Central Europe: ‘Spreading an homogeneous expertise in this area, while also building engineers, providing hardware-assist for strategy across all of Europe’ up its own in-house expertise. functions that would otherwise need to be Silica’s regional vice president for MCUs, unlike the rest of its line card. As coded and thereby making the software central Europe, Karlheinz Weigl, explained MCUs are rarely used in isolation, Weigl is engineers’ job ‘easier’. that despite the MCU market being the big- hoping the new strategy will also increase But because the Cortex-R isn’t cur- gest in Europe for distributors, Silica hadn’t the company’s attach rate’, or the number rently in demand within the mainstream focused on it until now. The launch of the of peripheral components sold with every industrial market, it doesn’t immediately ‘Core ‘n More’ programme is the culmina- MCU. At the moment the attach rate is fall within the remit of the Core ‘n More tion of a year’s planning but isn’t expected around 2.2 to 1, or 2. 2 components sold to programme. Similarly, because MCUs based to provide a return on that investment – in support every MCU, by 2014 Weigl would on the Cortex-M0 are, relatively speaking, like that ratio to be 5 to 1. simpler to use, they aren’t targeted as an Philip Ling is Editor for embedded electronics Today the MCU market is highly frag- area where Silica’s new strategy can provide at EETimes Europe - reach him at phil.ling@ mented across 8-, 16- and 32-bit architec- the crucially important differentiation. n eetimes.be

4 Electronic Engineering Times Europe February 2011 News & TECHNOLOGY ST sees automotive and consumer electronics converge EXECUTIVE INTERVIEW By Christoph Hammerschmidt

For STMicroelectronics, the current au- tive industry spreads tomotive boom translated into record results. evenly across vari- Traditionally, the largest European semicon- ous segments such ductor vendor has a strong commitment to as infotainment, automotive electronics. EE Times Europe powertrain, body talked with Joseph Notaro, ST’s Director of control, safety etc. Automotive Application, about the compa- Where is your focus ny’s strategy in this demanding market. in terms of applica- tion expertise and EE Times Europe: What does the automo- perhaps in technol- tive market represent for ST? ogy? Joseph Notaro: Historically and since the Notaro: This has merger between STS and Thomson in 1987, to do with the way the automotive sector has always been one we are structured in ST’s automotive product range covers more or less all in-vehicle segments. of ST’s key segments. We have a comprehen- terms of R&D. We sive approach of the automotive segment have common development teams but then tegic decision to focus first on the consumer and I suspect that our product portfolio is different application teams each one focus- market. Every tablet computer, every game one of the broadest among our competitors. ing on the segments you mentioned. For in- console today contains an ST MEMS. We Traditionally, we have achieved between 13 stance, we have an infotainment team which have shipped more than one billion MEMS and 15 percent of our revenues with automo- maintains a good relationship and internal devices to the consumer market. We know tive products. idea exchange with our consumer business that the automotive market takes more time and telecom group but, they are focusing and has different requirements in terms of EE Times Europe: Where do you see ST’s more on the high end of in-car multimedia quality and reliability. We do sell MEMS strength in the automotive market? and navigation systems. And we have our in smaller quantities to our automotive Notaro: There are two main aspects. One traditional automotive electronics division customers but we remain cautious in this is more technical, one is more behavioural. where the focus is more on powertrain, market, because we want to be successful We have a good balance between commit- safety, chassis and car body. Thanks to ST’s without taking any risk. ment and flexibility and we have always unique structure, we’re also becoming a big been strong in making strategic alliances. player in the ADAS area (Advanced Driver EE Times Europe: I learned that the cur- Assistance Systems). This includes topics rent MEMS supply is a bit difficult. Which EE Times Europe: Can you name some of such as blind spot detection or lane depar- dynamics drive this market? these alliances? ture warning. We make automotive-quali- Notaro: We don’t see issues in the auto- Notaro: For instance we have alliances fied CMOS cameras, which is quite unique motive MEMS market. More generally, the with tier ones such as Bosch and Magneti among pure semiconductor companies. We supply situation is difficult for semiconduc- Marelli, but also with other third parties also sell radar chips, 24/26GHz, transmitters tors. The situation is getting better, but the such as Mobileye and Navteq. We’ve also and receivers and we develop 77/79 GHz automotive market has really been boom- joined forces with one of our competitors, parts for our customers. ing. There has been a big dip in production Freescale, to develop next-generation micro- but we are catching up quickly with the controllers. Automotive electronics starts to EE Times Europe: ST also has a strong increased demand. Emerging economies are converge with other market segments, such position in the market for consumer MEMS. driving the market at a time when in-car as the multimedia segment and industrial Wouldn’t it make sense to leverage this mar- semiconductor content is growing signifi- applications. In all of these segments, ST ket for automotive applications too? cantly. ranks among the top suppliers. Notaro: Definitively. The MEMS market Advanced safety, blind spot detection, in automotive is large, but the growth op- cameras in vehicles, all these devices have EE Times Europe: Unlike most of your portunities have been more outside of our penetration rates much higher than we pre- competitors, ST’s presence in the automo- automotive activities. ST has made the stra- dicted a few years ago, so do infotainment

February 2011 Electronic Engineering Times Europe 5 NEWS & TECHNOLOGY EXECUTIVE INTERVIEW

systems. Smart lights that can swivel, level, than what a traditional combustion engine or automatically switch between high beam would require. But for EVs, the biggest chal- and low beam are another example of new lenge is to increase the energy density and electronic content in cars. The acceptance reduce the weight of the battery at reason- and the push from the carmakers have been able costs. At the same time, there is a lot incredible which means the demand for all of pressure to increase the efficiency of the this optional equipment is increasing much existing internal combustion engine. We see faster than anyone had predicted. a lot of opportunities in what we call vehicle electrification, whereby different types of EE Times Europe: What is ST’s strategy loads such as LEDs replacing incandescent with respect to microprocessor architecture? lamps or start-stop systems maximizing the Is there something like a preferred architec- efficiency of the car, must be driven by dif- ture at ST? ferent devices. You need more sensors, more Notaro: For sure. If you look at the actuators and more power devices. Control- Figure 2: Joseph Notaro, ST’s Director of traditional automotive domain, including ling these loads also requires microcon- Automotive Application sees synergies between powertrain, safety, chassis and car body, trollers with more computing power, able to all application fields in automotive electronics. the PowerPC architecture is ST’s chosen run more advanced algorithms dealing with such as silicon carbide and gallium nitride architecture. We have a joint development multiple sensor interfaces. power transistors which really increase the activity with Freescale on the PowerPC. Our components efficiency, helping maintain goal, our vision is to make the PowerPC the EE Times Europe: Everybody is talking the current size of power semiconductors. de-facto standard on the market in these about the connected car. How will the con- SiC could work at higher temperatures applications. We’ve developed applications nected car affect the semiconductor content which means that you could handle higher that went to production late 2010. On the and even the driving experience? powers for a given die size. This could lead infotainment market where the convergence Notaro: The technology is already there. to big advantages in cooling your electronic between the consumer and telecommuni- The challenge will become the infrastruc- module. Doing away with liquid cooling for cations segments is remarkable, the ARM ture. This is another interesting trend EVs would translate into big cost and weight architecture is more present. With our Carte- in automotive. The car is not considered savings. I think that within the next five to sio family, our strategy for these markets is anymore an object independent of the rest of ten years, GaN and SiC will be the big break- really ARM-based. This ARM-based platform the world. With the electric car, the vehicle through in vehicle power electronics and of for GPS, connectivity, storage, and audio becomes a part of your everyday cycle. You course in industrial markets. processing provides everything you would plug it at home, you drive, you connect to expect to find on a multimedia processor. get information, you connect the car to EE Times Europe: Will ST bring SiC or We use the same platforms for in-vehicle your home electronics to download music GaN semiconductors to market within this navigation systems and for PNDs. Garmin, from your music server at home etc. This time frame? for example, is powered by our Cartesio will change our driving habits. Today, traffic Notaro: We are already in production family. updates are too slow and not very reliable. today with SiC diodes. Some technology Real-time traffic updates, information about breakthroughs are coming that will enable EE Times Europe: Which technology charging stations for electric vehicles, all of us to produce true silicon carbide MOSFETS trends do you see in the automotive market this will change the way we drive. The infra- within the time frame I mentioned. It is for the years ahead? Which domains will structure will be an enabler for the electric challenging to go up in voltage range with grow the fastest? and the connected car. GaN but we have lots of research in this Notaro: The main drivers for automotive direction. Being an engineer, I am convinced semiconductors are in-vehicle multimedia EE Times Europe: What developments that there are very few technical hurdles and new environmental and safety legisla- are you expecting in the power electronics that cannot be overcome. And when we pro- tion. There is a lot of activity to reduce CO2 segment? duce a device we always have in mind high emissions; some reductions are mandated by Notaro: The power semiconductor area is volume, low cost, high quality. This is one of the EU, some by the North American govern- probably the biggest growth area for Electric our differentiators. ment. There are also mandates in Japan and Vehicles (EVs) because of the amount of in China to reduce CO2 emissions. power to control. The more efficient your EE Times Europe: This brings me to the power semiconductors are the more efficient next question: What is ST’s manufacturing EE Times Europe: And how can semicon- your electric car can be. Efficiency translates strategy? Do you manufacture all semicon- ductors contribute towards this end? into range, how far you can go with your EV ductors in-house? Notaro: There are two different angles with a given battery size. Today, the trend is Notaro: We will be one of only two to look at it. There is a lot of hype around towards higher voltages; we talk about 400V companies that will have 55nm embedded electrical vehicles (EVs) and today, this rep- batteries. Dealing with these batteries, you Flash to be produced in-house, one hundred resents a tremendous growth potential for need semiconductors that can handle up to percent. All our smart power and mixed sig- the semiconductor content of vehicles. The 1000V. nal devices are developed and manufactured number of semiconductor devices necessary But this may not be sufficient. We are in-house. This shows our commitment to to drive an electric motor is much higher working on new compound materials the automotive sector. n

6 Electronic Engineering Times Europe February 2011 mouser.com Semiconductors and electronic components for design engineers.

We’ve got what design engineers need. The future.

You’ll  nd the newest products for your newest designs. Get what’s next at Mouser.

mouser.com

Nürnberg, Germany, 1 - 3rd March 2011 Hall 9, Stand 168

Mouser and Mouser Electronics are registered trademarks of Mouser Electronics, Inc. The Newest Products for Your Newest Designs is a registered trademark of Mouser Electronics, Inc NEWS & TECHNOLOGY PROGRAMMABLE LOGIC Tabula takes 3D programmable logic sky-high with cloud-based EDA tool By Julien Happich

About a year after announcing its Spa- design scenarios. As cetime’s 3D architecture, Tabula is unveiling a dedicated synthesis Stylus, a secure cloud computing environ- and place-and-route ment designed to unleash the power of its package supporting ABAX 3PLD devices. Since the public launch 3PLD devices, Stylus of the 3D programmable logic devices offers an intuitive, (3PLDs), Tabula has been busy qualifying familiar design its silicon for production on TSMC’s 40nm environment for ASIC process and shipping dozens of functional and FPGA designers samples to early adopters. What was missing through a browser- for the mass adoption of the clever time-driv- like GUI. en multiplexed reprogrammable logic was At the heart of a reliable design tool that could take regular Stylus is Spacetime- RTL code and port it seamlessly to the ABAX optimized synthe- devices. That’s what Stylus is about, hassle- sis coupled with free with all the compute power of the cloud. timing-driven 3D According to Alain Bismuth, Tabula’s place-and-route that Vice President of marketing, all the physical automatically and devices have exceeded the benchmarks of transparently maps their initial announcement and 2011 will standard RTL directly Fig1: The cloud-based Stylus design flow accepts standard VHDL/Verilog/ be the ramp up year for volume produc- into Spacetime. System Verilog inputs tion. “Tabula has not only delivered on its The tool accepts standard VHDL/Ver- file transfers. To further accelerate device promise to deliver more performance at an ilog/System Verilog inputs as well as SDC propagation, Tabula also announced a unmatched price point, but we are now able constraints. Several productivity-enhancing comprehensive development kit featuring to bring a free tool environment that will features have been implemented, including the ABAX A1EC04 3PLD, a part providing enable any designer to program our chips advanced floor-planning, static timing, and 390k LUTs, 5.5 MBytes of RAM blocks, and transparently without having to deal with power analysis. Debug logic can be integrat- 48 channels of 6.5Gbps SerDes. The platform the specifics of its time-driven architecture” ed on-chip and direct probing of select nodes will enable designers to test a rich variety of he commented. give users an inside-out view of the circuit’s parallel and serial interfaces such as DDR3 In the course of 2010, the company behaviour. and PCI Express Gen2, as well as embedded gained several design-wins and some of its IP cores from Tabula’s leading IP partners systems based on the popular V1 ColdFire chips are already running on base-stations. can be integrated and supports design librar- soft CPU. n “We have seen many telecoms and consumer ies for industry-stan- OEMs who were still favouring ASICs or AS- dard logic simulators. SPs versus traditional FPGAs, on a cost and The company performance basis, turn to the ABAX devices opted for a cloud-com- and benefit from the re-programmability at puting environment a price-point an order of magnitude lower to ensure that design- than current FPGAs offer” Bismuth added. ers always have access This complements the company’s view that to the latest software with a 4x signal processing throughput and without either the a 2.5x logic density achieved through up to overhead associated eight folds of sub-clock cycle reconfigura- with IT management tion, its devices are stepping up the design or the expense of shift from ASICs to FPGAs. This is one notch compute serv- beyond the trend that traditional FPGAs ers. The web access manufacturers have been riding to gain is also meant to market share against ASICs. enable real-time, 2010 helped tune the in-house develop- on-site-like, techni- Fig2: A feature-rich development board with the ABAX A1EC04 3PLD. ment tools and validate Stylus across many cal support without

8 Electronic Engineering Times Europe February 2011 Join the element14 Treasure Hunt

Visit element14 for your chance to Win an iPad

www.element14.com GET YOURSELF CONNECTED NEWS & TECHNOLOGY XXXXXXXXCOMPANY XXXXXXXXPROFILE Seeing Green: A look into 2011 at growing technology trends inspiring new product design

Technology remains ever changing. It’s mobile industry ramps up its development Energy harvesting enables long-term, shaped by our demands for smaller, faster of . Automotive manufacturers maintenance-free operation of low-power and smarter products engineered to make are switching to LEDs for daytime running electronic devices, especially wireless our lives easier. Not only that, it needs to be lights due to greater visibility and energy sensors. It holds the promise of replacing responsive to our planet’s rising environ- efficiency. Another factor is the upcoming batteries with green, virtually infinite power mental concerns. Terms like reduced carbon sales ban on incandescent lights. Although for wireless devices. One company is already footprint, green energy and energy harvest- the deadline varies from country to country, in the process of converting waste heat into ing are the new mantra being chanted by this planned ban will lead to less incandes- a sustainable, maintenance-free power sup- today’s companies and engineers. cent lights being sold by 2012 – spearhead- ply for wireless sensor devices. A built-in ing alternative solutions to fill the void as chip thermogenerator takes a few degrees of To understand how green technology the Edison bulb gives way to SSL. temperature differential and harvests ther- is impacting today’s product design, we mal energy to operate the wireless sensor consulted Mouser Electronics, a leading Energy harvesting ripe for growth node. By employing wireless sensors, design global semiconductor and electronic com- In the quest to find alternative energy sources, engineers can create a hands-off solution ponents distributor that works closely with much of the buzz centers on micro-energy that lasts the lifetime of the application. design engineers and buyers. Who better harvesting. Simply put, it’s the capturing of Therefore, users avoid having to maintain to ask than a company known for its rapid tiny amounts of energy from the environment hundreds, thousands of batteries. introduction of the latest products and most through vibration (piezoelectric and oscillat- advanced technologies used for prototype ing mass), temperature differentials (TEGs Ambient RF energy also stands at the development? or thermoelectric generators), light (solar forefront as a means of supplying power to panels) and RF (induction through ambient wireless devices. Energy from RF transmit- Future of SSL looks bright electromechanical waves), along with other ters offers the unique benefits of provid- When talking with Mouser, our conversa- sources. The concept of snaring free or waste ing predictable and consistent power over tion turned to the topic of lighting. Solid energy and converting it into reusable power distance without being connected to a power State Lighting (SSL) took the spotlight and is sparking industry-wide attention. Mouser source. Not to mention, ambient RF energy centered on light emitting diodes (LEDs). sees the energy harvesting trend gaining more is currently available from billions of radio In recent years, Mouser has seen the SSL momentum in the year ahead. The cost of har- transmitters worldwide, including mobile market take off. Decreases in price, energy vesting has now decreased to where it’s a prac- phones, handheld radios, mobile base sta- savings as a result of greater efficiency and tical alternative to traditional power sources; tions, plus radio and television broadcast longer life have led to a number of applica- the seeds for growth are firmly planted. stations. This is leading to the creation of tions being developed.

Where traditional lighting is used, an SSL solution can be implemented. SSL offers more brightness per watt while producing less heat. The size of SSL devices can be extremely small, for use in more places, as well as surface mounted for greater design flexibility. Finally, the life of an SSL is longer (lasting for more than 35,000 hours) in com- parison to the incandescent bulb (averages only 750 hours).

LED lighting is forecasted to account for 16% of the worldwide lighting market by 2013, accounting to over 14.62 billion Euros in revenue. This is based on a 25% year on year growth. Greentech Media Research is even predicts a 30% growth rate in 2011.

What’s behind this growth? The larg- est use of LEDs has been mobile devices. This will continue to grow in 2011 as the

10 Electronic Engineering Times Europe February 2011 NEWS & TECHNOLOGY

new, battery-free devices and even allows battery-powered devices to be wirelessly trickle-charged. Devices with this capabil- ity have the advantage of mobility while charging.

The numbers are convincing. ABI and iSupply estimate the number of mobile phone subscriptions has surpassed 5 billion globally, and ITU calculates there are over 1 billion subscriptions for mobile broadband. Also, consider the number of WiFi routers and wireless end devices such as laptops. The Darnell Group, a market research firm, estimates 200 million energy harvesters and the global PC market, furthering the demand order accuracy combined with the newest, thin-film batteries will be in use by 2012. for greener MCUs. most cutting-edge products from top sup- Overall, energy-harvesting components are pliers. The result: Engineers can design in forecasted to exceed 2.93 billion Euros by Keeping pace with what’s next the latest technologies into their prototypes 2020. The ground is fertile for new product Mouser is taking the lead in providing new, – giving an edge in features, product lifecycle design. energy friendly technologies to meet the in- and speed to market. creased demand for high-performance semi- Next-Gen processors turn green conductors and electronic components with The engine driving much of Mouser’s Energy harvesting isn’t the only green lower energy consumption. The company’s growth is www.mouser.com. It houses in energy solution taking form. In energy Advanced Technology Group is concentrat- excess of 1.8 million parts online from more management, the industry has seen a huge ing on energy-harvesting components and than 400 leading suppliers. The site is updat- movement towards components with lower- Solid State Lighting along with leading-edge ed with new products every day and clearly power consumption. The trend to reduce advancements in ultra-efficient MCUs for identifies components Not Recommended power consumption and extend battery developing innovative product designs and For New Design (NRND) and parts planned life is taking precedence. Manufacturers of prototypes. for obsolescence. The site also provides an microcontrollers (MCUs) are in a literal race industry-first interactive catalog, data sheets, when it comes to providing high perfor- “Along with providing these emerging supplier- specific reference designs, appli- mance at decreasing power consumption. technologies, Mouser is deeply committed cation notes and many other useful tools. Simply, MCUs are going green. to producing solution-based content on its Plus, the website has more than 650 product website as a resource to the design commu- microsites, covering detailed information Manufacturers are engineering MCUs nity”, Russell Rasor, Mouser VP of Advanced and key features on the newest components that draw nanoamperes of current in sleep Technology, states. “These are all technolo- ready for design. Furthermore, it supports 16 mode. In fact, the newest 32-bit microcon- gies that require much more than a list of different languages and currencies. All these trollers can consume one quarter of the part numbers… designing for 2011 is going capabilities have earned Mouser the best dis- energy of other 8-, 16- and 32-bit MCUs. Ex- to require a lot of thought. Design engineers tributor website for technical information, tensive clock gating within the chip design can review downloadable data sheets, sup- search and ease of use. is key in reducing power requirements, but plier-specific reference designs, application so is smart use of different power modes and notes, technical design information and It’s no surprise that Mouser has been well designed systems. engineering tools for new product design”. receiving a lot of industry recognition in Europe. Recently, Mouser was individually What’s advancing the growth for green About Mouser honored by both Bourns and Elektra as the MCUs in 2011? A report from GBI Research If technology is made to transcend boundar- 2010 European Catalogue Distributor of the points to the demand for low-power multi- ies, shouldn’t the company that delivers it be Year. Additionally, Mouser is continually core processors in laptops and smartphones. too? Mouser thinks so and has 14 locations strengthening its broad linecard, featur- The rapid growth of smartphones usage is globally with plans to expand further. ing such leading suppliers as TI, Maxim, driving the MCU market. The number of Panasonic and EPCOS, to name a few. What’s smartphones in use globally is expected to More specifically, Mouser has offices more, Mouser and its parent company, TTI, hit 1.7 billion by 2014, driven by demand in strategically located throughout Europe, Inc., even caught the keen eye of Warren Buf- emerging markets of Asia-Pacific, notably including Germany, France, U.K. and Italy. fett, joining his Berkshire Hathaway family China, India, as well as Central and South This is in direct response to strong European of companies in 2007. All of which translates America with Brazil leading. Another fact, design community. Other factors behind into even greater confidence and reassur- laptops have already overtaken 2009 world- Mouser’s exceptional growth in Europe has ance for today’s European buyers and design wide desktop sales. New product categories been the company’s unyielding focus on engineers in search of the newest products like tablets and netbooks have registered providing best-in-class service, excellent for their newest designs – harvesting what’s strong initial sales, increasing their stake in product information, rapid delivery and next is what Mouser does best. n

February 2011 Electronic Engineering Times Europe 11 NEWS & TECHNOLOGY WIRELESS COMMUNICATIONS ESA on analog self-steered antennas By Paul Buckley

BULKY PRESENT GENERATION satellite 1.6GHz demonstrator - capable of providing Dr Buchanan the lead engineer on the dishes and ground terminals could become transfer rates of 0.5Mbits/s - with a power project who received ESA’s Best Young En- relics of the past thanks to research cur- requirement of just 2 watts. The device will gineer award for his work in the field said: rently being conducted for the European ultimately have the capability to operate at “The work is especially exciting because it Space Agency (ESA) by Queen’s University 20-30 GHz in order to provide much greater has involved taking a piece of pure univer- Belfast’s Institute of Electronics, Communi- bandwidth. The design currently being sity research and bringing it into the real cations and Information Technology (ECIT) worked on is a 4x5 element planar array world. which aims to develop discrete self-aligning measuring 30 cm by 40 cm and just 12 mm We believe that self-tracking antennas flat antennas. deep. offer the prospect of much simpler and The research could lead to a one-size- The circuits are entirely analogue and more cost effective alternatives to other cur- fits all solution that can be optimised for incorporate specially adapted phase locked rent approaches. That, we believe, makes a variety of technologies presently used to loop circuits. By contrast, conventional them ideally suited to a variety of end uses”. deliver satellite broadband and television to circuits convert incoming signals to digital, “For example, satellite broadband air- travellers as well as customers in broadband process them electronically and then con- craft antennas are extremely complex. They ‘not spots’. ECIT is currently working on vert them back to analogue. This however need to be linked into the plane’s onboard an 18 month ESA project with the aim of limits their frequency, and increases their navigation system in order to find the satel- developing a completely self-contained complexity, cost and power requirements. lite.” solid-state self-steering antenna that is Queen’s University built the world’s first “We believe that across these applica- much lighter and less power hungry than 65 MHz self steered antenna a number of tions the solution we are currently working current alternatives. years ago. Since then, it has built a close re- on could reduce power consumption by a The team being led by Professor Vin- lationship with ESA to whom it is now the factor of 10, weight by a factor of five and cent Fusco plans to complete work on a main supplier of quasi-optical filters. cost by a factor of four”. n

Molybdenite better than graphene SEMICONDUCTOR as an alternative to silicon PHYSICS By Julien Happich

SMALLER AND MORE energy-efficient elec- He compares its advantages with two oth- tronic chips could be made using molybden- er materials: silicon, currently the primary ite. EPFL’s Laboratory of Nanoscale Electron- component used in electronic and computer ics and Structures (LANES) publishes in chips, and graphene. the journal Nature Nanotechnology a study One of molybdenite’s advantages is that showing that this material has distinct ad- it is less voluminous than silicon, which is vantages over traditional silicon or graphene a three-dimensional material. “In a 0.65nm- for use in electronics applications. thick sheet of MoS2, the electrons can move The research carried out in the LANES around as easily as in a 2nm-thick sheet of has revealed that molybdenite, or MoS2, is a silicon,” explains Kis. very effective semiconductor. This mineral, “But it’s not currently possible to fab- A digital model showing how molybdenite can be which is abundant in nature, is often used as ricate a sheet of silicon as thin as a mono- integrated into a transistor. Credit: EPFL an element in steel alloys or as an additive in layer sheet of MoS2.” Another advantage of over the electrical behaviour of the material, lubricants. But it had not yet been extensive- molybdenite is that it can be used to make which can be turned on and off easily. The ly studied for use in electronics. transistors that consume 100,000 times less existence of this gap in molybdenite also “It’s a two-dimensional material, very energy in standby state than traditional gives it an advantage over graphene. thin and easy to use in nanotechnology. It silicon transistors. Considered today by many scientists as has real potential in the fabrication of very A semiconductor with a “gap” must be the electronics material of the future, the small transistors, light-emitting diodes used to turn a transistor on and off, and “semi-metal” graphene doesn’t have a gap, (LEDs) and solar cells,” says EPFL Professor molybdenite’s 1.8 eV gap is ideal for this pur- and it is very difficult to artificially repro- Andras Kis. pose. This gap offers a great level of control duce one in the material. n

12 Electronic Engineering Times Europe February 2011 Our High Performance Solution Inverterised Air-conditioning reference board

Infineon is renowned for offering best in class discrete devices and ICs – now with the inverterised air conditioning reference board, Infineon can present system expertise in the fast growing inverterised air conditioning market.

Key features and benefits of Infineon’s Air-conditioning reference board � Size and thermally optimised reference platform for inverterised air-conditioner system to drive � inverter stages on one PCB � Innovative cooling for high power SMD IGBTs � Displaying > ��% PFC efficiency � Full power electronic SMD assembly for high capacity production

For further information please visit our website: [ www.infi neon.com/aircon ] NEWS & TECHNOLOGY 3D INTEGRATION

CEA-Leti ramps up 300mm line for 3D-integration By Julien Happich

LAST JANUARY, CEA-LETI inaugurated a established 130nm or 65nm process brand new 300mm line dedicated to shared side by side with the best of a 40nm research and development on 3D-inte- process without paying the cost of gration, with plans to go well beyond the a full IC built at 40nm.” This sort of current 2.5D solutions that represent wired compromise on technology nodes die stacking or other similar a posteriori will occur more and more often, system-in-package assemblies. as long as stacking older processes By adding this technology to its exist- becomes a cheaper and more power ing 300mm CMOS R&D line, Leti can offer efficient alternative to the next heterogeneous integration technologies more expensive node being used in to customers on both 200mm and 300mm one single layer. wafers. The dedicated line includes 3D-ori- “As a research lab, we don’t aim ented lithography, deep etching, dielectric for mass production but having a deposition, metallization, wet etching and pilot line could be the next step for packaging tools and will be available for small prototype production, to fill CEA-Leti’s CEO Laurent Malier (left) receiving the fist 3D- worldwide customers and partners. The line the gap between development and ready 300mm silicon wafer from Thierry Mourier (right), will enable Leti to apply its 3D-integration mass production,” Malier added. 300mm TSV line manager. generic processes on 300 mm wafers, with The recent lab’s partnership with a 3D toolbox that includes a large portfolio Presto Engineering to develop test and analy- areas for thermal dissipation and timing of through-silicon vias (TSVs), and advanced sis capability for 3D semiconductor devices constraints within a stacked architecture. capabilities in alignment, bonding, thin- will be key from a supply chain test point. “With the toolbox development and the ning, and interconnects in specific integra- By stacking dies, the wafer test costs go up line, we have set up something unique in tion schemes for manufacturing optimized because it gets harder to access the chip data Europe, and we’ll be able to offer technical die stacks and building efficient advanced- for debugging repairing etc... Presto Engi- support both at design and at manufacturing systems solutions. This will be done in close neering will be working on improving yield level,” said Dr. Ahmed Jerraya, head of design collaboration with local design and charac- by finding test methodologies as well as programs at CEA-Leti. terization platforms. parameterizing the stacking processes. Further developments on CEA-Leti’s EETimes Europe got the chance to visit For the last five years, CEA-Leti has been roadmap include demonstrators for silicon the new clean room and get a flavour of evaluating building blocks for 3D integra- interposers and, on the 2012 horizon, dem- what’s to come in 3D integration, with sever- tion, it has also developed test circuits onstrators for 3D Network-on-Chip (NoC) al key industry partners invited to showcase to validate its 3D processes and the first routers enabling intelligent TSVs and maybe their research and development strategies 3D-ready 300mm silicon wafers are already logic-capable TSVs for reconfigurable 3D during a two-day seminar organized at Mi- out. Moving to a 300mm line will help routing between stacked CMOS dies. natec in Grenoble. understand the impact of TSVs on advanced With shrinking process nodes, connect- CEA-Leti’s CEO Laurent Malier observed CMOS design rules (both mechanical and ing the dies’ I/Os in flip-chip packages or that while process nodes are still going to electrical). The building extension will be even to a silicon interposer will require finer shrink, more and more companies are con- finished in May and the line will be fully and finer solder bumps and copper pillars. sidering vertical integration and through- operational for CEA-Leti’s partners before Using old-generation lithography equip- silicon vias (TSVs) to further reduce the foot- the end of 2011. ment could be the next step to pattern the print of their systems at any given process The research centre’s partners will be able minuscule in-package redistribution layers. node. The two main benefits that integrators to test and characterise their design ideas This is Replisaurus Technologies’ approach; are looking for, apart from device compact- with working assemblies. This physical line with an electrochemical pattern replication ness, are an increased number of I/Os for a will go hand-in-hand with CEA-Leti’s earlier (ECPR) technology the company presented higher data bandwidth between the stacked initiative to develop a 3D EDA toolbox during the seminar. The ECPR technology chips and the possibility to achieve the best together with R3Logic, and possibly open 3D (see figures 1a to 1d) combines the precision compromise in die area between different interfacing standards. What the EDA vendor and resolution of advanced lithography with technology nodes. wants is to encapsulate all the properties the efficiency of electrochemical deposition “The new line has been defined so that it of the stacking process in one single 3D into one single electrochemical metal print- can be used across several generations of pro- technology file to be manipulated across the ing step, thus eliminating many steps from cess nodes, with a real capacity to produce whole design flow. That way, a tool could the traditional process. A master electrode working prototypes,” said Malier. “This will look at different libraries and databases and with an insulator pattern is pre-filled with enable us to open up new paths of optimi- identify what the best process would be for an anode material (Cu for example). By ap- sation, for example getting the best of an each circuit block and optimize different plying an external potential, the anode mate-

14 Electronic Engineering Times Europe February 2011 NEWS & TECHNOLOGY

MAXIMUM RELIABILITY In contact, stability and low-noise performance Mill-Max Mfg. Corp. spring-loaded connectors provide superior reliability under the most rigorous environmental conditions, offering: ™8dci^cjdjh!adl"cd^hZZaZXig^XVaXdccZXi^dch# ™He^`Z"[gZZhiVW^a^inid*%<h]dX`VcY&%<k^WgVi^dc# ™8dci^cjZY]^\]eZg[dgbVcXZ rial is transferred through an electrolyte onto the seeded conductive i]gdj\]&!%%%!%%% XnXaZh# substrate. Separating the master from the substrate leaves an inverse ™Adl"VcY]^\]"egd[^aZhjg[VXZ"bdjcihinaZh# metal replica of the master electrode pattern. ™)*Y^hXgZiZYZh^\ch[dgXjhidbZg" Only the seed conducting layer must be etched to leave the final specific assemblies. trace. The company recently signed a multi-year agreement with Leti ™+[Vb^a^Zhd[h^c\aZVcYYdjWaZhig^eVhhZbWa^Zh# to optimize the development of reusable master electrodes for applica- ™I]Zh]dgiZhiegdYjXi^dcaZVYi^bZh^ci]Z^cYjhign# tions such as integrated passives, copper pillars and 3D integration. n LED surge propels MOCVD market By Mark LaPedus LED PRODUCTION

INVESTMENT BANKING firm Barclays Capital has raised its forecast in the metal organic chemical vapor deposition (MOCVD) equip- 9^hXgZiZHA8E^ch ment arena from 900 tools shipped in 2011, up from 800 in its previous forecast. The total MOCVD tool market was close to 800 unit shipments in 2010, up by quadruple figures from 2009, said C.J. Muse, an analyst from Barclays Capital, in a report. The new forecast follows an ongoing surge for LED demand. MOCVD is one of the critical tools used in LED production. Aixtron, Nippon Sanso and Veeco are battling each other for share in the MOCVD arena. The MOCVD market is not cooling down, as some had thought. ‘’Although sentiment on the LED equipment vendors has been fairly negative over the last few months, driven by concerns about China subsidies coming to a halt, our work suggests that this is not the case,’’ he said. HA88dccZXidg6hhZbWa^Zh Back in October, ‘’we suggested that China MOCVD demand was likely to grow substantially from (about) 270 tools in 2010 to (about) Stay in contact with 450-500 in 2011. This drove our overall MOCVD market estimate of Mill-Max spring-loaded connectors. (about) 800 tools in 2011,’’ he said. Idk^Zldjg9Zh^\c

February ˆi˜Ì\Ê ˆ‡ >ÝÊUÊœLÊ 2011 Electronic œ°\Ê 8‡£ä™ÊUÊ-ÕLiVÌ\Ê,iˆ>LˆˆÌÞ Engineering Times Europe 15 -ˆâi\ÊΰÈÓx»ÊÝÊ£ä°nÇx»ÊUÊ œœÀ\Ê{ ÊUÊ*ÕLˆV>̈œ˜\Ê Ê/ˆ“iÃÊ ÕÀœ«iÊUÊÃÃÕiÊ >Ìi\Ê>˜ÊÓä£ä / ˆÃÊ`Ê*Ài«>Ài`ÊLÞÊ->˜˜>Ê >ÌÌÜ˜Ê >Viœ` NEWS & TECHNOLOGY LOW-TEMPERATURE TRANSISTORS Organic field-effect transistors with bilayer gate insulator show high stability By Julien HAPPICH

RESEARCHERS FROM the Georgia Institute Bernard Kippelen, director of the Center for we started to do the test experiments, the of Technology published a new method of Organic Photonics and Electronics and pro- results were stunning. We were expecting combining top-gate organic field-effect tran- fessor in Georgia Tech’s School of Electrical good stability, but not to the point of having sistors with a bilayer gate insulator, allowing and Computer Engineering. no degradation in mobility for more than a the transistor to perform with incredible The bilayer dielectric is made of a fluo- year,” said Kippelen. stability while exhibiting good current rinated polymer known as CYTOP and a The team performed a battery of tests to performance. In addition, the transistor can high-k metal-oxide layer created by atomic see just how stable the bilayer was. They be mass produced in a regular atmosphere layer deposition. Used alone, each substance cycled the transistors 20,000 times. There and can be created using lower tempera- has its benefits and its drawbacks. was no degradation. tures, making it compatible with the plastic CYTOP is known to form few defects at They tested it under a continuous bias devices it will power. the interface of the organic semiconductor, stress where they ran the highest possible The research team used an existing semi- but it also has a very low dielectric constant, current through it. There was no degrada- conductor and changed the gate dielectric which requires an increase in drive voltage. tion. They even stuck it in a plasma chamber because transistor performance depends not The high-k metal-oxide uses low voltage, but for five minutes. There was still no degrada- only on the semiconductor itself, but also doesn’t have good stability because of a high tion. The transistor conducts current and on the interface between the semiconductor number of defects on the interface. runs at a voltage comparable to amorphous and the gate dielectric. So, Kippelen and his team wondered silicon, the current industry standard used “Rather than using a single dielectric what would happen if they combined the on glass substrates, but can be manufactured material, as many have done in the past, two substances in a bilayer. Would the at temperatures below 150°C, in line with we developed a bilayer gate dielectric,” said drawbacks cancel each other out? “When the capabilities of plastic substrates. n Apple eyes mobile payments over NFC By Rick Merritt MOBILE NFC

APPLE INC is in discussions with retailers “Internally at Envisioneering, we are call- the operating system and an online payment and contract manufacturers about support- ing it iCash,” said Doherty. service needed to deliver mobile payments. ing mobile payments on future iOS devices Apple could significantly lower the costs Word emerged last year of Apple patents such as the iPhone5, according to one ana- credit card companies charge retailers to that reference implementations of NFC. In lyst. The company is one of several expected verify and complete transaction, a major addition, Apple reportedly hired an NFC to deliver secure transaction services on source of irritation for retailers. Such a ser- specialist last year. mobile devices in 2011. vice “could be a game changer,” he said. But Apple is far from alone. At the “We don’t know Apple’s plans, but “Tens of billions of dollars that flow Web 2.0 conference last year,Google’s Eric engineers we have met are scaling up to through Apple in the next several years” if Schmidt showed NFC on the company’s support near-field communications, and it successfully launches such a service, he next-generation handset, suggesting mobile have Apple’s blessing but not a purchase added. Whether Apple does go ahead with payments as one of its uses, and the chief order yet,” said Richard Doherty, principal a 2011 launch is unclear. “Anyone who says executive of Research in Motion said RIM of consulting form Envisioneering (Seaford, they have knowledge of Apple plans is a will support NFC. N.Y.). “Apple also has been in dialog with liar because Tim Cook and Steve Jobs won’t Others are lining up partnerships and lay- its existing and new retailers” about a new decide until a few days or weeks before a ing the technical plumbing needed to pilot mobile payment service, he added. product roll out,” said Doherty. secure mobile payment systems starting in Doherty said Apple could decide to ship Apple has a unique opportunity to popu- 2011. A story in the current issue of EE Times iOS products such as iPhones or iPads as larize mobile payments as it has popular- Confidential described the history behind the early as this year using NFC to complete ized mobile Web access and touch-screen emerging services and the elements players secure retail transactions using an extension technology. That’s because it can control of will need to ride this new wave of the mobile of its iTunes service. some of the key elements such as handsets, market. n

16 Electronic Engineering Times Europe February 2011 NEWS & TECHNOLOGY INTELLECTUAL PROPERTY ARM plays catch-up in graphics IP By Peter Clarke

YOU DON’T NEED to tell IP pioneer ARM has seen chip volumes for its PowerVR cores It’s therefore no surprise that processor Holdings that success in the core licensing take off in mobile devices and auto applica- companies have targeted graphics. AMD business requires best-in-class engineering, tions. And it is the challenge now facing acquired ATI Technologies in 2006 for patience and the prescience to aim your ARM and its Mali core. $5.4 billion; then bought ATI’s technology at a sweet spot five years down As Moore’s Law drives chip transistor mobile graphics division from AMD for $65 the road. The result of building up a solid counts higher, discrete graphics processors million. And ARM (Cambridge, U.K.) created roster of licensees with favorable royalty are losing sockets to embedded implementa- a media processing unit in June 2006 by terms ought to be a high- margin, low-cost tions and heterogeneous, compute/graphics purchasing Falanx Microsystems. Despite all business with plenty of momentum. processing arrays. Meanwhile, graphics the jockeying, graphics IP still looks like a In the graphics IP space, that has been applications are mushrooming in consumer, one-horse race. Estimates vary, but Imagina- the payoff for multimedia IP powerhouse computing, industrial and automotive tion’s graphics core shipments are thought Imagination Technologies Group, which electronics. to approach 250 million chips a year. n Printable solar cell technology manufactured from cheap, non-toxic materials SOLAR ENERGY By Julien Happich

OXFORD PHOTOVOLTAICS, a company re- liquid electrolytes. Oxford cently spun out from the University of PV’s technology replaces Oxford by Isis Innovation Ltd., has devel- the liquid electrolyte with oped a new solar cell technology that is a solid organic semicon- manufactured from cheap, abundant, non- ductor, enabling entire toxic and non-corrosive materials and can be solar modules to be screen scaled to any volume. printed onto glass or Harnessing the sun’s energy, the solar other surfaces. cells are printed onto glass or other surfaces, Green is the most are available in a range of colours and could efficient “semi-transpar- be ideal for new buildings where solar cells ent” colour for producing are incorporated into glazing panels and electricity, although red walls. and purple also work Isis Innovation is Oxford’s technology well. The materials used transfer company, responsible for creating are plentiful, environ- new technology companies based upon Ox- mentally benign and very ford research. By combining earlier research low cost. ing closely with major companies in the on artificial photosynthetic electrochemi- Oxford PV predicts that manufactur- sector to demonstrate that we can achieve cal solar cells and semiconducting plastics ing costs of its product will be around 50% their expectations on economic and product Oxford PV can now create manufacturable less than the current lowest-cost thin film lifetime criteria.’ solid-state dye sensitized solar cells. The de- technology and expects its new mechanism The technology was developed by Dr vice is a form of thin film solar technology, a will eventually match the unsubsidised cost Henry Snaith, of Oxford University’s Depart- relatively new development in solar energy of electricity generated from fossil fuels. The ment of Physics, who said, ‘One of the great generation. technology could revolutionise the incorpo- advantages is that we can process it over Leading thin film technologies are cur- ration of photovoltaic materials into win- large areas very easily. You don’t have to rently hampered by the scarcity of minerals dows and walls and other parts of buildings. worry about extensive sealing and encapsu- used. Other dye-sensitized solar cells are CEO Kevin Arthur said: ‘This technology lation, which is an issue for the electrolyte being held back by the volatile nature of is a breakthrough in this area. We’re work- dye cell.’ n

February 2011 Electronic Engineering Times Europe 17 Design & PRODUCTS Circuits and algorithms to keep your silicon cool SPECIAL FOCUS: POWER MANAGEMENT By Christoph Hammerschmidt

ENERGY EFFICIENCY in electronics is systems transmit the paramount topic of the “Cool Silicon” with full power even research initiative that currently occupies if the base station is more than 60 research institutes, univer- close-by, although sities and commercial companies in the “Sili- the connection con Saxony” region. would not suffer any The recent presentation of intermediate degradation if the results showed that there are some promis- RF power level were ing approaches to reduce power consump- reduced. “Under nor- Figure 1: Controlling output power by signal level - Block diagram and silicon tion in a broad range of applications, from mal circumstances, implementation of the power-saving PA power regulator for mobile handsets. base stations for mobile networks to micro- full transmission processors. One of Cool Silicon’s focuses is power is required in certain limits. However, these two param- mobile networks. In existing handset imple- less than one percent of the connections”, eters are the same across all chip regions and mentations as well as in the base station said Ellinger. functional units of the chip. infrastructure, the researchers found many His researchers found a smarter way The researchers found that this scheme is starting points to improve energy usage. “En- to handle the transmission power. Their much too coarse to be effective. Their mul- ergy saving circuit technologies and design system measures the signal strength and tiprocessor SoC design allows fine-grained methodologies have a huge economic poten- then adjusts the supply voltage and current supply voltages and clock frequencies for tial,” explained Frank Ellinger who leads the to the PA accordingly. “The challenge is that the various cores and functional units ac- chair for circuit design and network theory this control process needs to be done at very cording to their actual needs. “Today, such at the Dresden Technical University. “This is high speed, within nanoseconds”, Ellinger an optimization is rather unusual, even in because today’s systems are incredibly dumb explained. standard high-power microprocessors”, said in terms of efficient use of energy.” Within the scope of the research ini- Cool Silicon cluster coordinator Thomas An example that supports this thesis is tiative, Ellinger’s team has designed an Mikolajik. The design developed by the the power amplifier design used in today’s integrated circuit that does this job. In its Dresden Technical University provides a handsets and base stations. Power amplifiers current version, the very small and cheap 45/65nm CMOS MPSoC optimized for either account for more than 30 percent of the total implementation is optimized for mobile UMTS (3G) or LTE (4G) mobile networks. energy consumption in mobile networks. Fo- handsets. Trials in UMTS networks showed The difference between 3G and 4G in terms cusing on this alone with smart energy man- that handsets equipped with the power con- of power consumption is that data rate and agement systems would strongly reduce the trol technology consumed up to 50 percent overall processing complexity for 4G are operator’s electricity bills. The same applies less power. Commercialization is in sight. significantly higher, explained Gerhard Fett- to the handsets. According to Ellinger, such Besides research institutions, chip maker weis, professor at the Technical University’s NXP is involved in the project. Vodafone chair. This poses much higher Another point of attack for requirements on computing power and on- efficiency improvements is the chip networking bandwidth which in turn signal processing circuitry inside have a direct influence on power require- base stations. Typically the pro- ments. The fine-grained power management cessing is achieved by multi-core system promises a massive reduction in processors where voltage and power consumption, believes Fettweis. clock frequency can be adjusted In the realm of mobile communications, to the workload. Power consump- Blue Wonder Communications is also look- tion depends not only on supply ing into energy efficiency. The company, voltage and current, but is also which has become a subsidiary of chip- proportional to the clock frequen- maker Intel since former parent company cy. In today’s microprocessors, Infineon sold its mobile communications Figure 2: Currently implemented on FPGAs, Blue Wonder’s LTE voltage as well as clock frequency business unit to the microprocessor vendor modem promises to be very energy efficient. are adjusted automatically within from Santa Clara, has developed an LTE

18 Electronic Engineering Times Europe February 2011 Will your terminal pass PCI-PTS 3.0?

Yes, with the help of Maxim’s PCI-PTS reference design Minimize your BOM cost • Meshless design with no cover required for CPU and external memories • End-to-end solution featuring Maxim’s high-performance analog and mixed-signal products Achieve PCI-PTS 3.0 certification faster • Precertified, secure hardware platform is easy to adapt • Maxim’s secure Linux® OS, plus precertified EMV™ and cryptographic libraries Benefit from the industry’s most secure 32-bit microcontrollers • Patented on-the-fly encryption of external memories • Integrated secure NV SRAM with instant zeroization technology • Intrusion detection and environmental tamper sensors

Visit us at CARTES 2011 in Hong Kong! Go to www.maxim-ic.com/CARTES2011 for more information.

Linux is a registered trademark of Linus Torvalds. EMV is a trademark owned by EMVCo LLC.

DIRECT™ www.maxim-ic.com/shop

www.avnet-memec.eu www.silica.com For free samples or technical support, visit our website or call +3531 2235500. Innovation Delivered and Maxim are registered trademarks of Maxim Integrated Products, Inc. © 2011 Maxim Integrated Products, Inc. All rights reserved. DESIGN & PRODUCTS SPECIAL FOCUS: POWER MANAGEMENT

Figure 3: In complex modem featuring advanced power manage- circuits such as this ment. The modem is currently implemented multicore proces- as an FPGA-based demonstrator called sor for LTE base BlueGate whose hardware is only activated stations, energy during the active data transfers. Typically, consumption needs these transfers take only a few milliseconds to be applied in a in uplink as well as in downlink. In addition, much more differ- sophisticated algorithms have reduced the entiated way than in data transfer time, leading to even shorter today’s mainstream periods during which the modem is active microprocessors. and draws power. On a side note, these algorithms support the current LTE standard 3GPP release 8 as well as both LTE technolo- gies globally in use LTE-FDD and TD-LTE. According to Blue Wonder, this is a unique feature in today’s LTE landscape. n An RTL to GDSII approach for low power design By Aveek Sarkar

THE STATISTICS on infrastructure and com- commercially viable, but to make them com- and routing considerations. To meet the puting needs for the Internet are sobering. petitive in the market. If the power density challenges outlined earlier, a new design The volume of data that is uploaded onto of a handheld device exceeds a narrow band, methodology that considers power as a de- YouTube every minute of the day exceeds 35 it will be too hot and must be re-designed sign target should be adopted and followed hours of video. Facebook, if it were a nation, because a human hand will not be able to through the entire design chain; starting would be the third most populous after hold the device for any period of time. from the micro-architecture definition and China and India. So, in order to be competitive, IC designs continuing through the RTL design period Amazon.com withstands onslaughts that power data centers and mobile hand- all the way to physical implementation and from hordes of Black Friday online shoppers held devices not only need to control and sign-off. By employing this process early, you by having a massive compute infrastructure reduce their overall power consumption, can identify areas of opportunity for power that sells practically everything. All these but also must excel in other metrics such as reduction and benefit from the freedom to services are enabled through the creation performance per watt or performance per implement circuit changes that will help in and maintenance of large data centers that Gbps. As consumers become more aware of power reduction. cater to the increasing amount of content the power their electronic systems consume, Additionally, power consumed by the and traffic on the Internet that is driven by whether based on environmental con- chip (at block or full-chip level), should be the proliferation of broadband and mobile cerns, cost sensitivity or application needs, tracked through the entire design process to handset connectivity. If you consider the system and component designers must ensure convergence on the power goals set Power Usage Effectiveness (PUE) metric used re-think their design goals and methodolo- for the design. However, to be successful this to judge the efficiency of servers and cooling gies. Depending on the application (server, methodology must go hand-in-hand with systems, the current ratio prevalent in the networking, 3D graphics, handheld device, other design targets including performance, industry is 1.9, this means that almost half etc.), the specific area of power consumption area, noise and timing. So the design changes the power in a data center is used to cool to be addressed for a particular design can proposed by the low power methodology servers with only the remaining half avail- be different. For example, in a processor or should be simulated and reviewed within able for the computations themselves. SoC for a handheld device, very low levels of the context of these other important design As the compute power of handheld devic- operational and standby power targets are requirements. A comprehensive holistic es approaches that of traditional computers critical design criteria. approach to low power design that ana- through the use of GHz+ processor cores and Several techniques and methodologies lyzes power throughout the entire process; increased levels of functionality, the power have emerged to target low power design identifying and implementing changes in signature of such devices must be carefully needs, however they do not contribute to the circuit that realize power reduction with controlled to not only make the products reducing the operational or standby power consideration for other design goals, is a numbers. They either have a marginal “design for power” methodology. Aveek Sarkar is vice president of product impact, or come too late in the design cycle. Using a design for power methodology engineering and support at Apache Design This approach towards power is usually helps achieve the following: Solutions Inc - www.apache-da.com he can be ad hoc and is superseded by timing, area (a) It ensures that power is considered reached at [email protected]

20 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

from the beginning of the design, starting such as clock and power gating that are used the chip team, and by providing an accurate with architectural considerations. to achieve low power targets, impacts an model of the chip to the package and board (b) Identifies any circuit changes neces- already reduced margin. Referring back to team. These models must capture all rel- sary to achieve or exceed power reduction the example of using clock gating to reduce evant electrical parameters (e.g. all switch- goals. operation power, increased levels of clock ing current and parasitic information), and (c) Isolates “power bugs” that can cause buffering can cause high dynamic voltage must be silicon validated for accuracy. excessive current draw, but would otherwise drop in the design if the placement of these So, as you look to reduce operational pass all other checks (i.e., formal verifica- clock buffers is not done optimally. and/or standby power, re-think your design tion, etc.). Power gating is often used for standby methodology first. Establish power as a (d) Verifies the suggested reduction tech- power reduction. However, if the implemen- design target, starting from the micro- niques do not adversely affect other design tation of power gating is not properly done, architecture and RTL design process. By targets such as area, timing and noise. it can affect the performance and functional- leveraging an analysis driven optimization (e) Tracks power throughout the design ity of the design, and waste more power. For approach, you can explore different power process; through “power regressions” that example, if the power gate is sized improp- saving modes and not be restricted to only help immediately flag any design changes erly, it can introduce excessive drop through one methodology. that may be functionally correct, but that the power gate (when sized smaller than Using RTL based power estimation num- can cause power increases. needed), or it can cause excessive leakage in bers that are available on-die, you can initi- Once the power target goals are achieved the standby mode (if sized larger than need- ate power grid and package design planning in the RTL stage, the logic and circuit ed). Additionally, if the turn-on sequence of and prototyping. changes and “power bugs” are identified and the power gates is not well controlled, it can Once RTL optimizations are done and a addressed, then extensive verification must cause a high “rush-current” in the device synthesized netlist is available, layout based be done. This will ensure that the low power that generates noise through coupling in power integrity analyses must be performed techniques introduced perform and deliver other parts of the chip. at the full-chip level, along with the package the expected savings, but also that they do Accurate estimation and prediction of and PCB models, to quantify the success of not adversely impact the design. voltage drop in the chip is very important the RTL stage optimizations and ensure that For example, the amount of predicted for low power designs. So if the system in voltage drop in the chip is contained. In power savings that can be achieved through which the chip operates is not considered in parallel, the package/PCB must be optimized clock gating may not be realized due to the the simulation through incorporating the considering the impact of the die to ensure introduction of clock buffering (during the package and PCB parasitics, the on-die volt- the power, thermal and signal integrity of synthesis phase), that is needed to meet tim- age drop analysis is incomplete. the system. ing and clock skew constraints. The margin The design, optimization and verifica- In conclusion, the successful design available to prevent accidental device tion of a low power chip must be done in and delivery of a low power chip requires a turn-on continuously shrinks as designers conjunction with the design and optimiza- comprehensive design for power methodol- use much lower supply voltages to reduce tion of the package that the chip will reside ogy that impacts not only the design of the the power consumption and heat dissipa- in, and the PCB that the packaged chip will IC but also of the entire system to meet the tion. Increased levels of noise in the power go on. This can be achieved by providing needs and demands of a power-conscious and ground networks from circuit changes accurate models of the package and board to society. n

Power factor corrected LED driver IC 150W AC-DC power supply delivers flicker-free dimming for industrial lighting in a compact 101.6x50.8x32mm form factor iWatt has expanded its family durability, and reduced size and XP Power is launching the from a universal input of 90- of digital LED driver ICs with component costs. The device fea- ECP150 series of efficient and 264 VAC, there are five single its first power-factor-corrected tures a two-stage PFC scheme, on compact open frame 150W AC- output models available within (PFC), primary-side-regulated, a single chip. A front-stage boost DC power supplies. Measuring the range, covering the nominal leading- and trailing-edge- converter enables a power factor 101.6x50.8x32mm, the units de- outputs of +12, +15, +24, +28 or dimmable, AC/DC Digital PWM over 0.90 (typically 0.94), while liver a power density of 14.88W +48 VDC. A 12V / 0.5A output Controller targeting 120 V/230 the following PWM driver stage per cubic inch. The series is also provided to power an VAC offline commercial and minimizes ripple current at the comply with UL/EN/IEC60950-1 external fan if required. Up to industrial LED lighting applica- output. iWatt’s digital control, and UL/EN/IEC60601-1 safety 100W output is achieved by tions. The iW3614 (3 to 15W) is primary side control, quasi- standards for medical and IT convection cooling alone, and designed for LED drivers used resonant switching and high equipment. The units have a no with only 15 CFM of forced in space-constrained incandes- switching frequency combine to load input power of less than cooling the full 150W output is cent replacement lamps, offers reduce thermal loss, component 0.5W and a typical efficiency of available. The ECP150 operates hot-plug support and flicker-free count, driver size and (BOM). 91%, helping the end equip- between -20 and +70°C. compatibility with existing wall iWatt ment comply with interna- XP Power dimmers, and incorporates fea- tionally recognized energy tures to assure power efficiency, www.iwatt.com efficiency initiatives. Operating www.xppower.com

February 2011 Electronic Engineering Times Europe 21 DESIGN & PRODUCTS SPECIAL FOCUS: Power Management

Telecoms power management IC Step-down DC/DC converter for Icera’s E400/E450 data card platform 1.5MHz operation for 93% efficiency Maxim Integrated Products has PC cards (MAX8982X). ROHM Semiconductor has intro- compensation, FET voltage, and introduced power-management To increase design flexibility and duced the BP5275 series of step- heat dissipation characteristics, ICs (PMICs) for LTE/WCDMA/ reduce system power consump- down DC/DC converter modules are also necessary, increasing GSM/GPRS/EDGE data cards tion, the MAX8982A/MAX8982X that integrate all required development time and costs. based on Icera’s E400/E450 allow system designers to external components, including The BP5275 series was developed platform. Delivered in a program individual output input/output using an in-house 3.75x3.20mm, voltages and en- capacitors, into 1.5MHz fre- 42-bump WLP, able/disable all a compact, high quency switching the MAX8982A/ regulators using heat dissipation regulator IC and MAX8982X the I2C interface package. This synchronous devices integrate or the dedicated makes them rectification four high-effi- control input suitable for use system. It has an ciency step-down converters and (PWR_REQ). These PMICs also as general-pur- efficiency of 93% nine low-dropout linear regula- feature three current regulators pose power supplies in a variety for 6V to 5V conversion). As a tors (LDOs) to power all RF and with eight dimming current of electronic devices. Cur- result, mounting area is reduced baseband circuitry. options (up to 24 mA) and an rently, multiple LDOs, switch- to 1/6th of the conventional size. The integration completely embedded flash timer. All LDOs ing regulators, and numerous The package enables direct heat eliminates external components, include programmable voltage other electrical components dissipation from the devices to including the external converter options and offer greater than 60 are essential in order to pro- an aluminum heat sink which normally required for GSM dB PSRR, less than 45 microvolts vide stable electrical power to can be mounted to increase power amplifier (PA) modules. of output noise, and minimal internal circuits. However, the output current capability up to They thus reduce solution size cross-coupling noise. relatively large amount of heat 800mA. In addition, the 3-termi- by 60% and BOM cost by over Maxim Integrated Products generated by each component nal, pin-compatible configura- $1.50, making them suitable for requires separate heat sinks or tion enables major increases in USB dongles (MAX8982A) and www.maxim-ic.com additional substrates to facili- power supply efficiency without tate heat dissipation. Multiple requiring complete re-design. tedious circuit design processes, Rohm Semiconductor including selection of external Sub-microamp quiescent current LDOs www.rohm.com/eu extend battery life in wireless devices components based on phase Analog Devices’ ADP16x series batteries or solar power. all four of low-dropout regulators ad- devices provide up to 150mA of Bus converters offer 98% efficiency dress the size, power dissipation output current and operate from deliver 9.6 or 12V from a nominal 48V input and power-supply-rejection 2.2 to 5.5V supplies. The ADP160 requirements of wireless mobile and ADP162 fixed-output volt- Vicor Corporation has intro- use the same ZCS/ZVS engine modules. The ADP160, ADP161, age and ADP161 and ADP163 duced the IBC048 series of VI that has powered V.I Chips into ADP162 and ADP163 extend adjustable-output voltage regu- BRICK Intermediate Bus Con- high performance applications the battery life of lators are available verters, which offer double the with tier-one customers. IBC048 portable devices in TSOT (thin– power density and half the con- modules cut transient response by drawing only small-outline tran- version loss time from 200 560 nA (typical) sistor) packages, of competi- to 20 microsec- at no load and 42 while the ADP160 tive devices. onds, eliminat- μA of quiescent and ADP162 are ad- The devices ing the need for current (typi- ditionally available are available bulk capacitors. cal) at full load. in WLCSP (wafer- as drop-in Superior density The LDOs provide 70 dB PSRR level, chip-scale package) pack- replacements and conversion (power-supply-rejection ratio) at ages. The ADP160 and ADP161 for indus- efficiency enable 60 Hz, which is more than twice also include an output switch try standard eighth-brick and competitive advantages for that of competing LDOs at full to discharge the output load quarter-brick 5:1 and 4:1 inter- OEMs seeking to maximize load current. The new ADP16x capacitor to zero volts when the mediate bus converters, initial performance across a range of series LDOs are designed for ap- device is turned OFF to ensure product offerings include 300 W applications, including comput- plications such as power meter microcontrollers are in a known and 500 W models, providing 9.6 ing, data storage, networking reader/data terminals, portable state for restart. or 12 V outputs from a nominal and Power-over-Ethernet. industrial, medical measure- Analog Devices 48 V input with a voltage range Vicor Corporation ment devices and remotely lo- extending from 38 to 55 V. The cated equipment operating from www.analog.com new VI BRICK bus converters www.vicorpower.com

22 Electronic Engineering Times Europe February 2011 THE VERY LATEST TECHNOLOGY... WE’VE GOT IT FIRST!

> Over 100 new technologies added online every day > The broadest product range in European distribution, over 520,000 products from 3,500 suppliers > element14 - The first online technology portal and e-community for Design Engineers > Now receive all of your quotes online with eQuotes > i-Buy – FREE online eprocurement solution www.farnell.com

www.element14.com Design with the best

A Premier Farnell Company

Electronics_Specifier_210x297 NPIAd_UK.indd 1 14/01/2011 11:19 DESIGN & PRODUCTS SPECIAL FOCUS: Power Management

Synchronous step-down DC/DC MCU 24V power modules digital power system management via I2C/PMBus adjustable switching frequency for flexible design Linear Technology launched a telemetry variables, including National Semiconductor’s new switching frequency to enable dual output high efficiency syn- input and output voltages and Simple Switcher power modules greater flexibility of design. chronous step- currents, duty can drive high output voltage Pin-to-pin compatible with other down DC/DC cycle, tempera- applications in a variety of family members, the modules controller with ture and fault markets including industrial, integrate a shielded inductor I2C-based PMBus logging. The communications infrastructure and feature efficiency up to 97%. interface for digi- LTC3880/-1 can and military. The integrated inductor allevi- tal power system regulate two inde- The LMZ14201H, ates EMI management. pendent outputs LMZ14202H and concerns The LTC3880/-1 allows for digital or be configured for a two phase LMZ14203H as the programming and read back for single output. Up to 6 phases devices provide modules real-time control and monitor- can be interleaved and paral- the efficiency comply ing of critical point-of-load con- leled for accurate sharing among of a synchro- with both verter functions. Programmable multiple ICs, minimizing input nous switching CISPR 22 control parameters include and output filtering require- regulator with Class B output voltage, margining and ments for high current and/or the simplicity of a linear regula- radiated and conducted emis- current limits, input and output multiple output applications. tor, eliminating the external sions standards. supervisory limits, power-up An integrated amplifier provides inductor and complex layout The chips drive loads from 1A to sequencing and tracking, switch- true differential remote output placement challenges typical of 3A and are well-suited to gener- ing frequency and identification voltage sensing, enabling high switching regulator designs. ate intermediate bus voltages and traceability data. On-chip accuracy regulation, indepen- The devices accept an input volt- in systems using a distributed precision data converters and dent of board IR voltage drops. age rail between 6 and 42V and point of load (POL) architecture. EEPROM allow for the capture Linear Technology Corporation deliver an adjustable and highly National Semiconductor and nonvolatile storage of regu- accurate output voltage up to lator configuration settings and www.linear.com 24V. A single resistor adjusts the www.national.com

Isolation amplifiers for current sensing Low profile power conversion IC find applications in motor drives and inverters can supply up to 65W with no heat sink The ACPL-790B, ACPL-790A fast response time to enable cap- Power Integrations has an- thermal mass of the board to and ACPL-7900 optical isolation ture of transient signals in short nounced its TOPSwitch-JX act as a heat sink. The 12-pin amplifiers from Avago Technolo- circuit and overload conditions. power conversion IC in an in- package has a 119mm2 footprint, gies are well suited for current The devices operate from a sin- novative eSOP low-profile power yet maintains safety creepage and voltage sensing in AC and gle 5-V supply that is compatible package. The surface-mount and clearance distances required brushless DC motor with 3.3-V outputs. package is by international drives, industrial This performance is suited for appli- standards. TOP- inverters, servo motor delivered in a compact cations without Switch-JX ICs drives, wind power DIP-8 package that is heatsinks up incorporate both generation, solar suitable for automated to 65W in a PSU flyback panel power systems assembly. High gain compact, open- controller and a and general analog tolerance options of frame installa- 725 V MOSFET isolation. As current ±0.5% (ACPL-790B), tions such as power in a single flows through the external resis- ±1% (ACPL-790A), and ±3% slim LCD TV auxiliary power, package, and feature a novel tor in a motor drive implemen- (ACPL-7900) are available. The set-top boxes, PC standby, and multi-mode control algorithm tation, the resulting analog isolation amplifiers are compli- DVD players. that maximizes power efficiency voltage drop is sensed by an ant to UL 1577 5000 Vrms/1- In notebook, netbook, and across the entire load range. ACPL-790B/790A/7900 isolation minute rating, IEC/EN/DIN EN printer adapters, the thermally High efficiency at full power amplifier, and it allows a propor- 60747-5-5 and CSA industrial efficient eSOP package can sup- minimizes power wasted during tional output voltage to safely be safety standards, while 15 kV/ms ply up to 40W using only the normal operation and reduces created on the other side of the common-mode transient immu- PCB for thermal management. the complexity and expense of optical isolation barrier. nity ensures less torque ripple in The eSOP package features an thermal management on the The ACPL-790B precise isolation motor control applications. exposed die-attach pad that may system. amplifier provides up to 0.5% Avago Technologies be reflow soldered to the PCB Power Integrations high gain accuracy, and offer during assembly. This permits 200kHz bandwidth and 1.6 ms www.avagotech.com the copper ground plane and www.powerint.com

24 Electronic Engineering Times Europe February 2011 Microcontrollers Integrate Touch Sensing Quickly and Easily With Microchip’s Range of Low Power, Low Cost Solutions Controllers Digital Signal Analog Memory

Microchip’s mTouch™ Sensing Solutions allow designers to integrate touch sensing GET STARTED IN 3 EASY STEPS with application code in a single microcontroller, reducing total system cost. - Learn more at www.microchip.com/mtouch Microchip o ers a broad portfolio of low power, low cost & exible solutions for keys/sliders and - Download App Notes & royalty-free source code touch screen controllers. Get to market faster using our easy GUI-based tools, free source code - Order a development tool and low-cost development tools. Capacitive Touch Keys and Sliders Touch Screen Controllers t Extend battery life with eXtreme Low Power MCUs t Fully processed touch coordinates − Proximity sensing in less than 1 μA t Projected Capacitive technology t High noise immunity and low emissions − Multi-touch enabling gestures t Broad portfolio of MCUs lowers system cost − Low cost MCU implementation Enhanced mTouch Capacitive Evaluation Kit - DM183026-2 − 8, 16 & 32-bit PIC® MCUs for Capacitive Touch − Wide operating voltage: 1.8-5.5V (For keys & sliders) − Integrated USB, Graphics, LCD, IrDA, CAN − Low operating current 1.5 mA at − No external components 5V typical t With Metal Over Cap technology you can: t Analog Resistive technology Projected Capacitive − Use polished or brushed metal surfaces − Lowest system cost, easy integration Development Kit - DM160211 including stainless steel and aluminium − Universal 4, 5 & 8-wire solution with − Sense through gloves on-chip calibration − Create waterproof designs − I2C™, SPI, UART or USB interfaces − Low power “touch to wake-up” feature − Deploy Braille-friendly interfaces Analog Resistive Touch Screen Development Kit - DV102011

Intelligent Electronics start with Microchip

www.microchip.com/mtouch

The Microchip name and logo, the Microchip logo and PIC are registered trademarks and mTouch is a trademark of Microchip Technology Incorporated in the U.S.A. and other countries. All other trademarks mentioned herein are property of their respective companies. © 2010, Microchip Technology Incorporated, All Rights Reserved. ME259BEng/08.10 DESIGN & PRODUCTS SPECIAL FOCUS: Data Storage System design using NAND By Jim Cooke

NAND flash error correcting code (ECC) has been on the rise since NAND was first in- troduced. Although it’s not a new issue, the ECC required to support newer multilevel (MLC) and three-bit-per-cell technologies is becoming increasingly difficult for system designers to keep up with. ECC has histori- cally been used to improve the overall data reliability of NAND subsystems. However, as NAND cells shrink, fewer electrons are stored per floating gate. To compensate for the increasing bit error rates of these smaller Figure 2: Typical SSD implementation using two 8-die, 100-ball BGA packages. geometry cells, ECC requirements have to dramatically increase to maintain the Many high-performance flash systems ports up to 200 mega transfers per second desired system reliability. require multiple channels of NAND to reach (200 MT/s) using a DDR, source-synchronous the desired performance. In these systems, interface. After powering up, it can be used As system requirements for ECC increase, each channel typically has its own ECC log- in asynchronous mode. However, for higher the number of gates necessary to imple- ic. For example, a 10-channel SSD may have performance, the host can interrogate the ment the logic also increases, as does the 10 channels of ECC logic implemented. If 60 flash device to see if it is able to support the system complexity. For example, 24 bits of bits of ECC were required for each of the 10 higher-speed synchronous interface before ECC requires about 200,000 gates, while 40 channels, the result would be 3 million gates changing to it. bits of ECC requires about 300,000 gates. It just for the ECC logic. is estimated that in the future, advanced Direct NAND solutions algorithms will approach close to 1 million NAND interface choices Implementations that connect NAND di- gates - see figure 1. The NAND interface has traditionally been rectly to the host processor or SSD controller an asynchronous interface. Although inter- are responsible for managing the NAND. face speeds have improved up to 50 MHz in Hardware manages the ECC, while software recent years, not much else has changed on typically performs all block management this interface. Several years back, Micron and wear-leveling operations. At first this and several other forward-thinking compa- may seem like a disadvantage. However, nies joined together to form a NAND flash with today’s typical embedded processors organization that was focused on simplify- running at speeds of hundreds of megahertz ing the myriad of timing and command and often over one gigahertz, these high- specifications offered by the industry. The performance processors can accomplish Open NAND Flash Interface (ONFI) devel- block management much faster and can take oped the first version of their specification, advantage of deterministic, multithread- ONFI 1.0. While there are many advantages ing techniques to improve performance. In to the original ONFI 1.0 specification, one addition, with the host managing the Flash of the biggest is the ability for the host to device directly, the host software can make electronically detect the type of flash device real-time decisions that can help eliminate that is connected, as well as other important exposure to unexpected power failures. parameters, like timing modes, page size, As shown in figure 2, the ONFI 2.2 specifi- block size, ECC requirements. This feature cation (200 MT/s) was designed to accommo- has been carried forward to all of the ONFI date up to 16 standard NAND loads. A typical Figure 1: ECC increases as process geometries specifications and remains an important implementation of this would be using two shrink. aspect of all ONFI standards. 8-die NAND packages. The standard 8-die, Another significant accomplishment of 100-ball BGA package includes two separate Jim Cooke is senior technical marketing man- the ONFI organization was the development NAND buses (DQ[7:0]1 and DQ[7:0]2), with ager at – www.micron.com – of the synchronous NAND interface, also each bus having four NAND flash wired he can be reached at [email protected] known as ONFI 2. ONFI 2.2 currently sup- together. Each of the four die stacks are

26 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

and other devices that include a controller. It is required to decouple the internal volt- age regulator. For backward compatibility with standard NAND devices, the VDDI connection is located on an unused pin. The ClearNAND controller supports two internal flash buses, one for even logical unit number or LUNs and one for odd LUNs. These two independent flash buses can operate at up to 200 MT/s. In addition, each bus has its own ECC engine and can manage simultane- ous READ or WRITE operations on the two buses. It is envisioned that future versions of the controller will support the ONFI 3 specification, which is targeting up to 400 MT/s. Many of these basic features are cov- ered in the following discussion of the four advanced functions offered by enhanced ClearNAND: volume addressing, electronic data mirroring, interrupt function and inter- nal copyback. Figure 3: Standard NAND vs. ClearNAND. Volume addressing controlled with two chip enables. A typical value to enterprise applications. It also sup- Volume addressing allows a single chip design would wire the two data or DQ buses ports both the asynchronous and synchro- select or chip enable (CE#) to address up to together, forming a single 8-bit data bus for nous versions of the ONFI 2.2 interface and 16 ClearNAND volumes. Each ClearNAND each package. A maximum configuration is available in densities up to 64GB. controller can support up to eight die pack- would consist of two 100-ball BGA packages, By abstracting the ECC, both versions aged in an MCP. The ClearNAND control- each containing eight die. Each of these stan- of ClearNAND flash will be able to handle ler provides a buffer for the host or SSD dard 100-ball BGA packages requires four the additional ECC that future versions of controller access. The enhanced ClearNAND chip enables (CE#) to select a specific NAND NAND will require. This will eliminate the design, shown in figure 5, offers an eightfold die. Thus, the host or SSD controller needs need for designers to continually redesign improvement in density while maintaining to supply eight chip enables to support this their circuitry to keep up with manufactur- or improving signal integrity and reducing configuration. ers’ latest NAND ECC requirements. the active number of chip enables that are required. This is because a single ClearNAND ClearNAND solutions Enhanced ClearNAND flash controller represents only one load to the Figure 3 shows two system implementa- Figure 4 shows the Enhanced ClearNAND SSD controller, but supports up to eight tions: a traditional system where the proces- architecture. It supports a single ONFI 2.2 NAND die in the MCP package. sor or SSD controller is interfacing directly interface and up to 200 MT/s command, There are two aspects to the volume ad- with NAND and a system using ClearNAND address and data bus. The VDDI decoupling dressing concept. The first is establishing the flash. Both implementations use the same capacitor is common in e·MMC products volume address for each of the ClearNAND ONFI hardware interface and similar 100- ball BGA ballouts. The ClearNAND example includes a thin controller package with the NAND die in an MCP. The ClearNAND con- troller implements the ECC required by the NAND inside the MCP package. Using the same ONFI asynchronous or synchronous interface allows designers to migrate easily from standard NAND to ClearNAND Flash. Micron offers two versions of ClearNAND flash: standard and enhanced. Standard ClearNAND flash, suggested mainly for con- sumer devices, implements the required ECC and provides a traditional asynchronous ONFI bus for easy migration. Enhanced ClearNAND flash manages ECC, in addition to offering several perfor- Figure 4: Enhanced ClearNAND architecture. mance-enabling features that are of most

February 2011 Electronic Engineering Times Europe 27 DESIGN & PRODUCTS SPECIAL FOCUS: Data Storage

signals can be wired together. When the host or SSD controller detects an interrupt, it can simply interrogate each of the ClearNAND packages or volumes to learn which volume has posted the new status. This interrupt function can save signals on the host or SSD controller while improving the ability for the SSD controller to respond to status updates.

Internal copyback The last but perhaps most noteworthy feature of enhanced ClearNAND flash is the Figure 5: Typical SSD implementation using up to eight dies in a 100-ball BGA ClearNAND packages. internal Copyback function, also known as internal data move. This function can pro- packages. The volume address is appointed package is able to electronically detect if it vide a significant advantage in SSD systems only once at initialization and is maintained is mounted on the top or bottom of the PCB. when it comes to wear leveling or garbage until the power is cycled. The second aspect This is accomplished using a specific initial- collection operations; that is, the process is the volume select command itself. This is ization or reset sequence. For example, it is of collecting fragments of data scattered a new command that is followed by a single common practice to issue a reset or FFh com- throughout the various pages and blocks of byte (actually only 4 bits) volume address. mand to the flash device on power-up. To the NAND and coalescing them in a more Once the intended volume is selected, it accomplish the electronic DQ mirroring, the streamlined block or sequence of blocks. It is remains selected until another volume is host must follow this FFh command with similar to the old hard disk defragmentation selected. The chip enable pin savings can the traditional READ status (70h) command. utility. Referring back to figure 2, when us- be significant. For example, a 32-channel The top die detects this command sequence ing standard NAND, moving data fragments SSD requires eight chip enables to control as FFh-70h; the bottom die recognizes this from one block to another typically requires two 8-die standard NAND packages. The same sequence as FFh-0Eh and can establish the following sequence of operations: 32-channel example would require a total of that it is the bottom package and reorder its The SSD controller issues a READ com- 256 chip enable pins whereas the enhanced data bus to align directly under the top die. mand and source address to access the source ClearNAND volume addressing feature can This not only improves PCB routing but also page of data. The SSD controller inputs data address the same amount of NAND using improves signal integrity. from the NAND device while calculating only 32 chip enable pins. What’s more, these and making any necessary ECC corrections. same 32 chip enable pins can be used to ad- Ready/Busy# redefined to interrupt Any updating of data or metadata is usually dress eight times the density. Enhanced ClearNAND flash redefines the ex- accomplished after this step. The SSD con- isting ready/busy# pin to be an interrupt pin. troller calculates and appends the new ECC Electronic data mirroring As shown in figure 6, the interrupt# signal, information before issuing a new PROGRAM Enhanced ClearNAND supports electronic which is still open-drain, provides a real- command, destination address, and data data mirroring, which allows the data bus time interrupt when the ClearNAND volume sequence, which will store the data in the signal order to be electronically remapped to or die becomes ready. Designers can use this new NAND block. one of two configurations. This is particu- interrupt signal to provide real-time status to larly useful for high-density designs with the host or SSD controller. In larger configu- In this sequential operation, the bus is ClearNAND devices mounted on both the rations supporting multiple ClearNAND busy while moving the source and destina- top and bottom of the PCB. The ClearNAND packages on a single bus, the interrupt# tion data from and to the flash device. The timing of these operations can be significant. An ONFI 2.2 synchronous bus operating at 200 MT/s would require about 41µs to move the data, assuming an 8K page. Since the data has to be moved from and to the flash device, we double this time to 82µs, which doesn’t include the ECC overhead. While this sequence is being carried out, the ONFI Flash bus is busy and cannot be used for other operations.

Enhanced ClearNAND flash is different in that it supports internal ECC. Using this built-in ECC allows the Copyback operation Figure 6: Enhanced ClearNAND flash redefines the existing ready/busy# pin (6a) to be an interrupt to be performed internal to the enhanced pin (6b). ClearNAND package, assuming the source

28 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

tation. Electronic data mirroring simplifies PCB design and routing while improving the signal integrity of the ONFI bus. The intelli- gent interrupt function provides for real-time status updates to the SSD controller and minimizes the polling for firmware. The dual internal NAND flash buses provide improved Copyback operations, which in turn improve performance. n

Figure 7: Copyback using enhanced ClearNAND flash and destination of the data are within the ClearNAND package. The SSD controller is still responsible for issuing the commands and addresses as well as any modified data Can new uses for phaser or metadata. The data movement is handled by the ClearNAND controller and does not tie up the external ONFI bus. If the SSD con- data measurements troller is able to keep its wear leveling and garbage collection operations within a single ClearNAND package, it can have significant prevent blackouts? performance advantages. Figure 7 shows an example using en- hanced ClearNAND on two ONFI channels labeled channel 0 and channel 1. On both SSD channels, we can see that four of the internal data move operations are occurring simultaneously without the external ONFI Find the latest power and energy bus being used for the data movement. This frees the SSD controller and ONFI bus to research in IEEE Xplore move data from one ClearNAND package to another, if necessary. Depending on your Wherever you fi nd the most advanced power and architecture, some percentage of these opera- energy technology, chances are you’ll fi nd the IEEE tions may need to go between ClearNAND Xplore digital library. That’s because IEEE Xplore is fi lled packages or even between ONFI buses. with the latest research on everything from energy Taking advantage of the internal data move operation can provide a significant perfor- conversion to superconductors—to building fail-safe mance improvement for garbage collection power grids. and wear-leveling operations. When it comes to power and energy, the research that Conclusion matters is in IEEE Xplore. Micron’s Enhanced ClearNAND Flash pro- vides additional performance and features See for yourself. Read “Wanted: A More Intelligent while eliminating the impact of NAND’s Grid” only in IEEE Xplore. ever-increasing ECC requirements. Because enhanced ClearNAND supports a ballout similar to the standard 100-ball BGA NAND Try IEEE Xplore free— devices, it’s possible to design your product visit www.ieee.org/bettergrid to support both. An example would be to in- clude enough ECC in your host SSD control- ler to support SLC NAND flash directly and select enhanced ClearNAND flash for your multilevel cell needs, where ECC can present more of a challenge. ® The volume addressing feature of en- IEEE Xplore Digital Library hanced ClearNAND enables higher densities Information driving innovation to be addressed using fewer chips, saving po- tentially hundreds of pins in SSD implemen- 09-CRS-0223l-Power-5x7.875-Final-2010.indd 1 11/23/09 2:08:31 PM February 2011 Electronic Engineering Times Europe 29 DESIGN & PRODUCTS SPECIAL FOCUS: DATA STORAGE USB hub and card reader applications in the automobile By Henry Muyshondt

USB AND FLASH MEMORY cards have be- begins with SMSC’s design for reliability come ubiquitous in the consumer and indus- techniques within the silicon IC itself. trial worlds. USB implements a high speed Automotive-grade excellence and testabil- serial bus that runs at up to 480 Mbps. Many ity are designed into the IC. The IC is fully operating systems provide native support characterized over many operating param- for this technology with many hundreds of eters to prove the quality of the design under millions of devices shipped to date. various conditions. What’s more, product But USB is not only used to transfer data qualification is focused on the most demand- between devices, it also provides a means ing customer expectations and meets or to charge portable devices. As consumers exceeds automotive reliability standards and expand their digital lifestyle to have their customer specific requirements. content always available, more and more devices take advantage of the economies of Memory for storage scale afforded by the explosion of intercon- Portable memory cards are used by pas- nections that ensue. Car makers are embrac- sengers to transfer information created on ing this trend as their vehicles integrate into Automotive quality requirements computers, portable media players or cam- the digital world. Before getting into the specific functions eras into the car. Car makers also incorporate of the interfaces, let’s first consider automo- gigabytes of microcode into some of today’s Vehicles are also becoming storehouses of tive quality requirements. Devices intended most sophisticated vehicles. Storage is also content and information. They can include for the automotive market have to be required for navigation systems’ map data. large amounts of storage capacity for enter- designed, validated, characterized, qualified, Maps for a large country, like the United tainment content and navigation informa- fabricated and supported specifically for use States, can fit in under 2GB of memory. An tion. One of the most popular memory in automotive applications. Cars have very SD card of this size can be purchased at retail formats today is Secure Digital (SD). The SD long lifecycles and any failure in the field for less than $5.00, making it very cost ef- interface is also used in embedded applica- is very costly in terms of repair time and fective compared to the typical DVD player tions to attach devices like WiFi (or WLAN), customer satisfaction. used in many automotive navigation sys- Bluetooth transceivers, and GPS receivers TrueAuto is SMSC’s proven automotive tems. In addition, reliability is increased as with an SDIO interface. SD memory can quality process. When integrated circuits there are no moving parts associated with it. be used to replace rotating media like hard initially designed for consumer applica- The high speed data transfer enabled by an disks, CD and DVD media. A state of the art tions, are used in automotive applications, SD interface can simplify software updates 32-GB card holds the equivalent of close to they have to be qualified according to the for other components in the car, like a head 7 DVDs! Car makers can use memory cards Automotive Electronics Council’s quali- unit. Yet these in-box use cases must provide both as a connection to consumers and as fication requirements (AEC-Q100). This data access with true automotive-grade reli- a mechanism to upgrade different systems standard, however, only covers minimum ability, whether the memory devices connect within the vehicle, be they navigation common requirements for the qualification to internal peripherals or provide external systems or any other devices that require of an automotive IC. Many car companies consumer access. software. and tier one automotive suppliers require extensive additional qualification tests, Combining a USB hub with a reader The USB and Flash media interfaces are as AEC-Q100 alone does not lead to the SMSC’s USB82640 chip provides two thus very useful in automotive applications. ultra-low defect rates that they require. In downstream USB 2.0 ports plus a single For this purpose, SMSC recently intro- addition, AEC-Q100 primarily focuses on the Secure Digital (SD)/MultimediaCard duced the TrueAuto Quality USB82640 and qualification phase of the product cycle of (MMC) or Sony MemoryStick USB82660 USB hub and card reader combi- an IC. Other phases such as the design and interface. The US882660 provides a second nation products, specifically designed for the production of the IC, customer support and SD card interface and is capable of operat- stringent requirements of the automotive the handling and investigation of returns ing up to an ambient temperature of 105ºC. environment. are not covered in detail. In order to reach The second memory card interface enables the automotive goal of near zero defect rates, navigation data and entertainment content Henry Muyshondt is director of business all phases of the IC product cycle need to be to be accessed simultaneously when stored development at SMSC - www.smsc.com addressed thoroughly. TrueAuto robustness in popular memory card formats. The SDIO

30 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

tion and optional features that can result in incompatibilities with different products. Hence SMSC has done extensive testing to Figure 2: PortSwap prevents signal degradation support a large number of cards currently Figure 1: how PortMap can simplify the system and electromagnetic compatibility issues by in the market. The current devices also sup- architecture. swapping the data lines on a board layout. port the use of an external ROM to create interface can be used to attach modules that secure memory formats or add customized provide additional features such as WiFi, (figure 2) allows the data lines to be swapped applications based on system requirements. Bluetooth and GPS connections. It is even when the location of the connector pins is Incompatibilities with cards from different possible to build custom firmware to control such that it would require different routings manufacturers could result in warranty new applications attached through SDIO. for each line or crossing of the lines. claims against a car maker. A service call is When designing USB systems, the USB SMSC’s PHYBoost enables four program- very expensive to them so it is important to hub controller portion of the device provides mable levels of USB drive strength in down- avoid such calls even if a consumer brings in advanced features that simplify system de- stream port transceivers. PHYBoost attempts a device that he got for free somewhere. sign. This includes PortMap (figure 1) which to restore signal integrity in complex system provides flexible port mapping and disable environments. Sometimes long cables or sequences. The downstream ports can be other system challenges result in a compro- reordered or disabled in any sequence to mise of the eye diagram of the USB signal. support multiple platform designs. When a Additional drive strength can help restore port is disabled, the others are automatically the eye diagram as can be seen in figure 3. reordered to match the USB host controller’s Figure 3: PHYBoost increases signal strength and port numbering scheme. This enables car USB card reader help restore the eye diagram. makers to offer different feature sets without The card reader portion of the device requiring a new design for each option. includes an 8051 controller that can transfer Another advanced feature, PortSwap adds data as fast as 35MB/s. This is faster than The combination hub/card reader func- per port programmability to USB differen- many host controllers can process informa- tion allows the placement of this device tial-pair pin locations. High-speed connec- tion and faster than many SD cards can away from the main host controller to tions like the 480 Mbps data lines (D+ and be read. The high performance of the card provide connectivity where it is needed. For D-) of USB 2.0 require that the signal paths reader seeks to avoid a bottleneck when example, the glove compartment or center for each data line be closely matched. This is moving data between a memory card and console in a car could allow consumers to done to prevent signal degradation and elec- a host device. The specifications for the SD easily connect their devices without requir- tromagnetic compatibility issues. PortSwap interface allow some room for interpreta- ing long cables to the main head unit. n

1GB and 2GB DDR3 memory modules Universal flash IP core in rugged small outline unbuffered ECC DIMM for non-volatile storage applications Swissbit’s launched specially designed Arasan Chip Systems has been developing a what the manufac- for use in rugged envi- Universal Flash Storage (UFS) IP core, the next turer claims to be the ronments. Mounting generation memory interface being finalized world’s first DDR3 holes and optional by JEDEC. The company has engaged with RS-UDIMM (Rugged fixtures secure the its strategic lead customers to help them Small Outline unbuf- module against shock productize this interface. The UFS standard is fered ECC DIMM) in and vibration. The expected to scale in performance and features a 38x67.5x5mm form 72bit wide module to span existing and emerging usage mod- factor, for indus- data interface pro- els for non-.It is based on a trial and embedded vides ECC support to modular layered protocol architecture. This system operating aid in the correction architecture enables an efficient interface in tough environ- of single bit errors implementation with the ability to scale the mental conditions. The DDR3 240-pin 72-bit potentially caused by electrical or magnetic interface to meet future performance require- RS-UDIMMs are electrically compatible to interference and/or background radiation. ments. The IP core solution for UFS spans the JEDEC DDR3 72bit SODIMM and target The RS-UDIMM is available in densities of software, controller IP, MIPI UniPro Link, and the latest Intel based embedded platforms 1GB and 2GB, both in commercial tempera- M-PHY IP. The UFS IP core is delivered as RTL as well as other DDR3 ECC capable appli- ture grade 0 to +85°C (TCase) and industrial IP for the controller, PHY IP, verification IP cations for speed grades of PC3-8500 and temperature grade (-40 to +95°C). and a portable software stack. PC3-6400. The module connection between Swissbit Arasan RS-UDIMM and system board is imple- mented by a 240-pin mezzanine connector, www.swissbit.com www.arasan.com

February 2011 Electronic Engineering Times Europe 31 DESIGN & PRODUCTS SPECIAL FOCUS: Data Storage

Printed rewritable memory array Smart storage array 128 bits combined with logic circuitry supports 5 million virtual machines Thin Film Electronics ASA to production is expected in EMC Corporation announced (Fully Automated Storage Tier- together with PARC, a Xerox 2012. Using printing to manufac- new software capabilities for ing with Virtual Pools) software Company, have completed the ture electronic memory makes its Symmetrix VMAX storage optimizes Symmetrix VMAX for design of a 128 bit Thinfilm it possible to reduce the number systems, dramatically increas- performance, utilization, and addressable memory. The design of process steps, dramatically ing performance and simplify- cost. Compared to single-tier combines Thinfilm’s ing the way systems, FAST VP de- memory technology petabytes (1 livers up to 40% more with transistor tech- petabyte = 1,000 application perfor- nology developed by terabytes) of mance at a 40% lower PARC, and includes information cost while requiring CMOS circuitry to can be man- 87% fewer disks and significantly reduce aged. Designed 75% less power. The the number of for mission-critical virtual data virtual server integration scales contact pads neces- centers, the latest version of up to 5 million virtual machines sary to control the Symmetrix Enginuity software on a single VMAX. In addition, Thinfilm Memory. doubles system performance new VMware API support pro- Thinfilm’s current with no hardware upgrade vides 800% faster management product is a contact-based 20 bit reduce manufacturing costs, as required. It fully leverages Intel and provisioning and 300% memory and memory control- well as the environmental im- Xeon technology integrated into faster replication and mobil- ler for advanced interactive pact as compared to traditional VMAX to deliver up to twice as ity. Hardware-based encryption toys and games. Addressable semiconductor processes. Com- many OLTP transactions and safeguards data-at-rest with no Thinfilm memory products will mercial applications of printed DSS queries, making the fastest performance impact. allow integration to create fully electronics include e-paper, storage system even faster and EMC Corporation printed systems, such as ID tags, electronic readers, and organic further increasing workload sensor tags, and disposable price light emitting (OLED) displays. scale. The new EMC FAST VP www.EMC.com labels. Sensors, batteries, and photovol- Thinfilm and PARC announced taic energy sources are also in in October that they are work- development, and together with 4Mbit asynchronous SRAM ing to provide next-generation Thinfilm’s memory technology with integrated error correction code memory tags using printed they will open the door to new electronics, and is now develop- products and applications. Integrated Silicon Solution it is available for use in the -40 to ing prototypes of the addressable Thin Film Electronics announced the ECC based 85°C and -40 to 125°C tempera- memory. These prototypes will IS64WV25616EDBLL, a 4Mbit ture ranges. The error detection be ready later this year. Transfer www.thinfilm.se high speed asynchronous SRAM scheme is based on an indepen- that is form-fit-function compat- dent hamming code for each ible with current industry stan- byte. The device detects and cor- 576-megabit low-latency DRAM dard devices without ECC. rects one bit errors for each byte. for network equipments The IS64WV25616EDBLL is This, it provides better reliability organized as 256Kx16 and has an than parity code schemes which Renesas Electronics released pared to the company’s exist- operating voltage range of 2.4V can only detect an error, but not a family of 576-megabit low- ing 288 Mb low-latency DRAM to 3.6V. The device is offered in correct it - a feature that makes it latency DRAM products products. 44-pin TSOPII and 48-Pin BGA particularly attractive for many (μPD48576109, μPD48576118, The maximum operating packages. To provide enhanced automotive applications, espe- μPD48576209, μPD48576218, frequency has been raised from reliability, the 44-pin TSOPII cially engine and transmission and μPD48576236) for network 400MHz to 533MHz. To enable is a copper lead frame based control. A 512Kx8 option offered equipment. shorter develop- product. IS64WV25616EDBLL is in a 44-pin TSOPII will be avail- The devices ment cycles, the offered in both leaded and lead able in April 2011 and a 1.8V offer doubled 576Mb devices free options to address various option will be available in June memory capacity, come in the same customer requirements. The 2011. The product is well suited 25% improved package as existing memory chip is based on 65nm for automotive, industrial, random cycle 288M low-latency technology and draws not more medical, Mil-Aero and telecom/ performance for DRAM products, than 45mA operating current networking applications, the high-speed reading and writing measuring 11 x 18.5mm when operated in the range of vendor claims. of data, 33% faster operating fre- Renesas Electronics -40 to 85ºC. Typical operating Integrated Silicon Solution Inc quency, and over 10% reduction current is 25mA. The device is in power consumption com- www.renesas.eu offered with a 10ns access time, www.issi.com

32 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing Testing multicore software By Mike Bartley

Hardware verification engineers ber the underlying execution model of the have always faced the complexity of concur- machine code. The above threads will each rent execution and temporal considerations get translated into three CPU instructions on when verifying hardware designs. However, the hardware: fetch to a register; increment silicon manufacturers are now moving to that register; and then write the register value multicore designs (i.e. multiple CPU cores on a back to memory. This non-determinism can single chip) to achieve the relentless drive for often mean that a test may fail on one run but improved performance at lower power now then pass on the next - often referred to as a demanded by consumers. This pushes the “heisenbug” (after the Heisenberg uncertainty responsibility for realizing that performance to principle). This makes debugging even more the software community. Rather than simply difficult.

relying on clock frequency and CPU design

» Flexibility in improvements to achieve their performance » Flexibility in

increase, software engineers will need to write I/O functionality? « their code to take advantage of the additional I/O functionality? « cores. Testing such software is fraught with potential issues and we will investigate just a few of them in this short article. With the PCIe/104 SBC So why is testing concurrent software hard? Figure 2: Threads accessing shared code. MICROSPACE® MSMST! The main issue is that concurrency introduces There are design and coding techniques non-determinism so that running the same to avoid the “race conditions” observed when multi-core program twice is not guaranteed to running the code shown in figure 2. The main technique is to make operations on the data “atomic”. This works by taking a “lock” on the data before operating on it. This means that no other thread can also work on the data in parallel and thus avoids the race conditions Figure 1: Threads executed two cores sharing memory. described above. Unfortunately however, this give the same result each time due to different approach introduces its own new problems. inter-leaving of threads of execution. Consider For example, processes can deadlock if they are two pieces of code running on different CPUs trying to both lock the same items of data. sharing some common data (as depicted in Remembering that the code in figure 3 figure 1). can potentially have different interleaving of Based on the latest Intel® Atom™ The hardware will take care of the data co- execution so that process P could lock variable processor E6x5C Serie herency. That is, it will ensure that both cores “M” and then process Q could lock variable » Long life support -7 years+ for have a consistent view of the shared memory. “N”. They are then waiting on each other to Intel® Atom™ processor E6x5C series So, for example, if core1 has a locally cached release their locks to continue execution and » Flexible I/O functionality with memory location then the hardware will no progress can be made. existing FPGA core ensure that core2 knows about it when it tries to assess that location. However, that does not The conditions that create deadlock » Ideal for small, low-power devices mean that the software behaves correctly. For Tasks that claim exclusive control of the » Extended temperature: example, consider the two threads that share resources they require (“mutual exclusion” -40°C to +85°C the variable “num” in figure 2. condition). Tasks that hold resources already » Software support available to The two boxes demonstrate two different allocated to them while waiting for additional match application-specific needs inter-leavings (steps) of those threads which resources (“wait for” condition). Resources lead to different values in “num”. No hardware that cannot be forcibly removed from the tasks Wide Selection data coherency mechanism will protect you holding them until the resources are used to of PC/104! from this. You may feel that the thread should completion (“no preemption” condition). The IT’S PC/104 ON DEMAND have been written as a “num++” but remem- existence of a circular chain of tasks, such that each task holds one or more resources that are Info-Hotline: + 41 32 681 58 00 Email: [email protected] Mike Bartley is founder and CEO of Test and being requested by the next task in the chain Verification Solutions (TVS) - www.tandvsolns.co.uk (“circular wait“ condition). www.kontron.com He can be reached at [email protected] Fortunately, there are also rules to avoid

February 2011 Electronic Engineering Times Europe 33 If it’s embedded, it’s Kontron.

10_01_eu_72x297.indd 1 01.02.2011 17:37:0 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing

whatever measures you have been using to measure the thoroughness of your testing (feature coverage, scenario analysis, explor- atory testing, model-based testing) will fall foul Figure 3: Potential for deadlock Figure 4: Threads message passing. of the same issue. Using locks to make access deadlock. Each task must request all its execute the code once and it passes then it does to shared data atomic is not the only technique required resources at once and cannot proceed not mean our job is necessarily done. We have programmers use to share data. There are other until all have been granted (“wait-for“ condi- now tested one particular interleaving of the techniques such as message passing shown tion denied). If a task holding certain resources threads and this interleaving worked. There (see figure 4) where processes communicate is denied a further request, that task must may still be potential interleavings that fail with each other by passing messages rather release its original resources and, if necessary, and we simply haven’t run them yet. Worse than sharing memory. request them again together with the addi- still, there is currently no way of identifying all This technique makes the sharing mecha- tional resources (“no preemption“ condition of the potential interleavings and then measur- nism more explicit and allows us to build denied). A linear ordering of resource types ing which ones we have tested. This means coverage models around the messages passed. should be imposed on all tasks. that our traditional models for measuring how However, all have various potential coding But these rules rely on your programmers well we have tested our code no longer work. hazards which the tester needs to be aware of. following them. Locking data in this way can So, for example, we may use code coverage Message passing also adds the benefit that we also introduce other problems, for example techniques (such as line or branch coverage) can start to build coverage models regarding when a process takes a lock but then throws and get figures of 100%. However, this does the interleaving of messages passed between an exception, does the programmer always not tell us what percentage of the potential the various processes. remember to release the lock in the excep- interleavings we have tested and so what There are companies trying to improve the tion handler? If not then other processes can confidence we should have in our testing. You languages we use to write code and how it is potentially hang. These race hazards not only may argue that this was always the case with executed on the underlying hardware. The lan- make debugging difficult but they make code coverage – i.e. it is not a good measure of guage “XC” and hardware developed at XMOS testing much harder too. It means that if we the thoroughness of your testing. However, in Bristol is a good example. n Designing a USB streaming video control system over WiFi By Jörg Kaleita

Daniel is an engineer. He is also a a soft CPU (TSK3000, 32-Bit RISC Proces- proud father, who wants to keep an eye and sor), which comes free of charge with the ear on his small child. Unfortunately, his software package. This includes all neces- smart new house has three flours and he sary IP for the interfaces of the NanoBoard does not want to run up and down the stairs 3000. Altium’s OpenBus methodology allows every time he hears something. Therefore, Daniel to design his hardware on a very high he needs a video control system urgently. abstraction level to program the used FPGA. Figure 1: the OpenBus system Daniel is already successfully working with The soft CPU has separate peripheral Altium Designer and Altium’s prototyping and memory interfaces. Daniel connects a 32k internal memory inside the FPGA for platform NanoBoard 3000. soft terminal to the peripheral interface to execution and assigns an interrupt to the To keep his project as cheap as possible, display status information. He can use the used USB controller. Altium Designer will Daniel wants to use his existing USB video available TFT display instead just by chang- perform the necessary memory mapping class (UVC) webcam to support streaming ing the standard output in the Software automatically and can generate a c-header, if video. If possible, he wants to display the Platform Builder described later in this ar- required. With that, Daniel can change the video stream on his brand new iPhone, ticle. Additionally, he connects an interrupt hardware mapping later without modifying as he carries it in his pocket anyway. The controlled USB-hub to his peripherals to the related software. The header allows the transmission of the video stream should connect the webcam as well as his USB WIFI software to use the variables in the header, be realized via the WIFI network in his stick to his NanoBoard. not the physical addresses. The embedded house. The primary part of an embedded Next, Daniel connects an SRAM to his system Daniel generated is based on the well system generated with Altium Designer is memory interface to store the video stream. defined wishbone-bus allowing easy access With a shared memory controller, he can to the growing opencores.org community. Jörg Kaleita is technical account manager use the same bus for both SRAM and USB. Figure 1 shows the complete OpenBus EMEA for Altium Europe - www.altium.com Finally, he configures his soft CPU to allow design generated with Altium Designer

34 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

representing Daniel’s application. The top-level schematics will afterwards instantiate the generated OpenBus system and connect the interfaces with the NanoBoard3000 library. Part of this library is the pin out of the used FPGA, therefore Daniel does not need to do it manually to program the device. Daniel does not really want to simulate his functionality as this requires additional time. But he likes the concept of the so called virtual instruments. These include, for example, a logic analyzer, frequency generators, cross switches, etc. He can also design his own virtual instruments graphically, if needed. They are accessible with- out starting Altium Designer via a small application called Dash- board. The advantage of this concept over simulation is that Daniel can measure, read and write real signals from within his FPGA. Due to these virtual instruments, the Altium USB-JTAG cable The hardware generated by Altium Designer delivers the video has two JTAG interfaces: a hard and a soft interface. With the hard stream coming from the webcam through the software platform JTAG, Daniel programs his FPGA inclusive virtual instruments. Once builder and provides the transmission over WIFI. Daniel can there- programmed, the virtual instruments are accessible via the soft JTAG fore concentrate on how to connect the two interfaces within his to read out or write the requested data. The soft JTAG requires 4 ad- application. ditional IO-pins of his FPGA. Finally, the test time: Daniel connects the USB WIFI stick and the There are two main reasons why Daniel is using Altium Designer UVC webcam to the USB hub of the NanoBoard 3000, which is auto- to develop his system: the platform is using the FPGA vendor tools matically connected to the router after power up. He starts the web too. Therefore he can do whatever the vendor tool can do and also browser on his iPhone and enters the address of the video stream use the same sources, if he wants to use another vendor’s FPGA. shown in the virtual terminal. Now he can see the live video stream. While the vendor tools can design very easy and elegant complete He can rest happily in the knowledge that he will not have to walk embedded systems, they stop when it comes to the software part of all the stairs in his house unnecessarily in the future. n the design. In this area, Daniel is using the full potential of Altium Designer. Beside the hardware, Altium Designer will also generate the necessary software beginning with the hardware mappers, the drivers and application software. Furthermore, it will even generate the needed services such as POSIX, storage service or keyboard con- text. Ideally, he does not even need to use an operating system as all services required for his application will be generated. In many cases he just needs one line of c-code: connect the output of one interface to the input of another. In any case, Daniel can fully concentrate on his application without the need to get the interfaces running as this will be done by Altium Designer’s Software Platform Builder (SPB). The software can be generated with the SPB by opening a new embedded project and linking it with the FPGA-project. This will in- stantiate the embedded project under the FPGA-project as well, as his FPGA-project can be instantiated later by a PCB-project. Then Daniel adds a software platform to his embedded project and imports the used wrappers out of the FPGA-project. For each wrapper, he grows the software up to the necessary layer, e.g. TCP-IP network adapter for USB WIFI. The WIFI uses the USB Host Wireless Networking Context, which also links to the interrupt controller. Additionally, he generates the USB Host Video context as beside his USB WIFI he is using an USB webcam on the USB Hub of his NanoBoard 3000. For the Virtual Terminal Instrument he grows the stack up to the serial device IO context. This will be used as the standard output within the automatically started POSIX services. If he wants to display a text to his standard output, he just needs to use ‘printf ‘, and the POSIX will redirect this correctly. As Daniel plans to always use the same router, he switches off the DHCP server in his TCP/IP networking service. In this case, he has to manually assign a valid IP address related to his router. In the wireless network context, he will include the SSID of the used router while using WSA_PSK encryption inclusive password. With that setting his NanoBoard 3000 will automatically register at the router when he powers up his system.

February 2011 Electronic Engineering Times Europe 35 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing Designing USB functionality into embedded systems with no OS By Vincent Dargaud

USB flash drives are a popular, simple implementation, or is a smaller version of M4) MCUs and Power Architecture micro- and inexpensive method of moving data the USB software stack adequate? processors (see figure 2). Freescale also offers from one PC to another. Their use in the em- In making their choice, developers need a ‘bare metal’ USB OTG stack, minimising bedded market has been limited, however, to take into account questions such as the the memory footprint required on the MCU. due to the requirement that a system must ease of integration, the robustness and MQX is pre-loaded on a ColdFire MCU on have USB host capability to communicate stability of the chosen implementation, the the CrossBow proof-of-concept development with a flash drive. boards available from Future Electronics In the past, this usually meant that the (EMEA) via www.my-boardclub.com. system needed to be a PC. However, the Microchip also provides a complete introduction of microcontrollers with USB freeware solution compatible with its PIC24 host capability means that embedded sys- and PIC32 families of 16- and 32-bit MCUs. tems can more easily take advantage of this Microchip’s stack does not need to be hosted popular portable data storage medium. on an RTOS, thus bringing this USB drive There is still an implementation question capability within the reach of lower-end for embedded designers, however: the USB applications. interface in IT equipment is easily provided via a full-featured, large operating system Reduced functionality and code (OS), either Windows or Linux. But many A full USB stack implementation is ap- industrial systems contain either no OS, or a propriate for IT equipment, in which there small, fast real-time OS (RTOS) with limited are in practice no constraints on memory functionality. This article addresses the space and processor performance. Embed- question, what is the best way to design host ded systems, however, benefit in terms of support for USB mass storage into embedded Fig. 1: the layers of the USB software stack. cost, size and power from any measures that systems that do not use Windows or Linux? reduce processing and memory overhead Designers will have no trouble finding an required data rate and response time, the – and there are options to achieve this when MCU optimised for industrial applications necessity of technical support and the imple- designing in support for USB flash drives. and with a built-in USB interface. Typi- mentation of bug fixes and updates. One such technique is to identify the cally, industrial MCUs contain no memory Developers can choose from royalty-free known set of USB peripheral devices that management unit, which means that they open-source software, commercial software the embedded application will interface to, will not support a full-featured OS such as for which a licence fee must be paid, or and preload only these known drivers in Windows or Linux. Most families of 16-bit freeware supplied by the manu- and 32-bit industrial MCUs include some facturer of the chosen MCU. devices that offer an interface for either a Since the MCU freeware is both USB 2.0 Host or USB 2.0 On-The-Go (OTG) royalty-free and backed by tech- where the device can operate in both host nical support, it is often the best and device modes. option. USB freeware might be More complicated is the question of limited in terms of performance implementing the USB software stack (see or code size, but the restrictions figure 1) for either a host or OTG device. will be acceptable for the major- There are essentially two main choices to ity of embedded applications. be made. Where will the software stack be Developers who are attracted sourced from? A commercial supplier, free- by the freeware option will need ware provided by the MCU manufacturer, or to choose their MCU carefully: open-source software? some vendors, such as Freescale Does the device require a complete USB Semiconductor and Microchip, offer extremely good USB free- Vincent Dargaud is field application engineer at ware. Freescale’s USB stack is Future Electronics (France) – implemented in the company’s www.futureelectronics.com - he can be contact- royalty-free MQX RTOS on Cold- Fig. 2: Freescale’s USB stack freeware. ed at [email protected] Fire and Kinetis (ARM Cortex-

36 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

the embedded host firmware. determine its role as device or compliance with The advantage of this so called host depending on which cable electromagnetic Embedded Host option over the was attached. If a cable was at- interference and normal Full Host is the elimina- tached to the Type-A receptacle, voltage-regulation tion of the extra software and the data logger would act as a requirements. firmware required for the appli- host. If a cable was attached to USB specifications cation to act as a full USB host. the Type-B receptacle, the data limit the device A USB embedded host differs logger would act as a device. The current to 100mA from a full USB host in several Type-A and Type-B receptacles prior to enu- small but important aspects. must both operate concurrently meration. After The USB embedded host sup- unless they are only accessible enumeration, a ports only specific peripheral one at a time. high-powered devices and/or classes of devices. device can request It supports only transfer types File system support no more than required by the supported If data are to be transferred 500mA. devices and makes hub support between a PC and the embedded Fig. 4: circuit providing ESD protection for a single optional. Power specification are application via a USB flash drive, The DC output USB port. more relaxed than for a full USB it is necessary to use a file system voltage, measured at the board controller chip. The integrated host. These restrictions allow an to organise and index these data. side of the device connector, TVS diode directs the surge to embedded host to be implement- The most common file system must remain below 5.25V and ground. The TVS diode also acts ed on a device with fixed, limited used for this is Microsoft’s FAT16 above 4.75V under all continu- to suppress ESD strikes directly memory. or FAT32 file system with (op- ous load conditions. Many IC on the voltage bus. Both power Another memory-footprint tional) support for long names. manufacturers offer dedicated and data pins are protected with reduction option is to imple- (FAT stands for File Allocation circuits to meet these specifica- a single device. n ment dual role functionality Table.) tions. The two main features in place of OTG. This is usable For memories smaller than 2GB, required for effective host when an application must be the FAT16 standard can be used. connection (see figure 3) are the able to operate as either host or The FAT32 standard should be VBUS voltage switching with Precision & Reliable Ultimate Thin Film Chip device, but without switching used to address memories larger low drop-out, and current limi- Resistors dynamically between the two, a than 2GB. Both the Freescale tation/protection. Susumu established in capability provided by the OTG MQX and Microchip freeware If the application has a low protocol. Dual role USB elimi- USB stacks provide file systems power requirement, a thermal nates the need for the OTG Host compatible with FAT32. fuse such as Tyco’s Polyswitch Negotiation Protocol (HNP), and or Polyfuse from Littelfuse is support for the Session Request Power management adequate for current protec- Protocol is optional, so there is While memory footprint and tion. But for most applications, a marked reduction in memory feature-selection are important a dedicated USB power switch, footprint from implementing criteria in USB software imple- available from manufacturers dual role in place of OTG. mentation, on the hardware side such as Micrel, Diodes Inc, Ana- Dual role USB requires both power management and distri- logicTech and ON Semiconduc- Kyoto, Japan in 1964 has Type A and Type B connectors. bution are of high importance tor, will be more suitable. been the industrial leader For example, a data logger can in the correct design of USB The power circuit also needs in thin film resistors for act as a peripheral device when peripherals. Proper methods to provide protection against over 45 years, enjoying the downloading data to a PC, and of designing USB peripheral electro-static discharge. Today, largest share of the thin act as an embedded host when power distribution are crucial the internationally recognised film resistor market in the transferring data to a USB flash to ensure full compliance with ESD standard is IEC 61000-4-2. world. drive. The data logger would the USB specification, including Implementation of ESD protec- tion is well illustrated through Products the example of the SR05 low- Thin film resistors/networks capacitance Transient Voltage Low resistance resistors Suppression (TVS) diode array Power choke coils High frequency components from Semtech. Figure 4 shows a circuit which protects one USB www.susumu.de port. When the voltage on the data lines exceeds the bus volt- +49-6196-9698407 age (plus one diode drop), the internal rectifiers are forward- Fig. 3: typical USB power management circuit. biased, conducting the transient current away from the protected

February 2011 Electronic Engineering Times Europe 37 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing PC/104 meets Qseven: what’s your combination? By Matt Ferraro

Embedded electronics is all about ap- press and Serial ATA plying commercially available components but omits support to a design in a highly custom fashion. OEM for legacy interfaces products vary so greatly in computational like EIDE and PCI bus requirements that a “perfect” off the shelf in order to provide solution rarely exists. Developing the perfect support for today as product can be very time consuming and well as future CPU’s risky; having the ability to quickly config- and chipsets. Qseven ure off the shelf components to get close to COMs are also some perfection quickly and economically is a of the smallest full great virtue. function COMs on Stackable modular computers using the market making PC/104 have been in use for many years. them very attractive Over time, PC/104 has evolved to encompass for many embedded the latest computer interconnect technology applications. using PCI Express. Evolutionary changes Qseven specifies make the stackable modules a top choice for PCI Express, USB 2.0, many embedded computing applications. ExpressCard, high The PC/104 ecosystem is very mature and definition digital offers a wide range of modules of all types audio, Serial ATA, an - processing, I/O, FPGA, power supplies, and LPC interface, a secure Figure 1: Typical Qseven COM to PCIe/104 interconnect. enclosures. The form factor is very popular digital I/O interface, in applications requiring small, rugged, and Gigabit Ethernet, DisplayPort, TDMS or delivers a robust I/O platform and a process- highly versatile computing elements. SDVO, an LVDS display interface, and a CAN ing module that can stay current with the bus, all through a rugged MXM connector. latest the industry has to offer. The Qseven concept Carrier board designers can use as little The Qseven specification defines pin-outs A more recent entrant to the world of em- or as many of the I/O interfaces as deemed for four PCI Express x1 lanes for expansion bedded computing is the computer-on-mod- necessary. The carrier board can therefore capability PCI Express provides a high per- ule (COM). The Qseven concept is an off-the- provide all the interface connectors required formance serial interconnect to a wide range shelf, multi-vendor COM that integrates all to attach the system to the application spe- of interfaces that are not provided on the the core components of a common PC and is cific peripherals. COM. Table 1 lists the PC/104 Consortium mounted onto an application specific carrier In many applications, the number of form factors that match up well with Qseven board. Qseven modules have a standardized units to be built or the large number of modules. Each of these PC/104 Consortium form factor of 70x70mm and have specified product variations does not warrant the cost boards has direct support for PCI Express. pin-outs using a low cost, high-speed MXM of developing a highly integrated custom The small size of EBX and Epic form factors connector system with a standardized pin- computing system. The time and expense to make them ideal candidates as host carriers out, regardless of the vendor. Qseven module develop is cost prohibitive. Off-the-shelf so- for COMs. Having a mature technology sup- functions can include, but are not limited lutions are frequently missing a key feature ported by several vendors gives the designers to, graphics, sound, mass storage, network, or have many features that are unnecessary I/O or processing options that can benefit and multiple USB ports. A single ruggedized but add to the cost of the module. them through the entire design process. MXM connector provides the carrier board The integration of a highly modular and interface to carry all the I/O signals to and stackable computing platform with a very Scalability from the Qseven module. small COM form factor provides a level of Applications are scalable, which means once Unlike previous COM standards, Qseven‘s customization that is well suited for many a product has been created there is the abil- primary design best supports mobile and common applications. The Qseven modules ity to diversify the product range with differ- ultra-mobile applications. It defines fast are small enough to fit on the 90x96mm ent performance class Qseven modules and serial differential interfaces such as PCI Ex- PCI-104 Express and PCIe/104 modules, yet I/O payloads through the PC/104 modules. leave enough space for the other necessary As Qseven COM host carriers emerge on Matt Ferraro is a senior hardware engineer & project functions and connectors to be included on other form factors, you can reuse a favorite manager at Connect Tech - www.connecttech.com ‘104 modules. The combination of the two COM and its associated software in a new I/O

38 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

configuration. When new COMs and carriers enter the market, you get a multiplying effect on the number of possible combinations. Simply unplug one module and replace it with another, no redesign is necessary. Processor technology moves very quickly, with enhancements to existing processor families occurring two or three times a year. Com- pounding the problem are the numerous new processors introduced every year. Having the processing element, memory, and supporting chipsets on a COM lets you try out the newest technology and then move it into your product line at your convenience. Because PCI Express is the common denominator, you have many cross platform processor choices, including Intel Atom, Freescale i.MX, TI OMAP and NVIDIA Tegra, with more to come as the processor suppliers come to appreciate the time-to-market advantages of Qseven. You can offer instant upgrades to existing products and never be trapped in an obsolete design. Table 1: PC/104 Consortium form factors with PCI Express capability. Rapid prototyping Selecting an OS Another more subtle advantage is the capability of rapid prototyping Operating system and drivers must support your chosen processor or proof of concept development with off-the-shelf PC/104 and Qsev- platform and I/O payload. If you plan to interchange either one, be en modules. Being able to quickly prototype with various processor sure that the software is capable of handling the changes or compat- and I/O combinations lets you find the most suitable platform for ible software modules are available. Embedded Windows and Linux your application. No matter how well you simulate a design, nothing minimize this challenge, but you should be sure to get the latest beats being able to create a fully functional prototype. drivers and BIOS from the COM supplier to avoid software integra- tion issues. n Flexibility Additional flexibility is gained by using one of many FPGA mod- ules readily available through the PC/104 Consortium ecosystem. Standard and customStandard passive components and custom passive components Designers can complete their application by adding FPGA or various I/O solutions from a well-established, robust and well supported If�your�applicationIf�your�application PC/104 ecosystem. The programmable flexibility of FPGAs takes depends�on�itdepends�on�it the modularity concept to the next level. Several FPGA modules are available from PC/104 Consortium members using Xilinx, Actel, and other FPGAs. System cost can be reduced in lower unit volume applications by using high volume components like Qseven COMs. MICROSWITCHESMICROSWITCHES AND AND COM suppliers can increase unit volumes and reduce part numbers POSITIONSWITCHESPOSITIONSWITCHES generating savings that can be passed onto the integrators. Usually IP67 sealed IP67 sealed board or module products that snap together have a slightly higher Long life Long life cost associated with them, but with the Qseven COM - PCIe/104 High precision High precision combination, one can use a very cost competitive, higher unit vol- Temperature resistantTemperature resistant ume module, offsetting the cost of integration with a high degree of design flexibility. LED ASSEMBLIESLED ASSEMBLIES Custom board mount andCustom panel board mount mount and panel mount Rugged IP66 indicatorRugged lights IP66 indicator lights RoHS compliant RoHS compliant

METAL FOIL RESISTORSMETAL FOIL RESISTORS Close tolerances Close tolerances MIL and NASA approvedMIL and NASA approved

Microprecision Electronics SAMicroprecision is a manufacturer Electronics of precise SA is passive a manufacturer of precise passive components like microswitches,components position likeswitches, microswitches, LED components position switches, LED components and metal foil resistors. and metal foil resistors. Visit us at : www.microprecision.chVisit us at : www.microprecision.ch

MICROPRECISON ELECTRONICSMICROPRECISON SA ELECTRONICS SA P.O. Box 160 Route de l’IndustrieP.O. Box 27 160 Route de l’Industrie 27 CH –1896 Vouvry SwitzerlandCH –1896 Vouvry Switzerland P +41 (0)24 481 43 43 P +41 (0)24 481 43 43 F +41 (0)24 481 43 60 Figure 2: a Qseven module. F +41 (0)24 481 43 60

February 2011 Electronic Engineering Times Europe 39 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing

Mini-ITX form factor motherboard System trace and profiling tool with support for 2nd generation Intel core offers visual insights in runtime behaviour Emerson Network Power has technology, the new embedded Quadros Systems has introduced tions of who, what, when and announced its latest series of motherboards should appeal to RTXCview, a system trace and why by presenting the runtime Mini-ITX form factor embed- the rapidly growing market for profiling tool for embedded information in a unique, highly ded motherboards will sup- connected consumer portals. systems. Using a vertically efficient manner. The tool shows port the new 2nd generation The MITX-CORE-800 series scrolling run-time viewer, RTX- everything on a scalable time- Intel Core i7-2710QE and Intel features a PICMG standard Cview works as line using the vertical Core i5-2510E processors. The Extended Application Program- a companion axis, allowing the user MITX-CORE-800 series targets ming Interface (EAPI) to sim- to traditional to zoom in and out to makers of intel- plify the control debuggers, get a different look to ligent kiosks, of essential providing a vi- address the question digital signage, hardware func- sual insight and of when. The unique medical clinical tionality such understanding presentation allows equipment and as the backlight of the runtime for zooming in on de- gaming machines. inverter and the behaviour of embedded systems tails, down to microseconds, but It will also offer watchdog timer. using the RTXC RTOS. also gives a good overview when improvements in Emerson Net- At many times in the course of zooming out over several sec- graphics process- work Power says developing an application, the onds. The question of who can ing, PCI Express and on-board it has also simplified the way in developer needs to understand be easily determined because storage interfaces, says the which developers can customise exactly what is happening inside tasks, threads and interrupt ser- company, as well as enhanced and configure the motherboards’ the system. RTXCview allows vice routines are clearly shown software support. BIOS to speed integration and ac- the developer to study the de- in color-coded sections along the Featuring up to 8GB DDR3 celerate time-to-revenue. tailed runtime behaviour of the timeline. memory, dual display capability Emerson Network Power system as it actually occurred. Quadros Systems from multiple physical display In real production code settings, connections and Intel vPro www.emersonnetworkpower.com RTXCview addresses the ques- www.quadros.com

Open NFC protocol stack for Android 1.5GHz gaming platform promises consistent API and functionalities features the dual core 1.6GHz AMD Fusion Inside Secure launched a new new hardware is much simpler Quixant launched the QXi-200 this on two independent high version of the Open NFC pro- as the hardware dependencies gaming controller, the first resolution digital monitors. An- tocol stack geared to the latest are well layered and the overall such controller to use AMD’s other major benefit of the AMD version of Google Android (aka code base to adapt is significant- new Fusion technology, says Embedded G-Series platform is Gingerbread). This makes Open ly smaller. the company. AMD Embedded its very low power consumption. NFC the first truly hardware- Open NFC supports several G-Series APUs It is combined independent, open-source NFC levels of functionality, from low- integrate onto a with Quixant’s protocol stack for this popular level RF control to high-level single chip one patented case operating system. NFC Forum tag handling, peer- or two new high design to pro- Open NFC version 4.2 for Google to-peer communications as well performance 64- vide a totally Android 2.3 simplifies interop- as Bluetooth and Wi-Fi pairing, bit x86 proces- fanless system erability and provides the NFC interactions with single-wire sor cores and in the QXi-200. ecosystem with a consistent NFC protocol SIMs and other secure cutting-edge The platform application programming inter- elements and compatibility with AMD Radeon HD 6310 graphics. operates from a single 12V face (API) and functionality, of- smart cards and RFID tags based The QXi-200 is available in both supply, eliminating the extra fering chip vendors, smartphone on Felica, Mifare and ISO 14443 single core 1.5GHz and dual core expense and reliability issues manufacturers, wireless carriers standards. 1.6GHz variants. The AMD Rad- of an ATX PSU. The gaming and software developers a way Open NFC 4.2 for Android 2.3/2.4 eon HD 6310 graphics engine architecture of the QXi-200 is the to implement NFC functionality will be available for download on the APU features support for same as on the QXi-100, enabling independently of the underlying on the INSIDE Secure website by the latest DirectX 11, OpenGL customers to easily migrate NFC hardware as Gingerbread is the end of February as a free and 4.0 and OpenCL standards. and take advantage of the extra adopted for use in a broad range open-source edition under the The UVD3 multimedia engine features and performance the of mobile products around the Apache License, Version 2.0. enables playback of both SD and QXi-200 provides. world. With its separate hard- Inside Secure HD video feeds simultaneously Quixant ware abstraction software layer, with advanced graphics. adapting the Open NFC stack to www.insidesecure.com The QXi-200 can accomplish www.quixant.com

40 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing

Digital signage solutions Magneti Marelli joins Wind River fanless embedded units based on AMD processors to create the Genivi-compliant infotainment platform Mdina Media has revealed its Radeon HD4200 graphics. Based Automotive component supplier validated IVI software platform latest digital signage solutions, on the brand new power-opti- Magneti Marelli and embedded based on the Genivi open source each based on an AMD processor mized AMD Embedded G-Series software vendor Wind River standard, as well as customiza- and targeting low power digital Platform with 9W or 18W TDP, have announced a technologi- tion and consulting services. signage applications. the Mini-ITX Embedded system cal collaboration to create what The Genivi open source platform The Fanless (177x177x50mm) they call the first Genivi-com- standard aims to provide auto- Embedded is powered by the pliant in-vehicle infotainment mobile manufacturers and their system measures AMD Fusion APU (IVI) solution for the automotive suppliers a common underlying 175x180x72mm, which integrates a industry. The first customer is framework to simplify elements it is powered by x86 CPU and a dis- BMW. Magneti Marelli will lead of the in-vehicle infotainment an AMD dualcore crete-level AMD the development efforts, contrib- development process that have 25W processor Radeon GPU. The uting its automotive know-how historically been duplicated and carries an system supports in integrating complex systems across the industry. AMD 780E, SB710 chipset with DVI, HDMI, VGA video outputs and technologies for the vehicle Wind River integrated ATI Radeon HD 3200 and advanced interfaces such as environment. Wind River pro- graphics. The use of industrial 6Gb/s SATA, 2nd Generation PCI vides an integrated, tested and www.windriver.com strength SSD drives with SLC Express, and HD Audio. An inte- technology eliminates any grated DDR3 memory controller moving parts.The company running at up to 1066 MHz is Windows7-compatible Micro ATX board also unveiled a 107x154x60mm standard. All three systems can for Intel Core i7/i5/i3 64-bit processors nano-PC system powered by adopt various configurations of an Embedded AMD Turion II SSD drives. The latest addition to BVM’s ex- ory is available, and in the HD Neo N54L processor (2.2GHz). It Mdina Media tensive family of SBCs is the MS- graphics versions, the graphics features the AMD 785E, SB 8X0 C72, a powerful platform with a core on the processor die gives chipset with an integrated ATI www.mdinamedia.com wealth of features including I/O a two-chip system architecture and mass storage. that requires less It conforms to power than al- CompactPCI serial subrack system the Micro ATX ternative three- supports data transfer rates up to 32Gbit/s footprint and is chip platforms. fully compatible Intel turbo boost Schroff has announced a com- handles and a set of guide rails with Windows 7. and hyper- plete 4U, 9-slot subrack system to enable it to be populated with Based on the Intel threading tech- based on the recently released 3U-high boards. The 9-slot back- QM57 chipset, nology optimise CompactPCI Serial specification. plane - providing one system the MS-C72 sup- performance The system takes full advantage slot and eight peripheral slots ports the desktop 64-bit 32nm to match the system workload, of the specification’s high-speed - conforms to PICMG Compact- Intel Core i5/i3 processors with increasing the efficiency of pro- serial bus topol- PCI-S.0 and can be HD graphics and the 45nm i7/i5 cessor-intensive tasks. ogy to deliver specified with or without HD graphics, both in BVM a data transfer without rear I/O. the LGA1156 socket. Up to 16GB rate of up to Effective cooling of DDR3 1066/1333 system mem- www.bvmltd.co.uk 32Gbit/s via of the system is PCIe, 10GbE, ensured by a 1U S-ATA, USB 2.0 hot-swap fan tray or USB 3.0 interfaces. Particu- under the board cage. Operating larly suitable for applications in from a wide input range of 100 the process, industrial control, to 240VAC, the built-in 300W defence and transportation sec- ATX power supply features an tors, the new CompactPCI Serial IEC AC input socket, mains system comprises a subrack, switch and dedicated fan. In backplane, fan tray and power addition, the CompactPCI Serial supply. system includes plug-in units The shielded 19in. aluminium for DVD and hard-disk drives, as chassis is 4U high and has a well as various 3U front panels. depth of 275mm. Featuring a Schroff perforated top cover and base plate, it is supplied with front www.schroff.co.uk

February 2011 Electronic Engineering Times Europe 41 DESIGN & PRODUCTS SPECIAL FOCUS: Embedded Computing

Credit card-sized computer on module Miniature desktop computer nanoETXexpress 2.0 specification released 130x95x15mm unit runs a Nvidia Tegra 2 The nanoETXexpress Industrial designated by many as COM CompuLab is introducing Group has published the nano- Express “ultra”. The consortium Trim-Slice, a miniature desk- ETXexpress 2.0 specification for of leading embedded computer top computer powered by the credit card-sized COM Express manufacturers developed the Nvidia Tegra 2. With an all-metal ultra Computer-on-Modules. The nanoETXexpress specification 130x95x15mm housing, Com- new revision aims to address the in order to provide the market puLab describes Trim-Slice as its signage, gaming or even as a requirements of new and highly with a solid manufacturer-inde- smallest and most energy-effi- desktop replacement to name a compact applications based pendent basis for a correspond- cient computer to-date. Trim- few. CompuLab will cooperate on SFF processors even more ing COM Express ultra form Slice differs from most other with ISVs that select Trim-Slice explicitly. It incorporates all the factor through the PCI Industrial ARM based solutions by being as a reference platform. The unit changes of the COM Express Computer Manufacturers Group. a commercially available open features an NVIDIA Tegra 2 Dual specification rev. 2.0 that affect In addition, the aim is to promote platform for software develop- Core ARM Cortex A9 1GHz CPU the ultra standard. By continu- a uniform specification for ers. The Tegra 2 integrates a 1 with integrated ultra-low power ing to follow the only manu- Computer-on-Modules in order GHz dual-core ARM Cortex A9 GeForce GPU. It has 1GB DDR2- facturer-independent standard to offer users a single, universally and an ultra-low power GeForce 800 of storage, takes full size for Computer-on-Modules, usable Computer-on-Module GPU onto the same chip, making SD (SDHC), Micro SD (SDHC) any carrier board designed for standard for development and it one of the most powerful ARM and SATA SSD (up to 64GB). The nanoETXexpress is consequently product maintenance. Among based system-on-chip available miniature desktop can be net- always developed in a way that the members of the nanoETXex- today. The high performance, worked via 1 GbE; WiFi 802.11n, is also COM Express compatible. press Industrial Group are Aaeon, low-power, rich I/O and minia- it supports HDMI 1.3 full-HD This enables users to benefit from ADLINK, Advantech, E.E.P.D., ture rugged design are intended and DVI (dual head), stereo line- the as-needed scalability of COM IBase, Toradex and Kontron. to position Trim-Slice as an out, line-in, 5.1 digital S/PDIF. Express Computer-on-Modules, nanoETXexpress attractive solution for a variety CompuLab from “basic” and “compact” to of applications - media players, the “ultra” footprint, already www.nanoetxexpress.org IPTV, infotainment systems, www.trimslice.com

Vehicle control system Packet processing software features 2-wire CAN connection for XLP832 multicore communications processor Müller Industrie-Elektronik and have push-button slide-in NetLogic Microsystems and reduction. NetLogic Microsys- has developed a flexible vehicle labels for user-specific identifica- 6WIND are expanding their col- tems’ XLP multi-core processor control system tion of the com- laboration to deliver high-per- family features quad-issue, quad- for the functional mand functions. formance solutions for next-gen- threaded and superscalar out-of- requirements Programming can eration telecom infrastructure, order capabilities with scalabil- of commercial be done via an networking equipment and ity to 128 NXCPUs operating vehicles. The VCS external pro- security appliances. Building on at 2.0GHz. Designed in TSMC’s vehicle control grammable logic existing solutions, 6WIND will advanced 40nm process, the system consists control or via the support the XLP832 multi-core XLP cores are quad-threaded to of a VCS-TU software included, communications processor, effectively minimize bottlenecks control unit in small design, in combination with the Müller designed for next-generation and memory latencies that are mountable in a common DIN power unit. Setting and retriev- networking applications such inherent in network data-plane radio slot in a vehicle. Alterna- ing vehicle data is available as security appliances, Layer 4 processing applications, and tively there is an operating unit through the display. The power through Layer 7 switching, stor- are equipped with a tri-level in a robust heavy-duty-case with unit module VCS-PU is available age networking, 3G/4G wireless cache architecture with over 50 wired capability of connect- in three versions with different and small business networks. Mbytes of fully coherent on-chip ing the additional remote unit switching capacity in various The 6WINDGate software cache. 6WINDGate is expected VSC-RU in handheld case. This design of cases. Options include delivers the high packet pro- to enable customers to elimi- remote unit in handheld case vehicle case or heavy-duty-case cessing performance required nate up to twelve months of can be used as an independent in two sizes, provided with high in networking equipment for development time, reaping the controlling unit to control seven protection class IP69k. An OEM- data center and cloud applica- rewards of being early to market different functions. The two version is available with PCB in tions which must be capable of with cost-optimized products of mounting operating units VCS- open-type assembly. scaling to line rates of 160Gbps higher performance. TU-DIN and VCS-TU-HD are Müller Industrie-Elektronik GmbH while providing support for in- NetLogic Microsystems customized programmable up telligent networking, advanced to nineteen control commands www.mueller-ie.com security protocols and energy www.netlogicmicro.com

42 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

32-bit ARM Cortex microcontrollers with on-chip full speed USB device connectivity Toshiba Electronics Europe combine on-board Flash announced a range of 32-bit program memory with com- ARM Cortex-M3 paratively high levels microcontrollers of on-board RAM. including parts Respective Flash/ featuring on-chip RAM capacities are full-speed (12Mbps) 512Kbytes/64Kbytes, USB-device connec- 256Kbytes/48KBytes tivity. The TMPM366 devices are and 128Kbytes/32Kbytes. supplied in LQFP100 packaging, Toshiba Electronics Europe the TMPM366FDFG, TMPM- 366FYFG and TMPM366FWFG www.toshiba-europe.com

European Conference & Exhibition Six new parts in the PIC MCU family on Integration Issues of Miniaturized Systems - with Ethernet, CAN and USB connectivity MEMS, MOEMS, ICs and Electronic Components Microchip launched a six- path for scalability and flex- member family of 32-bit ibility. The raw performance PIC32MX5/6/7 microcontrollers of the MIPS32 M4K core has that provide inte- been maximised to BE PART OF IT! grated, CAN, Eth- achieve best-in-class • KNOWLEDGE EXCHANGE ernet USB and performance of 1.56 serial connectiv- DMIPS/MHz. The • TRENDS & INNOVATIONS ity peripherals family provides 32 • NETWORKING with new, more Kbytes of RAM and cost-effective up to 140 Kbytes of memory options. flash. Each of the six Main conference topics: The parts draw 0.5 mA/MHz of new microcontrollers is avail- active current, flash memory able in five different pin-com- 3 Smart systems for automotive/green car endurance is 20k read/write patible packages: 100-pin TQFP and aeronautics cycles. By maintaining common 12x12mm, TQFP 14x14mm and 3 Smart diagnostic and medical therapeutic pin-outs, the PIC32 portfolio pro- BGA packages, as well as 64-pin systems vides designers a good balance TQFP and QFN packages. Smart power management of memory and cost for their Microchip 3 Micro and nano technologies and systems high-performance applications 3 as well as a seamless migration www.microchip.com 3 System integration 3 Design and reliability of systems 3.5” embedded board based on the Intel Core i7 processors REGISTER NOW Based on Intel’s 32nm process processor, it is equipped with wwwsmartsystemsintegration.com technology and featuring a two- the latest Intel QM57 Express smartsystemsintegration.comEARLY BIRD PRICES ARE AVAILABLE wwwUNTIL 18 FEBRUARY 2011. chip platform that integrates the chipset. It provides 5 x USB 2.0, 2 Northbridge chip with the CPU, x COM (one of them is switch- the ECM-QM57 able to RS232/422/485), wwwsmartsystemsintegration.com from Data Modul 16 GPIOs, 2 x SATA, HD delivers enhanced Audio, Dual Gigabit Eth- performance, ernet and one Compact energy efficiency, Flash Socket. One Mini Organizer: Co-Organizer: Part of the activities of: manageability, security func- PCI Express Socket is optionally ENAS ENAS Fraunhofer Fraunhofer tions and smoother visual expe- available via Daughter Board. Einrichtung Institute for Elektronische FraunhoferReliabilityEinrichtung and Fraunhofer Institute for riences. Using the low voltage Data Modul Nanosysteme MicrointegrationElektronische Reliability and Nanosysteme Microintegration Intel Core i7-620LE or the ultra- low voltage Intel Core i7-620UE www.data-modul.com

ENA FebruaryS 2011 Electronic Engineering Times Europe 43

Fraunhofer Einrichtung Fraunhofer Institute for Elektronische Reliability and Nanosysteme Microintegration DESIGN & PRODUCTS

Audio processing chip Inductive sensor integrates MCU boosts the performance of small low-cost speakers offers an adaptive detection range up to 29mm STMicroelectronics’ STA321MP tion or damage to the speaker Eaton’s Electrical Sector is reliably. They are designed with audio processing chip connects enabling high-quality audio launching a new iProx inductive a stainless steel cylinder, a 300 directly to the latest miniature performance from over-driven sensor series that integrates an ° visible dual-colour LED, and microphones and provides a speakers or from those with torn MCU. Thanks to the Smart Sense corrosion resistant front plates. new way of boosting perfor- or restricted-movement cones. technology, the devices can be The connection configuration mance from As a third-gen- adapted to each on DC-iProx small, low-cost, eration Sound- application. models is auto- or even dam- Terminal IC, the The cylindri- matic, so that it aged speakers. STA321MP de- cal sensors detects NPN and The STA321M livers advanced offer switching PNP terminals features a built-in features and distances of up automatically interface for a performance. to 29mm, twice and switches the MEMS digital mi- Support for the switching sensor accord- crophone as well as a standard designers includes complete de- distance of ingly (NPN = microphone input. The audio velopment kits for MEMS-based other inductive sinking : PNP = processor has a second MEMS systems, as well as the APWork- sensors of the same size, accord- sourcing). Sensing range, band input that can be connected bench graphical development ing to the manufacturer. detection background object de- to a tiny sensor for detecting environment. APWorkbench A large number of additional tection (metal), delay and speed speaker movements. This allows helps designers configure Sound functions can be activated detection can all be configured, designers to apply active-sound Terminal products intuitively, using optional programming thanks to the microprocessor- shaping using ST’s patented and includes features for setting tools. The ProxView software based Smart-Sense technology. techniques to optimize speaker up active sound shaping. for Microsoft Windows and A teach function simplifies the performance. In active-sound STMicroelectronics Windows Mobile devices can be programming of the sensor in its shaping, the processor compen- used to program any sensor for application environment. The sates automatically for distor- www.st.com a wide range of applications so sensors can also be set so that that it is also possible to adapt disturbing metallic objects can and iProx sensors as 100 % be ignored in the foreground or High radiant intensity infrared emitter replacements for other induc- in the background. Enhanced delivers up to 55mW of optical power, 10ns switching tive sensors. The iProx product time control functions such as range includes several models delays and speed detection can Vishay Intertechnology has the user with a narrow, well-di- as 3-wire or 4-wire DC devices, also be enabled without the use broadened its optoelectron- rected emission beam without different thread diameters from of external controllers. ics portfolio with the release disturbing fractions towards the M12 to M30, and a wide range Eaton’s Electrical Sector of an 850nm infrared emitter sides. of connection options. All iProx featuring a parabolic lens for The emitter’s high radiant sensors can detect metal targets www.eaton.com a narrow ± 3° intensity allows angle of half significant intensity. Based intensities to be Contactless gearbox sensor on a surface achieved at low predicts shifting direction emitter chip drive currents, technology, the reducing power Automotive electronics supplier device employs a novel induc- VSLY5850 offers consumption Paragon AG has developed a new tive measurement principle radiant inten- by up to three sensor for gearbox applications. for which a patent application sity of 600 mW/ times when Unlike available products, the has been submitted. The sensor sr at a 100mA drive current, high compared to the next available sensor de- enables the design optical power to 55mW, and fast intensity class of infrared emit- tects already of comfortable gear 10ns switching times. ters. The VSLY5850 is optimized during the switches, the compa- The surface emitter technology for IR illumination in CMOS start of a ny promised, which used in the VSLY5850 represents cameras, fire alarm systems, and shifting pro- make the gear shift a unique die construction in smoke detectors. The emitter cess which process smooth and which all the light generated operates from - 40 to + 85 °C and gear will be fast at the same time. inside the semiconductor is is suitable for high pulse current put in. In addition, separate sensors for emitted through the top surface operation. The contactless sensor is reverse gear become redundant. of the chip. This greatly reduces Vishay Intertechnology designed for manual transmis- Paragon AG side emissions out of the device’s sions and can also be used to 5 mm plastic package, providing www.vishay.com detect the neutral position. The www.paragon-online.de

44 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

Tiny GPS receiver Power MOSFET family for DC-DC connects to both GPS and GLONASS satellites improves switching applications efficiency up to 2% ST-Ericsson has launched the devices to retrieve positioning International Rectifier has intro- converters. The IRF6811 control CG1950, what the company data from more than 50 satellites duced a family of DirectFETplus MOSFET is available in a Small claims to be the smallest re- by the end of 2011. power MOSFETs featuring IR’s Can while the IRF6894 synchro- ceiver able to see both GPS and The receiver features -163 dBm new generation of silicon that nous MOSFET is offered in a GLONASS positioning satellites, acquisition sensitivity and -165 sets a new standard Medium Can. The enabling mobile devices to dBm tracking sensitivity with in efficiency for 25V DirectFETplus deliver much faster and much embedded LNA (single-ended an- 12V input synchro- pair combines more reliable location-based tenna), autonomous (cold start) nous buck applica- industry lead- services. time to first fix (TTFF) of less tions including ing Rdson and Rg, Requiring just two external than 38 s, and A-GPS (hot start) next-generation combined with components, the CG1950 is the TTFF below 1 s. Its low power servers, desktops, low charge to first GPS/GLONASS receiver to consumption enables continu- and notebooks. minimize conduc- be built in 45 nanometer silicon, ous GNSS (Global Navigation The first two DirectFETplus tion and switching losses. The enabling manufacturers to Satellite System) tracking for devices in the new family, the IRF6894 also features a mono- produce sleek, low-cost devices more than 30 hours with a stan- IRF6811 and IRF6894, reduce lithically integrated Schottky capable of supporting highly- dard 850 mAh battery. on-state resistance (Rdson) and that reduces losses associated accurate navigation, mobile Set to be in commercial handsets gate charge (Qg) compared to with body diode conduction social networking, augmented by the third-quarter of 2011, the previous generation devices to and reverse recovery. The new reality and other location-based CG1950 will be available both improve efficiency up to two DirectFETplus MOSFETs are services. The low-power device as a standalone component and percent. In addition, the devices footprint compatible with previ- is designed to be integrated integrated into ST-Ericsson com- offer ultra low gate resistance ous generation devices. into mobile phones, camcord- plete cellular platforms. (Rg) enabling further efficiency International Rectifier ers, cameras and other mobile ST-Ericsson improvement by minimizing devices. The combination of switching losses in DC-DC www.irf.com GPS and GLONASS, will enable www.stericsson.com Single-layer capacitive multi-touch MCU Ultracapacitor-based backup module does not require cross-over isolation points for uninterruptible power supply systems Integrated Device Technol- family’s proprietary algorithms Maxwell Technologies has als or toxic substances requiring ogy announced a touch screen eliminate undesired multi touch introduced a 56-V ultracapaci- special recycling. controller IC optimized for its ghosting, providing accurate tor module designed specifi- Unlike batteries, which produce proprietary single-layer multi- individual X and Y coordinates cally to address the short-term and store energy by means of a touch projected capacitive in dual touch mode, a critical ride-through and bridge power chemical reaction, Maxwell’s touch screen technology. The requirement for customers using requirements of uninterruptible BOOSTCAP ultracapacitor prod- touch screen technology and host-interpreted custom ges- power supply (UPS) systems for ucts store energy in an electric controller solu- tures to differenti- mission-critical installations. field. This electrostatic energy tion offers a true ate their end prod- Features include maintenance- storage mechanism enables single-layer solu- uct. The devices’ free operation, an estimated ultracapacitors to charge and tion, not requiring analog front-end 14-year life to ensure low cost discharge in as little as fractions cross-over isola- design provides a of ownership, 3U and 4U rack- of a second, perform normally tion points that high level of noise mount form factors for easy inte- over a broad temperature range other solutions rejection perfor- gration into standard equipment (-40 to +65°C), operate reliably require, yet still maintaining full mance that negates the need for racks, and the ability to connect through one million or more multi-touch capability. The IDT a separate touch screen shield in series for systems requiring charge/discharge cycles and LDS7000 and LDS7001 multi- layer for most applications, low- up to 750 V. resist shock, vibration and over- touch, full resolution touch ering the overall solution cost The modules are available in charging. screen controller ICs feature up even further. The IDT LDS7000 4-kW, 5-kW, 6.5-kW and 10-kW The company offers ultracapaci- to 30 and 35 sensor channels, and LDS7001 devices offer native versions to easily configure tor cells ranging in capacitance respectively. Both controllers support for a 10-bit resolution for UPS system requirements from 5 to 3,000 farads and multi- operate at a fast 8ms data rate (1024 x 1024) with the ability to and feature a rugged construc- cell modules ranging from 16 to for quick response to touch scale the touch values of the X tion that meets IBC Zone 4 125 V. inputs to improve the user’s and Y axes. earthquake resistance standard. Maxwell Technologies experience and enable advanced Integrated Device Technology Further, the modules use green applications that require fast re- technology with no heavy met- www.maxwell.com sponse times. The IDT LDS7000 www.idt.com

February 2011 Electronic Engineering Times Europe 45 DESIGN & PRODUCTS Radio energy harvesting kits Reader Offer win one of two kits for either wireless sensors or battery charging IP suites for Lattice’s ECP3 FPGAs with ready-made building blocks for high-speed data transfer This month, Powercast is giving other accessories. It helps demon- away two of its radio energy strate and develop smart-energy, Lattice Semiconductor has Suites, the Lattice Diamond harvesting kits, one geared wireless-sensor applications that announced five IP suites for Design Software Subscription towards powering wireless sen- are reliably powered by radio the LatticeECP3 FPGA family, License, and the LatticeECP3 PCI sors (P2110-EVAL-01) and the waves up to 40 feet away from comprising PCI Express, Ether- Express Development Kit. All other (P2110-EVAL-02) more net Networking, Digital Signal Lattice IP can be fully evalu- specifically designed for bat- Processing, Video & Display, and ated prior to the purchase. In tery charging applications. Value. The suites the free evaluation The P2110-EVAL-01 combines offer ready-made mode, customers can Powercast’s 915 MHz RF building blocks for fully configure an IP, energy harvesting system and high-speed data integrate it in their Microchip’s eXtreme low power the emitter. The P2110-EVAL-02 transfer, Ethernet designs, perform full PIC MCU to eliminate batteries kit features near-loss-less energy networking, high verification, and even in a wide range of applications storage and efficient charging via speed memory in- run it in hardware such as wireless sensors. This kit the Thinergy Micro-Energy Cell terfaces, digital signal processing for a limited time. Purchase of includes a 3W Powercaster trans- (MEC), a solid-state, rechargeable and video processing. Lat- an annual node-locked IP Suite mitter as the power source, two thin-film micro-battery from tice is offering a limited quantity license enables the member IP P2110 Powerharvester receiver Infinite Power Solutions. It also promotion on a complete set of to operate in hardware for an evaluation boards, two custom- includes the Powercaster and the design tools to encourage cus- unlimited time. designed wireless sensor boards, P2110 board, a custom-designed tomers to build their next gener- Lattice Semiconductor the XLP 16-bit development battery-charging board, a 1mAh ation systems with LatticeECP3 board pre-loaded with jointly Thinergy MEC evaluation card FPGAs, which includes five IP www.latticesemi.com/IPSuites developed application software, and other accessories. an IEEE 802.15.4 transceiver and Powercast

Check the reader offer online at www.electronics-eetimes.com Analog ECG front-end subsystem www.powercastco.com integrates five ADC channels for diagnostic-quality data

ADI’s first high-accuracy ECG support traditional and emerg- (electrocardiogram) analog front ing ECG system applications. A Bio-metrics for NFC end (AFE) includes pacemaker DC-coupled channel implemen- provides two-factor authentication to mobile applications pulse detection and respiration tation offers simplified input measurement for battery and switching, increased versatility, Inside Secure has announced technology software to compare line-powered ECG applications. reduced power and distinct post biometric matching capabilities new fingerprint data with the These measurements enable the processing advantages. The chip for its SecuRead NFC compo- trusted data previously stored accurate analysis of numerous operates the five ECG electrode nent. The system-in-package during enrollment and which heart conditions, including birth measurements from as little as device enables manufacturers of never leaves the secure element. defects, arrhyth- 19mW and any NFC-enabled devices to provide If the two match the payment mias, problems unused channels two-factor security and greater transaction will be allowed with heart valves or features can privacy for a variety of mobile to proceed. The same process and lack of blood be conveniently applications. The component can be used for other types of flow to the heart disabled to further uses fingerprint identification applications such as secured ac- muscle. The minimize power software from Neurotechnol- cess control or ID. The TazCard ADAS1000 simpli- to as low as 11mW ogy running on the SecuRead incorporates both the SecuRead fies the design of a for one lead. The secure element and debuts on module and the VaultIC secu- five-electrode ECG device’s low noise the TazCard, the NFC electronic rity module to protect access to system by significantly reducing performance (10µV pk-pk over wallet from TazTag SA. The PC the personal data and applica- the signal chain bill of materi- 0.05Hz to 150Hz) supports end will first be used to capture the tions. VaultIC provides secure als from up to 50 components equipment regulatory standards, TazCard user’s fingerprint as storage of keys, certificates and down to a single chip plus a few and different data frame rates part of the enrollment process. user data while dramatically discrete components. The device including 2, 16 and 128kHz are The fingerprint minutiae points reducing or eliminating the can be configured to optimize available to ensure ultimate ease will be extracted by the Neuro- need for custom development. noise performance, power, or in data capture. The ADAS1000 technology software on the PC Privacy is ensured because the data rate, making it suitable for comes in a 10x10mm 64-lead and transmitted to the TazCard, original fingerprint data is home, ambulatory, and clinical LQFP package. where it will be securely stored never exposed again. ECG systems. Future products in Analog Devices in the SecuRead. When a pur- Inside Secure the series will provide optimized chase is attempted the SecuRead features and functionality to www.analog.com/healthcare will then use its onboard Neuro- www.insidesecure.com

46 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS

16-channel GPS simulator supports advanced GPS receiver signal testing Spectracom announced the Pendulum test receiver GSG-55 GPS receiver test instrument capable sensitivity to simulate Satellite-Based Augmentation under different Systems (SBAS). Navigation systems that signal-to-noise use SBAS can improve the accuracy and reli- ratios. The GSG- ability provided by the GPS satellite signals 55 builds on the alone, enabling critical applications such as Pendulum GSG-54 eight-channel simulator aircraft navigation, and surveying and map- including accurate testing of GPS timing ping. SBAS simulation (support for Europe’s receivers in a lightweight bench-top chassis. EGNOS and North America’s WAAS) is a Spectracom new feature in the GSG-55. It is also able to generate white noise, making it possible to www.spectracomcorp.com

Sealed circuit headers for transport applications high-density connection systems target powertrains Molex extends its CMC family with the 120x0.635mm signal pins, 24x1.50mm termi- introduction of a 154-circuit header in nals and 10x2.80mm power pins, supporting compliant-pin mount and 32- and 112-circuit high currents in applications such as large solder-mount headers. Designed to perform ECUs. The sophisticated and robust design in high-conductivity applications and in of the integral guiding plate on Molex 154- harsh environments, CMC is an industry circuit header protects the 5 terminal rows standard interface used in automotive and and ensures positioning whilst withstand- transportation powertrain applications, in- ing high-vibration levels up to 10G. This cluding ECUs (engine control makes the header suitable for units), automatic gearboxes, heavy-duty applications such suspension controllers and as those found on agricultural electric parking brakes. equipment.The 32-circuit, Customers using Molex 154- single pocket, right-angle circuit, three pocket, right- header features solder-mount angle header with compli- terminals and suites small ant-pin terminals will benefit from using a powertrain applications as well as hydraulic solder-free process, as opposed to conven- and electronic suspension controllers. tional press-fit type mounting processes, Molex improving productivity and reducing as- sembly costs.The 154-circuit header features www.connector.com

Touch screen solution offers 884 nodes for large multi-touch screens up to 11,6 inches Cypress’ latest single-chip TrueTouch solu- offers 10-finger touch support, low power tion for tablets offers more sensing channels consumption, grip and palm rejection, high than any other single chip accuracy, and fast scan solution for large multi- times. All major tablet touch screens up to 11.6 operating systems will be inches. Additional sensing supported in this family of channels are said to be es- devices, and Cypress says it sential for greater accuracy, works closely with all the linearity, support for smaller leading ITO sensor vendors, sized fingers and the ability providing designers with to resolve multiple fingers close together. flexibility, optimal performance and quality. The new CY8CTMA884 family offers 60 Cypress Semiconductor sensing I/O channels with support for up to 884 nodes on the screen. The CY8CTMA884 www.cypress.com/go/TrueTouch

February 2011 Electronic Engineering Times Europe 47 DESIGN & PRODUCTS

Industrial connector PCB adaptor Meter shunt resistor enables direct board mounting offers direct PCB mounting, low resistance Harting launched a PCB adap- the bulkhead-mounted housing Vishay Intertechnology has consumer single- or multi-phase tor which allows direct board with the fixing screw. Because of introduced a new Power Metal energy meters. With a toler- mounting of its Han Q 12/0 the separation of the connector Strip meter shunt resistor that ance of 5.0 %, the new resistor industrial con- from the PCB is the industry’s first with sense provides power companies with nector to device adaptor, all 12 leads designed to be soldered more accurate data to determine boards. In contacts and directly to the PCB, eliminating customer usage and to adjust addition to the ac- the PE conduc- the need for costly flexible leads. billing terms. The WSMS2908 tual PCB adaptor, tor can be used The WSMS2908 features a special versions for connection combines a 3 W 5-terminal of the Han Q to the PCB. The power capability connection 12/0 connector’s adaptor meets in the 2908 size design and male and female the requirements package with an all-welded inserts for the of the DIN EN low resistance construction PCB adaptor are being intro- 61984 standard, with electrical values down to that con- duced. The PCB adaptor can ratings of 7.5 A and 250 V rated 100 µΩ. tributes to be installed independently of and a 4 kV impulse voltage at The WSMS2908 its superior the connector, allowing it to be pollution degree 3. The new PCB meter shunt electrical per- pre-mounted according to the adaptor model complements resistor features a proprietary formance. The resistor offers low pinhole pattern on the board, Harting’s existing range, which processing technique that pro- inductance values below 5 nH as with other components. The includes adaptors for the Han duces extremely low resistance and a low thermal EMF of less male or female insert for the DD, Han E, Han-Modular and values of 100 µΩ, 250 µΩ, 300 than 3 µV/°C. The device is lead PCB adaptor is installed after the Han Q series of connectors. µΩ, 430 µΩ, and 500 µΩ. These (Pb)-free and RoHS-compliant. board has been installed in the Harting values allow for increased ac- Vishay housing by being mounted on curacy in current meter shunt to the PCB and fixed in place on www.harting.com applications for industrial and www.vishay.com

Magnetic cylinder sensors 4-port PoE power source manager determine exact pneumatic piston position works completely autonomously with no host MCU Baumer’s new generation of water climate resistance of the Microsemi Corpora- magnetic cylinder sensors has sensors. The magnetic sensing tion has unveiled an been designed for detecting technique, with no moving Auto Mode 4-port the exact position of pistons in parts, enables maximum sensor Power-over-Ethernet pneumatic cylinders. The new product life by keeping wear (PoE) Power Source cylinder sensors down to a Equipment (PSE) available for T minimum. The Manager targeted at and C slots have MZTK 06P1013 small and medium been enhanced in line of sensors business (SMB) and performance and for T slots can small office/home reliability. be quickly office (SOHO) PoE For instance, the installed as applications. The PD69104A UART and I2C host interfaces sensitivity was no cylinder device is the most integrated that enable it to be used in optimized to produce a more disassembly is required, even if and energy efficient 4-port PoE larger systems where system- precise and reproducible switch- both front faces are equipped solution in the market, claims wide power management is ing characteristic. Every sensor with installation panels. Thanks the IC vendor. The device works performed by the host processor. is tested upon its sensitivity to the wide selection of mount- completely autonomously The PD69104A features built-in prior to delivery. Additionally, ing accessories, the sensor can with no need for a host pro- 0.3 ohm MOSFETs and an inter- upgraded materials are used to be conveniently attached to cessor or MCU-based system nal DC/DC regulator. The device make the sensors more robust. all common cylinder types. All management, allowing switch offers integrated dynamic power The cables, for example, now sensors are available in PNP or and router vendors to add PoE management for up to four ports consist of PUR, ensuring the NPN as well as cable or flylead capabilities to their systems and detects all pre-standard PoE trouble-free use of the cylinder connector versions. with minimal to no software devices. sensors in oily environments. Baumer development required. Although Microsemi The increased robustness shows targeted at small systems, the well especially with the salt www.baumer.com PD69104A has an easy-to-use www.microsemi.com

48 Electronic Engineering Times Europe February 2011 DESIGN & PRODUCTS DISTRIBUTION CORNER

Softcore evaluation SDK Mouser signs Arduino for Altera’s Nios II embedded MPU for open-source hardware Arrow Electronics has announced its BeMi- Mouser Electronics has teamed with Arduino cro software to form a worldwide distribution partnership development and resell open-source physical computing kit (SDK), en- platform based on flexible, easy-to-use hard- abling engineers to evaluate Altera’s Nios II ware, and software. This global distribution embedded processor and help simplify their agreement gives Mouser a single-board com- embedded designs. The BeMicro SDK comes puting solution with a 100,000-user-strong in a plug-and-play USB stick form factor design community. In addition, it offers a and includes an FPGA-based board, software cross- development platform centered on the development tools and hands-on labs. The Atmel AVR 8-bit microcontroller, especially kit is fully supported with an open-source well suited for expanded functionality by Eclipse-based integrated design environ- simply adding one of the various “shields” to ment, templates and board support package. the main Arduino board. Arrow Electronics Mouser Electronics

www.arrow.com/bemicrosdk www.mouser.com

RFMW expands TriQuint Midé Technology worldwide product lines in stock for EMEA with Digi-Key disti agreement RF and microwave components specialized Digi-Key Corporation and Midé Technol- distributor RFMW signed a distribution agree- ogy announced they have entered into an ment with TriQuint Semiconductor to bring agreement in which Digi-Key will distribute the company’s products to Europe, the Middle Midé Technology products to customers East, and Africa. This agreement expands and worldwide. Midé’s Volture piezoelectric builds upon the successful relationship that energy harvesters are in stock and available the two companies have had in North America for purchase on Digi-Key’s global websites. since 2005 and in Israel since 2010. RFMW To complement its energy harvesters, Digi- established sales offices in the U.K., Germany, Key also stocks Midé’s Slam Stick portable, and Italy at the beginning of 2011, and the rechargeable vibration data logger. TriQuint product line helps ensure a success- The Slam Stick helps customers identify ful start in Europe. The company’s goal is to if their application is suitable for energy expand TriQuint’s design in opportunities. harvesting. RFMW Digi-Key

www.rfmw.com www.digikey.com

RS Components eTech mag iPad app eTech magazine iPad app Electronics and maintenance products taking full advantage of the device’s off-line distributor RS Components is continuing viewing capabilities. Written specifically for its push to bring innovative services to its design engineers, technical managers and customers with the launch of eTech for iPad. technicians working in the field of Electron- This iPad app provides a new way to display ics, eTech is an online and printed publica- product and technology information, tion distributed globally. RS works with extending and enhancing the magazine con- electronics design engineers and experts to tent with additional detail, images, anima- provide information on new technologies tion and video, making it a truly interactive for a wide variety of applications. eTech for customer experience. The app is available iPad is free to download and subscribers will free of charge for download worldwide from receive a notification for each issue. the Apple store. eTech for iPad is an industry RS Components first and has been developed to go way be- yond the basic page-turner digital magazine, www.rs-components.com

February 2011 Electronic Engineering Times Europe 49 LAST WORD

Managing open source licensing for semiconductors By Kamal Hassin

Software is a massive enabler for the important step as it forms the basis upon semiconductor industry. Drivers, real-time which decisions regarding acceptance of open operating systems (RTOS), software develop- source software will be made. The licensing ment kits, networking & security, administra- policy should be defined in accordance with tion, media formatting & compression, the both the business goals of the organization as list applications enabled by software is end- well as its engineering processes, and gener- less. The semiconductor industry now spends ally requires the involvement of business and more on software development than on all engineering managers, as well as legal coun- other R&D aspects. But how do developers sel. Software auditing is required to ensure build all of this software at a reasonable cost? production code conforms to the licensing Increasingly, developers supplement policy, although the audit implementation custom coding with open source software, can take a number of forms. Audits can enabling rapid development at a reduced cost range from ad hoc developer training and with a high degree of flexibility, security and post-development cycle auditing to proactive stability. Open source opens up new opportu- automated approaches such as periodic and nities that did not exist even a few years ago. real time auditing. “The overall goal is to As with every significant opportunity comes Several approaches are available to address the need for responsible practices to ensure license compliance at different points in the minimize the time and fairness and sustainability. development process. cost of correcting the final There are a number of approaches to Do nothing: this option ignores the license management, ranging from doing compliance issue and carries the lowest up- software release” nothing to fully automated real-time scan- front cost, but imposes the highest business ning of software to detect and report license risks and largest corrective costs as a product Real-time preventive assistance: the most obligations. The cost of managing software moves closer to launch. proactive approach is to audit software in license obligations is analogous to manag- Developer training: some companies con- real time at the developer workstation. The ing defects in the development process. It is sider developer training and project planning development process is not disturbed and well understood that the earlier a defect is is sufficient enough. This however, can be the cost of corrections is minimal as there is identified and corrected, the less expensive it an expensive labour-intensive option given no impact to system integration and testing. is, and the same is true for licensing obliga- the increasing diversity of software licenses, This process can be automated and generally tions. Likewise, the earlier a development the high cost of developer training, and the requires very little developer training. organization identifies “licensing bugs” that constant churn within the development Regardless of the type of auditing ap- attract unacceptable license obligations, the environment. With this option, compliance proach, the overall goal is to minimize the less expensive it is to adjust the software to depends solely on busy developers and is time and cost of correcting the final software achieve licensing compliance. prone to human error. release so that it meets all functional, quality A complete approach to assuring open Post-development license audits: auditing and license compliance requirements. Each source license compliance will generally late in the project lifecycle does not impact organization must consider their approach, include three major aspects: the definition of the development workflow and can be imple- balancing the short term cost of developer a licensing policy which must be met by all mented manually or using automated soft- training and tools versus the potential longer software projects; the auditing of all project ware tools. This option does, however, lead term cost of post-release legal problems. software to detect any third party source code to more expensive rework due to additional Tools are available to automate open including open source that is unacceptable system retesting cycles. source license detection and analysis. They based on the licensing policy; and corrective Periodic assessment: licensing analysis can operate on demand, on a periodic sched- processes to ensure that all released software during development allows for corrections ule or in real-time within the development conforms to the licensing policy. along the way if license violations are de- process. Generally such tools find compli- The creation of the licensing policy is an tected. This type of analysis can be automated ance problems sooner, lowering the overall and tends to be less expensive than post- cost of license compliance and significantly Kamal Hassin is director, R&D and product development assessment since changes and reducing any risk of legal issues that could af- management at Protecode - re-tests are always easier to undertake earlier fect the deployment of semiconductor-based www.protecode.com rather than later in the cycle. products. n He can be reached at [email protected]

50 Electronic Engineering Times Europe February 2011 now available on iPAD

free iPAD App 6WURNHRI*HQLXV 1RZ6KLSSLQJWKH1(:&REDOW 6HULHVRI9LUWH[0RGXOHV

8QOHDVK\RXULQQHUJHQLXVZLWK3HQWHN·VQHZ &REDOW709LUWH[;0&PRGXOHV%XLOWWRRSWLPL]H KLJKSHUIRUPDQFHZLGHEDQGFRPPXQLFDWLRQV 6,*,17UDGDUEHDPIRUPLQJDQGWHOHPHWU\ DSSOLFDWLRQVWKHKLJKVSHHGPRGXOHVLQFOXGH

‡ $'VZLWKVDPSOLQJUDQJHVIURP0+] WR*+] ‡ 0+]'$V'U&V 0XOWLEDQG''&V ‡ 'HGLFDWHGPHPRU\IRUHDFK,2VWUHDP ‡ ,QWHOOLJHQWFKDLQLQJ'0$HQJLQHV ‡ 6HFRQGDU\VHULDOJLJDELWLQWHUIDFH ‡ 0XOWLFKDQQHOPXOWLERDUGV\QFKURQL]DWLRQ ‡ 5HDG\)ORZŠ%RDUG6XSSRUW/LEUDULHV ‡ *DWH)ORZŠ)3*$'HVLJQ.LW ,QVWDOOHG,3 ‡ 93;;0&3&,3&,HF3&,90(9;6 UXJJHG;0& DE $YDLO OHLQ9 Z 3; R  :LWKH[WHQVLYHUHVRXUFHVDQGQHZHQKDQFHPHQWV 1 LQ'63HQJLQHVEORFN5$0ORJLFDQGFORFNLQJ WHFKQRORJ\WKH9LUWH[IDPLO\UHSUHVHQWVWKH LQGXVWU\·VPRVWDGYDQFHG)3*$V

&DOORUJRWR ZZZSHQWHNFRPJRHHWFREDOW IRU\RXU)5((3XWWLQJ)3*$VWR &276 5XJJHG 9HUVLRQV :RUNLQ6RIWZDUH5DGLR+DQG ERRNWHFKQLFDOGDWDVKHHWVDQG SULFHTXRWDWLRQV

3HQWHN,QF2QH3DUN:D\8SSHU6DGGOH5LYHU1-3KRQH)D[HPDLOLQIR#SHQWHNFRPZZZSHQWHNFRP :RUOGZLGH'LVWULEXWLRQ 6XSSRUW&RS\ULJKW‹3HQWHN,QF3HQWHN&REDOWDQG*DWH)ORZDUHWUDGHPDUNVRI3HQWHN,QF 2WKHUWUDGHPDUNVDUHSURSHUWLHVRIWKHLUUHVSHFWLYHRZQHUV

V6_Ad_EETeurope5350.indd 1 9/30/2010 2:45:50 PM