Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Student Accessibility In addition to the student accessibility standards inherent in the way we develop PLTW courses, PLTW supports purposeful student accessibility in the following ways: Courses supports standard accessibility practices and techniques including the use of video captions, alternative text descriptions, and compatibility with screen readers. (Note: Features may vary based on course development date.) Some of our newer PLTW course developments feature a Student Accommodation section to help support you in adjusting course activities, projects, or problems to be accessible for your students. A non-digital, PDF version of the student course curriculum is available for use in the following classroom situations: Technology issues. Students who require a text or print version. Another circumstance in which student access to digital course curriculum is not feasible or possible. These course versions are intended to be used only to support student accessibility when access to digital content through Courses is not possible. Important: Due to its non-digital nature, the PDF version of course curriculum will not feature digital interactivity and tools, embedded media, or any updates made available via Courses during the school year.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Table of Contents

1. Course Level Resources 1. General Prep and Considerations 1. Course Preface 2. Curriculum Revisions 3. Course Preparation 4. Presentation Download 5. PLTW Engineering Notebook 6. Professional Development Opportunities 7. Community Forums 2. Copyright Notice 1. It is illegal to make copies of this course without the permission of Project Lead The Way, Inc. 2. Unit 1: Foundations in Electronics 1. Introduction to 1. Welcome to Digital Electronics 1. Welcome to Digital Electronics 2. Curriculum Design 1. Teacher Resources 2. Course Curriculum Frameworks 3. Instructional Timeline 3. Lesson Structure 1. Activities, Projects, and Problems (APBs) 2. Problem-based and Inquiry-based Learning 3. Role of the Teacher 4. Role of the Learner 4. References 2. Lesson 1.1: Introduction to Electronics 1. Lesson 1.1 Teacher Notes 1. Introduction to Digital Electronics 2. Classroom and Laboratory Safety 3. Quiz 1.1.1 General Safety in the Electronics Classroom 4. Activity 1.1.2 Investigating Basic Circuits 5. Investigating Basic Circuits 6. Scientific and Engineering Notation 7. Activity 1.1.3 Scientific and Engineering Notation 8. Introduction to and 9. Activity 1.1.4 Component Identification: Analog 10. Circuit Theory Laws 11. Activity 1.1.5a Circuit Theory: Hand Calculations 12. Activity 1.1.5b Circuit Theory: Simulation 13. The Breadboard (Optional Review) 14. Activity 1.1.5c Circuit Theory: Breadboarding 15. Activity 1.1.6 Component Identification: Digital 16. Introduction to Logic Gates and Integrated Circuits 17. Activity 1.1.7 Introduction to Datasheets 18. Soldering and Desoldering 19. Project 1.1.8 Soldering Practice: Fun Light Project (Optional) 20. Introduction to the Board Game Counter

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 21. Project 1.1.9 Soldering: Random Number Generator 2. Lesson 1.1 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Day 1 2. Day 2 3. Day 3 4. Day 4 5. Day 5 6. Day 6 7. Days 7–8 8. Days 9–10 9. Days 10–11 10. Days 12–15 11. Days 16–17 12. Day 18 13. Days 18–20 (Optional) 14. Days 19–20 3. Activity 1.1.1 Answer Key 1. Procedure 4. Activity 1.1.2 DMS Answer Key 1. Procedure 1. Part A: Creating a Circuit and Measuring a Circuit’s Properties 2. Part B: Series and Parallel Circuits 2. Conclusion 1. Going Further 5. Activity 1.1.3 Answer Key 1. Procedure 2. Conclusion 6. Activity 1.1.4 Answer Key 1. Procedure 2. Conclusion 7. Activity 1.1.5a Answer Key 1. Procedure 2. Conclusion 1. Going Further (Optional) 8. Activity 1.1.5b Answer Key 1. Procedure 2. Conclusion 9. Activity 1.1.5c Answer Key 1. Conclusion 10. Activity 1.1.6 Answer Key 1. Procedure 1. 2. 3. Clock Signals (The 555 Timer) 2. Conclusion 11. Activity 1.1.7 Answer Key 1. Procedure 2. Conclusion 12. Activity 1.1.8 Answer Key 1. Conclusion

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 13. Project 1.1.9 Answer Key 1. Conclusion 14. Lesson 1.1 References 3. Lesson 1.2: Introduction to Circuit Design 1. Lesson 1.2 Teacher Notes 1. Circuit Design 2. Activity 1.2.1 Introduction to Combinational Logic Design: Seat Belt Circuit 3. Analog and Digital Signals 4. Activity 1.2.2 Analog and Digital Signals 5. The Binary Number System 6. Activity 1.2.3 Binary Number System 7. Activity 1.2.4 Introduction to Sequential Logic Design: Counters 8. Using a Clock Signal 9. Clock Signals: 555 Timer 10. Activity 1.2.5 Clock Signals: 555 Timer 11. Understanding Analog Design: Random Number Generator 12. Project 1.2.6 Understanding Analog Design: The Random Number Generator 13. Understanding Digital Design: Random Number Generator 14. Project 1.2.7 Understanding Digital Design: Random Number Generator 2. Lesson 1.2 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Days 1–3 2. Day 4 3. Day 5 4. Days 6–8 5. Days 9–12 6. Days 13–15 7. Days 16–20 3. Activity 1.2.1 Answer Key 1. Procedure 2. Conclusion 1. Going Further (Optional) 4. Activity 1.2.2 Answer Key 1. Procedure 2. Conclusion 5. Activity 1.2.3 Answer Key 1. Procedure 2. Conclusion 1. Going Further (Optional) 6. Activity 1.2.4 DMS Answer Key 1. Procedure 2. Conclusion 7. Activity 1.2.5 Answer Key 1. Procedure 1. Simulation 8. Project 1.2.6 Answer Key 1. Procedure 2. Conclusion 9. Project 1.2.7 Answer Key 1. Procedure 2. Conclusion

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 10. Lesson 1.2 References 3. Unit 2: Combinational Logic 1. Lesson 2.1: AOI Combinational Logic Circuit Design 1. Lesson 2.1 Teacher Notes 1. Combinational Logic Design Process 2. AOI Design: Truth Tables to Logic Expressions 3. Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions 4. AOI Design: Logic Analysis 5. Activity 2.1.2 AOI Logic Analysis: Circuit to Truth Table to Logic Expressions 6. AOI Logic Implementation 7. Activity 2.1.3 AOI Logic Implementation 8. Circuit Simplification: 9. Activity 2.1.4 Circuit Simplification: Boolean Algebra 10. Circuit Simplification: DeMorgan’s Theorems 11. Activity 2.1.5 Circuit Simplification: DeMorgan’s Theorems 12. Digital Electronics Equations and Theorems 13. Project 2.1.6 AOI Logic Design: Majority Vote 2. Lesson 2.1 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Days 1–2 2. Day 3 3. Days 4–5 4. Days 6–7 5. Days 8–9 6. Days 10–11 3. Activity 2.1.1 Answer Key 1. Procedure 1. Truth Tables to Logic Expressions 2. Logic Expressions to Truth Tables 3. Seat Belt Alarm Circuit 4. Humidity Sensor Circuit 2. Conclusion 1. Going Further (Optional) 4. Activity 2.1.2 Answer Key 1. Procedure 2. Conclusion 5. Activity 2.1.3 Answer Key 1. Procedure 2. Conclusion 6. Activity 2.1.4 Answer Key 1. Procedure 2. Conclusion 7. Activity 2.1.5 Answer Key 1. Procedure 2. Conclusion 8. Project 2.1.6 Answer Key 1. Procedure 9. Lesson 2.1 References 2. Lesson 2.2: Alternative Design: Universal Gates and K-Mapping 1. Lesson 2.2 Teacher Notes 1. Karnaugh Mapping

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. Activity 2.2.1 Circuit Simplification: Karnaugh Mapping 3. Universal Gate - NAND 4. Activity 2.2.2 Universal Gates: NAND Only Logic Design 5. Universal Gate - NOR 6. Activity 2.2.3 Universal Gates: NOR Only Logic Design 7. Activity 2.2.4 Design Tool: Logic Converter 8. Project 2.2.5 Universal Gates and K-Mapping: Fireplace Control Circuit 9. Combinational Logic Design Process 2. Lesson 2.2 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Days 1–2 2. Days 3–5 3. Days 6–8 4. Day 9 5. Days 10–13 6. Day 14 3. Actvity 2.2.1 Answer Key 1. Procedure 2. Conclusion 1. Going Further (Optional) 4. Actvity 2.2.2 Answer Key 1. Procedure 2. Conclusion 5. Actvity 2.2.3 Answer Key 1. Procedure 2. Conclusion 6. Actvity 2.2.4 Answer Key 1. Procedure 2. Conclusion 7. Project 2.2.5 Answer Key 1. Conclusion 8. Lesson 2.2 References 3. Lesson 2.3: Specific Combinational Logic Designs 1. Lesson 2.3 Teacher Notes 1. Hexadecimal and Octal Number Systems 2. Calculators 3. Activity 2.3.1 Hexadecimal and Octal Number Systems 4. Seven Segment Display Driver 5. Activity 2.3.2 Seven-Segment Displays 6. Multiplexed Signals and Demultiplexed Signals 7. Activity 2.3.3 Multiplexers (MUX) and Demultiplexers (DEMUX) 8. 2’s Complement Arithmetic 9. Activity 2.3.4 Two’s Complement Arithmetic 10. XOR, XNOR, and Binary Adders 11. Activity 2.3.5 Binary Adders: XOR and XNOR 2. Lesson 2.3 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Day 1 2. Days 2–3 3. Days 4–5

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 4. Days 6–7 5. Days 8–9 6. Day 10 3. Activity 2.3.1 Answer Key 1. Procedure 2. Conclusion 1. Going Further (Optional) 4. Activity 2.3.2 Answer Key 1. Procedure 1. Seven-Segment Display Drivers 2. Conclusion 5. Activity 2.3.3 Answer Key 1. Procedure 2. Conclusion 6. Activity 2.3.4 Answer Key 1. Procedure 2. Conclusion 7. Activity 2.3.5 Answer Key 1. Procedure 2. Conclusion 1. Going Further 8. Lesson 2.3 References 4. Lesson 2.4: Introduction to Programmable Logical Devices (PLDs) 1. Lesson 2.4 Teacher Notes 1. Combinational Logic Student Design Problem: Date of Birth 2. Problem 2.4.1 Combinational Logic Circuit Design: Date of Birth 3. Combo Logic Design Process 4. Overview of Programmable Logic Devices 5. Activity 2.4.2 Introduction to PLDs Programming Tutorial 6. Project 2.4.3 Combinational Logic Design: Date of Birth with a PLD 2. Lesson 2.4 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Performance-based Assessment (Optional) 2. Days 1–5 3. Day 6 4. Day 7 3. Problem 2.4.1 Answer Key 1. Conclusion 4. Activity 2.4.2 Answer Key 1. Conclusion 5. Project 2.4.3 Answer Key 1. Procedure 1. Digital MiniSystem (DMS): (Cmod S6 FPGA Module) 2. Digital Logic Board (DLB) 2. Conclusion 6. Lesson 2.4 References 4. Unit 3: Sequential Logic 1. Lesson 3.1: Sequential Logic Circuit Design 1. Lesson 3.1 Teacher Notes 1. Flip-Flops and Latches 2. Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Flip-Flop Applications 4. Activity 3.1.2 Flip-Flop Applications: Event Detection 5. Activity 3.1.3 Flip-Flop Applications: Shift Register 2. Lesson 3.1 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Days 1–2 2. Days 3–4 3. Days 5–6 3. Activity 3.1.1 Answer Key 1. Procedure 2. Conclusion 4. Activity 3.1.2 Answer Key 1. Conclusion 5. Activity 3.1.3 Answer Key 1. Procedure 1. Simulation (Design Mode) 2. Simulation (PLD Mode) 2. Conclusion 6. Lesson 3.1 References 2. Lesson 3.2: Asynchronous Counters 1. Lesson 3.2 Teacher Notes 1. Asynchronous Counter Presentation 2. Activity 3.2.1 Asynchronous Counters: Small-Scale Integration (SSI) Up/Down Counters 3. Activity 3.2.2 Asynchronous Counters: Small-Scale Integration (SSI) Modulus Counters 4. Activity 3.2.3 Asynchronous Counters: Medium-Scale Integration (MSI) Suspend/Reset Counts 5. Problem 3.2.4 Asynchronous Counters: Now Serving Display (DMS) 2. Lesson 3.2 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Day 1 2. Days 2–5 3. Days 6–8 4. Days 9–14 5. Days 15–25 3. Activity 3.2.1 Answer Key 1. Procedure 1. Simulation (Design Mode) 2. Simulation (PLD Mode) 3. Export to PLD (PLD Mode) 2. Conclusion 4. Activity 3.2.2 PLD Answer Key 1. Procedure 1. Simulation (Design Mode) 2. Digital MiniSytem (DMS) (Disregard if using the DLB) 2. Conclusion 5. Activity 3.2.2 PLD_CLK Answer Key 1. Procedure 1. Simulation (Design Mode)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. Digital MiniSytem (DMS) (Disregard if using the DLB) 2. Conclusion 6. Activity 3.2.3 Answer Key 1. Procedure 2. Conclusion 7. Problem 3.2.4 DMS Answer Key 1. Conclusion 8. Lesson 3.2 References 3. Lesson 3.3: Synchronous Counters 1. Lesson 3.3 Teacher Notes 1. Synchronous Counters 2. Activity 3.3.1 Synchronous Counters: Small-Scale Integration (SSI) 3. Synchronous Counters with MSI Gates 4. Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter 5. Activity 3.3.3 Synchronous Counters: Medium-Scale Integration (MSI) 74LS193 Up/Down Counter 6. Project 3.3.4 Synchronous Counters: Sixty-Second Timer (DMS) 2. Lesson 3.3 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Day 1 2. Days 2–5 3. Days 6–10 4. Days 11–15 5. Days 16–25 3. Activity 3.3.1 Answer Key 1. Procedure 2. Conclusion 4. Activity 3.3.2 Answer Key 1. Procedure 1. Simulation (PLD Mode) 2. Conclusion 5. Activity 3.3.3 Answer Key 1. Procedure 1. PLD Mode 2. Conclusion 6. Problem 3.3.4 DMS Answer Key 1. Procedure 1. Simulation: (Design Mode or PLD Mode) 7. Lesson 3.3 References 5. Unit 4: Controlling Real World Systems 1. Lesson 4.1: Introduction to State Machines 1. Lesson 4.1 Teacher Notes 1. Platform and Hardware Options (VEX) 2. Introduction to Sensors and Motors: Copier Jam Dectector VEX/DMS 1. Helpful VEX design notes 2. VEX parts needed for this fixture 3. State Machine Design 1. Helpful design notes 2. VEX parts needed for this fixture 4. Problem 4.1.3 State Machines: Tollbooth (VEX/DMS)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. Lesson 4.1 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Days 1–4 2. Days 5–8 3. Days 8–20 3. Project 4.1.1 VEX-DMS Answer Key 1. Conclusion 1. Design Mode – Simplified 2. PLD Mode Design – Simplified 4. Activity 4.1.2 DMS Answer Key 1. Design Mode (Procedure item 8) 2. PLD Mode - DMS (Procedure item 11) 3. Conclusion 1. Going Further (Optional) 5. Problem 4.1.3 VEX-DMS Answer Key 1. State Graph Analysis 2. Tollbooth State Transition Table Analysis 3. Design Equations 4. Tollbooth Test Fixture: Design Mode 5. Conclusion 6. Lesson 4.1 References 2. Lesson 4.2: Introduction to Microcontollers 1. Lesson 4.2 Teacher Notes 1. Microcontrollers, Microcomputers, and Microprocessors 2. Tutorial 4.2.1 Installing Arduino IDE Software 3. Activity 4.2.2 Introduction to Microcontrollers 4. PWM: Pulse Width Modulation Signals 5. Activity 4.2.3 PWM Signals 6. Problem 4.2.4 Microcontrollers: The Tollbooth Revisited 2. Lesson 4.2 Teacher Resources 1. Preface 2. Day-by-Day Plans 1. Day 1 2. Days 2–5 3. Days 5–6 4. Days 7–10 3. Activity 4.2.2 Answer Key 1. Procedures 1. Sketch 2: “AltBlink” 2. Sketch 3: “Pushbutton” 3. Sketch 4: “DigitalReadSerial” 4. Sketch 5: “DigitalReadSerialLED” 5. Sketch 5: “PIRDigitalReadSerial” 6. Sketch 6: “AnalogReadSerial” 7. Sketch 7: “Joystick” 2. Conclusion 4. Activity 4.2.3 Answer Key 1. Sketch 1: “Two Axis Accelerometer” 5. Problem 4.2.4 Answer Key 6. Lesson 4.2 References 6. Additional Resources

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. General Teacher Resources 1. Course Presentations 2. Assessment Resources 1. EoC Assessment Administration Manual 2. Score Interpretation Guide 3. Content Map 4. Sample Multiple-Choice Items 5. Test Blueprint Template 3. Hardware and Software Support 4. Multisim 14 CDS Files 5. Arduino IDE Files 2. Course Resources 1. Digital Electronics Course Outline 2. Digital Electonics Resume 1. Computational and Analytical Skills 2. Digital Electronics Design Experience 3. Practical Application Experience 4. Tools and Software 5. Professional Skills 6. Course Knowledge 1. Foundations in Electronics 2. Combinational Logic 3. Sequential Logic 4. Controlling Real World Systems 3. Frameworks 4. Student Accessibility 7. Appendix 1. Remarks

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING Digital Electronics

General Prep and Considerations Course Preface

From smartphones to appliances, digital circuits are all around us. This Digital Electronics (DE) course provides a foundation for students who are interested in electrical engineering, electronics, or circuit design. Students study topics such as combinational and sequential logic and are exposed to circuit design tools used in industry, including logic gates, integrated circuits, and programmable logic devices. Curriculum Revisions

The Digital Electronics curriculum is continuously updated to provide content and instructional resources that are current and based on best practices. Significant revisions to the curriculum implemented since the prior release of DE are documented in the Digital Electronics Curriculum Revisions.

Course Preparation

Verify that you have the equipment and supplies necessary to implement Digital Electronics. To view a list of required equipment and supplies, use the calculator tool on the PLTW.org website.

Equipment & Supplies Calculator | pltw.org

Check the Optional items to make sure you have included equipment and supplies for any optional activities, projects, or problems that you plan to implement in your classroom. Verify that you have the appropriate classroom supplies for the options that you intend to implement.

Extensive instructional guidance is provided in the Teacher Notes and Teacher Resources pages for each unit of the course. Read these resources thoroughly to identify equipment and supplies needed for each day’s activity.

Prior to presenting each lesson, check all internet links (especially in the Student Course) to make sure that they are not blocked by your system and connect properly. Presentation Download

To support your instruction, the Microsoft® PowerPoint® files corresponding to each of the slideshows embedded in the Student Course are available for you to download. You may access the presentations from the Course Presentations page nested under General Teacher Resources.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW Engineering Notebook

The PLTW Engineering curriculum requires students to keep a bound PLTW Engineering Notebook. An engineering notebook contains all design work completed for a specific design project. It is a chronological documentation of all tasks completed during a design process.

Students are required to keep a bound engineering notebook for this course. A single engineering notebook to document design work for multiple projects and problems is acceptable. However, each project or problem should have a separate designated section within the notebook that includes only pertinent information for that particular project or problem. You should have a plan in place to make sure each student can obtain an engineering notebook.

Instructional resources related to engineering notebooks are accessible from the General Student Resources page in the Student Course. Note that an engineering notebook is different from a course binder or portfolio. Detailed descriptions of an engineering notebook, portfolio and course binder are provided in the PLTW Engineering Notebook, Portfolio and Course Binder resource.

Students should be advised to keep all of their course work. Design work related to the design process of projects and problems should be documented in an engineering notebook; all other documents and work can be kept in a course binder. When a portfolio is required, each student can then select work from their notebook and binder to place in their portfolio.

You may choose to use other documentation tools, such as a digital notebook, an electronic document to be shared with you, or an online collaboration service (such as Google Drive). Communicate your expectations to your students when facilitating each APB. Professional Development Opportunities

Professional development opportunities are available to you from the Professional Development page of myPLTW.org. To begin learning, visit myPLTW.org and select the Professional Development icon. myPLTW.org

PLTW provides membership to Lynda.com® for all PLTW teachers. Lynda.com is a leading online learning platform that helps users learn or improve professional, software, technology, and creative skills to achieve personal and professional goals. Members have access to the extensive Lynda.com video library of courses taught by recognized industry experts. To log in, visit myPLTW.org, select the Professional Development icon, and then access the Lynda.com link.

Community Forums

The Community tool allows users to create posts, ask questions, and share files within their Community groups. As a PLTW teacher, you will automatically be subscribed to Community groups based on the course(s) for which you are certified.

As a Community group member, you will have the opportunity to post comments, tag posts, bookmark, and follow other members of your group. The Community environment is provided to facilitate a professional learning community among teachers who teach the same course across the country. Use this forum to ask questions, share best practices and lessons learned, and provide Copyright © 2017 Project Lead The Way, Inc. All rights reserved. instructional support to your community of professionals.

To access the Community tool, visit myPLTW.org and select the Community icon. myPLTW.org

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright Notice

It is illegal to make copies of this course without the permission of Project Lead The Way, Inc.

All software, courseware, images and material contained in this course are the property of Project Lead The Way, Inc., unless otherwise provided, and are protected under Federal copyright law. It is illegal to make and/or distribute any of the contents of this course without the permission of Project Lead The Way, Inc. The use of this course and its contents is for the PLTW Program, by its network of educational institutions, schools, teachers and affiliates, in accordance with the terms of their agreements with Project Lead The Way, Inc. Duplication or other use of this file without proper authorization may be against Federal law, and may subject you to monetary and other penalties.

If you have questions about the proper use of PLTW materials or to report copyright violations, please contact:

Project Lead The Way, Inc. 3939 Priority Way South Drive, Suite 400 Indianapolis, IN 46240 Toll Free: 877-335-PLTW (7589) Local: 317-669-0200 Fax: 317-663-8296 Email: [email protected] © 2009–2017 Project Lead The Way, Inc.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING Digital Electronics Course and Curriculum - Introduction

Welcome to Digital Electronics

The goal of this course is to introduce high school students to solving engineering design problems through digital circuit design and creation. Students will build fundamental skill sets and understandings that will transfer to designing circuits. The presentation of topics and concepts is meant to align with two historical threads.

Advancements and improvements made to the circuit design process Advancements and improvements in technology from the invention of the , gates, integrated chips, programmable logic devices, and microcontrollers

The curriculum is standards-based, aligned with both Common Core and Next Generation Science Standards, and yet is flexible and customizable so that schools and school districts can meet their curricular needs. Curriculum Design

Teacher Resources

Included in the teacher resource pages are:

A day-by-day lesson plan Answer keys Simulations

For the most up-to-date Standards Alignment, please visit PLTW’s Alignment to Standards.

Course Curriculum Frameworks

Each lesson begins with an overview, which includes a Preface introducing the topic to the student and a listing of the Student Established Goals, Transfers, Essential Questions, Understandings, Knowledge, and Skills for the lesson. The Established Goals, Transfers, and Understandings are the broad learning objectives for the lesson. The intent of the Essential Questions is to create a framework for teachers and students to focus student learning. Course-specific projects can be developed by the students to solve problems posed by these questions. The Goals, Transfers, Understandings and Essential Questions should be communicated to the students at the beginning of every Unit and Lesson to establish the focus of the learning objectives.

Instructional Timeline

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This curriculum is designed to be taught to high school students within a typical high school schedule. This means that a class which meets each day for 40–45 minutes, 175 days a year should be able to cover the content of this course. Some minor adjustments will need to be made by those schools that teach under a double block system. For the most part, this will simply entail combining two days’ worth of activities into one. Lesson Structure

Each major unit is composed of lessons. Major units are not an indication of topic timelines (example: four units does not imply each is to be nine weeks long). All units are not equal in content or time required to cover.

Activities, Projects, and Problems (APBs)

PLTW’s activities-, project-, and problem-based (APB) learning approach centers on hands-on, real- world projects that help students understand how the information and skills they are learning in the classroom may be applied in everyday life. The APB-based learning approach scaffolds student learning, building the required skill sets to apply toward an open-ended design problem.

What is an Activity?

ACTIVITIES are for the acquisition of skills and knowledge. Activities set the stage for developing the content, skills, and understandings that will help students successfully navigate the design problem.

Examples of activities include:

APBs that introduce or practice knowledge/skills on topics such as simple circuits, using a digital multimeter, or reading color codes. Using research skills that might include reading informational texts on inventions or accessing websites with content information. Building or designing objects by following directions.

What is a Project?

PROJECTS are related to the design challenge/problem and provide opportunities for meaning- making. Projects provide investigations into concepts or skills that will be applied in solving the design challenge for the module.

Examples of projects include:

APBs that create a common challenge that will typically lead all students to land on the same solution.

What is a Problem?

PROBLEMS provide opportunities for students to transfer the new and past knowledge and skills from previous activities or projects in a real-world setting.

Examples of problems include:

APBs that create a common challenge that will typically lead all students to create unique

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. solutions no other student came up with. An attempt to solve a problem that has no clear/best solution currently. There is “no right answer”.

Problem-based and Inquiry-based Learning

Problem-based learning is a learner-focused educational approach in which learners, through self- directed attainment and application of skills and knowledge, solve ill-structured problems. Problem- based learning is generally defined to involve the solution of relevant problems integrating multiple disciplines through free inquiry and collaboration. In addition, reflection, involving both self and peer assessment, is generally associated with problem-based learning.

Another powerful approach to learning is the introduction of fundamental concepts through inquiry- based activities. Inquiry-based activities are structured to “guide” students through a learning event for students to construct their own learning rather than be told what to expect and reproduce the effect. Through inquiry-based learning, students take charge of their own learning and develop new knowledge and skills through discovery.

Role of the Teacher

The role of the teacher is to facilitate learning. In this context, “facilitate” means that the teacher is charged with the responsibility of creating an effective educational environment for learning. This will require a variety of instructional and assessment strategies designed to guide and manage students as they engage in learning events. First, the teacher must have a solid foundation in the related content so that they can explain concepts in a variety of ways. However, the teacher’s role is not to disseminate the content but rather to guide student learning by encouraging student participation in learning activities that are connected to reality and reflect accepted professional (engineering) practices.

Teachers should offer guidance by implementing teaching strategies that are effective in a given situation and constantly adjust those strategies to reflect student needs. Although direct instruction is sometimes useful, in general, teachers should limit direct instruction and input while students problem solve or perform hands-on activities, to promote student learning and self-efficacy. Good questioning skills, listening skills, and formative assessment strategies are especially important to maximize student achievement.

In short, a teacher’s role includes:

Facilitation of learning Modeling and transfer of accepted professional (engineering) practices Informal and formal assessment of learning

Role of the Learner

To achieve their full potential, learners must fully participate in their learning experience. A learner’s role is to:

Utilize curiosity and creativity. Actively engage in learning. Appropriately apply previously learned knowledge and skills or (through self-directed learning) obtain the necessary knowledge and skills.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Work cooperatively with educators and peers in achieving learning goals. Reflect on their work and the work of others to improve learning and their educational experience. References

Bybee, R. W. (2013). The Case for Stem Education: Challenges and Opportunities. Arlington, VA: NSTApress.

Dagget, W. R. (2005). Achieving academic excellence through rigor and relevance. Retrieved 9.22.13 from http://www.daggett.com/pdf/Academic_Excellence.pdf

Hmelo-Silver, C. E. (2004). Problem-based learning: What and how do students learn? Educational Psychology Review, 16(3), 235–266.

Holden, T. (2013). Project-based global learning in an era of high-stakes accountability. Education Weekly. Retrieved 9/13/13 from http://blogs.edweek.org/edweek/global_learning/2013/09/project- based_global_learning_in_an_era_of_high-stakes_accountability.html

Krauss, J., & Boss, S. (2013). Thinking Through Project-Based Learning: Guiding Deeper Inquiry. Thousand Oaks, CA: Corwin.

Lambros, A. (2002). Problem-Based Learning in K-8 Classrooms. Thousand Oaks, CA: Corwin.

McTighe, J., & Wiggins, G. (2013). Essential questions: Opening doors to student understanding. Alexandria, VA: ASCD.

McTighe, J., & Wiggins, G. (2005). Understanding by design (2nd ed). Alexandria, VA: ASCD.

McTighe, J., & Wiggins, G. (2011). Understanding by design: Creating High-quality Units. Alexandria, VA: ASCD.

Sanders, M. (2009). STEM, STEM Education, STEMmania. The Technology Teacher, 68(4),20–26. Retrieved 9/20/13 from http://esdstem.pbworks.com/f/TTT+STEM+Article_1.pdf

National Research Council (2011). Successful K-12 STEM education: Identifying effective approaches in science, technology, engineering, and mathematics. Washington, D.C.: National Academies Press. Retrieved 9/24/13 from http://www.stemreports.com/wp- content/uploads/2011/06/NRC_STEM_2.pdf

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 1.1 Introduction to Electronics

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Introduction to Digital Electronics

This presentation will give students an overview of electronics and help instructors guide students through a discussion on how digital electronics impacts their lives. Classroom and Laboratory Safety

This presentation reviews the general safety rules for the classroom and laboratory as well as safety rules specific to possible electrical injuries. These rules are very general; supplement this presentation with any safety regulations that are specific to your school, building, or classroom. This presentation should be covered prior to assigning Quiz 1.1.1 General Safety.

Quiz 1.1.1 General Safety in the Electronics Classroom

This activity is actually a 20-question, multiple-choice quiz on general safety rules. Each student should take this quiz independently, but the results should be reviewed by the class as a whole and used to prompt discussions on the issue of safety. This quiz should not be included as part of the course grade. Activity 1.1.2 Investigating Basic Circuits

This activity is a guided investigation where students are introduced to the equipment, concepts, and skills that are foundations in the study of electronics. While most students have been exposed to these concepts in the Gateway Magic of Electrons unit (Gateway-ME) or the PLTW foundational course Principles of Engineering (POE), this inquiry-based activity assumes that students have no prior knowledge related to electrical circuits, Ohm’s law, digital multimeters (DMM), or common components like resistors and LEDs. The activity can be presented as a new introduction to electronics or as a review for advanced students who are familiar with these concepts and skills. This activity should be treated as a completion grade with no weight placed on the answers provided. Use the answers the students provide to guide a classroom discussion capturing the major concepts, ideas, and skills of the activity. Investigating Basic Circuits

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This presentation will help the instructor guide the class discussion after completion of Activity 1.1.2 Investigating Basic Circuits. Students will be able to clearly define electrical circuits, voltage, current, resistance, series and parallel circuits, Ohm’s law; know how to use a digital multimeter to measure voltage; and describe what common components like resistors and LEDs do. Scientific and Engineering Notation

This presentation reviews the process of representing the very large and very small numbers frequently encountered in electronics in scientific, engineering, and System International (SI) notation. The examples provided in the presentation are directly related to the exercises in Activity 1.1.3 Scientific and Engineering Notation. Activity 1.1.3 Scientific and Engineering Notation

This activity is designed to give students practice representing numbers in scientific, engineering, and System International (SI) notation, as well as in converting numbers between each representation. Introduction to Resistors and Capacitors

This presentation will introduce students to many of the common components used in electronics, with particular attention being paid to the wide range of resistor and package styles. Additionally, students will learn how to use the resistor color code to read a resistor’s nominal value. They will learn how to read the nomenclatures used to mark capacitor values. As part of the presentation, the teacher will give a hands-on demonstration on how to use a digital multimeter (DMM) to read resistance values. The examples and demonstration provided in the presentation are directly related to the exercises in Activity 1.1.4 Component Identification. Activity 1.1.4 Component Identification: Analog

In this activity the students will identify and determine the nominal value for a series of resistors and capacitors. Additionally, the students will use a digital multimeter (DMM) to measure the actual resistance of 10 randomly selected resistors. Students will determine whether the measured values are within the accepted tolerance. Each student will work with 10 resistors. This activity contains several color photographs of electronic components that may not reproduce well on black-and-white copiers. It may be helpful to project these photographs for the class to view while completing this activity. Circuit Theory Laws

This presentation introduces students to the basics of electricity/electronics. It will define voltage, current, resistance, Ohm’s Law, and Kirchhoff’s Voltage and Current Laws. These concepts will be applied to simple resistive series and parallel circuits. This presentation should be covered prior to assigning Activity 1.1.5a Circuit Theory – Hand Calculations.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.5a Circuit Theory: Hand Calculations

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this activity students will gain experience applying Ohm’s Law and Kirchhoff’s Voltage and Current Laws to solve simple series and parallel circuits. The circuits used in this activity will be revisited in later activities on simulation and breadboarding. Activity 1.1.5b Circuit Theory: Simulation

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this activity students will gain experience using the Circuit Design Software (CDS) to analyze simple analog circuits. The circuits are some of the same circuits that were analyzed by hand in Activity 1.1.5a. Thus, the theoretical and simulation results can be compared.

Prior to assigning this activity, give a demo on the use of the circuit design software.

Procedure 1: Make your circuit appear as the one pictured. To add a voltmeter, select a voltmeter from the tool bar. (View > Toolbars > Measurement components)

In Activity 1.1.2 students learned to place voltmeters in parallel with components to take a measurement of the voltage across a component. Students will be introduced to the ammeter in simulation to protect DMM fuses in you classroom. Once students have clearly demonstrated their understanding that ammeters must be placed in series with components, you may let them measure active circuits.

Procedure 2: Double-click a meter and uncheck all boxes in the display (Figure 1).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Figure 1: Changing the Display on a Meter

If students do not get a reading on the ammeter, check the wiring to ensure there is not an added wire. If it is wrong (Figure 2), have them delete the extra wire and try again.

Figure 2: Incorrect Wiring on the Ammeter Correct Wiring on the Ammeter The Breadboard (Optional Review)

This presentation reviews the breadboard and general guidelines for properly constructing a circuit on the breadboard. Activity 1.1.5c Circuit Theory: Breadboarding

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Resources page.

In this activity students will gain experience using a breadboard to build and test simple analog circuits. The circuits analyzed in this activity are some of the same circuits that were analyzed by hand in Activity 1.1.5a and simulated in Activity 1.1.5.b. This will allow the student to compare the theoretical, simulated, and measured values for the same circuit. Prior to assigning this activity, give a demo on the use of a DMM to measure voltage and current. Activity 1.1.6 Component Identification: Digital

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this activity students will investigate the outputs of AOI logic gates, flip-flops, and clock signals. Note: The rate at which a clock signal is displayed is dependent on the processing speed of the it is being displayed on. The capacitor values listed in the activity and in the simulation may be too small to see a significant difference. You might use larger values with a fast . Introduction to Logic Gates and Integrated Circuits

This presentation introduces and gives students an overview of AOI logic gates, flip-flops, and clock signals. Activity 1.1.7 Introduction to Datasheets

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this activity students will learn to locate and interpret data sheets related to common integrated circuits used in digital electronics Soldering and Desoldering

After reviewing the tools used in the hand soldering process, this presentation demonstrates the soldering and desoldering process. This demonstration will include:

1. How to tin a solder iron 2. How to properly solder various components to a 3. How to use a solder sucker and solder wick to remove a component

Additionally, students will identify the characteristics of a good solder connection and classic soldering mistakes. The examples and demonstration provided in the presentation are directly related to the exercises in Activity 1.1.7b Soldering and De-Soldering Practice and 1.1.7a The Board Game Counter. Project 1.1.8 Soldering Practice: Fun Light Project

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. (Optional)

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this project the students will practice their soldering and desoldering skills while constructing a simple electronic project. What the students actually build for this activity is irrelevant; the intent is for students to practice soldering and desoldering. If students happen to destroy the project, and some will, it is of no consequence. The PLTW Store contains an inexpensive kit that can be used for this project, but if you can obtain scrap printed circuit boards from a local manufacturer, they will serve the purpose. Introduction to the Board Game Counter

This presentation will introduce the Random Number Generator, present an introduction of what the circuit does, and detail all of the parts that will be used to create the circuit. This presentation must be shown prior to assigning Project 1.1.8 Soldering: Introduction to the Board Game Counter.

Project 1.1.9 Soldering: Random Number Generator

Note: You may download a printable student version of the activity from Lesson 1.1 Teacher Resources page.

In this project students will follow a set of detailed instructions to assemble, solder, and test their Random Number Generator.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 1.1 Introduction to Electronics

Teacher Resources

View Student Course Preface

For many students, PLTW Digital Electronics (DE) is the first exposure to digital circuit design in high school. Students may have learned about electricity and circuits in previous courses such as Principles of Engineering or Physics, but this course is unique in that the focus is on circuit design, not just understanding the scientific principles that make a circuit work.

In Unit 1: Foundations in Electronics, students will explore the fundamental components, concepts, equipment, and skill sets associated with circuit design. They will learn an engineering design process that can be used to guide the creation of circuits based on a set of design requirements. Throughout the course, students will learn about advancements in circuits and circuit design that have shaped the world of digital electronics today.

Random Number Generator

In this unit, students will be introduced to a full digital circuit design example named the “Random Number Generator”. This example will show how an analog section, combinational logic section, and sequential logic section can be designed to work together to generate a random output each time an input button is pushed. The presentation of this example is meant to give students an understanding of a complete design. Deeper understanding of the board game counter circuit design will be achieved as students progress through the course.

In Lesson 1.1 Introduction to Electronics, students will learn to distinguish between analog and

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. digital components. They will begin by exploring basic circuits and the measurement tools used to characterize and validate calculations that predict a circuit’s behavior. Students will be able to clearly describe electrical circuits, voltage, current, resistance, series and parallel circuits, Ohm’s law, and how to use a digital multimeter to measure voltage. Students will be introduced to common components such as resistors, capacitors, light emitting diodes (LEDs), seven-segment displays, combinational logic gates, and sequential logic gates.

Established Goals

It is expected that students will…

1. Work safely with electronics.

2. Express numbers in scientific notation, engineering notation, and System International (SI) notation.

3. Use Ohm’s Law, Kirchhoff’s Voltage Law, and Kirchhoff’s Current Law to solve for simple series and parallel circuits.

4. Identify many of the common components used in electronics.

5. Measure voltage, current, and resistance using a digital multimeter (DMM).

6. Determine a resistor’s nominal value by reading its color code.

7. Determine a capacitor’s nominal value by reading its labeled nomenclature.

8. Demonstrate proper soldering/de-soldering techniques to solder and desolder components on printed circuit boards.

9. Understand the significance of the base 2 number system in digital electronics.

Transfer

Students will be able to independently use their learning to …

1. Model safe practices and procedures when working with electronics.

2. Use scientific and engineering notation to represent large/small numbers and read the values of electrical components.

3. Calculate, identify, and accurately measure characteristics of electrical circuits such as voltage, current, and resistance.

4. Predict the characteristics and behavior of a circuit based on the orientation of components in relation to each other (series and parallel).

5. Recognize and understand the function of common components used in electrical circuits and circuit designs.

6. Translate between binary and decimal number systems. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. EQ

Essential Questions

Students will keep considering …

1. Why are the safety considerations and best practices associated with working in electronics important?

2. How are calculations and measurement used to design and verify circuit characteristics?

3. What are the functions of the most common analog and digital components used in electronics?

4. What are the technical skills and processes that are utilized throughout electronics?

Understandings

Students will understand that …

1. Safety is an important concept that must be considered at all times. Safety considerations can affect the individual, class, and overall environment of the classroom/laboratory.

2. Electricity, even at the nominal levels used in this curriculum, can cause bodily harm or even death.

3. Engineers and technicians use scientific notation, engineering notation, and Systems International (SI) notation to conveniently write very large or very small numbers frequently encountered when working with electronics.

4. The concepts of voltage, current, and resistance are related to one another and can be calculated using circuit theory laws.

5. The series or parallel arrangement of components in a circuit affects current, voltage, and resistance across the component. These values can be calculated and verified through measurement.

6. Engineers use measurement instrumentation and equipment such as digital multimeters (DMM), oscilloscopes, and function generators to verify designs and the functions of a circuit.

7. Resistors, capacitors, and light emitting diodes (LEDs) are common analog indicators in digital circuits.

8. Seven-segment displays are used to display the digits 0–9, as well as some alpha characters.

9. The two varieties of seven-segment displays are common cathode and common anode.

10. The input and output values of combinational and sequential logic function differently.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 11. Combinational logic designs implemented with AND gates, OR gates, and INVERTER gates are referred to as “AOI” designs.

12. The flip-flop is the fundamental building block of sequential logic.

13. Logic gates are depicted by their schematic symbol, logic expression, and truth table.

14. Integrated circuits are categorized by their underlying circuitry, scale of integration, and packaging style.

15. Transistor-Transistor Logic (TTL) gates are available in a series of sub-families, each having their own advantages and disadvantages related to speed and power.

16. Soldering is an important skill/process specifically related to working in electronics.

Knowledge

Students will …

1. Recognize safety hazards associated with electrical circuits and know the best practices of working safely in an electronics lab environment.

2. Identify the equipment and know how to effectively use the equipment in an electronics lab.

3. Know scientific notation, engineering notation, and System International (SI) notation.

4. Know formulas for Ohm’s Law, Kirchhoff’s Voltage Law, and Kirchhoff’s Current Law.

5. Know the characteristics of series and parallel sections of a circuit.

6. Identify digital and analog components and recognize the schematic symbol representation.

7. Know resistor color codes for labeling values.

8. Know capacitor labeling codes.

9. Know the characteristics of LEDs and how to locate LED data sheets.

10. Recognize combinational logic gates.

11. Recognize sequential logic gates.

12. Recognize types of integrated circuits and know where to find manufacturer data sheets.

13. Relate schematic symbols to logic gates and logic gates to schematic symbols.

14. Relate truth tables to logic gates and logic gates to truth tables.

15. Know base 2 and base number systems.

16. Know the best practices of soldering and de-soldering components.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Skills

Students will …

1. Practice proper safety and best practices while working with electronics.

2. Accurately take measurements with a Digital Multimeter (DMM).

3. Express numbers in scientific notation, engineering notation, and System International (SI) notation.

4. Solve for unknown values within circuits (series, parallel, and combination circuits) using Ohm’s Law, Kirchhoff’s Voltage Law, and Kirchhoff’s Current Laws.

5. Use Circuit Design Software (CDS) and validate hand calculations of analog circuit solutions.

6. Identify and describe the function of common components used in electronics.

7. Demonstrate series and parallel circuits on a breadboard.

8. Identify a resistor’s nominal value by reading its color code.

9. Measure a resistor’s actual value by reading its resistance with a Digital Multimeter (DMM).

10. Identify a capacitor’s nominal value by reading its labeled nomenclature.

11. Identify commonly used electronic components given their part number or schematic symbol.

12. Obtain manufacturer data sheets and extract information for components commonly used in digital electronics.

13. Identify various (IC) package styles.

14. Recognize the fundamental differences between combinational and sequential logic.

15. Identify and describe the function of AND, OR, and INVERTER gates.

16. Convert numbers between the binary and decimal number systems.

17. Count from 0–15 in binary.

18. Demonstrate proper soldering/desoldering techniques to solder and desolder components on a printed circuit board.

19. Properly tin the tip of a soldering iron and distinguish good solder joints from bad solder joints.

Resources

Activity 1.1.1 General Safety Test

Investigating Basic Circuits Post-Activity Discussion

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.5a Circuit Theory: Hand Calculations

Activity 1.1.5b Circuit Theory: Simulation

Activity 1.1.5c Circuit Theory: Breadboarding

Activity 1.1.6 Component Identification: Digital

Activity 1.1.7 Introduction to Datasheets

Project 1.1.8 Soldering Practice: Fun Light

Project 1.1.9 Soldering: The Random Number Generator (RNG)

Day-by-Day Plans

Time: 20 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 1.1 Teacher Notes - Introduction to Electronics.

Day 1

Digital Electronics (DE) Course Overview

Guide students through the Introduction to Digital Electronics presentation.

Students will participate in a teacher-led discussion on electronic products that they use daily and what their lives would be like without such products. As part of this discussion, have each student mentally walk through their day and list all of the electronics products that they use regularly.

Present an overview of the PLTW Digital Electronics course, the make-up of its four units, and what skills the students will possess after they have completed the course. To help facilitate this overview, it is imperative that completed examples of each of the units’ major projects and/or problems are available for the students to examine.

Distribute an engineering notebook to each student.

Note: The instructor will determine whether students will record their notes in a daily journal, portfolio, or their engineering notebook. For purposes of written directions in the day-by-day plans for each lesson, it will be assumed that students will record their notes in an engineering notebook.

Lesson 1.1 Introduction to Electronics Overview

Present Understandings, Knowledge and Skills, and Essential Questions to provide a lesson

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. overview.

NOTE: You may wish to assign the review of Classroom and Laboratory Safety as homework in preparation for Day 2.

Day 2

General Safety and Preparation for Investigating Basic Circuits

Guide students through the Classroom and Laboratory Safety presentation while students take notes.

Assign Quiz 1.1.1 General Safety Quiz.

Using Quiz 1.1.1 General Safety Quiz Answer Key as a guide, review the answers to the General Safety Quiz with the class.

In preparation for Activity 1.1.2 Investigating Basic Circuits on Day 3, introduce the students to the layout of breadboards, show examples of LEDs and resistors, and introduce the Digital Multimeter (DMM). Students will discover the function and use of these items in Activity 1.1.2 Investigating Basic Circuits, so you do not need to go into these topics in any depth. Investigating Basic Circuits presentation is designed to be presented after Activity 1.1.2 Investigating Basic Circuits.

(Optional). You may wish to present The Breadboard presentation in preparation for Activity 1.1.2 Investigating Basic Circuits.

Day 3

Investigating Basic Circuits

Assign and facilitate Activity 1.1.2 Investigating Basic Circuits.

Activity 1.1.2 Investigating Basic Circuits is a guided investigation where students are introduced to the equipment, concepts, and skills that are foundations in the study of electronics.

Students should attempt to answer all questions without instructor input on what the “correct” answer is. This activity should be treated as a completion grade with no weight placed on the answers provided. The answers the students provide should be used to guide a classroom discussion capturing the major concepts, ideas, and skills of the activity.

Day 4

Investigating Basic Circuits - Discussion

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Present the Investigating Basic Circuits presentation.

Guide students through a discussion on what they have learned and introduce/reinforce the Glossary terms used in electronics for these concepts and equipment.

Day 5

Scientific and Engineering Notation

Guide students through the Scientific and Engineering Notation presentation while students take notes.

Introduce Activity 1.1.3 Scientific and Engineering Notation.

Students will work on Activity 1.1.3 Scientific and Engineering Notation.

Assess student work using Activity 1.1.3 Scientific and Engineering Notation Answer Key.

Day 6

Component Identification: Analog

Guide students through the Introduction to Resistors and Capacitors presentation while students take notes.

Introduce Activity 1.1.4 Component Identification: Analog.

Students will work on Activity 1.1.4 Component Identification: Analog.

Assess student work using Activity 1.1.4 Component Identification: Analog Quiz Answer Key.

Days 7–8

Circuit Theory Laws: Hand Calculations

Guide students through the Circuit Theory Laws presentation while students take notes.

Introduce Activity 1.1.5a Circuit Theory: Hand Calculations.

Students will work through Activity 1.1.5a Circuit Theory: Hand Calculations.

Assist the students as needed.

Assess student work using Activity 1.1.5a Circuit Theory: Hand Calculations Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Days 9–10

Circuit Theory Laws: Simulation

Introduce the Circuit Design Software (CDS) and will demonstrate how to use the feature tools needed to complete this activity.

Students will take notes in their engineering notebooks.

Introduce Activity 1.1.5b Circuit Theory: Simulation.

Students will work through Activity 1.1.5b Circuit Theory: Simulation.

Assess student work using Activity 1.1.5b Circuit Theory: Simulation Answer Key.

Days 10–11

Circuit Theory Laws: Breadboarding

Guide The Breadboard presentation or ask students to review the presentation if it has already been introduced.

Review the digital multimeter (DMM) and demonstrate how to use the meter to make voltage and current measurements.

Students will take notes in their engineering notebooks.

Introduce Activity 1.1.5c Circuit Theory: Breadboarding.

Students will work through Activity 1.1.5c Circuit Theory: Breadboarding.

Assist the students as needed. It is important to monitor DMM use, as improper placement of the DMM when reading current can blow the DMM fuse.

Days 12–15

Component Identification: Digital

Present the Transfers and Essential Questions to provide an overview of the investigation.

Introduce Activity 1.1.6 Component Identification: Digital.

Students will work through Activity 1.1.6 Component Identification: Digital.

Activity 1.1.6 Component Identification: Digital is a guided investigation where students are introduced to the equipment, concepts, and skills that are essential to understanding the components (AND, OR, INVERTER gates), skills, and concepts that are foundations of combinational logic design. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Students should attempt to answer all questions without input on what the “correct” answer is. This activity should be treated as a completion grade with no weight placed on the answers provided. The answers the students provide should be used to guide a classroom discussion capturing the major concepts, ideas, and skills of the activity.

Introduction to Logic Gates and Integrated Circuits is designed to be presented after Activity 1.1.6 Component Identification: Digital.

Assist the students as needed.

Days 16–17

Component Identification: Digital - Discussion

Guide students through the Introduction to Logic Gates and Integrated Circuits while students take notes.

Guide students through a discussion on what they have learned and introduce/reinforce the Key Teams used in electronics for these concepts and equipment.

Introduce students to the Glossary terms related to Activity 1.1.6 Component Identification: Digital.

Assess student work using Activity 1.1.6 Component Identification: Digital Answer Key.

Day 18

Data Sheets

Assign Activity 1.1.7 Introduction to Data Sheets.

Days 18–20 (Optional)

Soldering and Desoldering Components

Guide students through the Soldering and Desoldering presentation while students take notes.

(Optional). Introduce Activity 1.1.8 Solder Practice: Fun Light Project as well as the Solder Practice Board Kits.

Students will work through Activity 1.1.8 Solder Practice: Fun Light Project while you assist as needed.

Days 19–20 Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Soldering: Introduction to the Random Number Generator

Guide students through the Introduction to the Board Game Counter while students take notes.

Students will work on Project 1.1.9 Soldering: Random Number Generator.

Assist students as needed.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.1 General Safety Test Answer Key

Procedure

1. Your partner has been working on your group’s circuit and has left the work area. Before you begin working on the circuit, what should you do to ensure that the circuit is not active and prevent yourself from getting a shock?

Disconnect the power source.

Measure the circuit with an ohmmeter for resistance.

Check the circuit with a continuity tester.

Use a voltmeter to measure voltage at the power source.

1. Disconnect the power source.

2. You used a tool and it accidentally became damaged. What should be the next action for you to take?

Continue to use the tool as long as it still somewhat works.

Label the tool that is broken and inform the instructor.

Throw the tool away.

Put the tool away and find another tool to use.

2. Label the tool that is broken and inform the instructor.

3. If you have not been given instruction on a tool or piece of equipment in the laboratory, you should:

Not use the tool until you have had proper instruction.

Use it anyway if you think you can figure it out.

Ask a classmate how to use it.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Have another classmate (who also has not had instruction) do it for you.

1. Not use the tool until you have had proper instruction.

4. Which of the following is appropriate for working in an electronics workspace?

Wearing multiple rings on your fingers.

Wearing an oversized, baggy hooded sweatshirt.

Wearing clothing that is not loose and no jewelry.

Dragging your feet on the carpet and shocking your friends.

3. Wearing clothing that is not loose and no jewelry.

5. Chemicals can be used to etch circuit board. Which of the following should be done before using the chemicals in an electronics classroom?

Read all instructions that come with the chemical.

Read the Safety Data Sheet to prepare for emergencies.

Wear goggles and a lab coat to protect from potential spills.

All of the above.

4. All of the above.

6. What is the maximum current level that a human body can withstand before sustaining injury?

100 uA

1.0 mA

15 mA

50 mA

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. 15 mA

7. A large voltage is applied to a circuit, and a fire is started on a circuit board in the lab. When you inform your instructor, which of the following should the instructor use to extinguish an electrical fire?

Water.

Class A fire extinguisher.

Class B fire extinguisher.

Class C fire extinguisher.

4. Class C fire extinguisher.

8. Before working with a chemical or solder, a student obtained a Safety Data Sheet. Which of the following will the student find on this document?

What the chemical is made of.

The first aid procedure that you should follow.

How to handle the material.

All of the above.

4. All of the above.

9. Which of the following is the appropriate scenario for wearing safety glasses in an electronics classroom?

Safety glasses or goggles should be worn to prevent eye injuries from chemicals that splash.

Safety glasses or goggles should be worn when using tools that may cause objects to be thrown.

Safety glasses or goggles should be used when soldering components.

All of the above.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 4. All of the above.

10. Why should students not rush? Why should students take their time when working in an electronics classroom?

Taking your time allows you to double check your connections and to reduce the need for troubleshooting later.

Taking your time allows you to create your circuits more neatly. This allows you to pick up where you left off last time more easily.

Taking your time allows you to double check all part numbers and values. A common error.

All of the above.

4. All of the above.

11. What are the types of injury that are most common in an electronics classroom?

Electrical shock.

Sprained finger.

Answers (a) and (d).

Minor burns from active electrical components.

3. Answers (a) and (d).

12. The electrical cord for a soldering iron has a grounding prong. However, the extension cord you are trying to plug the iron into only has only a place for two prongs (no grounding prong). What should you do to plug in the tool?

Wire the grounding prong to the neutral prong on the soldering iron.

Use an appropriate adapter/extension cord.

Cut off the grounding pin.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. All of the above.

2. Use an appropriate adapter/extension cord.

13. When you enter the electronics classroom, you notice there is water on the floor near an electrical outlet. The roof appears to be leaking. Which of the following should be done first?

Immediately mop the floor.

Make sure no one enters and turn the power off to the classroom at the circuit breaker.

Disregard the problem as long as it is not near project workspace.

Mop the floor with an insulated mop.

2. Make sure no one enters and turn the power off to the classroom at the circuit breaker.

14. You notice that the cord of your soldering iron is frayed and possibly broken. What should be done next?

If the cord works, continue to use the soldering iron.

Label the broken section and notify the instructor.

Put the soldering iron back and pick one without a frayed cord.

Throw the soldering iron away.

2. Label the broken section and notify the instructor.

15. A student obtained an injury in the electrical classroom. The accident should be brought to the attention of the instructor so that:

The teacher can document the injury.

The teacher can administer first aid.

The teacher can make sure other students are not injured.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. All of the above.

4. All of the above.

16. It is important to keep your workspace clean and organized in an electronics classroom. Which of the following is not a reason for doing so?

This allows other classmates to easily locate materials when sharing the same workspace.

It minimizes the potential for injuries.

These practices help maintain the equipment in the electronics classroom.

It gives students something to do.

4. It gives students something to do.

17. Chemicals, materials, and processes in an electrical lab can be dangerous. Which of the following is not a potential hazard in typical electronics classrooms?

Burns from hot/active components or from a soldering iron.

Electrocution.

Fumes from soldering.

Falling objects.

4. Falling objects.

18. Certain devices in an electronics classroom such as capacitors can store a lethal amount of charge. When handling a capacitor, you need to ensure that the device is uncharged. How do you make certain a capacitor is discharged before handling?

Use an ammeter to read current.

Touch the leads with your finger.

Ask your instructor.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Use an ohmmeter to read resistance.

3. Ask your instructor.

19. You are getting ready to power another student’s electrical circuit in this class, but you are not sure of the voltage necessary to use. Students in this class should always use:

5V because all the components we use are always TTL.

3.3V because all the component we use are always CMOS.

9V because that is the battery lying next to the circuit.

Check with the other student who created it or the instructor. The design may require different voltages based on what it does and how it’s designed.

4. Check with the other student who created it or the instructor. The design may require different voltages based on what it does and how it’s designed.

20. It is a good practice in an electronics classroom to:

Always follow procedure.

Investigate before you act. Read and review all data sheets and service manuals.

When in doubt, ask your instructor

All of the above.

4. All of the above.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.2 Investigating Basic Circuits (DMS) Answer Key

Procedure

Part A: Creating a Circuit and Measuring a Circuit’s Properties

1. A Simple Circuit. Arrange the components according to the photo. You will need to plug the USB cable from the myDAQ into your computer to provide power to the Protoboard. Notice that the LED has a flat notch on one side. Make sure the notch is initially oriented on the bottom as shown in the picture. In this arrangement, the flow of conventional current is from the top (5V) to the bottom (0V) through the resistor and the LED. What do you think the role is of the resistor in this circuit?

The role is to limit the amount of current moving through the LED or reduce the voltage across the LED to protect it from failure. (Note: This definition will be expanded later as the relationship between voltage and current R = V/I.)

In a circuit, the flow of conventional current can be described as a positive charge moving through a complete circuit path (VCC to GND). Can you trace the flow of conventional current in both of the above images?

Help students understand how a breadboard is laid out.

2. With the LED illuminated, flip the direction of the LED on the breadboard (notch on top now), and then flip it back to its original position (notch on bottom). What does your observation tell you about diodes (and LEDs)?

Good Answer: LEDs only light up with current flowing in one direction.

Best Answer: LEDs and diodes are polar components. Current is limited in one direction.

3. Using the DMM to Measure Values. Making sure that the RED lead is plugged into V and the black lead is plugged into COM on the myDAQ, open the DMM on your computer. With the Voltage Range set at 60V, select the Direct Current Voltage Measurement setting and Run (Continuously). Place the RED DMM lead on the top of the resistor and the black lead on the bottom of the LED. Note the reading on the DMM. Now switch the DMM leads.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. What did you notice about the value on the DMM when you switched the leads?

Value should switch from approximately +4.8V to -4.8V in this example.

4. Select the next smallest voltage range (20V) and place the DMM leads across the circuit as you did initially in picture (3b). Note the value on the DMM. What is happening on the display each time you make the range smaller?

Value should switch from approximately:

4.7V to 4.77V 2 significant figures to 3 significant figures

These values will vary depending upon the voltage supply used.

The DMM reading becomes more precise by a factor of 10 each time the voltage range is decreased.

5. Select the next smallest range (2V). What happened and why? What was the most accurate measurement you were able to make of the voltage across the resistor and LED?

The value displayed on the DMM is +Over.

This means the value you are trying to measure is not in the range of 0 to 2.

6. Set the DMM to the range that will give you the most precise voltage measurement and touch the lead across the two ends of the red wire. Note the reading on the DMM. Now touch the leads across the two ends of the black wire. Note the reading.

(a) (b)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Voltage (ΔV=Vf-Vi) is a description of a component’s potential to do work (1 volt means the component could do 1 joule of work for every coulomb of charge that passes though it (1V= 1J/1C). For a component to do work, there must be a difference in the potential across the component to do work (often called a potential difference). Why do you think the reading was the same for both of these sections of the circuit? What you have just observed is exactly why birds can land on high power lines unharmed.

Answers will vary based on student’s prior knowledge. Any answer is acceptable as this idea will be expanded upon in discussion and further APBs.

For each of these arrangements, the potential difference across the test points is zero.

(6a) ΔV = 5V−5V=0

(6b) ΔV = 0V−0V=0

Voltage (potential difference) must be measured across a component that is doing work. One side must have a higher potential to do work than the other. This is why birds can land on high voltage lines without doing work (being electrocuted).

(6a) ΔV = 20,000V-20,000V still equals zero.

7. In Step 3, you measured the voltage across the resistor and the LED combined. Now touch the leads across both ends of the resistor. Note the reading on the DMM.

(a) Voltage across LED and Resistor (b) Voltage across Resistor Only

Should be approximately 4.70V (5V) Should be approximately 3.00V (3V)

Can you guess the reading you will see when you touch the DMM leads across the LED only? Were you correct? Why did you guess that value?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Should be approximately 1.70V (roughly 2V)

Students should make the connection that:

the resistor voltage (3V) plus LED voltage (2V) should add up to terminal voltage (5V).

The actual values may vary slightly based on the components used and voltage source.

8. You might be asking why we need a resistor in this circuit. Is it doing any work? Some components have limitations on how much electrical current can pass through them or how much voltage they should have across them. We can calculate the relationships between voltage, current, and resistance for a component using Ohm’s Law (V=IR).

Let’s assume the voltage you saw across the 330Ω resistor was roughly 3V when the circuit was active. What is the conventional current (measured in Amps) traveling through the resistor according to Ohm’s Law?

Answers will vary based on the student’s prior knowledge. Any answer is acceptable, as this idea will be expanded upon in discussion and further APBs.

V=IR

I = V/R = 3V / 330 Ω = 0.00909 A = 9.1 mA

Most students should be able to find the value 0.0090909 A on their calculator, but may have forgotten their SI prefixes. A great question to ask is, “Wouldn’t it be nice to have a shortcut for expressing such a small number? Is 9 mA really small?”

Part B: Series and Parallel Circuits

9. These two identical 330Ω resistors are in series with each other. There is only one path through the circuit from the power source to the ground. In this diagram, the power source is a 9V battery. One end of the battery has 9V of potential to do work (positive terminal-top). The other end of the battery has zero potential to do work (negative terminal/ground-bottom).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Based on your observations, what would you expect the voltage to read if you touched the DMM across both R1 and R2?

9V

Due to the fact that R1 and R2 are identical, what would you guess the voltage across each resistor is individually? Why?

The voltage across both individually would be 4.5V.

Added together, they would add up to the terminal voltage (total voltage of the circuit) 9V.

We can replace these two resistors with one resistor that would have the same impact on the circuit. (This theoretical resistor is called an equivalent resistor.) What would the value of this equivalent resistor have to be in Ohms (Ω)?

One 660 Ω resistor would be the same as two 330 Ω resistors in series.

10. Create this series circuit on your breadboard with a 5V power source. With both LEDs illuminated, remove one of the LEDs from the circuit path. What happened and why?

The other LED goes out. The circuit path is broken.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 11. You can try three LEDs in series but none will light up. Why do you think that is?

The combined resistance is too large, or the voltage is too small, or the current is too small.

12. Components in a circuit can also be arranged in parallel. Create this parallel circuit on your breadboard. With both LEDs illuminated, what happens if you remove one of the LEDS from the circuit path?

The other LED stays lit. They are both illuminated with the same brightness as if it were one LED in the circuit alone. All LEDs have their own path to the battery (same voltage source).

13. Using the diagram for a series circuit at the beginning of Part B as a reference, can you draw a circuit diagram showing 2 resistors in parallel?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 14. In a series circuit, all components have the same current (Amps) flowing through them (even if the resistors have different values). That is not the case for components in parallel with each other. What do components in parallel have in common?

Voltage. All resistors have their own path from and to the battery (voltage source to ground).

15. Based on your observations and what you have learned about parallel circuits, use Ohm’s Law (V=IR) to calculate the current in each of the three resistors.

Imagine that you add more resistors (R4 and and R5) in parallel. For each new path to the battery you create, what do you think that does to the total amount of current going into and out of the battery?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. As additional paths are created in the circuit, more current flows into and out of the battery.

Conclusion

1. Describe the proper way to place the digital multimeter (DMM) leads and the steps you use to get the most precise measurement value for voltage across components using a DMM.

To measure voltage, the circuit must be on (active).

Voltage measurements must be made across a component. (DMM must be in parallel with the component.)

Make sure the DMM leads are plugged in correctly for voltage (Red = V, Black = COM).

To get the most precise measurement, start with the largest range and keep reducing it until you no longer get a reading (out of range). Go back to the last one that gave you a value. That is the most precise voltage measurement.

2. If the value on the DMM is negative, what does that tell you about the orientation of the DMM leads in relation to the flow of conventional current?

If the voltage is reading negative on the DMM, the flow of conventional current is in the opposite direction than the orientation you have the leads placed. The conventional current is flowing from the black lead to the red lead in your circuit between these two test points (opposite of how you guessed).

3. LEDs and resistors transfer electrical energy into light and thermal energy. What is an important characteristic about LEDs (and diodes) that make them unique compared to a resistor?

LEDs and diodes are polar components. Current is limited in one direction.

4. In your own words, describe what it means for components to be in series with each other.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. What characteristic do components in a series always have in common? (Voltage, Current, or Resistance)

In series circuits:

Components are wired from end to end, completing one circuit path.

All components share the same current. Remove a component and current stops for the entire circuit.

For resistors in series, the total resistance in the circuit increases with each resistor you add to the circuit.

5. In your own words, describe what it means for components to be in parallel with each other. What characteristic do components in parallel always have in common? (Voltage, Current, or Resistance)

In parallel circuits:

Components are wired so that each has its own path to the voltage source and ground.

All components share the same voltage. Remove a component and current stops only for that section of the circuit.

For resistors in parallel, as more paths are created to the voltage source, more current flows from the voltage source.

(The total resistance of the circuit decreases as you add resistors in parallel.)

Going Further

6. In this investigation, you were introduced to the idea of equivalent resistance (replacing multiple resistors in a series with one that does the same job. Equivalent resistance for series circuits can be shown as a simple mathematical expression. How would you express this relationship to the total resistance in the circuit mathematically?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 7. A theoretical equivalent resistor can be placed in parallel circuits and shown mathematically as well. This relationship is a little less straightforward than equivalent resistance in series circuits. With a little research, can you determine how the equivalent resistance for this parallel circuit would be expressed mathematically? We will expand our understanding on these concepts in later activities.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1/Req = 1/R1 + 1/R2 + 1/R3

(Students will most likely need to look this up.)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.3 Scientific and Engineering Notation Answer Key

Procedure

1. Express each of the following numbers in scientific notation.

0.00000000356 =

934,000,000 =

847 =

0.00092 =

3,510,000 =

1. 3.56 x 10-9

2. 9.34 x 108

3. 8.47 x 102

4. 9.2 x 10-4

5. 3.51 x 106

2. Express each of the following numbers in engineering notation.

0.00000000356 =

934,000,000 =

847 =

0.00092 =

3,510,000 =

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. 3.56 x 10-9

2. 934 x 106

3. 847

4. 920 x 10-6

5. 3.51 x 106

3. Express each of the following numbers using the appropriate SI prefix. Don’t forget to retain the units.

0.000047 F =

17500000 Hz =

0.0000000157 A =

6800000 Ω =

0.00425 V =

1. 47 μF

2. 17.5 MHz

3. 15.7 nA

4. 6.8 MΩ

5. 4.25 mV

4. Convert the following numbers into the SI prefix shown.

6800 pF =

2.7 M Ω =

4.24 GHz =

25.67 μF =

0.0127 nSec =

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. .0068 μF

2. 2700 kΩ

3. 4240 MHz

4. .02567 mF

5. 12.7 pSec

Conclusion

1. Why is it important to use a power-of-ten notation (scientific or engineering) when expressing very large or very small numbers?

Answers may vary.

It makes writing/reading the numbers easier/faster.

It makes calculations in decimal form easier/faster if a calculator is not available.

2. In engineering in general and in electronics specifically, why do we use engineering notation rather than scientific notation?

Answers may vary.

Many components in electronics have large/small values that need to be printed on them. It is easier to read the value on a component written with an SI prefix.

3. The SI prefix for 10-15 is femto and is abbreviated f. We do not use this prefix in electronics. Why?

Answers may vary.

This prefix represents an extremely small value. You would rarely (if ever) deal with a

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. physical quantity this small.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.4 Component Identification: Analog Answer Key

Procedure

1. Using the attached resistor color code, determine the nominal resistance value for the following components.

(Yellow / Violet / Red / Gold)

Ra =

4.7 kΩ ± 5%

(Green / Blue / Yellow / Gold)

Rb =

560 kΩ ± 5%

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. (Red / Violet / Brown / Gold)

Rc =

270 Ω ± 5%

(Brown / Red / Green / Gold)

Rd =

1.2 MΩ ± 5%

(Blue / Gray / Orange / Gold)

Re =

68 kΩ ± 5%

3. Use the Disc Capacitor Label Diagram to determine the nominal capacitance value for the following components.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Ca =

.047 μF –20% +80%

Cb =

470 μF ±20%

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Cc =

0.220 μF ±10%

Cd =

0.1 μF ±20%

Ce =

0.001 μF ±20%

Conclusion

1. Why are the measured values of the resistors different from the nominal values?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Answers may vary.

Resistors may vary within limits (tolerances) without significantly affecting their function. There are a number of factors that can affect the tolerance of a resistor (material used, quality control of maker, temperature).

2. Identify each of the circled components for the printed circuit board.

1. Electrolytic Capacitor

2. Voltage Regulator

3. Dual In-Line Package (DIP) Integrated Chip (IC)

4. Resistor

5. Light-Emitting Diode (LED)

6. Disc Capacitor

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.5.a Circuit Theory: Hand Calculations Answer Key

Procedure

1. For each of the resistors shown below, use Ohm’s Law to calculate the unknown quantity. Be sure to put your answer in proper engineering notation and use the correct units.

I = 7.45 mA R = 226 kΩ V = 30.8 V

R = 240 Ω I = 2.94 mA V = 300 V

2. For each of the circuits shown below, calculate the value for RT.

Be sure to put your answer in proper engineering notation and use the correct units.

Calculations

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. a.

b.

c.

d.

3. Using the laws of circuit theory, solve for RT, IT, VR1, VR2, and VR3.

Be sure to put your answer in proper engineering notation and use the correct units.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Using your calculations, verify your results using Kirchhoff’s Voltage Law.

4. Using the laws of circuit theory, solve for RT, IT, VR1, VR2, VR3, and VR4. Be sure to put your answer in proper engineering notation and use the correct units.

Using your calculations, verify your results using Kirchhoff’s Voltage Law.

5. Using the laws of circuit theory, solve for RT, IT, IR1, IR2, and IR3. Put your answer in proper engineering notation and use the correct units.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Using your calculations, verify your results using Kirchhoff’s Current Law.

6. Using the laws of circuit theory, solve for RT, IT, IR1, IR2, IR3, and IR4. Put your answer in proper engineering notation and use the correct units.

Using your calculations, verify your results using Kirchhoff’s Current Law.

Conclusion

1. State two rules for the voltage and current in a series circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Kirchhoff’s Current Law (KCL) Series. The current flowing through every series component is equal.

Kirchhoff’s Voltage Law (KVL) Series. The sum of all of the voltage drops (VR1 + VR2 + VR2) is equal to the total applied voltage (VT) in a series circuit.

2. State two rules for the voltage and current in a parallel circuit.

Kirchhoff’s Current Law (KCL) Parallel. The sum of all of the currents in each branch (IR1 + IR2 + IR3) is equal to the total current (IT) in a parallel circuit.

Kirchhoff’s Voltage Law (KVL) Parallel. The voltage across every parallel component is equal.

3. If you remove a single bulb from an inexpensive string of Christmas tree lights, all of the lights in the entire string go off. Are the bulbs connected in series or parallel? Explain.

The bulbs are connected in series. The components are connected end-to-end. and there is only a single path for current to flow. Removing the bulb disrupts the path of current for the entire circuit.

Going Further (Optional)

The circuit shown below is a series/parallel circuit. That is, some of its resistors are connected in series and some are in parallel. Using the laws of circuit theory, solve for RT and IT.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. RT=1.20 kOhms

IT=20.8 mA

Voltage Current

VR1 = 6.875 V IR1 = 20.8 mA VR2 = 8.33 V IR2 =13.9 mA VR3 = 4.17 V IR3 = 6.94 mA VR4 = 12.5 V IR4 = 13.9 mA VR5 = 5.625 V IR5 = 20.8 mA

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.5.b Circuit Theory: Simulation Answer Key

Procedure

1. Below is the schematic for a simple series circuit. Analyze this circuit to determine its total current and the voltage across each of the two resistors. Document your calculations in your notebook. To make these measurements, add an ammeter and two voltmeters. The second schematic is the original circuit with the added meters.

Using the CDS, enter and simulate this circuit. Measure and record the circuit’s total current and the voltage across each of the resistors.

2. Using the CDS, analyze the circuit shown below to determine IT, VR1, VR2, and VR3.

Add the appropriate ammeters and voltmeters. Be sure to put your answer in proper engineering notation and use the correct units.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. You analyzed this circuit by hand in Activity 1.1.5.a. How do these measured values compare to the previously calculated values? If they do not match, review your circuit, your calculations, and make any necessary corrections.

3. Using the CDS, analyze the circuit shown below to determine IT, VR1, VR2, VR3, and VR4. Do the measured values compare to your previously calculated values in Activity 1.1.5.a?

4. Using the CDS, analyze the circuit shown below to determine IT, IR1, IR2, & IR3. Do the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. measured values compare to your previously calculated values in Activity 1.1.5a?

5. Using the CDS, analyze the circuit shown below to determine IT, IR1, IR2, IR3, and IR4. Do the measured values compare to your previously calculated values in Activity 1.1.5a?

Conclusion

1. It should be obvious that using a CDS to analyze circuits is far easier than performing the calculations by hand. Yet, being able to perform these calculations by hand is still an important skill for a circuit designer. Why?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Answers may vary.

You might not have access to Circuit Design Software (CDS).

You might want to verify that the software is correct.

2. Using the results from step 2 of the procedure, verify Kirchhoff’s Voltage Law.

3. Using the results from step 5 of the procedure, verify Kirchhoff’s Current Law.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.5.c Circuit Theory: Breadboarding Answer Key

Conclusion

1. You have now analyzed the same circuits three times:

By hand in Activity 1.1.5.a

By simulation in Activity 1.1.5.b

By breadboarding in this activity 1.1.5.c

How did these values compare, and what might account for any differences?

They should all be the same within accepted values due to rounding rules and tolerances.

2. How does the technique for measuring current with a DMM differ from measuring voltage?

Voltmeters are placed in parallel with components.

Ammeters are placed in series with components.

3. What is the origin of the name “breadboard”?

In the early days of radio, amateurs nailed bare copper wires or terminal strips to a wooden board (often literally a cutting board for bread) and soldered electronic components to them.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.6 Component Identification: Digital Answer Key

Procedure

Combinational Logic

1. Use a switch for the input X and a probe for the output Z. Toggle the switch to complete the truth table shown.

X Z

0 1

1 0

From analysis of the truth table, why do you think this is called an “INVERTER” gate?

The gate switches or inverts the signal.

2. Use switches for the inputs X and Y and a probe for the output Z.

Toggle the switches to complete the truth table shown.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. X Y Z

0 0 0

0 1 0

1 0 0

1 1 1

From analysis of the truth table, why do you think this is called an “AND” gate?

The output is only high when input X AND input Y are high.

3. Use switches for the inputs X and Y and a probe for the output Z. Toggle the switches to complete the truth table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. X Y Z

0 0 1

0 1 1

1 0 1

1 1 0

From analysis of the truth table, why do you think this is called a “NAND” gate? (NOT AND)

The NAND gate is an exact opposite of an AND gate.

4. Use switches for the inputs X and Y and a probe for the output Z. Toggle the switches to complete the truth table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. X Y Z

0 0 0

0 1 1

1 0 1

1 1 1

From analysis of the truth table, why do you think this is called an “OR” gate?

The output is only high when input X OR input Y are high.

5. Use switches for the inputs X and Y and a probe for the output Z. Toggle the switches to complete the truth table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. X Y Z

0 0 1

0 1 0

1 0 0

1 1 0

From analysis of the truth table, why do you think this is called a “NOR” gate? (NOT OR)

The NOR gate is an exact opposite of an OR gate.

6. Use switches for the inputs X and Y and a probe for the output Z. Toggle the switches to complete the truth table shown.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. X Y Z

0 0 0

0 1 1

1 0 1

1 1 0

From analysis of the truth table, why do you think this is called an “XOR” gate? (EXCLUSIVE OR)

The output is only high when input X OR input Y is high, but not both.

Sequential Logic

In the previous combinational logic circuits, YOU made all the outputs change based on the inputs YOU entered with switches. What if you wanted the changes to happen without YOU needing to flip a switch? There are two ICs that allow us to do this.

7. Using the Circuit Design Software (CDS), enter the 74LS74N test circuit shown below.

Use a switch for the input T and probes for the outputs Que and NOT_Que.

The 74LS74N has two inputs (Data in and a clock signal CLK). In this circuit, the clock signal input will be a switch T that you flip. The Data in is tied to the NOT_Q.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The 74LS74 also has preset and clear inputs. In this circuit the PR (preset) and CLR (clear) are connected to 5v (high), which makes them both inactive. These PR and CLR inputs on the 74LS74 are said to be “active low” inputs. (It takes a low signal to activate them.)

74LS74N Test Circuit

To help with the class discussion (and make sure everyone is seeing the same thing), toggle the input T several times until T is low and NOT_Que is low. (Toggle means to change the state of the switch. One “toggle” of the switch would change the state of the switch from low to high. Another toggle of the switch would change the state of the switch from high to low.)

Starting with the switch on GROUND, what happens to output Que when the switch is moved from GROUND to 5V? One toggle of the switch.

Que comes on. NOT_Que turns off.

What happens to output Que when the switch is moved from 5V to GROUND? One toggle of the switch.

Nothing

Toggle the switch one more time. What happened to output Que? What does this tell you about when Que changes (toggles) in relation to when the input at the CLK

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. changes. (Helpful hint: It might help to cycle through a number of changes to spot this relationship of when Que changes.)

Not_Que comes on. Que turns off.

What is the relationship of Que to NOT_Que always?

They are always opposite of each other.

What is the relationship of D Data in to Que always?

They are always opposite of each other because D Data in is wired to NOT_Que

The 74LS74N is called a “flip-flop”. Based on your observations. can you explain the relationship between the D Data in, Que, NOT_Q, and the CLK signal? What does a flip- flop do?

Toggling the input switch toggles the output state of the flip-flop.

The output toggles every cycle of the switch.

Every two clicks of the switch trigger a change in the output.

The flip-flop only changes when the input signal goes from GND to 5V.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Clock Signals (The 555 Timer)

8. Using the Circuit Design Software (CDS), create the three LM555CN test circuits shown below on the same sheet. The 555 Timer Oscillator is one of the most common circuits used in introductory electronics. We will use this design to generate a clock signal in the Random Number Generator (our first full circuit design). We will look more closely at this circuit in the next lesson. In each of the three circuits, the only value that is changed is capacitor C2.

Circuit A Circuit B Circuit C

a) When C2 is changed from 12 μF to 6 μF. What happens?

The frequency of the clock signal increases.

b) When C2 is changed from 12 μF to 24 μF. What happens?

The frequency of the clock signal decreases.

Conclusion

1. Can you combine the 555 Timer circuit with the flip-flop circuit so the changes on the flip-flop happen without your input at a rate you desire? Share this simulation with your instructor.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.7 Introduction to Datasheets Answer Key

Procedure

2. As a digital designer, you will occasionally need to redesign an existing circuit. In doing so, you will come across part numbers that you are not familiar with. Use the internet to identify the functionality and manufacturer of each of the part numbers listed below. Note that many parts will have several manufacturers. For the purpose of completing this table, only list one. Also, do not print these datasheets. Simply view them online and extract the necessary information.

Part IC Name / Function Manufacturer Number

DM74LS00 Quad 2-Input NAND Gate Fairchild Semiconductor

Texas Instruments or ON SN74LS02 Quad 2-Input NOR Gate Semiconductor

DM74LS75 Quad Latch Fairchild Semiconductor

Quad 2-Input Exclusive-OR Texas Instruments or ON SN74LS86 Gate Semiconductor 0.560-In Seven-Segment MAN6760 Fairchild Semiconductor Display

3. When you design a digital logic circuit, you will often need a gate that performs a specific function. You may be unsure of its part number. Use the internet to identify the 74LS series part number for each of the following five gates. Again, do not print these datasheets. Simply view them online and extract the necessary information.

Gate Symbol Gate Name / Function 74LS Series Part Number

3 input AND gate 74LS11

3 input NAND gate 74LS10

4 input AND gate 74LS21

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 4 input NAND gate 74LS20

3 input NOR gate 74LS27

4. The world of electronics is filled with TLAs (Three Letter Acronyms). Use the internet to identify the following IC package styles and download a sample picture of each type.

IC Package Full Name Picture (copyandpaste) Style

Dual In-line DIP Package

Small Outline SOIC Integrated Circuit

QFP Quad Flat Pack

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Plastic Leaded PLCC Chip Carrier

BGA Ball grid array

Conclusion

1. Using the datasheet obtained for the 74LS04 Hex Inverter Gates as a reference, answer the following questions:

What is the nominal Supply Voltage (Vcc)?

5 V

What is the maximum Free Air Operating Temperature (TA)?

70 °C

What is the typical LOW-to-HIGH Propagation Delay (TPLH)?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3-10 ns to 4-15 ns

What is the typical distance between two adjacent pins on a 14-Pin, Dual In-Line IC Package?

0.1 in

2. Who is Jack Kilby? What was his contribution to the field of digital electronics?

Jack Kilby is recognized as the co-inventor of the first integrated circuit while working for Texas Instruments.

3. In the purpose section, you were asked: (i) Who fought in the Battle of Hastings in 1066, (ii) Who invented Silly Putty, and (iii) Which of the Wright brothers flew first. We can’t leave these questions unanswered, can we?

(i) England and France, (ii) James Wright, and (iii) Orville.

4. Likewise, in the purpose section, you were asked:

(i) What is the function of a MAN6760?

(ii) How many pins does an LM555 time have?

(iii) What is the maximum supply voltage for a 74LS08?

MAN6760

Single Digit, Common Anode Seven-Segment Display, Red, Right Hand DP

LM555

8

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 74LS08

7 V or 5.25 V

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.1.8 Soldering Practice: Fun Light Project Answer Key

Conclusion

1. Solder is an alloy of what two metals?

Tin (Sn) and Lead (Pb)

2. What is tinning and why is it important to keep the tip of your soldering iron tinned?

Tinning is the action of applying a small amount of solder to the tip of a soldering iron. This helps facilitate the heat transfer process creating a good solder connection.

3. List the six most common types of bad solder connections.

Too much solder

Too little solder

Cold solder joint

Not soldered

Solder bridge

Lifted trace/pad

Disturbed joint

4. What are the two techniques that can be used to desolder a component from a PCB?

Solder Sucker

Solder Wick

5. The solder used in electronic application is frequently called “60/40” solder. Why?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. It contains 60% Sn and 40% Pb

6. What is a cold solder joint?

A cold solder joint is a solder connection that exhibits poor wetting and is characterized by a grayish, porous appearance due to excessive impurities in the solder, inadequate cleaning prior to soldering, and/or the insufficient application of heat during the soldering process.

7. What is the melting point of 60/40 solder?

372 °F (190 °C)

8. What is the typical wattage of a soldering iron used in electronic application?

25–30 Watts

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 1.1.9 Soldering: The Random Number Generator Answer Key

Conclusion

1. How evenly distributed were the numbers for your Random Number Generator? If your game was perfect, then each number should have come up approximately 16.67% of the time. Do you think your Random Number Generator is fair? Why or why not?

Some students may be surprised that the Random Number Generator may in fact favor certain numbers.

If the button is pressed exactly the same way each time, the same numbers can be generated.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 1.2 Introduction to Circuit Design

Teacher Notes

PLTW recommends that you present the activities, projects, problems, and presentations in the following sequence. Circuit Design

This presentation provides an overview of the circuit design process specifically related to combinational logic design. Illustrate that each activity, project, or problem will advance student knowledge of digital circuit design. One goal of this course is for students to be able to create their own unique designs, not just interpret existing designs. Activity 1.2.1 Introduction to Combinational Logic Design: Seat Belt Circuit

In this activity, students will use the Circuit Design Software to build and test their first combinational logic circuit. This circuit uses only AND, OR, and INVERTER gates. The students will not be designing this circuit. They will only be expected to analyze a given circuit to verify that it meets the design specifications.

Analog and Digital Signals

After reviewing the definition of analog and digital signals, this presentation details the components of analog and digital signals (period, frequency, amplitude, duty cycle). Additionally, the function of the CDS’s oscilloscope will be reviewed. This presentation should be covered prior to assigning Activity 1.2.2 Analog and Digital Signals. Activity 1.2.2 Analog and Digital Signals

Note: You may download a printable student version of the activity from Lesson 1.2 Teacher Resources page.

In this activity students will examine several analog and digital signals to determine their amplitude, period, and frequency. Additionally, they will use the oscilloscope within the Circuit Design Software (CDS). The Binary Number System

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This presentation provides a brief explanation of the binary number system and details the process for converting numbers between the binary and decimal number systems. The examples given in the presentation are directly related to the exercises in Activity 2.1.2 Binary Numbers and Conversion. The presentation should be used to help students begin the activity. You will need to demonstrate the number-base conversion feature on the students’ calculators and explain that, despite having these tools readily available, they must understand the conversion process. You will also explain that they will be expected to perform the conversion without the use of their calculator. Activity 1.2.3 Binary Number System

Note: You may download a printable student version of the activity from Lesson 1.2 Teacher Resources page.

This activity is designed to give students practice converting numbers between the binary and decimal numbers systems. The activity includes several problems of each type. You may determine how many problems to assign. The ultimate goal is for students to master the conversion process. Activity 1.2.4 Introduction to Sequential Logic Design: Counters

Note: You may download a printable student version of the activity from Lesson 1.2 Teacher Resources page.

In this activity, students will use the Circuit Design Software to build and test their first sequential logic circuits. This circuit uses only D flip-flops. The students will not be designing this circuit. They will only be expected to analyze a given circuit to verify that it meets the design specifications. After simulating a 2-bit counter, students will be asked to add to/modify to create a 4-bit counter on their breadboards. Using a Clock Signal

Digital MiniSystem (DMS) – Students will be introduced to the NI™ myDAQ ELVIS Instrumentation. The will learn to use the Digital Writer to create a clock signal.

Digital Logic Board (DLB) – Students will be introduced to the rotCLK of the DLB to create a usable clock signal.

Clock Signals: 555 Timer

After reviewing the characteristic equations for the charging and discharging of the capacitor, the operations of a 555 Timer Oscillator will be detailed. The design equations (period/frequency/duty cycle) of the 555 Timer based oscillator are given. This presentation should be covered prior to assigning Activity 1.2.5 Clock Signals: 555 Timer. At the end of this presentation there is a Going Further section. This section goes into greater detail on how the 555 timer works and how the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. characteristic equations are derived. Activity 1.2.5 Clock Signals: 555 Timer

In this activity, students will simulate and build a 555 Timer Oscillator and observe the effect that varying the value of its resistor and capacitor values has on the oscillation frequency and duty cycle. Students will be asked to apply this knowledge to their own 555 Timer Oscillator design. They can then use this clock signal with the 4-bit counter created in Activity 1.2.3 Introduction to Sequential Logic Design: Counters. Understanding Analog Design: Random Number Generator

This presentation in meant to introduce students to a fully implemented design. Building on the knowledge gained from the previous activities on analog electronics, this presentation reviews the analog section of the Random Number Generator design. It is absolutely essential that this presentation be given prior to assigning Project 1.2.5 Understanding Analog Design: Random Number Generator. Project 1.2.6 Understanding Analog Design: The Random Number Generator

In this activity, students will use the Circuit Design Software to build and test the analog section of the Random Number Generator. Remember, the intent of this activity is for the students to understand how this design works, not for them to learn how to design such a circuit. Understanding Digital Design: Random Number Generator

This presentation in meant to introduce students to a fully implemented design. Building on the knowledge gained from the previous activities on combinational and sequential logic, this presentation reviews the digital logic sections of the Random Number Generator design. It is essential that this presentation be given prior to assigning Project 1.2.5 Understanding Digital Design: Random Number Generator. Project 1.2.7 Understanding Digital Design: Random Number Generator

In this activity, students will use the Circuit Design Software to build and test the digital logic sections of the Random Number Generator. To help simplify the process, they will first build and test the combinational and sequential logic sections separately and then combine them as one design. Remember, the intent of this activity is for the students to understand how this design works. They are not yet expected to learn how to design such a circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 1.2 Introduction to Circuit Design

Teacher Resources

View Student Course Preface

In Lesson 1.1 Introduction to Electronics, students learned to distinguish between analog and digital components. They explored basic components, basic circuits, and used measurement tools to characterize and validate calculations that predict a circuit’s behavior.

In Lesson 1.2 Introduction to Circuit Design, students will explore fundamental circuit designs, manipulate circuits to understand their function, and explore the Random Number Generator circuit design example.

This lesson is meant to be a broad overview of circuit design and to expose students to basic designs they will be exploring and incorporating into their own future designs.

Established Goals

It is expected that students will…

1. Recognize and contrast the characteristics of analog and digital circuits.

2. Understand how analog and digital circuit designs work by altering the characteristics of existing circuits.

3. Use a design process to design and create a circuit.

4. Use calculations and measurement tools to troubleshoot circuits.

Transfers

Students will be able to independently use their learning to …

1. Recognize common analog and digital circuits. Identify and compare the characteristics of analog and digital circuits.

2. Calculate, identify, and accurately measure characteristics of electrical circuits, such as wavelength, period, and duty cycle.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Characterize and troubleshoot circuits through calculations and measurements.

4. Contrast analog circuits, combinational logic circuits, and sequential logic circuits. Explain how the fundamental building blocks of each give a circuit its desired function.

5. Create circuits using a design process.

6. Manipulate circuit designs to alter the characteristics of a circuit to fit desired outcomes.

EQ

Essential Questions

Students will keep considering …

1. How are the characteristics of digital circuits different than analog circuits?

2. Why is the understanding of binary and decimal number systems essential to your ability to design combinational logic circuits?

3. What might a design process look like for creating an analog or digital circuit?

4. How are calculations, computer software design (CDS) tools, and measurement tools used in electronics to guide development and troubleshoot a circuit?

5. Why is the 555 timer design such an important and commonly used design in electronics?

Understandings

Students will understand that …

1. Waveforms can be used to trigger events in a circuit.

2. The concepts of frequency, wavelength, and duty cycle are all related to one another and can be calculated in a waveform.

3. Analog and digital signals have different waveforms with distinctive characteristics.

4. Analog signals have an infinite number of voltage levels that vary continuously over the voltage range for that particular system.

5. Digital signals have two well-defined voltage levels, one for a logic high and one for a logic low.

6. Circuit design processes have evolved over time to create circuits. These processes have changed as new strategies and new technologies have become available.

7. Engineers and technicians use Circuit Design Software (CDS) and instrumentation to verify functionality of their analog and digital design.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Knowledge

Students will:

1. Know formulas for Ohm’s Law, Kirchhoff’s Voltage Law, and Kirchhoff’s Current Law.

2. Know the characteristics of series, parallel, and combination circuits.

3. Identify digital and analog components.

4. Know the characteristics and differences between analog and digital signals and circuits.

5. Measure characteristics of a circuit using a digital multimeter (DMM).

6. Know the formulas for period, frequency, and duty cycle.

7. Relate schematic symbols to logic gates and logic gates to schematic symbols.

8. Relate truth tables to logic gates and logic gates to truth tables.

9. Relate logic expressions to logic gates and logic gates to logic expressions.

10. There is a formal design process for translating a set of design specifications into a functional circuit.

Skills

Students will …

1. Solve for unknown values within circuits (series, parallel, and combination circuits) using Ohm’s Law, Kirchhoff’s Voltage Law, and Kirchhoff’s Current Laws.

2. Use Circuit Design Software (CDS) to validate hand calculations to analog circuit solutions.

3. Demonstrate series and parallel circuits on a breadboard.

4. Analyze simple analog circuits using a digital multimeter.

5. Analyze and interpret the amplitude, period, frequency, and duty cycle of analog and digital signals based on instrumentation and calculations.

6. Interpret the design of a simple 555 Timer oscillator and how the analog components affect the wave generated.

7. Use the Circuit Design Software (CDS) to simulate and test a complete analog design.

8. Use Circuit Design Software (CDS) to simulate and test a simple combinational logic circuit designed with AND, OR, and INVERTER gates.

9. Identify and describe the function of a D flip-flop.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 10. Use Circuit Design Software (CDS) to simulate and test a simple sequential logic circuit design with D flip-flops.

11. Use Circuit Design Software (CDS) to simulate and test a complete design containing both combinational and sequential logic.

Resources

Activity 1.2.1 Post-Combinational Logic Design: Seat Belt Presentation

Activity 1.2.2 Analog and Digital Signals

Activity 1.2.3 Binary Numbers System

Activity 1.2.4 Introduction to Sequential Logic Design: Counters (DMS)

Sequential Logic Post-Activity Discussion: An Overview

Day-by-Day Plans

Time: 20 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 1.2 Teacher Notes - Introduction to Circuit Design.

Days 1–3

Circuit Design Process and Troubleshooting Overview

Present Understandings, Knowledge and Skills, and Essential Questions to provide a lesson overview.

Present the Circuit Design presentation.

Introduction to Combinational Logic Circuit Design

Assign Activity 1.2.1 Introduction to Combinational Logic Design: Seat Belt Circuit.

Activity 1.2.1 Introduction to Combinational Logic Design: Seat Belt Circuit is a guided investigation where students are introduced to a design statement and explore how that design statement is translated into a truth table and functioning circuit.

Assess student work using Answer Key 1.2.1 Introduction to Combinational Logic Design.

Day 4 Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Analog and Digital Signals

Present the Analog Digital Signals presentation.

Model use of the virtual oscilloscope in the circuit design software (CDS).

Assign Activity 1.2.2 Analog and Digital Signals.

Assess student work using Answer Key 1.2.2 Analog and Digital Signals.

Day 5

The Binary Number System and Conversions

Present The Binary Number System presentation.

Assign Activity 1.2.3 The Binary Number System.

Assess student work using Answer Key 1.2.3 The Binary Number System.

Days 6–8

Introduction to Sequential Logic Design

Model generating a clock signal from the Digital Logic Board (DLB) or Digital MiniSytem (DMS).

Assign Activity 1.2.4 Introduction to Sequential Logic Design: Counters.

Assess student work using Answer Key 1.2.4 Introduction to Sequential Logic Design: Counters.

Days 9–12

Clock Signals: 555 Timer

Present Clock Signals: 555 Timer.

Guide students through a discussion on what they have learned and introduce/reinforce the Key Terms used in electronics for these concepts and equipment.

Assign Activity 1.2.5 Clock Signals: 555 Timer.

Assess student work using Answer Key 1.2.5 Clock Signals: 555 Timer.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Days 13–15

Understanding Analog Design: Random Number Generator

Present Understanding Analog Design: Random Number Generator presentation.

Guide students through a discussion on what they have learned and introduce/reinforce the Key Terms used in electronics for these concepts and equipment.

Assign Project 1.2.6 Understanding Analog Design: Random Number Generator.

Assess student work using Answer Key 1.2.6 Understanding Analog Design: Random Number Generator.

Days 16–20

Understanding Digital Design: Random Number Generator

Present Understanding Digital Design: Random Number Generator.

Guide students through a discussion on what they have learned and introduce/reinforce the Key Terms used in electronics for these concepts and equipment.

Assign Project 1.2.7 Understanding Digital Design: Random Number Generator.

Assess student work using Answer Key 1.2.7 Understanding Digital Design: Random Number Generator.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.2.1 Combinational Logic Design Answer Key

Procedure

It’s time for you to implement your first AOI combinational logic circuit. The circuit we will use for this purpose is a Car Safety Buzzer design. The design specifications are as follows:

The buzzer is on whenever the door is open or when the key is in the ignition and the seat belt is not buckled.

1. Create a table that describes these design specification in terms of “highs” (1) and “lows” (0). This is when the sensor or indicator is active or not active.

0 = SEAT BELT NOT BUCKLED Seat Belt 1 = SEAT BELT BUCKLED

0 = KEY NOT IN THE IGNITION Key 1 = KEY IN THE IGNITION

0 = DOOR IS NOT OPEN Door 1 = DOOR IS OPEN

0 = BUZZER is OFF Buzzer 1 = BUZZER is ON

2. Using the Circuit Design Software (CDS), enter the Car Buzzer circuit shown below. Use switches for the inputs Seat Belt, Key, and Door and a probe for the output Buzzer.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Based on the design specification you defined, enter a “1” in the Buzzer Output Expected column when the BUZZER should be ON. Toggle the input switches to complete the Buzzer Output Actual column in the truth table below.

Inputs Buzzer Output

Seat Belt Key Door Actual Expected

0 0 0 0 0

0 0 1 1 1

0 1 0 1 1

0 1 1 1 1

1 0 0 0 0

1 0 1 1 1

1 1 0 0 0

1 1 1 1 1

If the Actual Buzzer Output column matches the Expected Output column, then your first combinational logic circuit works. Congratulations! Print a copy of your circuit and put it in your PLTW Engineering Notebook. If the Actual Output does not match the Expected Output, review your circuit diagram and the design specifications that you defined. Make any

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. necessary revisions and retest.

Conclusion

1. Combinational logic circuits surround us everywhere in our daily lives. Identify 3–5 examples of circuits that contain combinational logic that you interact with almost daily.

Answers may vary.

Calculators

Watches

Warnings and sensors in vehicles (seat belts, windows, engine sensors)

Thermostats

Household appliances (coffee maker, dishwasher)

Toys

Computers

Cameras

2. In this activity we used switches for the circuit inputs and a probe for the circuit output. Though this works fine for testing purposes, it does not reflect the actual sensors and indicators used in real-world applications of combinational logic circuits. List three input and three output devices that could be used with real world applications of combinational logic.

Answers may vary.

Outputs Inputs LEDs Mechanical Switches (SPDT, SPST) Buzzers Phototransistors Motors Servos

Going Further (Optional)

As mentioned in the purpose section of this activity, combinational logic circuits can be implemented with a variety of different logic gates. One such gate is introduced in the previous lesson and is

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. called the NAND gate (see below). Functionally, the NAND gate is an AND gate with its output inverted.

Using what you know about the AND gate and INVERTER gates, complete the truth table for the NAND gate.

NAND Gate

A B C

0 0 1

0 1 1

1 0 1

1 1 0

What does a NOR gate look like? What do you think its truth table would look like? (NAND and NOR gates are sometimes referred to as “Universal Gates”. We will find out why later.

NOR Gate

XYZ NOR Gate 0 0 1

0 1 0

1 0 0

1 1 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. NOR Gate Test Circuit

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.2.2 Analog and Digital Signals Answer Key

Procedure

1. For each of the two analog signals shown below, determine their amplitude (peak), amplitude (peak-peak), period (T), and frequency (f). Be sure to put your answer in proper engineering notation and use the correct units.

Amp(peak): 7.5 V

Amp (peak-peak): 15 V

Period: 500 ns

Frequency: 2 MHz

Amp(peak): 2 V

Amp (peak-peak): 4 V

Period: 1 ms

Frequency: 1 kHz

Note: Why isn’t the above signal considered a ?

In a digital signal, low is 0 V and high is generally 5 V. In other words, the total amplitude would be 5 V. The signal also changes direction.

2. For each of the two digital signals shown below, determine the amplitude, period (T), frequency (f), time high (tH), time low (tL), and duty cycle (DC). Be sure to put your answer in proper engineering notation and use the correct units.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Amplitude: 5 V

Period: 400 µs

Frequency: 2.5 kHz

Time High: 300 µs

Time Low: 100 µs

Duty Cycle: 75%

Amplitude: 5 V

Period: 1.65 ms

Frequency: 606.06 Hz

Time High: 150 µs

Time Low: 1.5 ms

Duty Cycle: 10%

3. Using the CDS, enter the test circuit shown below. This circuit consists of a CLOCK_VOLTAGE, a DC_POWER (battery), and two 5v LAMPS. This circuit doesn’t do much of anything useful other than make the two lamps flash, but we will use it to gain experience in using the oscilloscope to measure signals.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Open the CLOCK_VOLTAGE component by double-clicking on it and set the frequency, duty cycle, and voltage to 20 Hz, 10%, and 5 volts.

Open the DC_POWER and set the voltage to 5 volts.

Connect the OSCILLOSCOPE to the positive side of the CLOCK_VOLTAGE component.

Start the simulation. Are the lamps flashing? Does the flashing rate make sense for the frequency and duty cycle of the CLOCK_VOLTAGE? If not, review your setup and make any necessary corrections.

Now that the circuit is working, use the oscilloscope to measure the signal being generated by the CLOCK_VOLTAGE. Use the markers to measure the period, time high, and time low. Use this data to calculate the frequency and duty cycle of the signal.

The markers are flags marked “1” and “2” at the top of the oscilloscope. These can be dragged from side-to-side and lined up on the vertical transitions of the wave. The readings for these markers are found in the area to the right of the labels T1 and T2. In the figure below, Marker 1 is showing the Time High to be about 5 ms. Marker 2 is showing the period to be about 50 ms.

Duty Cycle = (5 ms ÷ 50 ms) x 100 = 10% Frequency = 1 ÷ .050 s = 20 Hz

The Duty Cycle is verified to be 10% and the Frequency is verified to be 20 Hz.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Do the measured (and calculated) values match those set up in the CLOCK_VOLTAGE device? If not, review your measurements and make any necessary corrections.

Conclusion

1. List the characteristic that makes a digital signal different from an analog signal.

An analog signal can have any value within a defined range.

A digital signal can only have specific discrete values.

2. In the diagram below, label the parts of the analog signal.

A – Amplitude (Peak to Peak)

B – Amplitude (Peak)

C – Period

3. In the diagram below, label the parts of the digital signal.

A – Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Amplitude

B – Time High (tH)

C – Period (T)

D – Time Low (tL)

E – Rising Edge

F – Falling Edge

4. What are the two standard voltage levels that are acceptable for a digital signal?

0 V and 5 V

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.2.3 Binary Numbers and Conversion Answer Key

Procedure

1. Complete the following decimal-to-binary number conversions. An example problem is shown below. If available, use the base conversion feature of your calculator to check your answers.

Solution:

Answer: 19 (10) = 10011 (2)

17 (10) = 10001 (2)

34 (10) = 100010 (2)

58 (10) = 111010 (2)

92 (10) = 1011100 (2)

119 (10) = 1110111 (2)

178 (10) = 10110010 (2)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 297 (10) = 100101001 (2)

413 (10) = 110011101 (2)

2. Complete the following binary-to-decimal number conversions. An example problem is shown below. If available, use the base conversion feature of your calculator to check your answers.

Solution:

Answer: 101001 (2)= 41 (10)

1100 (2) = 12 (10)

11010 (2) = 26 (10)

111001 (2) = 57 (10)

1010011 (2) = 83 (10)

10000101 (2) = 133 (10)

10011001 (2) = 153 (10)

100100001 (2) = 289 (10))

111101010 (2) = 490 (10)

3. Perform the remaining decimal-to-binary conversions to complete the table.

Binary Number Decimal Number MSB LSB

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 0 = 0 0 0

1 = 0 0 1

2 = 0 1 0

3 = 0 1 1

4 = 1 0 0

5 = 1 0 1

6 = 1 1 0

7 = 1 1 1

Conclusion

1. The decimal number system has served humans well since the beginning of mankind. Ug the caveman didn’t call it the decimal number system, but he undoubtedly used his fingers to count objects in his world. If the decimal system is so good, why do computer and other digital electronic devices use the binary number system?

Transistors only have two states requiring base 2 mathematics.

2. Because we are using a number system other than decimal, it is important to properly subscript our numbers (for example, 3510, 23410, 100102). Why is this so important? Provide at least three examples where neglecting to subscript numbers could lead to confusion.

Example 1616 = 2210 = 101102

Answers may vary. Students should recognize the same number can be represented by different values depending on the subscript.

3. Without performing the binary-to-decimal conversions, which of the following two binary numbers is the larger number?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 101101 (2) = 4510

011010 (2) = 2610

4. How were you able to determine which was the larger number?

It has a 1 in the MSB placeholder

6. Examine the table that you completed in the procedure portion of the activity. What do you notice about the LSB (least-significant-bit)? What do you notice about the middle bit? What do you notice about the MSB (most-significant-bit)? Do you see a pattern?

Students should see the alternating patterns (0,1,0,1,0,1,0,1) (00,11,00,11) (0000,1111) (00000000)

7. Based on your observations above, complete the table below.

Binary Number Decimal Number MSB LSB

0 = 0 0 0 0

1 = 0 0 0 1

2 = 0 0 1 0

3 = 0 0 1 1

4 = 0 1 0 0

5 = 0 1 0 1

6 = 0 1 1 0

7 = 0 1 1 1

8 = 1 0 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 9 = 1 0 0 1

10 = 1 0 1 0

11 = 1 0 1 1

12 = 1 1 0 0

13 = 1 1 0 1

14 = 1 1 1 0

15 = 1 1 1 1

Going Further (Optional)

8. What number system do you think the space alien character would use? (Hint: count the fingers).

Alien = Base 6

9. For some reason, most cartoon characters have traditionally been drawn with four fingers on

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. each hand. What number system do you think these cartoon characters would use?

Cartoon = Base 8

10. Use your conclusions above to complete the following conversion table.

Decimal Binary Space Alien Cartoon Character Number Number Number Number

35 1000112 556 438

2210 10110 346 268

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.2.4 Sequential Logic Design Answer Key

Procedure

Let’s begin the study of sequential logic by reviewing the basic operations of the D flip-flop.

1. Using the Circuit Design Software (CDS), create the circuit below.

Start the simulation.

Set the input switches P and C to 5 V. Again, since PR and CLR are active low inputs, this will make them both inactive. Toggle the input T several times. The circuit should behave exactly like the circuit in Activity 1.1.6.

Set the input switch P to GROUND and C to 5 V.

What is the state of the two outputs?

Que is on. NOT Que is off.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Toggle the input T several times.

Record what effect this has on the two outputs.

No change. The flip-flop is “preset” to “1”.

Set the input switch P to 5 V and C to GROUND.

What is the state of the two outputs?

Que is off. NOT Que is on.

Toggle the input T several times.

Record what effect this has on the two outputs.

No change. The flip-flop is “cleared” to “0”.

3. Using the Circuit Design Software (CDS), enter the two-bit binary counter shown above. Use a switch for the input Clock-In and probes for the outputs A and B.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Start the simulation.

In Activity 1.1.6 we learned that the output on the first flip-flop (A) changes only when the Clock-In goes from low to high. Toggle the input Clock-In (switch T) until both outputs A and B are both low and switch T is low. Now cycle switch T (cycle means to toggle from low to high back to low) and record what effect this has on the two outputs in the table below.

Clock-In AB

Initial Values 0 0

1st Cycle of switch T 0 1

2nd Cycle of switch T 1 0

3rd Cycle of switch T 1 1

4th Cycle of switch T 0 0

5th Cycle of switch T 0 1

6th Cycle of switch T 1 0

7th Cycle of switch T 1 1

8th Cycle of switch T 0 0

9th Cycle of switch T 0 1

Based on these results, explain the pattern that you observe in the two outputs.

The clock changes A, and output from A changes B.

The outputs create a binary count from 0 to 3 (002 to 112).

4. Using the CDS, modify the circuit used in step 1 so that it matches that shown below.

The first modification is to replace the switch input with a CLOCK_VOLTAGE. This change will result in the input being continuously toggled. Be sure the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. CLOCK_VOLTAGE is set to 5 volts, 50% duty cycle, 60 Hz.

The second modification is to add a four-channel oscilloscope that is set up to view the four signals A, B, and Clock-In.

Be sure to set the oscilloscope’s time-base to 20 ms/div and the vertical bases of the four channels to 10 volts/div. Also, adjust the Y position of the three channels such that the four signals are all clearly visible.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. A B CLK

Start the simulation and let it run until you have captured several periods of each signal.

Using the oscilloscope’s markers, measure the period of the three signals. Use this data to calculate the frequency for each signal. Record your data in the table below. Be sure to use the correct units.

Signal Period Frequency

Clock-In 16.7 ms 60 HZ

B 33.4 ms 30 HZ

A 66.7 ms 15 HZ

Based on these results, explain the relationship of the period and frequency between the three signals. Was this expected?

Frequency and period are inversely related. The frequency gets cut in half each time a flip-flop is added to the design.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 5. Analyze the 4-bit binary counter shown below to determine the frequency and period for the signals A, B, C, and D. Use the table below to record your answers.

Signal Period Frequency

Clock-In 1 ms 1000 Hz

D 2 ms 500 HZ

C 4 ms 250 HZ

B 8 ms 125 HZ

A 16 ms 62.5 HZ

7. Using the pin diagram on the datasheet for the 74LS74 D flip-flop, create the 4-Bit counter you explored in this activity on your protoboard. Wire the four outputs to Y3, Y2, Y1, Y0 of your protoboard. Wire the DIO3 to the CLK input of the first flip-flop.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Note: The 555 Timer will be added in the next activity.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. The 2-Bit and 4-Bit counters you explored in this activity are referred to as “divide-by-two” counters. Explain the relationship between each consecutive flip-flop and the order in which they are laid out in the design from right to left that creates a binary count.

The frequency gets cut in half each time a flip-flop is added to the design.

If the outputs are arranged from lowest frequency to highest frequency from left to right, the outputs create a binary count.

With four flip-flops, the count is 0 to 15 (00002 to 11112).

2. If you added a fifth bit, what would you guess is the highest number you could count to?

With five flip-flops, the count is 0 to 31 (000002 to 111112).

16 + 8 + 4 + 2 + 1 = 3

3. Can you think of three to five everyday items/products that might have a counter incorporated

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. in them?

Answers may vary.

Virtually all circuits in practical digital devices have a mixture of combinational and sequential logic.

Vending Machines

Security Alarms

Thermostats

Electronic Games

Anything requiring memory

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 1.2.5 Clock Signals: The 555 Timer Answer Key

Procedure

1. For the 555 Timer oscillator circuit shown, calculate the frequency and duty cycle of the output signal based on the component values given.

µ

2. Use the CDS to enter and simulate the 555 Timer oscillator circuit. Use the oscilloscope’s markers to make the necessary measurements. Determine the frequency and duty cycle of the output signal. How do these values compare to the calculated values?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The difference between Marker 1 and Marker 2 in the figure above is a period of 15.1 ms.

ƒ

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The difference between Marker 1 and Marker 2 in the figure above is a Time High of 10.1 ms. Recall that the period was 15.1 ms.

How do these values compare to the calculated values?

Should be the same.

3. Repeat steps 1 and 2 for each set of component values in the table below. Note that the shaded areas are the values that were measured from the original circuit.

RA RB C2 Period(T) Frequency(ƒ) tH tL Duty Cycle

100 Ω 330 Ω 22 μF 11.6ms 86.2Hz 6.6ms 5ms 57%

330 Ω 330 Ω 22 μF 15.1ms 66.2Hz 10.1ms 5ms 67%

560 Ω 330 Ω 22 μF 18.6ms 53.8Hz 13.6ms 5ms 73%

330 Ω 100 Ω 22 μF 8.1ms 123.5Hz 6.5ms 1.6ms 80%

330 Ω 330 Ω 22 μF 15.1ms 66.2Hz 10.1ms 5ms 67%

330 Ω 560 Ω 22 2F 22.2ms 45.1Hz 13.6ms 8.6ms 61%

330 Ω 330 Ω 10 μF 6.9ms 144.9Hz 4.6ms 2.3ms 67%

330 Ω 330 Ω 22 μF 15.1ms 66.2Hz 10.1ms 5ms 67%

330 Ω 330 Ω 47 μF 32.3ms 31Hz 21.5ms 10.8ms 67%

4. Review the results of the data collected in step 3 of the procedure.

What effect did varying the RA have on the frequency and duty cycle?

As the resistor value for RA increases, frequency (ƒ) decreases and Duty Cycle (DC) increases.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. What effect did varying the RB have on the frequency and duty cycle?

As the resistor value for RB increases, frequency (ƒ) decreases and Duty Cycle (DC) decreases.

What effect did varying the C2 have on the frequency and duty cycle?

As the capacitor value for C increases, frequency (ƒ) decreases and Duty Cycle (DC) remains constant.

Simulation

5. Once you have your 555 Timer circuit functioning, use the clock signal to trigger the 4-bit binary counter you created previously.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 1.2.6 Understanding Analog Design: The Random Number Generator Answer Key

Procedure

Shown below is the analog section of the Random Number Generator.

Analog Section - Random Number Generator

Unfortunately, there are two issues with simulating this circuit as shown. First, it is difficult to obtain accurate simulation results using the push-button switch (S1). Additionally, the 100 μf capacitor (C1) causes the simulation to run too long.

To fix these issues, we must make two simple changes to the circuit. First, replace the push-button switch with an SPST switch. Second, change the 100 μf capacitor to 50 μf. These changes are shown below. Also shown are the oscilloscope connections (highlighted).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Modified Analog Section – Random Number Generator

1. Using the CDS, enter the modified analog section of the Random Number Generator shown.

2. With the switch closed, start the simulation.

3. Open the oscilloscope tool and adjust the scale of the time base and channels so that the three signals are easy to see and measure.

4. Restart the simulation.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 5. After the first few square waves are observed on the output signal, open the switch. Let the simulation run until the output signal stops oscillating. When the oscillation stops, stop the simulation. This may take a few minutes.

6. Adjust the oscilloscope to display the third or fourth square wave of the output signal. Using the oscilloscope’s markers, measure the period of this signal. Use this data to calculate the frequency. Record your result in the table.

Signal Period Frequency

First Square Wave 15.5 ms 64.5 Hz

Middle Square Wave 27.5 ms 36.4 Hz

Last Square Wave 51.6 ms 19.4 Hz

Note: Period results will vary depending on the wave that an individual considers to be the middle wave. First and Last Wave results may vary slightly.

7. Repeat step 6 for a signal in the middle of the simulation, approximately half way between the first and last square wave observed.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 8. Repeat step 6 for the last square wave observed prior to the oscillation stop point.

Conclusion

1. When you press the push-button of the Random Number Generator, the 555 Timer oscillates at approximately 65 Hz. If you want the oscillation to start at 100 Hz, what value would you apply to C2? Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. The values of R9 and C1 determine the time from when the push-button is released to when the oscillation stops. If you wanted to lengthen this time period, what changes would you make to one or both of these components? Explain.

Increase the resistance (lower current = longer time to charge) or

Increase the capacitance (larger capacitance = longer time to charge)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 1.2.7 Understanding Digital Design: The Random Number Generator Answer Key

Procedure

Since we learned how the digital electronics of the Random Number Generator worked by analyzing its sequential and combinational logic sections separately, we will construct and simulate the device the same way. We will begin with the combinational logic section.

1. Using the Circuit Design Software (CDS), enter the combinational logic section of the Random Number Generator shown below. For testing purposes connect three switches for the inputs A, B, and C.

Combinational Logic Section – Random Number Generator

Start the simulation.

Toggle the switches and complete the truth table below.

A B C L1 L2 L3 L4 L5 L6 L7

0 0 0 ------

0 0 1 0 0 0 1 0 0 0

0 1 0 0 0 1 0 0 0 1

0 1 1 1 0 0 1 1 0 0

1 0 0 1 0 1 0 1 0 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 0 1 1 0 1 1 1 0 1

1 1 0 1 1 1 0 1 1 1

1 1 1 ------

Did the outputs for the inputs 001–110 display what was expected? If they didn’t, check your circuit to make sure that it was built correctly. Make any necessary corrections and repeat steps a and b.

Did the outputs for the inputs 000 and 111 make sense?

Does it matter?

Zero and 7 will not display on the RNG.

2. Now that the combinational logic section is working, let’s construct and simulate the sequential logic section. Using the CDS, enter the sequential logic section of the Random Number Generator shown below. For the initial analysis, we will use a switch to generate the signal CLOCK.

Sequential Logic Section – Random Number Generator

Start the simulation.

Cycle the input CLOCK several times until the initial value is 001. Cycle the input CLOCK and record the value of the outputs A, B, and C in the table below. (Remember, 1 Cycle = 2 Toggles of the switch.)

CLOCK ABC

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Initial Values 0 0 1

1st Cycle 0 1 0

2nd Cycle 0 1 1

3rd Cycle 1 0 0

4th Cycle 1 0 1

5th Cycle 1 1 0

6st Cycle 0 0 1

7th Cycle 0 1 0

Is the counter counting as expected (see below)? If not, check your circuit to make sure that it was built correctly. Make any necessary corrections and repeat steps 2a and 2b.

Modify the circuit by replacing the input switch with a CLOCK_VOLTAGE set to 5 volts, 50% duty cycle at 50 Hz (see below). The CLOCK_VOLTAGE will continuously toggle the input, causing the output to repeatedly cycle through the count 001 to 110.

Sequential Logic Section – Random Number Generator

Start the simulation.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Observe the outputs A, B, and C. They should be cycling through the following pattern:

Is the counter counting as expected? If not, check your circuit to make sure that it was built correctly. Make any necessary corrections and repeat steps (e) and (f).

3. Finally, let’s connect the combinational and sequential logic sections together to complete the Random Number Generator.

Using the combinational logic and sequential logic sections created in steps (1) and (2) enter the circuit shown below into the CDS.

Combinational and Sequential Logic Section – Random Number Generator

Start the simulation.

Observe the outputs L1, L2, L3, L4, L5, L6, and L7. They should be cycling through the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. following pattern:

Are the outputs working as expected? If they are not, check your circuit to make sure that it was built correctly. Make any necessary corrections and repeat steps b and c.

Conclusion

1. The combinational logic used in the Random Number Generator was AOI logic. What are three gates that are used to implement AOI logic?

AND, OR, INVERTER

2. On the 74LS74 D flip-flop, the CLK input has a small triangle. What does this symbol mean?

The clock signal triggers a change when it changes from low to high.

3. The PR (preset) and CLR (clear) inputs have a circle. What does this symbol mean?

The inputs are ACTIVE LOW. A digital “0” or low activates them.

4. What is the primary characteristic that differentiates combinational and sequential logic?

Combinational Logic – What do you want to happen?

Sequential Logic – When do you want it to happen?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association, (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.1 Combinational Logic Design

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Combinational Logic Design Process

This presentation addresses the three versions of the Combinational Logic Design Process. Version 1, which is the version used throughout this lesson, describes the steps taken to write a logic expression, simplify the logic expression, and implement the design with AOI logic gates. This process will be referenced frequently as the students complete their Majority Vote – Voting Machine and its associated activities. Versions 2 and 3 of the Combinational Logic Design Process are used in later lessons in this unit. AOI Design: Truth Tables to Logic Expressions

This presentation explains how to properly construct 2-, 3-, and 4-variable truth tables. The presentation illustrates the process for writing unsimplified Sum-of-Products (SOP) logic expressions directly from a truth table. The presentation explains how a truth table can be constructed from a given logic expression. Additionally, the steps for constructing a truth table from a set of written design specifications (a word problem) are detailed. The examples given in the presentation are directly related to the exercises in Activity 2.1.1 AOI Truth Tables to Logic Expressions and should be used to help students begin the activity.

Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions

Note: You may download a printable student version of the activity from Lesson 2.1 Teacher Resources page.

This activity is designed to give students practice writing unsimplified SOP logic expressions from truth tables, constructing truth tables from logic expressions, and constructing truth tables from written design specifications. AOI Design: Logic Analysis

This presentation provides a brief explanation for the need to analyze circuits implemented with AND-OR-Inverter Logic (AOI Logic) and details the two methods of analysis. With the first method, the truth table is extracted from the circuit from which the unsimplified logic expression is derived. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Using the second method, the unsimplified logic expression is extracted from the circuit from which the truth table can be constructed. The examples given in the presentation are directly related to the exercises in Activity 2.1.2 AOI Logic Analysis and should be used to help students begin the activity. Activity 2.1.2 AOI Logic Analysis: Circuit to Truth Table to Logic Expressions

Note: You may download a printable student version of the activity from Lesson 2.1 Teacher Resources page.

This activity is designed to give students practice in analyzing AOI logic circuits. This skill will become important when students begin testing their circuit designs. AOI Logic Implementation

This presentation explains how to properly construct AOI logic circuits from Sum-of-Products (SOP) and Product-of-Sums (POS) logic expressions. Initially, the circuit implementations are constructed assuming that gates of any number of inputs are available. After students understand the process, these designs are re-implemented using only gates that are commercially available. The examples given in the presentation are directly related to the exercises in Activity 2.1.3 AOI Logic Implementation and should be used to help students begin the activity. Activity 2.1.3 AOI Logic Implementation

This activity is designed to give students practice in implementing AOI logic circuits. This is the first activity in this lesson where students will be using the CDS (circuit design software) and DLB (Digital Logic Board). The ICs required are listed in the activity. If the students construct a circuit and it does not work, they may be tempted to pull out all of the wires and start over. DO NOT LET THEM DO THIS. A faulty circuit provides students the opportunity to analyze (or debug) their circuit. During this process, students will determine what the circuit is doing and will make the changes necessary to correct the circuit. Circuit Simplification: Boolean Algebra

This presentation offers the 10 theorems and three laws of Boolean algebra and explains how they are used to simplify logic expressions. Initially the students may struggle with these problems, but with enough practice, they will become proficient. The examples given in the presentation are directly related to the exercises in Activity 2.1.4 Circuit Simplification: Boolean Algebra and should be used to help students begin the activity. Activity 2.1.4 Circuit Simplification: Boolean Algebra

This activity gives students practice in simplifying logic expressions using the theorems and laws of Boolean algebra. The activity includes numerous practice problems. You may determine how many problems to assign. The ultimate goal is for students to master the conversion process. In addition

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. to the math problems, this activity also contains a problem that relates Boolean algebra to a circuit implementation. The intent of this problem is to put the use and importance of logic simplification into a practical context. Circuit Simplification: DeMorgan’s Theorems

This presentation presents DeMorgan’s two theorems and how they are used with Boolean algebra to simplify logic expressions. After completing the activity on Boolean algebra, most students will pick up these concepts quickly. Remember the saying Break the Line / Change the Sign. The examples given in the presentation are directly related to the exercises in Activity 2.1.5 DeMorgan’s Theorems and should be used to help students begin the activity. Activity 2.1.5 Circuit Simplification: DeMorgan’s Theorems

This activity is designed to give students practice in simplifying logic expressions using DeMorgan’s theorems (along with Boolean algebra). The activity includes numerous practice problems. You may determine how many problems to assign. The ultimate goal is for students to master the conversion process. In addition to the math problems, this activity also contains a problem that relates DeMorgan’s theorems to a circuit implementation. The intent of this problem is to put the use and importance of logic simplification into a practical context. Digital Electronics Equations and Theorems

This handout lists the 10 Boolean Theorems, three Boolean Laws, and two DeMorgan’s Theorems. Students will use this handout while completing activities 2.1.4 and 2.1.5. The handout should be kept as a reference. Project 2.1.6 AOI Logic Design: Majority Vote

In this project students will design, simulate, and build a Majority Vote - Voting Machine using AOI logic gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.1 Combinational Logic Design

Teacher Resources

View Student Course Preface

In Unit 1 Foundations in Electronics, students were introduced to the components and basic designs used in digital electronics. In this unit, students will explore in greater detail the designs related to combinational logic. How do you design a circuit to “do what you want it to do?”

Lesson 2.1 focuses on AND, OR, Inverter (AOI) combinational logic circuit design. Students will reinforce concepts that they were introduced to in the previous units, such as Binary Number Systems, Truth Tables, and Boolean Expressions. They will then expand on these concepts by exploring how mathematics can be used to reduce circuit size, cost, and complexity. Using the systematic approaches of AOI Simplification, AOI Logic Analysis, and AOI Implementation, students will learn to take design specifications and translate them into the most efficient circuit possible.

Established Goals

It is expected that students will…

1. Translate a set of design specifications into a functional AOI combinational logic circuit following a formal design process.

2. Understand the relationships between truth tables, logic gates, and logic expressions.

3. Recognize and apply simplification strategies to create the most efficient AOI combinational logic circuit design.

Transfer

Students will be able to independently use their learning to …

1. Translate a set of design specifications into a functional AOI combinational logic circuit following a formal design process.

2. Recognize and apply simplification strategies to create the most efficient AOI combinational logic circuit design.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. EQ

Essential Questions

Students will keep considering …

1. How would you use a design process to convert a set of design specifications into a functional combinational logic circuit?

2. What is the relationship between a combinational logic circuit’s truth table, logic expression, and circuit implementation? Can I describe the process of obtaining either of the first two design items given the third?

3. When you simplify logic expressions using Boolean algebra, how do you know that you have the simplest solution and that the solution is correct?

4. In terms of circuit implementation, what is the advantage of representing all logic expressions in either the SOP or POS form?

5. Defend the following statement: “All logic expressions, regardless of complexity, can be implemented with AND, OR, and INVERTER gates.”

Understandings

Students will understand that …

1. There is a formal design process for translating a set of design specifications into a functional combinational logic circuit.

2. The first step in designing a combinational logic circuit is to translate a set of design specifications into a truth table.

3. A truth table describes the behavior of a combinational logic design by listing all possible input combinations and the desired output for each.

4. Logic expressions can be derived from a given truth table; likewise, a truth table can be constructed from a given logic expression.

5. All logic expressions can be expressed in one of two forms: sum-of-products (SOP) or products of sum (POS).

6. Simplified logic expressions are used to create circuits with fewer gates.

7. All logic expressions, whether simplified or not, can be implemented using AND, OR, and INVERTER gates.

Knowledge

Students will …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Know the formal design process for designing combinational logic circuits.

2. Know the truth tables and logic expressions associated with AND gates, OR gates, and INVERTER gates.

3. Know rules and laws of Boolean algebra including DeMorgan’s Theorems.

4. Know that a truth table can be interpreted into an algebraic expression representing the output of the circuit.

5. Know that a simplified logic expression can produce the same outputs with fewer gates.

6. Recognize sum-of-product expressions and product-of-sum expressions.

Skills

Students will…

1. Know the formal design process for designing combinational logic circuits.

2. Know the truth tables and logic expressions associated with AND gates, OR gates, and INVERTER gates.

3. Know rules and laws of Boolean Algebra including DeMorgan’s Theorems.

4. Know that a truth table can be interpreted into an algebraic expression representing the output of the circuit.

5. Know that a simplified logic expression can produce the same outputs with fewer gates.

6. Recognize sum-of-product expressions and product-of-sum expressions.

Resources

Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions

Activity 2.1.2 AOI Logic Analysis: Circuit to Truth Table to Logic Expression

Day-by-Day Plans

Time: 11 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 2.1 Teacher Notes – Combinational Logic Design.

Days 1–2

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Combinational Logic Design Process

Present Combinational Logic Design Process.

Present Concepts and Essential Questions to provide a lesson overview.

AOI Truth Tables to Logic Expressions

Guide students through AOI Design: Truth Tables to Logic Expressions while students take notes.

Introduce Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions.

Assess student work using Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions Answer Key.

Day 3

AOI Logic Analysis

Guide students through the AOI Design: Logic Analysis while students take notes.

Introduce Activity 2.1.2 AOI Design: Logic Analysis.

Assess student work using Activity 2.1.2 AOI Logic Analysis Answer Key.

Days 4–5

AOI Logic Implementation

Guide students through AOI Logic Implementation while students take notes.

Introduce Activity 2.1.3 AOI Logic Implementation.

Assess student work using Activity 2.1.3 AOI Logic Implementation Answer Key.

Days 6–7

Circuit Simplification: Boolean Algebra

Present Circuit Simplification: Boolean Algebra.

Introduce Activity 2.1.4 Circuit Simplification: Boolean Algebra and Digital Electronics Equations and Theorems.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Assess student work using Activity 2.1.4 Circuit Simplification: Boolean Algebra Answer Key.

Days 8–9

Circuit Simplification: DeMorgan’s Theorems

Present Circuit Simplification: DeMorgan’s Theorems.

Introduce Activity 2.1.5 Circuit Simplification: DeMorgan’s Theorems.

Assess student work using Activity 2.1.5 Circuit Simplification: DeMorgan’s Theorems Answer Key.

Days 10–11

AOI Design: Majority Vote

Introduce Project 2.1.6 AOI Logic Design: Majority Vote.

Students will design, simulate, and build a Majority Vote - Voting Machine using AOI logic gates.

Assess student work using Project 2.1.6 AOI Logic Design: Majority Vote Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.1.1 AOI Design: Truth Tables to Logic Expressions Answer Key

Procedure

Truth Tables to Logic Expressions

Using the example as a guide, write the unsimplified logic expression for each of the following truth tables. Though it is not required, you may find it helpful to first write the Minterm expression for every place containing a 1 in the output function.

1.

2.

3.

4.

5.

Logic Expressions to Truth Tables

Now that you have mastered the process of writing an unsimplified logic expression from a completed truth table, let’s reverse the process. For the following logic expressions, create a corresponding truth table. Note that some terms in the logic expression may map to more than one place in the truth table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 6.

C D F1

0 0 1

0 1 1

1 0 0

1 1 0

7.

R S T F2

0 0 0 1

0 0 1 0

0 1 0 1

0 1 1 1

1 0 0 1

1 0 1 1

1 1 0 0

1 1 1 1

8.

A B C F3

0 0 0 1

0 0 1 0

0 1 0 1 Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 0 1 1 0

1 0 0 1

1 0 1 1

1 1 0 0

1 1 1 1

Seat Belt Alarm Circuit

Now that you understand the mechanics of converting from a truth table to a logic expression (and vice-versa), let’s revisit a circuit design we were introduced to in Unit 1. Your new car has an audio alarm that buzzes whenever the door is open and the key is in the ignition or when the key is in the ignition and the seat belt is not buckled.

Note: This seat belt alarm design is slightly different than the one you created earlier.

9. Using the following variable names and assignment conditions:

Complete the truth table below that captures the functionality of this audio alarm.

D: Door → 0 = Door Open / 1 = Door Close

K: Key → 0 = Key Not in Ignition / 1 = Key in Ignition

S: Seat Belt → 0 = Not Buckled / 1 = Buckled

B: Buzzer → 0 = Buzzer Off / 1 = Buzzer On

D K S B (Door) (Key) (Seat Belt) (Buzzer)

0 0 0 0

0 0 1 0

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 1 0 1

1 1 1 0

10. Using the truth table you just created, write the un-simplified logic expression for the buzzer (i.e., variable B). Be sure that your answer is in the Sum-of-Products form.

Humidity Sensor Circuit

Your Aunt Mary would like you to design a digital logic circuit that monitors the conditions in a room of her house where her seven cats live. The room’s temperature is monitored by a temperature sensor. The room’s humidity is monitored by a humidity sensor. The air quality of the room is monitored by a special sensor that measures air particle density. The three sensors output a one (1) to indicate an out-of-range condition. Located outside of the room is an ALERT light that is on (1) whenever two or more sensors are out of range.

11. Create a truth table that captures the functionality of this room monitoring system.

T H F A (Temperature) (Humidity) (FB density) (ALERT)

0 0 0 0

0 0 1 0

0 1 0 0

0 1 1 1

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 1

12. Using the truth table that you just created, write the unsimplified logic expression for the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. ALERT light. Be sure that your answer is in the Sum-of-Products form.

Conclusion

A digital logic circuit with two inputs has four input combinations. One with three inputs has eight combinations. One with four inputs has 16 combinations.

1. How many input combinations would a digital logic circuit have if it has five inputs?

32

How about six inputs?

64

2. Mathematically express the relationship between the number of input (N) and the number of input combinations (C).

Number of Input Combinations = 2N

3. Write the unsimplified logic expression for the truth table below.

X Y Z

0 0 1

0 1 1

1 0 1

1 1 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Did you see the shortcut? (Hint: Z is always a one.)

Going Further (Optional)

The unsimplified logic expression for the truth table is:

ABC

0 0 1 Another way to write this is:

0 1 1

. 1 0 0

1 1 1

Explain why this is true.

This is the expression for the only term that is zero. It is the opposite truth table if the output were inverted.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.1.2 AOI Logic Analysis: Circuit to Truth Table to Logic Expression Answer Key

Procedure

Let’s start by analyzing the relatively simple AOI logic circuit shown below. You will use the technique where you first extract the truth table and then use the truth table to derive the output’s logic expression.

1. Using the assigned test points (TP1–TP4), complete the following truth table.

X Y F1 TP1 TP2 TP3 TP4

0 0 0 1 0 1 0

0 1 1 0 0 1 1

1 0 1 1 1 0 0

1 1 0 0 0 0 0

2. Using the truth table, write out the Minterms for every location that contains a (1) in the F1 column.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Using the Minterm(s), write the logic expression for the output F1.

Re-analyze the simple AOI logic circuit using the technique where you first extract the logic expression for the output and then use the logic expression to derive the truth table.

4. Using the circuit diagram below, write the logic expression at the output of each gate until you reach the output of the circuit.

5. Using the logic expression, complete the truth table shown below.

X Y F1

0 0 0

0 1 1

1 0 1

1 1 0

How do the logic expressions and truth tables obtained from the two techniques compare? Are they the same? They should be. If they are not, review your work and correct any mistakes.

Let’s analyze a more complex circuit. Complete the following steps for the three input AOI

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. logic diagram below.

6. Using the analysis technique where you first extract the truth table and then use it to derive the output’s logic expression, analyze the circuit. Record your results below.

Create test points along the circuit as in 1a. Record corresponding test point columns in the truth table. Alternatively, the student can trace each minterm along the circuit. For example, in minterm 0, all three inputs have a zero value. Given these inputs, the final output at F2 is 0.

R S T F2

0 0 0 0

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 1

1 1 0 0

1 1 1 1

7. Using the analysis technique where you first extract the logic expression for the output and then use it to derive the truth table, analyze the circuit. Record your results below.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. R S T F2

0 0 0 0

0 0 1 0

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 1

1 1 0 0

1 1 1 1

8. How do the truth tables obtained from the two techniques compare? Are they the same? They should be. If they are not, review your work and correct any mistakes.

The truth tables are the same.

9. How do the logic expressions obtained from the two techniques compare? Are they the same?

The logic expressions are not the same. The second technique produced a logic expression with fewer terms.

Conclusion

1. In your own words, describe the process used to analyze a logic circuit where you first extract a truth table and then derive the logic expression.

By testing the circuit, the truth table is filled out and the minterms are identified by output values of 1. The minterms are added together to give the logic expression for the circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. Again, in your own words, describe the process used to analyze a logic circuit where you first extract the logic expression and then derive the truth table.

By analysis of the circuit diagram, the minterms are identified and the logic expression is written. The truth table is then created from the logic expression.

3. Did you find one of the processes easier than the other? Which one and why?

Analysis of the logic expression and creating the truth table from it should be easier and faster. You do not have to simulate or test the circuit to create the truth table.

4. How can two logic equations that are not identical be equal or equivalent?

The equations outputs produce identical truth tables.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.1.3 AOI Logic Implementation Answer Key

Procedure

Let’s examine the process of implementing an AOI logic circuit by designing a circuit for the relatively simple Sum-of-Products (SOP) logic expression F1.

3. Using the CDS, enter and test the logic circuit that you designed. Use switches for the inputs A, B, and C and a probe or LED circuit for the output F1. Verify that the circuit is working as expected. Print a copy of the circuit and attach it in your notebook.

OR

F1 – CDS

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 7. Using the CDS, enter and test the logic circuit that you designed. Use switches for the inputs A, B, and C and a probe or LED circuit for the output F2. Verify that the circuit is working as expected. Print a copy of the circuit and attach in your notebook.

OR

F2 – CDS

Conclusion

1. The two circuits shown below are equivalent, meaning that they both produce the same output, Minterm = WXYZ.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Analyze each circuit to prove that they both produce the output Minterm = WXYZ.

Since the two versions produce the same output and require the same number of gates to implement, is one version any better than the other?

Note: Think delays. Though we don’t normally worry about it in our designs, remember that all logic gates have propagation delay.

The second might be a better choice, because it would experience the least amount of propagation delays.

2. Below are two equivalent circuits. One was implemented from an SOP logic expression and the other from the equivalent POS form.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. First analyze the SOP version to determine the logic expression for F3 in SOP form. Use this expression to generate a truth table for the circuit.

A B C F3

0 0 0 0

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 1

1 1 0 0

1 1 1 0

Now analyze the POS version to determine the logic expression for F3 in POS form. Use this expression to generate a truth table for the circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. A B C F3

0 0 0 0

0 0 1 1

0 1 0 1

0 1 1 1

1 0 0 0

1 0 1 1

1 1 0 0

1 1 1 0

How do the two truth tables compare? Is the column for F3 the same for both? They should be. If they are not the same, review your work and make any necessary corrections.

How do the two truth tables compare? They are the same. Is the column for F3 the same for both? They should be. If they are not the same, review your work and make any necessary corrections.

Since the truth tables are the same for F3, what could be said about the two logic expressions?

They are equivalent.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.1.4 Circuit Simplification: Boolean Algebra Answer Key

Procedure

Using the theorems and laws of Boolean algebra, simplify the following logic expressions. Note the Boolean theorem/law used at each simplification step. Be sure to put your answer in Sum-of- Products (SOP) form.

1.

Consensus Theorem

Boolean Theorem 14

Boolean Theorem 4

Boolean Theorem 5

2.

Distributive Law 14

Boolean Theorem 8

Boolean Theorem 2

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Distributive Law 14

Consensus Theorem 19

Distributive Law 14

3.

Distributive Law 14

Boolean Theorem 8

Boolean Theorem 2

4.

Boolean Theorem 8

Boolean Theorem 2, Distributive Law 14

Boolean Theorem 6

Boolean Theorem 2

5.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Distributive Law 15

Boolean Theorem 3 and 4

Distributive Law 14

Boolean Theorem 8

Boolean Theorem 2

Boolean Theorem 7

6.

Distributive Law 14

Boolean Theorem 7

Distributive Law 14

Multiply by 1 - Boolean Theorem 8

Distributive Law 14 twice

Boolean Theorem 6

Boolean Theorem 2

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Distributive Law 14

Consensus Theorem 16

Distributive Law 14

Distributive Law 14

Boolean Theorem 8

Boolean Theorem 2

An alternative solution for 6 using DeMorgan’s theorem is shown below.

Commutative Law 11, DeMorgan’s 20

Boolean Theorem 7

Consensus Theorem 16

7.

Distributive Law 14 and Theorem 7

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Boolean Theorem 4

Boolean Theorem 5

8.

Consensus Theorems 16 and 18

Distributive Law 15

Boolean Theorem 3

Boolean Theorem 4

Distributive Laws 14, Boolean Theorem 8

Boolean Theorem 2

Boolean Theorem 7

Distributive Laws 14, Boolean Theorem 3

Almost as important as being able to use the laws of Boolean algebra (associative, commutative, or distributive) to simplify logic expressions, it is also critical that you are able to identify them. Identify the law of Boolean algebra upon which the following equalities are based.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 9.

Commutative

10.

Associative

11.

Associative

12.

Distributive

13.

Distributive

Now that you’ve practiced simplifying logic expressions, apply your knowledge to simplifying an actual circuit.

14. Shown below is a very poorly designed AOI circuit that is part of a coffee vending machine. Write the un-simplified logic expression for the output Brew Cut Off.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 15. Using the theorems and laws of Boolean algebra, simplify the logic expression Brew Cut Off. Be sure to put your answer in Sum-of-Products (SOP) form.

Distributive Law 14

Boolean Theorem 4, 1

Boolean 5, Distributive Law 14, Boolean Theorem 2

16. In your notebook, draw an AOI circuit that implements the simplified logic expression Brew Cut Off. For your implementation, assume that only 2-input AND gates (74LS08), 2-input OR gates (74LS32), and inverters (74LS04) are available.

Brew Cut Off

Conclusion

1. Describe the process that you would use to simplify a logic expression using Boolean algebra.

Use the laws of Boolean algebra (associative, commutative, or distributive) to organize/group similar terms.

Then use the theorems and laws of Boolean algebra to simplify.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. How do you know when you are finished simplifying and have arrived at the simplest equation?

There are no Boolean theorems left to apply that will make the expression any shorter.

3. Other than using Boolean algebra, how could you prove that two circuits are equivalent?

Compare the truth tables.

4. If you worked for a company that manufactured the coffee vending machine that used the poorly designed circuit, how much money would your new design save the company annually if each GATE cost 15¢ and the company made 500,000 vending machines per year?

7 gates x $.15 x 500,000 = $525,000

3 gates x $.15 x 500,000 = $225,000

You would save the company $300,000 annually.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.1.5 DeMorgan’s Theorems Answer Key

Procedure

Using DeMorgan’s theorems and the other theorems and laws of Boolean algebra, simplify the following logic expressions. Note the theorem/law used at each simplification step. Be sure to put your answer in Sum-of-Products (SOP) form.

1.

DeMorgan's Theorem 21, Boolean Theorem 9

2.

DeMorgan's Theorem 20

DeMorgan's Theorem 21 (twice)

Boolean Theorem 9

3.

DeMorgan's Theorem 20

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Boolean Theorem 9

4.

DeMorgan's Theorem 20

DeMorgan's Theorems 20 and 21

Boolean Theorem 9 (Used twice)

5.

DeMorgan's Theorem 21

Boolean Theorem 9

Boolean Theorem 12

Boolean Theorem 4 (Use 3 times)

Boolean Theorem 1

6.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. DeMorgan's Theorem 20

Boolean Theorem 9 (use twice)

DeMorgan's Theorem 20

Boolean Theorem 9

Boolean Theorem 14

7. Write the unsimplified logic expression for the output Do-Nothing in the logic circuit shown below.

The output is call Do-Nothing because that is exactly what the circuit does, nothing; yet it’s a good example for learning about DeMorgan’s theorems.

8. Using DeMorgan’s theorems and the other theorems and laws of Boolean algebra, simplify the logic expression Do-Nothing. Be sure to put your answer in Sum-of-Products (SOP) form.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. DeMorgan's Theorems 20, 21, 21

Boolean Theorem 9 (use four times)

Distributive Law 14

Boolean Theorem 4

Boolean Theorem 5

9. In the space provided, draw an AOI circuit that implements the simplified logic expression Do Nothing. For this implementation you may assume that AND and OR gates are available with any number of inputs.

Do Nothing - I

10. Re-implement the circuit assuming that only 2-input AND gates (74LS08), 2-input OR gates (74LS32), and inverters (74LS04) are available. Draw this circuit in the space provided.

Do Nothing - II

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. Draw the gate equivalent for DeMorgan’s two theorems.

2. How would you prove that the original Do-Nothing circuit and the simplified version are equivalent?

Compare the truth tables.

3. If each GATE cost 5¢ and you made 100,000 of the unsimplified units, how much of the company’s money did you waste on the unsimplified Do-Nothing project?

Do Nothing Unsimplified Do Nothing Simplified A = 2 Gates (2-input) A = 3 Gates (2-input) O = 3 Gates (2-input) O = 1 Gates (2-input) I = 6 Gates (2-input) I = 2 Gates (2-input)

11 GATES x (.05) x 100,000 = $55,000 6 GATES x (.05) x 100,000 = $30,000 You would have wasted $25,000.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 2.1.6 Majority Vote Answer Key

Procedure

Complete the following steps to design, simulate, build, and test your Majority Vote - Voting Machine. For each step, be sure to document all your work in your engineering notebook.

1. Using the following variable names and assignment conditions, create a truth table shown for your Majority Vote – Voting Machine.

P: President → 0 = No / 1 = Yes

V: Vice President → 0 = No / 1 = Yes

S: Secretary → 0 = No / 1 = Yes

T: Treasurer → 0 = No / 1 = Yes

Decision → 0 = Fail / 1 = Pass

P V S T Decision

0 0 0 0 0

0 0 0 1 0

0 0 1 0 0

0 0 1 1 0

0 1 0 0 0

0 1 0 1 0

0 1 1 0 0

0 1 1 1 1

1 0 0 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 0 0 1 1

1 0 1 0 1

1 0 1 1 1

1 1 0 0 1

1 1 0 1 1

1 1 1 0 1

1 1 1 1 1

2. Using the truth table, write the unsimplified logic expression for the output function Decision. Be sure that your answer is in the Sum-of-Products form.

Passing Vote =

4. Using the CDS, enter and test your unsimplified Majority Vote – Voting Machine. Use switches for the inputs P, V, S, and T and a probe or LED circuit for the output Decision. Verify that the circuit is working as expected. Print a copy of the final circuit and paste it in your engineering notebook/portfolio.

The following is only one of several possible layouts.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 7. Using the CDS, enter and test your simplified Majority Vote – Voting Machine. Use switches for the inputs P, V, S, and T and a probe or LED circuit for the output Decision. Verify that the circuit is working as expected. Print a copy of the final circuit and paste it in your engineering notebook/portfolio.

The following are two layout examples. The location of switches is dependent upon personal preference.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. OR

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.2 Universal Gates and K-Mapping

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Karnaugh Mapping

This presentation demonstrates how to use the Karnaugh Mapping method to simplify two, three, and four variable logic expressions. Additionally, the K-Mapping method will be used to simplify logic designs containing don’t care conditions. The examples given in the presentation are directly related to the exercises in Activity 2.2.1 K-Mapping Simplification as well as all the other activities and the project in this lesson. Activity 2.2.1 Circuit Simplification: Karnaugh Mapping

Note: You may download a printable student version of the activity from Lesson 2.2 Teacher Resources page.

This activity is designed to give students practice using the K-Mapping method to solve two, three, and four variable logic expressions. This activity is important because K-Mapping will be used extensively throughout the remainder of this lesson and other lessons in this unit.

Universal Gate - NAND

After presenting the basic operations of the NAND gate, this presentation explains why the NAND gate is considered a universal gate and how it can replace an AND gate, OR gate, or INVERTER gate. The detailed steps for re-implementing an AOI logic circuit using only NAND gates are presented. Finally, equivalent AOI and NAND only circuit implementations will be compared in terms of gate and IC utilization and cost. The examples provided in the presentation are directly related to the exercises in Activity 2.2.2 Universal Gates: NAND Logic Design. Activity 2.2.2 Universal Gates: NAND Only Logic Design

This activity is designed to give students practice redesigning an AOI combinational logic circuit using only NAND gates. In the event that there’s a need to make up time in the schedule, the building of the NAND logic circuit on the DLB can be omitted from the activity.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Universal Gate - NOR

After presenting the basic operations of the NOR gate, this presentation explains why the NOR gate, like the NAND gate, is considered a universal gate and how it can replace an AND gate, OR gate, or INVERTER gate. The detailed steps for re-implementing an AOI logic circuit using only NOR gates are presented. Finally, equivalent AOI and NOR only circuit implementations will be compared in terms of gate and IC utilization and cost. The examples provided in the presentation are directly related to the exercises in Activity 2.2.3 Universal Gates: NOR Logic Design. Activity 2.2.3 Universal Gates: NOR Only Logic Design

This activity is designed to give students practice redesigning an AOI combinational logic circuit using only NOR gates. In the event that there’s a need to make up time in the schedule, the building of the NOR logic circuit on the DLB can be omitted from the activity.

Activity 2.2.4 Design Tool: Logic Converter

This activity provides a brief tutorial on how to use the Logic Converter virtual instrument with the Multisim CDS to simplify and implement combinational logic circuits. The Logic Converter will then be used to re-implement the Majority Vote – Voting Machine that was designed during lesson 2.1. Project 2.2.5 Universal Gates and K-Mapping: Fireplace Control Circuit

In this project students will design, simulate, and build a Fireplace Control Circuit using both NAND and NOR gates. The students are required to use the K-Mapping method to simplify the two logic expressions contained within this design. Combinational Logic Design Process

This presentation offers the three versions of the Combinational Logic Design Process. Version 2, the version used throughout this lesson, adds two features to the design process. First, the students will re-implement their AOI implementations into either NAND only or NOR only implementations, resulting in more efficient designs. Second, students will use the Karnaugh mapping (K-Map) technique to simplify the logic expressions rather than using Boolean algebra.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.2 Universal Gates and K-Mapping

Teacher Resources

View Student Edition Preface

In the first lesson of this unit, we learned how to use a design process to transform design specifications into functional AOI combinational logic. Though the result of this work was a functioning circuit, this process does not address a few issues.

First, Boolean algebra was required to simplify the logic expressions. Though Boolean algebra is an important mathematical process, applying its numerous theorems and laws is not always the easiest task to undertake.

Second, as we will see in this lesson, AOI circuit implementations are rarely the most cost-effective solutions for combinational logic designs.

After completing a series of guided foundational activities on Karnaugh Mappings, NAND only logic design, NOR only logic design, and NI™ Multisim’s Logic Converter, the students will apply the Combinational Logic Design Process (version 2) to develop a Fireplace Control Circuit. This process will walk the students through the steps required to transform a set of written design specifications into a functional combinational logic circuit implemented with either NAND only or NOR only logic.

Established Goals

It is expected that students will…

1. Use alternative design strategies to AOI design to create circuits using universal gates.

2. Be able to determine when using a universal gate design might be beneficial.

3. Simplify logic expressions using Karnaugh maps.

Transfer

Students will be able to independently use their learning to …

1. Recognize and apply alternative design strategies to AOI logic design. (Universal Gates)

2. Recognize and apply alternative simplification strategies to Boolean algebra. (K-Mapping)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Evaluate and determine when alternative design strategies are beneficial to a circuit’s design or design process.

EQ

Essential Questions

Students will keep considering …

1. Why are NAND gates and NOR gates considered universal gates?

2. What are the advantages of implementing a combinational logic design with universal gates?

3. What are the advantages of using K-mapping over Boolean algebra to simplify logic expressions?

Understandings

Students will understand that …

1. There is a formal design process for translating a set of design specifications into a functional combinational logic circuit implemented with NAND or NOR gates.

2. Combinational logic designs implemented with NAND gates or NOR gates will typically require fewer Integrated Circuits (IC) than AOI equivalent implementations.

3. A NAND gate is considered a universal gate because it can be used to implement an AND gate, OR gate, and an INVERTER gate. Any combinational logic expression can be implemented using only NAND gates.

4. A NOR gate is considered a universal gate because it can be used to implement an AND gate, OR gate, and an INVERTER gate. Any combinational logic expression can be implemented using only NOR gates.

5. Karnaugh Mapping is a graphical technique for simplifying logic expressions containing two, three, and four variables.

6. A don’t care condition is a situation where the design specifications “don’t care” what the output is for one or more input conditions. Don’t care conditions in K-Maps can lead to significantly simpler logic expressions and circuit implementations.

Knowledge

Students will …

1. Identify NAND and NOR gates and recognize them as universal gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. Know that universal gates may provide the opportunity for a more efficient design.

3. Relate AOI logic to NAND only logic.

4. Relate AOI logic to NOR only logic.

5. Know the rules associated with the K-Mapping Technique.

Skills

Students will …

1. Translate a set of design specifications into a functional NAND or NOR combinational logic circuit following a formal design process.

2. Compare and contrast the quality of combinational logic designs implemented with AOI, NAND, and NOR logic gates.

3. Use Circuit Design Software (CDS) to simulate and prototype NAND and NOR logic circuits.

4. Use the K-Mapping technique to simplify combinational logic problems containing two, three, and four variables.

5. Solve K-Maps that contain one or more don’t care conditions.

6. Use current technology to convert AOI designs to universal gate designs.

Resources

Activity 2.2.1 Circuit Simpification: Karnaugh Mapping

Day-by-Day Plans

Time: 14 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 2.2 Teacher Notes – Universal Gates and K-mapping.

Days 1–2

Present Concepts and Essential Questions to provide a lesson overview.

Karnaugh Mapping

Guide students through Karnaugh Mapping presentation while students take notes.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Introduce Activity 2.2.1 Circuit Simplification: Karnaugh Mapping.

Assess student work using Activity 2.2.1 Circuit Simplification: Karnaugh Mapping Answer Key.

Days 3–5

Universal Gates: NAND Logic Design

Guide students through Universal Gate - NAND presentation while students take notes.

Introduce Activity 2.2.2 Universal Gates: NAND Only Logic Design.

Assess student work using Activity 2.2.2 Universal Gates: NAND Only Logic Design Answer Key.

Days 6–8

Universal Gates: NOR Logic Design

Guide students through Universal Gate - NOR presentation while students take notes.

Introduce Activity 2.2.3 Universal Gates: NOR Only Logic Design.

Assess student work using Activity 2.2.3 Universal Gates: NOR Only Logic Design Answer Key.

Day 9

Design Tool: Logic Converter

Provide a demonstration of the MultiSim Logic Converter.

Students will take notes.

Introduce Activity 2.2.4 Design Tool: Logic Converter.

Assess student work using Activity 2.2.4 Design Tool: Logic Converter Answer Key.

Days 10–13

Problem 2.2.5 Universal Gates and K-Mapping: Fireplace Control Circuit

Introduce Project 2.2.5 Universal Gates and K-Mapping: Fireplace Control Circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Students will design, simulate, and build a Fireplace Control Circuit using universal gates and K-Mapping.

Assess student work using Project 2.2.5 Universal Gates and K-Mapping: Fireplace Control Circuit Answer Key.

Day 14

Lesson Review

Review the lesson’s Established Goals, Transfers, Essential Questions and Understandings.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.2.1 Karnaugh Mapping Answer Key

Procedure

Write the simplified sum-of-products (SOP) logic expression for the K-Maps below.

1.

2.

3.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. After transferring the truth table data into the K-Maps, write the simplified sum-of-products (SOP) logic expression for the K-Maps below.

4.

Q R F4

0 0 0

0 1 0

1 0 1

1 1 1

5.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. QRS F5

0 0 0 0

0 0 1 1

0 1 0 1

0 1 1 0

1 0 0 0

1 0 1 1

1 1 0 1

1 1 1 0

6.

QRST F6

0 0 0 0 0

0 0 0 1 0

0 0 1 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 0 0 1 1 0

0 1 0 0 0

0 1 0 1 1

0 1 1 0 1

0 1 1 1 1

1 0 0 0 1

1 0 0 1 1

1 0 1 0 1

1 0 1 1 0

1 1 0 0 0

1 1 0 1 1

1 1 1 0 0

1 1 1 1 1

Both answers are correct because they both require the same amount of logic to implement.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. After labeling the K-Map and transferring the truth table data into it, write the simplified sum-of- products (SOP) logic expression for the K-Maps shown below.

7.

W X F7

0 0 0

0 1 1

1 0 1

1 1 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 8.

WXY F8

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 1

1 1 1 1

9.

WXYZ F9

0 0 0 0 1

0 0 0 1 1

0 0 1 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 0 0 1 1 0

0 1 0 0 0

0 1 0 1 1

0 1 1 0 1

0 1 1 1 0

1 0 0 0 0

1 0 0 1 1

1 0 1 0 1

1 0 1 1 0

1 1 0 0 0

1 1 0 1 1

1 1 1 0 0

1 1 1 1 0

Write the simplified sum-of-products (SOP) logic expression for the K-Maps below. Be sure to take advantage of any don’t care conditions.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 10.

11.

12.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. Give three advantages of using K-mapping over Boolean algebra to simplify logic expressions.

K-Maps are procedural and much cleaner than Boolean simplification.

K-Maps are faster and easier than Boolean simplification.

K-Maps can be used for any number of input variables, BUT are only practical for two, three, and four variables

2. The three variable K-maps shown below can be completed with three groups of two. The two groups shown (cells 1 and 3; cells 4 and 6) are required. The third group needed to cover the one in cell 2, could be cells 2 and 3 or cells 2 and 6.

Write the two possible logic expressions for the function F1.

OR

3. These logic expressions are considered equal and equivalent, but they do not look the same. Explain why these two expressions can be considered equal and equivalent even though they are not identical.

While the two expressions contain different variables and terms, both expressions result in

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. the same output, and both expressions result in the same truth table.

Going Further (Optional)

4. The following four variable K-Maps can be solved using the traditional method of grouping the 1s (identify the three groups of 8).

5. Rather than taking this approach, let’s get creative and take advantage of the fact that the K- Map contains only two 0s. Group these 0s and write the logic expression.

Since you grouped the 0s, this is the logic expression for

.

6. Now apply DeMorgan’s Theorem to get the logic expression for

.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 7. What is the advantage of taking this approach (from Question 6) over the traditional approach of circling the ones?

Answers may vary.

It is a faster and more efficient way to achieve the same output.

It is easier to see mathematically than on a K-map.

8. Are there any disadvantages?

Answers may vary. Most should recognize that it is easier to group 0s than 1s and use DeMorgan’s when there are only a few 0s in the truth table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.2.2 NAND Logic Design Answer Key

Procedure

1. In your notebook, draw the AOI circuits that implement the simplified logic expressions Booth and Alarm. Limit this implementation to only 2-input AND gates (74LS08), 2-input OR gates (74LS32), and inverters (74LS04).

Booth – AOI

Alarm – AOI

2. Re-implement these circuits assuming that only 2-input NAND gates (74LS00) are available. Draw these circuits in your notebook.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Booth – NAND

Alarm – NAND

3. Using the CDS, enter and test the two logic circuits that you designed. Use switches for the inputs A, B, C, and D and a probe or LED circuit for the outputs Booth and Alarm. Verify that the circuits are working as expected. Print a copy of the circuit and attach it in your notebook. Note: Although the two circuits work independently, they are part of one design and should be simulated, tested, and prototyped together.

Booth and Alarm – CDS

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. For your AOI implementations, how many ICs (74LS04, 74LS08, and 74LS32 chips) were required to implement your circuits? Note: You’re not just counting the number of gates used, but rather, the number of ICs, in whole or part, that were required.

A = 5 gates = 2 ICs

O = 3 gates = 1 IC

I = 4 gates = 1 IC

4 ICs Total

2. For your NAND implementations, how many ICs (74LS00 chips) were required to implement your circuits? Again, we are counting ICs, not gates.

NAND 13 gates = 4 ICs

3. In terms of hardware efficiency, how does the NAND implementation compare to the AOI implementation?

NAND implementation requires the same number of ICs; however, they are all the same type of IC.

4. NAND gates are available with three inputs (74LS10) and four inputs (74LS20). Could either of these chips have been used for this design? If so, how would it have affected the efficiency of the design?

Yes, but using 3- and 4-input NANDS will probably not increase the efficiency with the need for 5 inverters in the design.

3-input NAND = 3 gates per IC

4-input NAND = 2 gates per IC

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.2.3 NOR Logic Design Answer Key

Procedure

1. In your notebook, re-draw the AOI circuits that you designed in Activity 2.2.3 NAND Logic Design.

Booth – AOI

Alarm – AOI

2. Re-implement these circuits assuming that only 2-input NOR gates (74LS02) are available. Draw these circuits in your notebook.

Booth – NOR Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Alarm – NOR

3. Using the CDS, enter and test the two logic circuits that you designed. Use switches for the inputs A, B, C, and D and a probe or LED circuit for the outputs Booth and Alarm. Verify that the circuits are working as expected. Print a copy of the circuit and attach it in your notebook. Note: Although the two circuits work independently, they are part of one design and should be simulated, tested, and prototyped together.

Booth and Alarm – CDS

Conclusion

1. For your NOR implementations, how many ICs (74LS02 chips) were required to implement your circuits? Again, we are counting ICs, not gates.

NOR 15 gates = 4 ICs

2. In terms of hardware efficiency, how does the NOR implementation compare to the AOI implementation? (Refer to Activity 2.2.2 NAND Logic Design.)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. A = 5 gates = 2 ICs

O = 3 gates = 2 ICs

I = 4 gates = 1 IC

AOI - 4 ICs Total

NOR implementation requires the same number of ICs; however, they are all the same type of IC.

3. In terms of hardware efficiency, how does the NOR implementation compare to the NAND implementation in Activity 2.2.2 NAND Logic Design?

NOR implementation requires the same number of ICs.

4. NOR gates are available with three inputs (74LS27). Could this chip have been used for this design? If so, how would it have affected the efficiency of the design?

Yes, but using 3- and 4-input NORs will probably not increase the efficiency with the need for 7 inverters in the design.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.2.4 Design Tool: Logic Converter Answer Key

Procedure

11. Use the Logic Converter to create the NAND only logic implementation of the Majority Vote – Voting Machine. Use switches for the inputs A, B, C, and D (again, you should rename them P, V, S, and T)and a probe or LED circuit for the output Vote. Verify that the circuit is working as expected. Print a copy of the circuit and attach it in your notebook.

Conclusion

1. How did the AOI implementation of the Majority Vote – Voting Machine created by the Logic Converter compare to the design that you completed manually in the previous lesson?

It was the same.

2. In terms of hardware efficiency, how does the NAND only implementation compare to the AOI implementation?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The NAND only implementation has: 11 gates = 4 ICs

The AOI only implementation has: 5 AND gates = 2 ICs 3 OR gates = 1 IC

There is no advantage to the NAND only design.

3. Though the logic converter is a very powerful tool, it does have some limitations. What are these limitations?

The logic converter does not have a NOR only option.

It is limited to 8 inputs.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 2.2.5 Universal Gates and K‑Mapping: Fireplace Control Answer Key

Conclusion

Using your engineering notebook as a guide, write a conclusion (minimum 250 words) to describe the process that you used to design, simulate, and build your Fireplace Control Circuit. This conclusion must include all of your design work (truth table, K-Maps, etc.), preliminary and final schematics, parts list, and a digital photograph of your final circuit. The documentation should be complete enough that a student with a similar knowledge of digital electronics could reproduce your design without any additional assistance.

A B C D Emergency Cutoff Fault Indicator

0 0 0 0 0 0

0 0 0 1 0 1

0 0 1 0 0 1

0 0 1 1 0 1

0 1 0 0 0 1

0 1 0 1 0 1

0 1 1 0 0 1

0 1 1 1 1 1

1 0 0 0 0 1

1 0 0 1 0 1

1 0 1 0 0 1

1 0 1 1 1 1

1 1 0 0 0 1

1 1 0 1 1 1

1 1 1 0 1 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 1 1 1 1 0

Note: There are several combinations of groups in this K-map. In fact , this is not the simplest grouping.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.3 Specific Combinational Logic Designs

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Hexadecimal and Octal Number Systems

This presentation provides a detailed explanation of the process for converting numbers between the hexadecimal and octal number systems and the decimal number system. The examples provided in the presentation are directly related to the exercises in Activity 2.3.1 Hexadecimal and Octal Number Systems. The presentation should be used to help students begin the activity. Demonstrate the number-base conversion feature on the students’ calculators and explain that, despite having these tools readily available, they must understand the conversion process. Explain that they will be expected to perform the conversion without the use of a calculator. Calculators

Your classroom should have calculators built in. There are many methods of accessing the calculator. One way is to click Start > Run, type “calc”, and press Enter. The standard calculator will appear.

Another option is to go through the Accessories menu.

Once the calculator is open, you can change to the scientific calculator. Click View > Scientific and the calculator changes as shown.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Students can now check their work using the different number systems settings. Keep in mind that this calculator is available on most computers; you may require that computers remain off during assessment. Activity 2.3.1 Hexadecimal and Octal Number Systems

Note: You may download a printable student version of the activity from Lesson 2.3 Teacher Resources page.

This activity is designed to give students practice converting numbers between the hexadecimal and octal number systems and the decimal numbers system. The activity includes several problems of each type. You may determine which problems to assign. The ultimate goal is for students to master the conversion process. Seven Segment Display Driver

After a brief review of basic diode operations, this presentation provides a detailed overview of both the common anode and common cathode seven-segment displays. Additionally, sample calculations are provided to show how to select the proper currently limiting resistor for seven- segment displays. The examples given in the presentation are directly related to the exercises in Activity 2.3.2 Seven-Segment Displays. The presentation should be used to help students begin the activity. Activity 2.3.2 Seven-Segment Displays

Note: You may download a printable student version of the activity from Lesson 2.3 Teacher Resources page.

This activity is designed to give students practice using both common anode and common cathode seven-segment displays to display alpha and numeric values. This information is necessary for the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Date of Birth design problem. Multiplexed Signals and Demultiplexed Signals

This presentation explains the basic function of the multiplexer and demultiplexer and reviews several classic applications of each. Activity 2.3.3 Multiplexers (MUX) and Demultiplexers (DEMUX)

In this activity students will explore one of the classic applications of multiplexing/demultiplexing. Students will implement a digital sign that uses multiplexed seven-segment displays. The displays are multiplexed to significantly reduce the power consumed by the display. 2’s Complement Arithmetic

This presentation demonstrates the process of representing a negative binary number using the 2’s complement process. Additionally, the presentation illustrates how 2’s complement numbers can be used to add and subtract binary numbers. Remember that you must work in a fixed-bit length number system when using the 2’s complement process. The examples provided in the presentation are directly related to the exercises in Activity 2.3.4 Two’s Complement Arithmetic. Activity 2.3.4 Two’s Complement Arithmetic

Note: You may download a printable student version of the activity from Lesson 2.3 Teacher Resources page.

In this activity students learn how to express decimal numbers in their 8-bit - 2’s complement binary equivalent and use these equivalencies to perform simple addition and subtraction. XOR, XNOR, and Binary Adders

After presenting the basic operations of the Exclusive OR (XNOR) and Exclusive NOR (XOR) gates, this presentation explains binary addition and how half-adders and full-adders can be implemented using SSI gates including XOR and XNOR gates. Additionally, this presentation will show how binary adders of any bit length can be created by cascading a half-adder with one or more full- adders. These full-adders can use SSI or MSI logic gates. The examples provided in the presentation are directly related to the exercises in Activity 2.3.5 XOR, XNOR, and Binary Adders. Activity 2.3.5 Binary Adders: XOR and XNOR

Note: You may download a printable student version of the activity from Lesson 2.3 Teacher Resources page.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This activity is designed to give students practice implementing binary adders with both SSI and MSI logic gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.3 Specific Combinational Logic Designs

Teacher Resources

View Student Course Preface

This lesson will address a few fundamental topics related to combinational logic. These topics include hexadecimal and octal number systems, XOR, XNOR, and binary adders, 2’s complement arithmetic, and Multiplexers/Demultiplexers.

These designs are commonly used in digital circuit designs related to adding/subtracting numbers, seven-segment displays in designs, and carrying multiple signals through the same pathway in a circuit.

Established Goals

It is expected that students will…

1. Convert numbers between hexadecimal, octal, and decimal number systems.

2. Recognize common types of seven-segment displays and implement them into a design effectively.

3. Add and subtract numbers in binary.

4. Correlate binary addition/subtraction to the function of a binary adder.

5. Recognize and implement multiplexed and demultiplexed designs.

Transfer

Students will be able to independently use their learning to …

1. Apply number system conversions as they relate to digital circuit design.

2. Incorporate seven-segment displays into circuit designs.

3. Recognize and describe common digital circuits design and explain how they are used in common electronic devices. (Binary Adders; Multiplexers/Demultiplexers)

4. Add and subtract numbers using two’s compliment arithmetic and apply this concept in the Copyright © 2017 Project Lead The Way, Inc. All rights reserved. design of a binary adder.

5. Design and create a digital circuit with designer-defined outputs.

EQ

Essential Questions

Students will keep considering …

1. Why is the understanding of number systems and conversion between number systems such as binary, octal, decimal, hexadecimal, and Binary Coded Decimal (BCD) essential to your ability to design combinational logic circuits?

2. Why are binary adders such an important design in digital electronics and how do they work?

3. How can different types of seven-segment displays be integrated into your designs?

4. How would you use a design process to convert a set of design specifications that you have defined into a functional combinational logic circuit containing multiple outputs?

5. What is the basic operation of digital multiplexers and demultiplexers and how can they improve a circuit’s design?

Understandings

Students will understand that …

1. The relationship of hexadecimal and octal number systems to the decimal number system is important in digital electronics. Those who work in digital electronics must be able to convert number systems.

2. The addition of two binary numbers of any bit length can be accomplished by cascading one half-adder with one or more full adders.

3. Two’s complement arithmetic is the most commonly used method for handling negative numbers in digital electronics.

4. XOR and XNOR gates can be used to implement combinational logic circuits, but their primary intended purpose is for implementing binary adder circuits.

5. Seven-segment displays are used to display the digits 0–9 as well as some alpha characters.

6. The two varieties of seven-segment displays are common cathode and common anode.

7. Multiplexer/de-multiplexer pairs are most frequently used when a single connection must be shared between multiple inputs and multiple outputs.

8. Electronics displays that use multiple seven-segment displays utilize demultiplexers to significantly reduce the amount of power required to operate the display.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 9. A formal design process exists for translating a set of design specifications into a functional combinational logic circuit.

10. Any combinational logic expression can be implemented with AOI, NAND, or NOR logic.

Knowledge

Students will …

1. Know the rules governing base 10 number systems.

2. Know the rules governing base 8 number systems.

3. Know the rules governing base 16 number systems.

4. Know the rules governing two’s complement addition.

5. Recognize a half-adder.

6. Recognize a full-adder.

7. Label the seven segments of a seven-segment display.

8. Identify Common Cathode and Common Anode Seven Segment Displays and know the characteristics of each.

9. Know the formal design process used to translate design specifications to a functional combinational logic circuit.

10. Recognize a multiplexer and demultiplexer.

11. Describe the benefits of using a multiplexer and demultiplexer in a circuit design.

Skills

Students will…

1. Convert numbers between the hexadecimal or octal number systems and the decimal number system.

2. Use a seven-segment display in a combinational logic design to display alpha/numeric values.

3. Select the correct current limiting resistor and properly wire both common cathode and common anode seven-segment displays.

4. Design binary half-adders and full-adders using XOR and XNOR gates.

5. Use the two’s complement process to add and subtract binary numbers.

6. Describe how the addition of two binary numbers of any bit length can be accomplished by

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. cascading one half-adder with one or more full adders.

7. Design and implement binary adders using SSI and MSI ICs.

8. Use a formal design process to translate a set of design specifications for a design containing multiple outputs into a functional combinational logic circuit.

9. Design AOI, NAND, and NOR solutions for a logic expression and select the solution that uses the least number of ICs to implement.

10. Design electronics displays using seven-segment displays that utilize de-multiplexers.

11. Use Circuit Design Software (CDS) and a Digital Logic Board (DLB) to simulate and prototype specific combinational logic circuits.

Resources

Activity 2.3.1 Hexadecimal and Octal Number Systems

Activity 2.3.2 Seven-Segment Displays

Activity 2.3.4 Two’s Complement Arithmetic

Activity 2.3.5 Binary Adders: XOR and XNOR

Day-by-Day Plans

Time: 10 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 2.3 Teacher Notes – Combinational Logic Design.

Day 1

Present Concepts and Essential Questions to provide a lesson overview.

Octal and Hexadecimal Number Systems

Guide students through the Octal and Hexadecimal Number Systems presentation while students take notes.

Introduce Activity 2.3.1 Hexadecimal and Octal Number Systems.

Assess student work using Activity 2.3.1 Octal and Hexadecimal Number Systems Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Days 2–3

Seven-Segment Displays

Guide students through the Seven-Segment Displays and Seven-Segment Display Driver presentations while students take notes.

Introduce Activity 2.3.2 Seven-Segment Displays.

Assess student work using Activity 2.3.2 Seven-Segment Displays Answer Key.

Days 4–5

Multiplexers and Demultiplexers

Guide students through the Multiplexed Signals and Demultiplexed Signals presentation while students take notes.

Introduce Activity 2.3.3 Multiplexers (MUX) and Demultiplexers (DEMUX).

Assess student work using Activity 2.3.3 Multiplexers (MUX) and Demultiplexers (DEMUX) Answer Key.

Days 6–7

2’s Complement Arithmetic

Guide students through the 2’s Complement Arithmetic presentation while students take notes.

Introduce Activity 2.3.4 Two’s Complement Arithmetic.

Assess student work using Activity 2.3.4 Two’s Complement Arithmetic Answer Key.

Days 8–9

XOR, XNOR, and Binary Adders

Guide students through the XOR, XNOR, and Binary Adders presentation while students take notes.

Introduce Activity 2.3.5 Binary Adders: XOR and XNOR.

Assess student work using Activity 2.3.5 Binary Adders: XOR and XNOR Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Day 10

Lesson Review

Review the lesson’s Established Goals, Transfers, Essential Questions, and Understandings.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.3.1 Octal and Hexadecimal Number Systems Answer Key

Procedure

Complete the following decimal-to-octal number conversions. If available, use the base conversion feature of your calculator to check your answers.

1. 25 (10) =

25 (10) = 31 (8)

2. 49 (10) =

49 (10) = 61 (8)

3. 187 (10) =

187 (10) = 273 (8)

4. 398 (10) =

398 (10) = 616 (8)

5. 2879 (10) =

2879 (10) = 5477 (8)

Complete the following octal-to-decimal number conversions. If available, use the base conversion feature of your calculator to check your answers.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 6. 36 (8) =

36 (8) = 30 (10)

7. 75 (8) =

75 (8) = 61 (10)

8. 143 (8) =

143 (8) = 99 (10)

9. 367 (8) =

367 (8) = 247 (10)

10. 1735 (8) =

1735 (8) = 989 (10)

Complete the following decimal-to-hexadecimal number conversions. If available, use the base conversion feature of your calculator to check your answers.

11. 25 (10) =

25 (10) = 19 (16)

12. 46 (10) =

46 (10) = 2E (16)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 13. 120 (10) =

120 (10) = 78 (16)

14. 429 (10) =

429 (10) = 1AD (16)

15. 1215 (10) =

1215 (10) = 4BF (16)

Complete the following hexadecimal-to-decimal number conversions. If available, use the base conversion feature of your calculator to check your answers.

16. 3B (16) =

3B (16) = 59 (10)

17. A9 (16) =

A9 (16) = 169 (10)

18. 159 (16) =

159 (16) = 345 (10)

19. 2A3 (16) =

2A3 (16) = 675 (10)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 20. 1AB3 (16) =

1AB3 (16) = 6835 (10)

21. Use the shortcut base conversion technique to complete the following table.

Binary Octal Hexadecimal

1) 1010112 53 2B

2) 110100011 643 1A316

3) 110101102 326 D6

4) 1011111 1378 5F

5) 1010111102 536 15E

A useful tool in simulation is the Digital Hex Display. Create the following circuit in the Circuit Design Software (CDS) and complete the truth table.

A B C D Display?

0 0 0 0 0

0 0 0 1 1

0 0 1 0 2

0 0 1 1 3

0 1 0 0 4

0 1 0 1 5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 0 0 1 9

1 0 1 0 A

1 0 1 1 b

1 1 0 0 C

1 1 0 1 D

1 1 1 0 E

1 1 1 1 F

The HEX DISPLAY has a built-in decoder that converts a binary number into its corresponding display digit. For example an input of “0110” would display a “6”, and a “1010” would display an “A”. Conclusion

1. Without performing the conversion, which of the following numbers is the octal equivalent of 24510? How were you able to determine this?

3798

1748

3658

You can eliminate b, because the octal number can NEVER be less than the decimal number, and eliminate a, because there is no such thing as a 9 in octal.

(3x64) + (6x8) + (5x1) = 245(10)

2. You are sent to the store to buy some hamburger for dinner. When you come home, your sister looks at the UPC label on the meat and says, “We can’t use this.” What does she see on

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. the label that you do not? Hint: think hexadecimal.

11=B 10=A 13=D 11=B 14=E 14=E 15=F

Going Further (Optional)

3. With 128-bit graphic cards becoming standard on many new PCs, there has been some thought of expanding to the base 32 number system. The base 32 number system would be selected, because it is the next greatest power of two after 16. Use your knowledge of number systems to convert the following base 32 number into its decimal equivalent.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.3.2 Seven-Segment Displays Answer Key

Procedure

1. Let’s investigate what alpha-numeric characters we can display on a seven-segment display.

Common Cathode Common Anode

Using the CDS, enter the seven-segment display test circuit shown below. Please note that the seven-segment display is a common cathode display.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. For the seven switches (A through G), determine the settings required so that the seven- segment display will display 0–9. Use the results to complete the table below.

Display ABCDEFG

0 1 1 1 1 1 1 0

1 0 1 1 0 0 0 0

2 1 1 0 1 1 0 1

3 1 1 1 1 0 0 1

4 0 1 1 0 0 1 1

5 1 0 1 1 0 1 1

6 1 0 1 1 1 1 1

7 1 1 1 0 0 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 8 1 1 1 1 1 1 1

9 1 1 1 1 0 1 1

Using the seven SPDT switches (A through G), determine at least 10 alpha characters that you could display using the seven-segment display. Don’t forget to include lowercase characters. Record your findings in the table below.

Display ABCDEFG

A 1 1 1 0 1 1 1

b 0 0 1 1 1 1 1

C 1 0 0 1 1 1 0

c 0 0 0 1 1 0 1

d 0 1 1 1 1 0 1

E 1 0 0 1 1 1 1

F 1 0 0 0 1 1 1

G 1 0 1 1 1 1 1

g 1 1 1 1 0 1 1

H 0 1 1 0 1 1 1

h 0 0 1 0 1 1 1

I 0 1 1 0 0 0 0

J 0 1 1 1 1 0 0

L 0 0 0 1 1 1 0

n 0 0 1 0 1 0 1

O 1 1 1 1 1 1 0

P 1 1 0 0 1 1 1

q 1 1 1 0 0 1 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. r 0 0 0 0 1 0 1

S 1 0 1 1 0 1 1

U 0 1 1 1 1 1 0

u 0 0 1 1 1 0 0

y 0 1 1 1 0 1 1

2. Now, let’s see how you can use a seven-segment display in a logic circuit.

Analyze the seven-segment display circuit below and complete the display table. The display is a common cathode.

J K Display

0 0 H

0 1 I

1 0 G

1 1 H

What message do you predict will be displayed?

Simulate the circuit to see if you were correct with your analysis.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Seven-Segment Display Drivers

In a previous activity, you were introduced to the Digital Hex Display. The Digital Hex Display translates four lines of binary into hexadecimal.

3. To display numbers on a seven-segment display, you need to use a specific driver that will translate four lines of binary into seven-segment numerical code.

The 74LS48 SSD Driver is designed for the Common Cathode SSD.

The 74LS47 SSD Driver is designed for the Common Anode SSD.

Simulate the circuit shown.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 74LS48N Seven-Segment Display Driver with Common Cathode SSD

If output OA is on “high”, is segment A on or off?

If output OA is on “high”, Segment A is “on”.

Enter 00002 to 11112 and note the number displayed on the SSD. How is it different from what is displayed on the Digital Hex Display?

The HEX Display shows A, b, C, d, E, F for 10–15.

4. Now let’s simulate the 74LS47N SSD Driver with Common Anode SSD.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 74LS47N Seven-Segment Display Driver with Common Anode SSD

If output OA is on “high”, is segment A on or off?

If output OA is on “high”, Segment A is “off”.

Enter 00002 to 11112 and note the number displayed on the SSD. What is the major difference between a Common Cathode Display with the 74LS48 and a Common Anode Display with the 74LS47?

CK and 74LS48 – A “high” ouput from the74LS48 turns segments on.

CA and 74LS47 – A “low” output from the 74LS47 turns segments on.

5. What if you only had access to a Common Anode Seven-Segment Display with a 74LS48 SSD Driver? Could you modify the circuit to display the numbers correctly?

Simulate the modified circuit using a CA SSD and 74LS48 SSD Driver.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. Complete the wiring of the seven-segment displays shown below so that they will display your age. If you are 15, display a 1 on the common cathode display and a 5 on the common anode display.

2. List five words of three characters or more that you could spell out using a seven-segment display. Don’t forget about lowercase characters. Be creative. Be polite.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Answers may vary. Some possible examples:

good

bOrn

FOOd

dOOr

3. Describe what you should look for if you designed a circuit with an SSD that appears to work in simulation, but does not appear to be working when you create the circuit. (Hint: It may actually be working.)

The numbers could be displayed, but all that are “off” should be “on”. All that are “on” should be”off”.

CA with a 74LS48 needs the outputs inverted.

CK with a 74LS47 needs the outputs inverted.

This particular arrangement is important, because in later activities, students will learn PLD mode which only supports CA (74LS47), while the SSD on the protoboards are CK.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.3.3 Multiplexers and Demultiplexers Answer Key

Procedure

The schematic diagram shown below is designed to display the word OPEN on four seven-segment displays. Though this design works, it is an inefficient use of power. Each segment draws approximately 18 mAmps from a 5V power supply. It takes 21 segments to display the word OPEN. Power = Voltage x Current (P=VI), so each segment is using 90 mWatts of power. To display the word OPEN, a total of 90 mWatts x 21 segments = 1.89 watts of power is required. This may not seem like much power, but consider all of the displays that you see every day. If they were all designed using this technique, a tremendous amount of power would be wasted.

3. Using the CDS, enter this circuit and verify that the circuit is working as expected by completing the table.

B A Y0 Y1 Y2 Y3 1st Display 2nd Display 3rd Display 4th Display

0 0 0 1 1 1 O

0 1 1 0 1 1 P

1 0 1 1 0 1 E

1 1 1 1 1 0 n

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 4. Use the knowledge you gained from implementing the multiplexed version of the circuit that displayed the word OPEN to design a circuit that displays the word HELP. Print a copy of the circuit and attach it in your notebook.

Multiplexed Display HELP

5. Using the CDS, enter this circuit and verify that the circuit is working as expected by completing the table.

B A Y0 Y1 Y2 Y3 1st Display 2nd Display 3rd Display 4th Display

0 0 0 1 1 1 H

0 1 1 0 1 1 E

1 0 1 1 0 1 L

1 1 1 1 1 0 P

Conclusion

1. As discussed in the Procedure, the de-multiplexed version of the circuit that displays the word OPEN uses 1.89 watts of power. On average, how much power does the multiplexed version use? For the sake of simplicity, you may assume that the 74LS139 requires no power.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Only one display is on at any given time. 1.89 watts/4 = .47 W

2. The circuit shown below takes the simplification of the circuit that displays the word OPEN to the next level. This circuit uses the same amount of power as the original multiplexed circuit but requires fewer (and differently-sized . . . HINT) resistors.

Explain how this circuit works.

In the original configuration, the first SSD (Y0) had 6 segments in parallel. Within each parallel segment, there was 1 resistor in series with the 1 segment (LED). That makes 6 resistors total for the 6 segments (LEDs).

In the new configuration, the first SSD (Y0) still has 6 segments in parallel, but within each parallel segment, there is now only the segment (LED). These 6 segments are now in series with a new 30Ω resistor.

This one 30Ω resistor has the same effect as the 6 resistors in the original configuration.

The same logic applies to the other three SSDs with 5 segments in parallel.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.3.4 Two’s Complement Arithmetic Answer Key

Procedure

1. Express the following decimal numbers as their 8-bit, 2’s complement binary equivalent.

114 (10) =

114 (10) = 01110010(2)

−49 (10) =

−49 (10) = 11001111(2)

87 (10) =

87 (10) = 01010111 (2)

−108 (10) =

−108 (10) = 10010100(2)

−97 (10) =

−97 (10) = 10011111(2)

2. Express the following 8-bit, 2’s complement binary number as their decimal equivalent.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 11011001 (2) =

11011001 (2) = −39(10)

01110100 (2) =

01110100 (2) = 116(10)

10110001 (2) =

10110001 (2) = − 79(10)

10111101 (2) =

10111101 (2) = − 67(10)

00011011 (2) =

00011011 (2) = 27(10)

3. Perform each of the following additions in 2’s complement form. Check your answers by converting the 2’s complement binary numbers into their decimal equivalents and adding.

overflow 0] 0 1 0 0 1 0 11

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. overflow 1] 0 0 0 1 1 1 1 1

overflow 0] 1 1 0 0 1 0 0 1

overflow 1] 1 1 0 0 0 0 0 0

4. After converting each decimal number to its 8-bit - 2's complement binary equivalent, perform the following arithmetic operations. Check your answers by converting the 2’s complement binary numbers into their decimal equivalents.

(Remember, subtraction is the same as addition of a negative number.)

=

overflow 0] 0 1 0 0 1 0 11

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. overflow 1] 0 0 0 1 1 1 1 1

overflow 0] 1 1 0 0 1 0 0 1

overflow 1] 1 1 0 0 0 0 0 0

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. What is the largest positive and smallest negative decimal number that can be expressed as an 8-bit, 2’s complement binary number?

+12710 = 011111112 –12810 = 100000002

2. Without performing any binary-to-decimal conversions, which of the following binary numbers must be –49?

How were you able to determine this?

00110001

11001111

01100110

b. 11001111. B Is the only answer with a 1 sign bit MSB is (–).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.3.5 XOR, XNOR, and Binary Adders Answer Key

Procedure

1. Using the CDS, enter the 2-bit adder shown below. This adder is implemented with SSI logic (i.e., AND gates, OR gates, and XOR gates).

This circuit has two 2-bit inputs (X1, X0 and Y1, Y0) and three outputs (S2, S1, and S0). S2- S0 is the sum of adding together X1-X0 and Y1-Y0. Additionally, the outputs (S2-S0) are connected to a common anode seven-segment display through a 74LS47 display driver.

Note: The wires are color coded to help with readability; these colors do not need to be maintained in your drawing.

Verify that the circuit is working as expected by completing the truth table.

Inputs Outputs

X1 X0 X Y1 Y0 Y S2 S1 S0 Display

0 0 0 0 0 0 0 0 0 0

0 0 0 0 1 1 0 0 1 1

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 0 0 0 1 0 2 0 1 0 2

0 0 0 1 1 3 0 1 1 3

0 1 1 0 0 0 0 0 1 1

0 1 1 0 1 1 0 1 0 2

0 1 1 1 0 2 0 1 1 3

0 1 1 1 1 3 1 0 0 4

1 0 2 0 0 0 0 1 0 2

1 0 2 0 1 1 0 1 1 3

1 0 2 1 0 2 1 0 0 4

1 0 2 1 1 3 1 0 1 5

1 1 3 0 0 0 0 1 1 3

1 1 3 0 1 1 1 0 0 4

1 1 3 1 0 2 1 0 1 5

1 1 3 1 1 3 1 1 0 6

2. Using the CDS, enter the 2-bit adder shown below. This adder is implemented with 74LS183 MSI full add gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This circuit is functionally identical to the SSI implementation from step number 1.

Verify that the circuit is working as expected by completing the truth table.

Inputs Outputs

X1 X0 X Y1 Y0 Y S2 S1 S0 Display

0 0 0 0 0 0 0 0 0 0

0 0 0 0 1 1 0 0 1 1

0 0 0 1 0 2 0 1 0 2

0 0 0 1 1 3 0 1 1 3

0 1 1 0 0 0 0 0 1 1

0 1 1 0 1 1 0 1 0 2

0 1 1 1 0 2 0 1 1 3

0 1 1 1 1 3 1 0 0 4

1 0 2 0 0 0 0 1 0 2

1 0 2 0 1 1 0 1 1 3

1 0 2 1 0 2 1 0 0 4

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 0 2 1 1 3 1 0 1 5

1 1 3 0 0 0 0 1 1 3

1 1 3 0 1 1 1 0 0 4

1 1 3 1 0 2 1 0 1 5

1 1 3 1 1 3 1 1 0 6

Conclusion

1. Perform the following binary additions using your 2-bit adder:

Going Further

2. The sum output of both the half and full adders could be implemented with XNOR gates instead of XOR gates. Using Boolean algebra, prove that the output of the circuit below is equal to the sum output of a 2-bit adder.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. OR

3. In addition to binary adders, another typical application of XOR gates is for magnitude comparators. Analyze the circuit shown below to determine its function. Note: Given that this circuit contains six inputs, and thus has 26 = 64 possible output combinations, completing a truth table would not be the best approach to solving this problem.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. If you look at the XOR table, when both inputs are the same—0,0 or 1,1 —the output is a 0. So A0,A1,A2 is one binary number and B0,B1,B2 is a second. They could range from 0 to 7. When the A bit and the B bit are the same, the XOR gate output is zero. So if the A number is the same as the B number, then all three XOR gates have an output of 0. Three 0s input into the 3-input NOR will give a 1 for the output. So whenever the two binary numbers are the same, the NOR outputs a logic 1. Basically it is a number comparator between two 3-bit binary numbers looking for when the numbers are equal, or depending on how it is used, it could be looking for when the numbers are different by 1 or more bit positions.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D. C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.4 Introduction to Programmable Logic Devices

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Combinational Logic Student Design Problem: Date of Birth

This presentation details the design specifications for the Date of Birth design problem and walks the students through an example solution. The example given in the presentation can be the basis for the example that is to be built, or you can create your own example. Problem 2.4.1 Combinational Logic Circuit Design: Date of Birth

In this problem the students will design, simulate, and build a circuit that will display their date of birth on a seven-segment display. This project requires the students to use all of the skills they have learned thus far in this unit. As you are sure to remember from your own experience at Core Training, this problem can be a challenge. This is particularly true when it comes to constructing the circuit on the DLB.

You may find it necessary to simplify this problem due to time constraints or concerns you may have about your students’ ability to complete the project. By eliminating the dash marks from the truth table and replacing them with don’t cares (at the end of the table), this will dramatically reduce the complexity of the circuit. Depending on the complexity of the problem, some designs may not fit on the DLB’s proto-board. In such cases, have the students use an additional proto-board or allow them to simplify their design by changing the date of birth that they use.

Combo Logic Design Process

This presentation addresses the three versions of the Combinational Logic Design Process. Version 3, the version used throughout this lesson, describes the steps for implementing combinational logic design with a Programmable Logic Device. Overview of Programmable Logic Devices

Provide an overview and demonstrate the use of PLD mode in NI Multisim™ to transfer a project to a PLD based on the hardware used in class. This course will support both the NI™ (DLB) Digital

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Logic Board (FPGA) and the NI™ (DMS) Digital MiniSystem (FPGA). The PLD Module of the DMS is the CMOD-S6. The “Export to PLD” experience in Multisim is identical regardless of what board you use. Activity 2.4.2 Introduction to PLDs Programming Tutorial

See support documents in Hardware and Software Support related to Xilinx v12.4 use with the DLB.

Note: Only specific pins are designated for CLK signals on the DLB.

GPIO0/CLK

GPIO4/CLK

GPIO5/CLK

GPIO8/CLK

GPIO9/CLK

GPIO10/CLK

See support documents related to Xilinx v14.4 use with the DMS.

Note: Only specific pins are designated for CLK signals on the DLB.

GPIO14/CLK

See support documents related to Xilinx v14.7 use with the DMS. Project 2.4.3 Combinational Logic Design: Date of Birth with a PLD

In this project, the students will use the FPGA to re-implement their Data of Birth design from Problem 2.4.1.

Note: With the presentation of programmable logic devices, some instructors may choose to have students create files in PLD mode only and Export to PLD, removing the need to breadboard the circuit. From this point forward, the PLTW DE curriculum will provide a simulation example in the answer key for instructors who wish to continue breadboarding, and a PLD Mode example in the answer key for those who wish to use the PLD exclusively.

The instructor must decide which approach to use in their classroom. If you choose not to breadboard circuits past this point and test circuits exclusively on the PLD module, you will work the

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. curriculum at a faster rate. The Day-by-Day provided may not accurately reflect classrooms using the PLD-only approach.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 2.4 Introduction to Programmable Logic Devices

Teacher Resources

View Student Course Preface

In the first three lessons of this unit, students learned how to use a design process to transform design specifications into functional AOI, NAND, and NOR combinational logic circuits. In this lesson, students will apply all they have learned to design a circuit for which they define some of the design specifications for the first time.

Students will design, simulate, and breadboard a circuit that displays their unique birthdate. We will then demonstrate circuit implementation at the next level using a programmable logic device. Specifically, we will use a Field Programmable Gate Array (FPGA). FPGA is a state-of-the-art programmable device capable of implementing large, sophisticated designs. In this course, we have limited our designs to four inputs and circuits that are manageable for breadboarding. The PLD shows us the next evolution of circuit design, allowing us to design more complex circuits more quickly.

The first problem in this lesson has students design, simulate, and breadboard the DOB circuit using AOI, NAND, NOR, and simplification strategies.

After a tutorial on the programmable logic design tool and the FPGA programming process, students will create the same DOB project in PLD Mode and transfer it to the FPGA. This reimplementation will permit the students to see the ease of designing with programmable logic over discrete logic gates.

Established Goals

It is expected that students will…

1. Describe how Programmable Logic Devices (PLDs) represent the next progression in technological development for circuit design.

2. Use Programmable Logic Devices (PLDs) to design circuits and describe the advantage PLDs provide.

Transfer

Students will be able to independently use their learning to …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Describe and demonstrate how programmable logic devices (PLDs) are used in industry to design larger circuits that would be difficult or time consuming to breadboard.

EQ

Essential Questions

Students will keep considering …

1. How is the design process impacted by use of Circuit Design Software (CDS) and Programmable Logic Devices (PLDs)?

2. How are programmable logic devices used to implement combinational logic circuits?

3. Describe the advantages and disadvantages of using a programmable logic device over discrete logic gates.

Understandings

Students will understand that …

1. Engineers and technicians use Circuit Design Software to enter and synthesize digital designs into programmable logic devices.

2. Programmable Logic Devices can be used to implement combinational logic circuits.

3. Circuits implemented with programmable logic devices require significantly less wiring than discrete logic, but they typically require a dedicated printed circuit board to hold the device.

4. Programmable logic devices can be used to implement any combinational logic circuits but are best suited for larger, more complex designs.

Knowledge

Students will …

1. Know the role that Programmable Logic Devices (PLDs) play in circuit development today.

2. Know the advantages to using PLDs.

3. Know the types of Programmable Logic Devices.

Skills

Students will…

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Design combinational logic circuits using a programmable logic device.

2. Describe the advantages and disadvantages of programmable logic devices over discrete logic gates.

3. Use Circuit Design Software (CDS) and a Digital Logic Board (DLB) to simulate and prototype combinational logic designs implemented with programmable logic.

Day-by-Day Plans

Time: 7 days

Note: In preparation for teaching, review the Lesson 2.4 Teacher Notes – Introduction to PLDs.

Performance-based Assessment (Optional)

In preparation for delivering the assessment, read the instructions provided in the Performance-based Assessment Answer Key.

A rubric that is recommended for grading is included in the assignment.

Days 1–5

Present Concepts and Essential Questions to provide a lesson overview.

Combinational Logic Design: Date of Birth Problem

Guide students through the Combinational Logic Circuit Design: Date of Birth presentation while students take notes.

Introduce Problem 2.4.1 Combinatonal Logic Circuit Design: Date of Birth.

You may wish to review troubleshooting circuits.

Assess student work using Problem 2.4.1 Combinatonal Logic Circuit Design: Date of Birth Answer Key.

Day 6

Programmable Logic Devices

Present an overview and demonstrate the PLD mode of Multisim.

Introduce Activity 2.4.2 Introduction to PLDs Programming Tutorial (DMS/Xilinx).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Assess student work using Activity 2.4.2 Introduction to PLDs Programming Tutorial (DMS/Xilinx) Answer Key based on either the DLB or DMS.

Day 7

Date of Birth with PLD

Introduce Project 2.4.3 PLD Design: Date of Birth.

Assess student work using Project 2.4.3 PLD Design: Date of Birth Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Problem 2.4.1 Combinational Logic: Date of Birth

Conclusion

Using your engineering notebook/portfolio as a guide, write a conclusion (minimum 250 words) to describe the process that you used to design, simulate, and build your Date of Birth circuit. This conclusion must include all of your design work (truth table, K-Maps), preliminary and final schematics, parts list, and a digital photograph of your final circuit. The documentation should be complete enough that another student with the same knowledge of digital electronics could reproduce your design without any additional assistance.

Truth Table

The following sample problem uses July 10, 1856. This is the birth date of Nikola Tesla.

X Y Z Date a b c d e f g

0 0 0 0 1 1 1 1 1 1 0

0 0 1 7 1 1 1 0 0 0 0

0 1 0 - 0 0 0 0 0 0 1

0 1 1 1 0 1 1 0 0 0 0

1 0 0 0 1 1 1 1 1 1 0

1 0 1 - 0 0 0 0 0 0 1

1 1 0 5 1 0 1 1 0 1 1

1 1 1 6 1 0 1 1 1 1 1

K-maps and Boolean Expressions

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1 NAND Segment, 1 NOR Segment, all other segments are optional (AOI in this example)

Note in the instructions that a selection of 3-input gates are allowed. Therefore, a variety of design solutions are possible.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 2.4.2 Programmable Logic Devices: PLD Mode – Digital MiniSystem (DMS) Answer Key

Conclusion

1. Look up the names and definitions for the following programmable logic acronyms:

PLD: Programmable Logic Device

PAL:

GAL: Gated Array Logic

CPLD: Complex Logic Device

FPGA: Field Programmable Gated Array

2. The evolution of programmable logic devices from the simple PALs of the late 1970s to the FPGAs of today is a classic example of Moore’s Law. What is Moore’s Law?

Over the history of computing hardware, the number of on integrated circuits doubles approximately every two years.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 2.4.3 Date of Birth with a PLD Answer Key

Procedure

Digital MiniSystem (DMS): (Cmod S6 FPGA Module)

The following is Tesla’s birthday example 07-10-56. It was re-created using the Logic Converter Tool introduced in Activity 2.2.4.

PLD Mode Design

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. DMS Wiring for this example:

Digital Logic Board (DLB)

The following is Tesla’s birthday example 07-10-56. It was recreated using the Logic Converter Tool introduced in Activity 2.2.4.

PLD Mode Design

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. No wiring is required with the DLB.

Conclusion

1. Describe the strategy you used to recreate the Date of Birth design in PLD design mode? Did you take any shortcuts to create the circuit quickly?

In this example, the Logic Converter was used to generate the simplified AOI version of each segment.

Students may implement NAND or NOR only versions to reduce the gate count further.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. List three advantages of implementing combinational logic design with programmable logic versus traditional discrete logic design.

PLDs allow the designer to create large circuits quickly because it requires less wiring.

PLDs allow the designer to create large circuits quickly because it requires less troubleshooting of wired components.

PLDs allow the designer to create large circuits without the need to purchase large numbers and varieties of discrete components.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.1 Sequential Logic: D Flip-Flops and J/K Flip- Flops

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Flip-Flops and Latches

This presentation reviews the D flip-flop and introduces students to the J/K flip-flop and the D latch. This presentation should be covered before you assign Activity 3.1.1 Sequential Logic: D Flip- Flops and J/K Flip-Flops. Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops

Note: You may download a printable student version of the activity from Lesson 3.1 Teacher Resources page.

After reviewing the basic operation of the 74LS74 D and the 74LS76 J/K flip-flops, students will examine two introductory applications of flip-flops. This first application is a Divide-By-Two Circuit implemented with a J/K flip-flop. The second is a Non-Overlapping Signal Generator implemented with a D flip-flop.

Flip-Flop Applications

This presentation provides an overview of the following flip-flop applications: Event Detectors, Data Synchronizers, Frequency Dividers, and Shift Registers. This presentation should be covered prior to assigning Activity 3.1.2 Flip-Flop Applications: Event Detector. Activity 3.1.2 Flip-Flop Applications: Event Detection

In this activity students will simulate an introductory application of a flip-flop. The event detector circuit will sound an alarm if a beam of light is disrupted on its photosensitive detector input using a D flip-flop. Activity 3.1.3 Flip-Flop Applications: Shift Register

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. This shift register design will be used to detect a specific input sequence. This flip flop application design is a 4-bit shift register with J/K flip-flops.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.1 Sequential Logic: D Flip-Flops and J/K Flip- Flops

Teacher Resources

View Student Course Preface

Sequential logic has two characteristics that distinguish it from combinational logic. First, sequential logic must have a signal that controls the sequencing of events. Second, sequential logic must have the ability to remember past events.

A keypad on a garage door opener is a classic example of an everyday device that uses sequential logic. On the keypad, the sequencing signal controls when a key can be pressed. The need to enter the passcode in a specific order necessitates memory of past events.

These characteristics are made possible by a simple device called a flip-flop. The flip-flop is a logic device that is capable of storing a logic level and allowing this stored value to change only at a specific time. For this reason the flip-flop is the fundamental building block for all sequential logic designs.

In this lesson we will begin the study of sequential logic by examining the basic operation of the two most common flip-flop types, the D and J/K flip-flops. As part of this analysis, we will review the design of four typical flip-flop applications: event detector, data synchronizer, frequency divider, and shift register. In later lessons, the application of flip-flops for asynchronous counters, synchronous counters, and state-machines will be studied.

Established Goals

It is expected that students will…

1. Understand and describe how sequential logic designs hold or store bits of data.

2. Distinguish between different logic devices used in sequential logic circuits. Describe the advantages and disadvantages of each when used in a digital design.

3. Implement commonly used sequential circuit designs to execute tasks used regularly in electronics.

Transfer

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Students will be able to independently use their learning to …

1. Understand and describe how sequential logic designs hold or store bits of data.

2. Distinguish between different logic devices used in sequential logic circuits. Describe the advantages and disadvantages of each when used in a digital design.

3. Implement commonly used sequential circuit designs to execute tasks used regularly in electronics.

EQ

Essential Questions

Students will keep considering …

1. What are flip-flops and transparent latches and how do they function to store data?

2. What are some of the differences between synchronous and asynchronous inputs on flip- flops?

3. What are some of the ways a flip-flop can be triggered?

4. What are some of the common applications of flip-flops?

Understandings

Students will understand that …

1. The flip-flop and transparent latch are logic devices that have the capability to store data and can act as a memory device.

2. Flip-flops and transparent latches have both synchronous and asynchronous inputs.

3. Flip-flops can be used to design single event detection circuits, data synchronizers, shift registers, and frequency dividers.

4. The inputs on flip-flops can be activated with high signals, low signals, the leading edge of a clock wave, or the trailing edge of a clock wave.

Knowledge

Students will …

1. Know the schematic symbols and excitation tables for the D and J/K flip-flops.

2. Describe the function of the D and J/K flip-flops. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3. Describe the function of, and differences between, level-sensitive and edge-sensitive triggers.

4. Describe the function of, and differences between, active high and active low signals.

5. Describe the function of, and differences between, a flip-flop’s synchronous and asynchronous inputs.

Skills

Students will…

1. Draw detailed timing diagrams for the D or J/K flip-flop’s Q output in response to a variety of synchronous and asynchronous input conditions.

2. Analyze and design introductory flip-flop applications, such as event detection circuits, data synchronizers, shift registers, and frequency dividers.

3. Use Circuit Design Software (CDS) and a Digital Logic Board (DLB) to simulate and prototype introductory flip-flop applications.

Resources

Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops

Day-by-Day Plans

Time: 6 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 3.1 Teacher Notes–Sequential Logic: D and J/K Flip-Flops.

Days 1–2

Sequential Logic

Students will participate in a teacher-led discussion on sequential logic. The discussion will center on common everyday devices that are based on the design principle of sequential logic (the ability to hold or remember data). This will lead directly into the introduction of flip-flops and latches.

You will present Concepts and Essential Questions, to provide a lesson overview.

D Flip-Flops and J/K Flip-Flops

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Guide students through the Flip-Flops and Latches presentation while students take notes.

Introduce Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops.

Assess student work using Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops Answer Key.

Days 3–4

Flip-Flop Applications: Event Detection

Guide students through Flip-Flop Applications presentation while students take notes.

Introduce Activity 3.1.2 Flip-Flop Applications: Event Detection.

Assess student work using 3.1.2 Flip-Flop Applications: Event Detection Answer Key.

Days 5–6

Flip-Flop Applications: Shift Registers

Introduce Activity 3.1.3 Flip-Flop Applications: Shift Registers.

Assess student work using Activity 3.1.3 Flip-Flop Applications: Shift Registers Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.1.1 Sequential Logic: D Flip-Flops and J/K Flip-Flops Answer Key

Procedure

1. For the 74LS74 D flip-flop below, complete the timing diagram for the output signal Que. Note that the CLK input for this flip-flop is a positive edge trigger and both the PR and CLR asynchronous inputs are active low.

2. For the 74LS76 J/K flip-flop below, complete the timing diagram for the output signal Que. Note that the CLK input for this flip-flop is a negative edge trigger and both the PR and CLR asynchronous inputs are active low.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Let’s examine some simple applications of the D and J/K flip-flops.

3. When flip-flops were discussed briefly back in unit (1), we saw that a D flip-flop could be used to create a Divide-by-Two circuit. Remember, a Divide-by-Two circuit is one that generates a clock output that is half the frequency of the clock input. Likewise, a Divide-by-Two circuit can be implemented with a J/K flip-flop. See below.

J/K Divide-by-Two Circuit

Complete the timing diagram for a J/K Divide-by-Two circuit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Using the CDS, enter the Divide-by-Two circuit. Add an oscilloscope to monitor the two signals Clock_In and Clock_Out. Run the simulation and capture several periods of the output signal. Verify that the circuit is working as expected and that the output signal matches the predictions from step 3a. If the results do not match, review your work and make any necessary corrections.

4. Change the frequency of Clock_In to 20 kHz and re-simulate. What effect did this change have on the frequency of the output signal Clock_Out?

No change. The output frequency is still half of the input frequency.

5. The circuit shown below generates two non-overlapping signals at the same frequency. These signals, C-OUT1 and C-OUT2, were frequently used by early microprocessor systems that required four different clock transitions all synchronized by one clock.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Non-Overlapping Signal Generator

Complete the timing diagram below for the Non-Overlapping Signal Generator circuit.

Using the CDS, enter the Non-Overlapping Signal Generator circuit. Add an oscilloscope to monitor the three signals Clock, C-OUT1, and C-OUT2. Run the simulation and capture several periods of the output signals. Verify that the circuit is working as expected and the output signals match the predictions from step 5a. If the results do not match, review your work and make any necessary corrections.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The input signal, Clock, was a 1 kHz square wave with a 50% duty cycle. What is the frequency and duty cycle of the output signals C-OUT1 and C-OUT2?

Frequency = 500Hz; Duty Cycle = 25%

Change the frequency of Clock to a 2 KHz and re-simulate. What effect did this change have on the frequency of the output signals C-OUT1 and C-OUT2?

No change. The output frequency is still half of the input frequency.

What effect did this change have on the duty cycle of the output signals C-OUT1 and C- OUT2?

None

Conclusion

1. Flip-flops have both synchronous and asynchronous inputs. Describe each input type and give an example of each.

Asynchronous inputs - Flip-flop inputs that can affect the operation of the flip-flop independent of the synchronous and clock inputs. (Preset and Clear)

Synchronous inputs – Inputs that are read in the rising or falling edge of the clock

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. signal. (J-K and D)

2. Match each of the four input symbols with their signal type.

Positive Edge Trigger

Active Low Input

Negative Edge Trigger

Active High Input

3. Describe the functional difference between a D flip-flop and a D latch.

The primary difference between a D flip-flop and D latch is the EN/CLOCK input.

The flip-flop’s CLOCK input is edge sensitive, meaning the flip-flop’s output changes on the edge (rising or falling) of the CLOCK input.

The latch’s EN input is level sensitive, meaning the latch’s output changes on the level (high or low) of the EN input.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.1.2 Flip-Flop Applications: Event Detection Answer Key

Conclusion

1. In this activity you studied event detection as a flip-flop application. List three additional applications of the flip-flop.

Data Synchronizer

Shift Register

Frequency Divider

2. Can you think of another device that might use an event detector besides a burglar alarm?

Answers may vary. Examples may include:

Alarm Clocks

Car Door Alarms (Keys are still in ignition)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.1.3 Flip-Flop Applications: Shift Registers Answer Key

Procedure

Simulation (Design Mode)

3. Make the necessary modifications to the circuit so that it will detect the input sequence 0,1,1,0. Simulate this new circuit and verify that it is working as designed. If not, make necessary corrections.

0,1,1,0

Simulation (PLD Mode)

8. Verify the design works on your DMS or DLB.

DMS is shown here.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. If looking at only the four probes (D0,D1,D2,D3), you might have been confused as to when you see the input number 1,1,0,1 or 0,1,1,0. Why?

The first J/K flip-flop from the clock signal is actually the LSB. The 4-bit output on the probes appears to display the number backwards compared to what you are accustomed to seeing.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.2 Asynchronous Counters

Teacher Notes

PLTW recommends that you present the activities, projects, problems, and presentations in the following sequence. Asynchronous Counter Presentation

After presenting an overview of asynchronous counters and defining the terms state and modulus, this presentation presents multiple examples of up, down, and modulus asynchronous counters designed with D and J/K flip-flops.

This presentation should be covered before you assign: Activity 3.2.1 Asynchronous Counters: Small Scale Integration (SSI) Up/Down Counters and Activity 3.2.2 Asynchronous Counters: Small Scale Integration (SSI) Modulus Counters. Activity 3.2.1 Asynchronous Counters: Small-Scale Integration (SSI) Up/Down Counters

In this activity the students will simulate and analyze multiple asynchronous counters designed with D and J/K flip-flops.

Activity 3.2.2 Asynchronous Counters: Small-Scale Integration (SSI) Modulus Counters

In this activity the students will simulate and analyze multiple asynchronous modulus counters designed with D and J/K flip-flops. Students will create an asynchronous mod counter using a PLD. Activity 3.2.3 Asynchronous Counters: Medium-Scale Integration (MSI) Suspend/Reset Counts

In this activity the students will simulate a 3-Bit Asynchronous Counter Design. This activity requires the students to use all of the skills they have learned thus far in this unit and to recall combinational logic design techniques from Unit 2 to modify a counter to suspend or reset a count. Problem 3.2.4 Asynchronous Counters: Now Serving

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Display (DMS)

In this project the students will design, simulate, and create a Now Serving Display sign. This is the type of display that you might see at a deli counter.

This project requires the students to use all of the skills they have learned thus far in this unit.

Note: If using the Digital MiniSystem (DMS), students will use a MUX design to display two different numbers at the same time on the two SSDs.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.2 Asynchronous Counters

Teacher Resources

View Student Edition Preface

The ability to count in a digital design application is a fundamental need in most circuits. These counting applications range from the simple “Now Serving” sign at the neighborhood deli counter to the countdown display used by NASA to launch rockets. A number of techniques are used to design counters, but they all fall into two general categories, each with their own advantages and disadvantages. These two categories are called asynchronous counters and synchronous counters.

Asynchronous counters are the topic of study of this lesson. The primary design characteristic of asynchronous counters that distinguish them from synchronous counters is that the flip-flop of each stage is clocked by the flip-flop output of the prior stage. Thus, rather than all the flip-flops changing simultaneously, the clock ripples its way from the first flip-flop to the last. This is why asynchronous counters are sometimes referred to as “ripple counters”.

After completing a series of activities on the process for designing Small-Scale Integration (SSI) and Medium-Scale Integration (MSI) asynchronous counters, this lesson concludes with a design problem that requires the students to design and simulate a Now Serving Display circuit.

Established Goals

It is expected that students will…

1. Identify the characteristics of asynchronous counters.

2. Implement asynchronous counters to count up or down over identified number ranges.

3. Implement small-scale integrated (SSI) and medium-scale integrated (MSI) circuits.

Transfer

Students will be able to independently use their learning to …

1. Design and implement common types of asynchronous counters used in electronics and recognize where these types of counters might be applied in a digital circuit.

2. Distinguish between the different levels of integration a designer can use in selecting logic

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. gates for an asynchronous counter.

EQ

Essential Questions

Students will keep considering …

1. How can D flip-flops or J/K flip-flops be arranged to create a desired asynchronous clock signal?

2. How would you use a design process to create asynchronous counters using small-scale integration (SSI) and medium-scale integration (MSI)?

3. Why is it important to have a counter or to start at specific values?

4. How can an asynchronous counter be designed to start and stop/repeat a count at the desired values?

Understandings

Students will understand that …

1. Asynchronous counters, also called ripple counters, are characterized by an external signal clocking the first flip-flop. All subsequent flip-flops are clocked by the output of the previous flip-flop.

2. Asynchronous counters can be implemented using small-scale integrated (SSI) and medium- scale integrated (MSI) logic gates.

3. Asynchronous counters can be implemented with either D or J/K flip-flops.

4. Up counters, down counters, and modulus counters all can be implemented using the asynchronous counter method.

Knowledge

Students will …

1. Recognize asynchronous counters.

2. Recognize that asynchronous counters are commonly referred to as ripple counters.

3. Recognize small-scale integration (SSI) logic gates.

4. Recognize medium-scale integration (MSI) logic gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 5. Arrange asynchronous counters to count up or down over a specified range.

Skills

Students will…

1. Describe the advantages and disadvantages of counters designed using the asynchronous counter method.

2. Describe the ripple effect of an asynchronous counter.

3. Analyze and design up, down, and modulus asynchronous counters using discrete D and J/K flip-flops.

4. Analyze and design up, down, and modulus asynchronous counters using medium-scale integrated (MSI) circuit counters.

5. Describe where a count starts and where a count stops/repeats on a modulus asynchronous counter.

6. Use Circuit Design Software (CDS) and Digital Logic Board (DLB) to simulate and prototype SSI and MSI asynchronous counters.

Day-by-Day Plans

Time: 25 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 3.2 Teacher Notes – Asynchronous Counters.

Day 1

Lesson Overview and Introduction to Asynchronous Counters

Present Concepts and Essential Questions to provide a lesson overview.

Days 2–5

Asynchronous Counters: Small-Scale Integration (SSI)

Guide students through the Asynchronous Counter presentation while students take notes.

Introduce Activity 3.2.1 Asynchronous Counters: Small-Scale Integration (SSI) Up/Down Counters.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Assess student work using Activity 3.2.1 Asynchronous Counters: Small-Scale Integration (SSI) Up/Down Counters Answer Key.

Days 6–8

Introduce Activity 3.2.2 Asynchronous Counters: Small-Scale Integration (SSI) Modulus Counters.

Assess student work using Activity 3.2.2 Asynchronous Counters: Small-Scale Integration (SSI) Modulus Counters Answer Key.

Days 9–14

Asynchronous Counters: Medium Scale Integration (MSI)

Guide students through Asynchronous Counters with MSI Gates presentation while students take notes.

Introduce Activity 3.2.3 Asynchronous Counters: Medium-Scale Integration (MSI) Suspend/Reset Counts.

Assess student work using Activity 3.2.3 Asynchronous Counters: Medium-Scale Integration (MSI) Suspend/Reset Counts Answer Key.

Days 15–25

Asynchronous Counter Problem: Now Serving Display Design

Introduce Problem 3.2.4 Asynchronous Counters: Now Serving Display (DMS).

Assess student work using Problem 3.2.4 Asynchronous Counters: Now Serving Display (DMS) Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.2.1 SSI Asynchronous Counters: Up Counters and Down Counters Answer Key

Procedure

Simulation (Design Mode)

1. The circuit shown below is a 3-Bit Binary-Up Counter implemented with 74LS74 D flip-flops. This design counts from 0 to 7 and then repeats.

3-Bit Binary Up Counter with D Flip-Flops

Using Design Mode of the CDS, enter the 3-Bit Binary Up Counter.

With the RESET switch set to 5V, start the simulation. Verify that the circuit is working as expected. If the results are not what you expected, review your circuit and make necessary corrections. You may need to adjust the clock speed to be able to observe the outputs changing.

With the simulation running, toggle the RESET switch to GROUND. What effect does this have on the output?

Resets the count to zero.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Toggle the RESET switch back to 5V. What effect does this have on the output?

Begins the count at zero and counts UP.

Observe that the HEX DISPLAY appears to jump between some count changes. What causes this to occur?

Propagation delay. The second and third flip-flops are “clocked” from the flip-flop before it, causing a ripple effect. Only the first flip-flop is connected directly to the external clock. An asynchronous counter is also called a ripple counter.

2. Modify the circuit in step 1 to make it a 3-Bit Binary Down Counter.

Repeat steps 1a through 1e for this modified counter.

Using Design Mode of the CDS, enter the 3-Bit Binary Down Counter.

With the RESET switch set to 5V, start the simulation. Verify that the circuit is working as expected. If the results are not what you expected, review your circuit and make any necessary corrections. You may need to adjust the clock speed to be able to observe the outputs changing.

With the simulation running, toggle the RESET switch to GROUND. What effect does this have on the output?

Resets the count to 0.

Toggle the RESET switch back to 5V. What effect does this have on the output?

Begins the count at 0, then counts DOWN from 7.

3. The circuit shown below is a 3-Bit Binary Down Counter implemented with 74LS76 J/K flip- flops. This design counts from 7 to 0 and then repeats.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3-Bit Binary Down Counter with J/K Flip-Flops

Using Design Mode of the CDS, enter the 3-Bit Binary Down Counter.

With the RESET switch set to 5V, start the simulator. Verify that the circuit is working as expected. If the results are not what you expected, review your circuit and make necessary corrections. You may need to adjust the simulation speed to be able to observe the outputs changing.

With the simulation running, toggle the RESET switch to GROUND. What effect does this have on the output?

Resets the count to 0.

Toggle the RESET switch back to 5V. What effect does this have on the output?

Begins the count at 0, then counts DOWN from 7.

4. Modify the circuit in step 3 to make it a 3-Bit Binary Up Counter. Repeat steps 3a through 3d for this modified counter.

Using Design Mode of the CDS, enter the 3-Bit Binary Up Counter.

With the RESET switch set to 5V, start the simulator. Verify that the circuit is working as

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. expected. If the results are not what you expected, review your circuit and make necessary corrections. You may need to adjust the simulation speed to be able to observe the outputs changing.

With the simulation running, toggle the RESET switch to GROUND. What effect does this have on the output?

Resets the count to 0.

Toggle the RESET switch back to 5V. What effect does this have on the output?

Begins the count at 0and counts UP.

Simulation (PLD Mode)

5. The circuit below is the same 3-Bit Binary Down Counter implemented with 74LS76 J/K flip- flops (only it is created in PLD Mode). This design will count from 7 to 0 and then repeat.

3-Bit Binary Down Counter with J/K Flip-Flops

Using PLD Mode of the CDS, enter the 3-Bit Binary Down Counter.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Change the circuit so that the 3-Bit Binary Down Counter would reset to seven (111).

Export to PLD (PLD Mode)

3. Assign the inputs/outputs in PLD Mode and wire the circuit using the DLB or DMS.

Assign Reset to (111) to a push button.

Assign (D0-D2) to 3 LEDs of the same color in a row.

4. Verify that the design works on your DMS or DLB.

Conclusion

1. Explain why asynchronous counters are also referred to as ripple counters.

Each flip-flop output serves as the clock input signal for the next flip-flop in the chain.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. What changes must be made to a 3-Bit counter to make it a 4-Bit counter?

Add a fourth flip-flop.

3. The RESET circuit used on the four 3-Bit Counters analyzed in this activity reset the counts to zero (000). It makes sense for the up counters to start at zero (000), but the down counters should start at seven (111). What would you need to change so that the 3-Bit Binary Down Counter with J/K flip-flops you just created would reset to seven (111)?

Wire the Reset input to PRESET instead of CLEAR.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.2.2 Asynchronous Counters: Small- Scale Integration (SSI) Modulus Counters (PLD) Answer Key

Procedure

Simulation (Design Mode)

1.

Adjust the time-base of the oscilloscope to zoom into the point in time when the counter changes from a count of 5 (101) to 0 (000). Obtain a printout of these waveforms.

Make the modifications to this circuit to change the count from 2 (010) to 6 (110). This is

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. now a Mod-5 Up Counter with a start of 2 (010). Run the simulation and verify that the circuit is working as expected. If not, review your circuit, make necessary corrections, and retest. Use a 74LS48 and a common cathode seven-segment display for this simulation in preparation for the next step.

Digital MiniSytem (DMS) (Disregard if using the DLB)

Clock Signal

3. External Clock. Using the NI™ Elvis Digital Writer on the myDAQ, generate a clock signal. (Write lines 0–3 Ramp 0–15.)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. The asynchronous modulus counters examined in this activity were all designed using D flip- flops. In Design Mode create a 3-Bit Mod-6 Up Counter (0–5 count) using the 74LS76 J/K flip- flop.

2. Explain why a counter with an upper limit of five (101) resets at six (110).

Input to reset logic circuit is count limit plus one for up counters (minus one for down counters) so that the count limit is displayed.

3. When designing a Mod-13 Up Counter (0–12 count), how many flip-flops are needed?

4 flip-flops

4. What values must be on the Qs of the flip-flops to cause the counter to reset? Explain.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The Qs should be showing the count limit plus one for up counters (minus one for down counters)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.2.2 Asynchronous Counters: Small- Scale Integration (SSI) Modulus Counters (PLD_CLK) Answer Key

Procedure

Simulation (Design Mode)

1.

Adjust the time-base of the oscilloscope to zoom into the point in time that the counter is changing from a count of 5 (101) to 0 (000). Obtain a printout of these waveforms.

Make the modifications to this circuit to change the count from 2 (010) to 6 (110). This is

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. now a Mod-5 Up Counter with a start of 2 (010). Run the simulation and verify that the circuit is working as expected. If not, review your circuit, make necessary corrections, and retest. Use a 74LS48 and a common cathode seven-segment display for this simulation in preparation for the next step.

Digital MiniSytem (DMS) (Disregard if using the DLB)

3. The circuit shown below is the same 3-Bit Mod-6 Up Counter implemented with 74LS74 D flip- flops and the 74LS47 2 Segment Display Driver (only it is created in PLD Mode).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. The asynchronous modulus counters examined in this activity were all designed using D flip- flops. In Design Mode create a 3-Bit Mod-6 Up Counter (0-5 count) using the 74LS76 J/K flip- flop.

2. Explain why a counter with an upper limit of five (101) resets at six (110).

Input to reset logic circuit is count limit plus one for up counters (minus one for down counters) so that the count limit is displayed.

3. When designing a Mod-13 Up Counter (0-12 count), how many flip-flops are needed?

4 flip-flops

4. What values must be on the Qs of the flip-flops to cause the counter to reset? Explain.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The Qs should be showing the count limit plus one for up counters (minus one for down counters)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.2.3 Asynchronous Counters: Medium-Scale Integration (MSI) Suspend/Reset Counts Answer Key

Procedure

1. The circuit shown below is a 4-Bit Binary-Up Counter implemented with 74LS93 MSI Counter IC. This design counts from 0 to 9 and then repeats.

4-Bit Binary Up Counter

Using the CDS, enter the 4-Bit Binary Up Counter. Add a four-channel oscilloscope to monitor the signals QD, QC, QB, and QA. Run the simulation and capture a full count cycle (0–9) of the signal. Verify that the circuit is working as expected. If the results are not what you expected, review your circuit and make necessary corrections.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Make the necessary modifications to this circuit to change the count limit to C (1100). Run the simulation and verify that the circuit is working as expected. If not, review your circuit, make necessary corrections, and retest.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2. The circuit shown below is the same as the 0–9 4-Bit Binary Up Counter implemented in step 1, with a few modifications.

First, a Single Pole Double Throw (SPDT) switch (S) and a 2-input AND gate were added to the clock input. This modification provides a means for the count to be suspended.

The second modification, the addition of a second (SPDT) switch (R) and a 2-input NAND gate, provides a means to reset the count to zero.

Modified 4-Bit Binary Up Counter

Using the CDS, enter the Modified 4-Bit Binary Up Counter.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. With the switches (S) and (R) set to 5V, start the simulator. Verify that the circuit is working as expected. If the results are not what you expected, review your circuit and make necessary corrections. You may need to adjust the simulation speed to observe the outputs changing.

With the simulation running, toggle the switch (S) to GROUND. What effect does this have on the output?

It suspends the count.

Toggle switch (S) back to 5V. What effect does this have on the output?

The count resumes.

With the simulation running, toggle the switch (R) to GROUND. What effect does this have on the output?

The count resets to zero and holds there.

Toggle switch (R) back to 5V. What effect does this have on the output?

The count resumes.

Conclusion

1. What are the advantages of implementing an asynchronous counter with the 74LS93 integrated circuit versus using discrete flip-flops and gates?

Medium-Scale Integration (MSI) is always more efficient than Small-Scale Integration (SSI). Fewer ICs lead to less cost and less time to create the circuit.

2. Are there any disadvantages to using the 74LS93 integrated circuit? If so, what are they?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. As with most MSI integrated circuits, the trade-off for the convenience of an all-in-one package is the lack of design flexibility. This lack of flexibility may lead to limitations or inability to use the IC in certain applications.

The primary limitations of the 74LS93 are:

The flip-flops are not pre-settable; thus, the count must always start at 0. As designed, it can only be used to implement up counts.

If your design calls for an up-counter that starts at zero, the 74LS93 is the ideal IC.

3. The circuit shown below is functionally equivalent to the counter simulated in step 2 of the procedure. Why is this implementation a better solution?

This implementation uses only NAND gates.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Problem 3.2.4 Asynchronous Counters: Now Serving Display (DMS) Answer Key

Conclusion

Using your engineering notebook as a guide, write a conclusion (minimum 100 words) that describes the process that you used to design, simulate, and create your Now Serving Display circuit. This conclusion must include all of your design work, preliminary and final schematics, parts list, and a digital photograph of your final circuit. The documentation should be complete enough that another student, with the same knowledge of digital electronics, could reproduce your design without any additional assistance.

The following circuit is one possible solution. This solution employs circuit designs and modifications that students have created in all units up to this point.

The RESET is based on: 3.2.3.A Asynchronous Counters: MSI Suspend and Reset Counts. The RESET input requires inverters based on knowledge from Units 1&2.

The Hold is based on: 3.2.3.A Asynchronous Counters: MSI Suspend and Reset Counts.

PLD Design: The DEC_BCD_7 (Designed for Common Anode SSDs) requires inverters to display properly on the the DLBs Common Cathode displays. 3.2.3.A Asynchronous Counters: SSI Mod Counters PLD

Design Mode: Now Serving

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLD Mode: Now Serving (DMS)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.3 Synchronous Counters

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Synchronous Counters

After presenting an overview of synchronous counters, this presentation presents multiple examples of three- and four-bit synchronous counters.

This presentation should be covered before you assign:

Activity 3.3.1 Synchronous Counters: Small-Scale Integration (SSI). Activity 3.3.1 Synchronous Counters: Small-Scale Integration (SSI)

In this activity the students will simulate and analyze multiple synchronous counters.

Synchronous Counters with MSI Gates

After presenting an overview of the 74LS163 Synchronous 4-Bit Binary Up Counter and the 74LS193 Synchronous 4-Bit Binary Up/Down Counter, this presentation identifies multiple examples of counters designed with each IC.

This presentation should be covered before you assign Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter and Activity 3.3.3 Synchronous Counters: Medium-Scale Integration (MSI) 74LS193 Up/Down Counter. Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter

In this activity the students will simulate and analyze counters designed using the 74LS163 Synchronous 4-Bit Binary Up Counter IC. Activity 3.3.3 Synchronous Counters: Medium-Scale

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Integration (MSI) 74LS193 Up/Down Counter

In this activity the students will simulate and analyze counters designed using the 74LS193 Synchronous 4-Bit Binary Up/Down Counter IC. Project 3.3.4 Synchronous Counters: Sixty-Second Timer (DMS)

In this problem the students will design, simulate, and create a Sixty-Second Timer. This project requires the students to use all of the skills they have learned thus far in this unit.

Note: If using the Digital MiniSystem (DMS), students will use a MUX design to display two different numbers at the same time on the two SSDs.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 3.3 Synchronous Counters

Teacher Resources

View Student Course Preface

As discussed in the previous lesson of this unit, the two categories of digital counters are asynchronous and synchronous. The analysis and design of synchronous counters is the topic of this lesson. The primary design characteristic of synchronous counters is that all of the flip-flops are clocked simultaneously. This simultaneous clocking avoids the rippling effect that is present in asynchronous counters.

After completing a series of activities on the process for designing SSI and MSI synchronous counters, this lesson concludes with a project that requires the students to design and simulate a Sixty-Second Timer circuit.

Established Goals

It is expected that students will…

1. Design and implement common types of synchronous counters used in electronics and recognize where these types of counters might be applied in a digital circuit.

2. Implement synchronous counters to count up or down over identified number ranges.

3. Implement small-scale integrated (SSI) and medium-scale integrated (MSI) circuits.

Transfer

Students will be able to independently use their learning to …

1. Design and implement common types of synchronous counters used in electronics and recognize where these types of counters might be applied in a digital circuit.

2. Distinguish between the different levels of integration a designer can use in selecting logic gates for a synchronous counter.

EQ

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Essential Questions

Students will keep considering …

1. How can D flip-flops or J/K flip-flops be arranged to create a desired synchronous clock signal?

2. How would you use a design process to create synchronous counters using small-scale integration (SSI) and medium-scale integration (MSI)?

3. Why is it important to have a counter or to start at specific values?

4. How can a synchronous counter be designed to start and stop/repeat a count at the desired values?

Understandings

Students will understand that …

1. Synchronous counters, also called parallel counters, are characterized by an external signal clocking all flip-flops simultaneously.

2. Synchronous counters can be implemented using small-scale integrated (SSI) and medium- scale integrated (MSI) logic gates.

3. Synchronous counters can be implemented with either D or J/K flip-flops.

4. Up counters, down counters, and modulus counters all can be implemented using the synchronous counter method.

Knowledge

Students will …

1. Recognize synchronous counters.

2. Recognize small-scale integration (SSI) logic gates.

3. Recognize medium-scale integration (MSI) logic gates.

4. Arrange synchronous counters to count up or down over specified ranges.

Skills

Students will …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Describe the advantages and disadvantages of counters designed using the synchronous counter method.

2. Analyze and design up, down, and modulus synchronous counters using discrete D and J/K flip-flops.

3. Analyze and design up, down, and modulus synchronous counters using medium-scale integrated (MSI) circuit counters.

4. Describe where a count starts and where a count stops/repeats on a modulus synchronous counter.

5. Use Circuit Design Software (CDS) and Digital Logic Board (DLB) to simulate and prototype SSI and MSI synchronous counters.

Day-by-Day Plans

Time: 25 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 3.3 Teacher Notes – Synchronous Counters.

Day 1

Synchronous Counters: Small-Scale Integration (SSI)

Present Concepts and Essential Questions to provide a lesson overview.

Days 2–5

Guide students through the Synchronous Counters presentation while students take notes.

Introduce Activity 3.3.1 Synchronous Counters: Small-Scale Integration (SSI).

Days 6–10

Guide students through the Synchronous Counters with MSI Gates presentation while students take notes.

Introduce Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter.

Assess student work using Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Days 11–15

Introduce Activity 3.3.3 Synchronous Counters: Medium-Scale Integration (MSI) 74LS193 Up/Down Counter.

Assess student work using Activity 3.3.3 Synchronous Counters: Medium-Scale Integration (MSI) 74LS193 Up/Down Counter Answer Key.

Days 16–25

Introduce Problem 3.3.4 Synchronous Counters: Sixty-Second Timer (DMS).

Assess student work using Problem 3.3.4 Synchronous Counters: Sixty-Second Timer (DMS) Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.3.1 SSI Synchronous Counters

Procedure

1. The circuit shown below is a Synchronous 3-Bit Binary Up Counter implemented with 74LS76 J/K flip-flops. This design will count from 0 to 7 and then repeat.

Synchronous 3-Bit Binary Up Counter with J/K Flip-Flops

Using the CDS, enter the Synchronous 3-Bit Binary Up Counter.

With the RESET switch set to 5V, start the simulator. Verify that the circuit is working as expected. If the results are not what are expected, review your circuit and make any necessary corrections. You may need to adjust the simulation speed to be able to observe the outputs changing.

With the simulation running, toggle the RESET switch to GROUND. What effect does this have on the output?

Resets the count to 0.

Toggle the RESET switch back to 5V. What effect does this have on the output?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The count begins, starting at 0.

Finally, observe the HEX DISPLAY. Notice that unlike the asynchronous counters analyzed in a previous lesson, the numbers displayed on the HEX DISPLAY transition smoothly. Why don’t these values jump between some count changes like they did with asynchronous counters?

Each flip-flop is triggered simultaneously by the same external clock. There is no propagation delay.

2. Modify the circuit step 1 to make it a Synchronous 3-Bit Binary Down Counter. Repeat steps 1a through 1d for this modified counter.

4. Using the CDS, enter the Synchronous MOD-6 Binary Up Counter.

Start the simulator and verify that the circuit is working as expected. If the results are not what are expected, review your circuit and make necessary corrections. You may need to adjust the simulation speed to be able to observe the outputs changing.

Modify this design to count from 0 to 4 and then repeat.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. What are the advantages of Synchronous Counters over Asynchronous Counters?

All flip-flops are simultaneously clocked by an external clock.

Synchronous counters are faster than the asynchronous counters of simultaneous clocking.

Synchronous counters do not suffer from the ripple effect that asynchronous counters do.

2. Do Asynchronous Counters have any advantages?

Asynchronous counters require less logic than synchronous counters.

3. What changes must be made to a 3-Bit counter to make it a 4-Bit counter?

Add a fourth flip-flop. AND (Q0,Q1,Q2) to the J/K of the fourth flip-flop.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.3.2 Synchronous Counters: Medium-Scale Integration (MSI) 74LS163 Up Counter Answer Key

Procedure

Simulation (PLD Mode)

4. Using the CDS, enter the 2 to 9 Binary Up Counter in PLD Mode. By monitoring the logic probes attached to outputs QD, QC, QB, and QA, verify that the circuit is working as expected (Is the count 2 to 9?). If the results are not as expected, review your circuit and make necessary corrections.

Make the necessary modifications to the counter design to change the count to 4 to 14. Using the CDS, verify that the circuit is working as expected. If the results are not as expected, review your circuit and make any necessary corrections.

Using the DLB, build and test the 4 to 14 counter that you designed and simulated in step 4a. Verify that the circuit is working as expected and that the results match the results of the simulation.

Conclusion

1. What are the advantages of implementing a synchronous counter with the 74LS163 integrated

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. circuit versus using discrete flip-flops and gates?

MSI designs typically require fewer ICs and less time to create/troubleshoot than SSI designs.

2. In previous counters that you have created, you set the LOAD as the value just past the last digit you wanted displayed. That is not the case with this design. Why is the way you set the range different for this design?

The LOAD for 74LS163 is a synchronous input.

3. Analyze the counter shown below to determine the counter’s lower and upper count limit.

The count range is 1 to 10. The count will load on the transition from 10 to 11.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 3.3.3 Synchronous Counters: Medium-Scale Integration (MSI) 74LS193 Up/Down Counter Answer Key

Procedure

2. Using Design Mode of the CDS, make the necessary modification to the counter design to change the count to 6 to 13 Binary-Up Counter. Verify that the circuit is working as expected. If the results are not as expected, review your circuit and make necessary corrections.

PLD Mode

6. Using PLD Mode of the CDS, make the necessary modification to the counter design to change the count to 2 to 8 Binary-Up Counter. Export the design to your PLD and verify that the circuit is working as expected.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. What are the advantages of implementing a synchronous counter with the 74LS193 IC over the 74LS163?

The 74LS163 cannot count down.

2. What is the difference between a synchronous load input (74LS163) and an asynchronous load input (74LS193)?

74LS163 synchronous load – Loads on the count.

74LS193 asynchronous load – Loads on the count +1 for up, count –1 for down.

3. Analyze the counter shown below to determine the counter’s lower and upper count limit.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. The count range is from 9 to 1. The count resets on the transition from 1 to 0.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Problem 3.3.4 Synchronous Counters: Sixty- Second Timer (DMS) Answer Key

Procedure

Simulation: (Design Mode or PLD Mode)

Using the Circuit Design Software (CDS), enter and test your Sixty-Second Timer design.

Design Mode Option: If you choose to create the circuit in Design Mode to simulate, remember that you will need to recreate the circuit in PLD Mode to prototype the circuit.

PLD Mode Option: To bypass the need to recreate the circuit a second time, you can create and simulate the circuit in PLD Mode. Just remember that you will not have a SSD for simulation in PLD Mode. You will need to use probes to track the counts and events.

Verify that the circuit is working as designed. If not, review your design work and circuit implementation to identify your mistake. Make necessary corrections and retest. Be sure to document all changes in your engineering notebook.

The following circuit is one possible solution. This solution employs circuit designs and modifications that students have created in all units up to this point.

The RESET is based on: 3.2.3.A Asynchronous Counters: MSI Suspend and Reset Counts. The RESET input requires inverters based on knowledge from Units 1 and 2.

PLD Design: 3.2.3.A Asynchronous Counters: SSI Mod Counters PLD The DEC_BCD_7 (Designed for Common Anode SSDs) requires inverters to display properly on the the DLBs Common Cathode displays.

Design Mode

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLD Mode (DMS)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 4.1 Introduction to State Machines

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Platform and Hardware Options (VEX)

PLTW currently supports:

VEX® with the Digital Minisystem (VEX/DMS)

VEX® with the Digital Logic Board (VEX/DLM)

Introduction to Sensors and Motors: Copier Jam Dectector VEX/DMS

This presentation introduces the idea of connecting sensors and motors and controlling them with a PLD design. Students will learn about interfacing equipment that requires different voltage levels. Students will be introduced to the concepts of voltage dividers, pull-down resistors, and H-Bridges.

This presentation details the design specifications for the Copier Jam Detector design problem.

Helpful VEX design notes

The Allen wrenches needed are 3/32 and 5/64.

Save the wire ties in the kit to use on the fixture.

Each switch is internally wired as an SPST switch. The red wire is not connected inside of the switch.

This fixture is designed so that the switches can be raised or lowered depending on the item you choose to simulate paper (such asyour hand, cardboard, actual paper)

VEX parts needed for this fixture

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 3 limit switches with included hardware

Wide C-Channel, 25 holes long

Narrow C-Channels, 25 holes long

2 8-32 Standoff 1” long

4 8-32 x ½” long Button Head Screws

State Machine Design

After presenting the design steps in the state machine design process, this presentation gives an example of a state machine design.

Helpful design notes

The Allen wrenches needed are 3/32 and 5/64.

Save the wire ties in the kit to use on the fixture.

Each switch is internally wired as an SPST switch. The red wire is not connected inside of the switch.

The 3" shaft included in the kit is used for the motor shaft.

The bearing mounting pop rivets (black) are only used on the bearing flats (black). The bearing flats are used to provide support at each end of the 2" and 3" shafts.

VEX parts needed for this fixture

2 limit switches with included hardware

2 Wide C-Channels, 25 holes long

Narrow C-Channels, 25 holes long

4 8-32 Standoff 1" Long (2 are used at the base to keep the fixture from tipping)

4 8-32 Standoff 2" Long

14 8-32 x ½" long Button Head Screws

1 84-tooth Gear

1 12-tooth Gear

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 2 8-32 Keps Nuts

2" long Shaft

3" long Shaft

4 Bearing Flats

4 Shaft Collars

6 Bearing Mounting Pop Rivets

1 2-wire motor with included hardware

Any number of 3-wire PWM cables desired

Problem 4.1.3 State Machines: Tollbooth (VEX/DMS)

Note: You may download a printable student version of the activity from Lesson 4.1 Teacher Resources page.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 4.1 Introduction to State Machines

Teacher Resources

View Student Course Preface

State machines, sometimes called Finite State Machines (FSM), are a form of sequential logic that can be used to electronically control common everyday devices, such as traffic lights, electronic keypads, and automatic door openers.

In this lesson, students will learn and apply the state machine design process. This design process will be used to implement state machines using both discrete logic gates and programmable logic.

After completing a foundational activity on state machine design, the lesson concludes with a design problem where the students are assigned the task of designing and implementing a state machine that controls the operation of a fixture. This state machine will be implemented using programmable logic.

Established Goals

It is expected that students will…

1. Use the design process associated with state machines to create a state machine design and implement the circuit.

2. Translate a state graph into a state transition table.

Transfer

Students will be able to independently use their learning to …

1. Use the design process associated with state machines to create a state machine design and implement the circuit.

EQ

Essential Questions

Students will keep considering …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Why are state machine designs used in electronics?

2. What are the common components of a state machine, and how are they arranged to make state transitions based on inputs?

3. What are some common everyday devices that are controlled by state machines?

Understandings

Students will understand that …

1. A state machine is a circuit design that sequences through a set of predetermined states controlled by a clock and other input signals.

2. A state machine is designed through the creation of a state graph and a state transition table.

3. State machines can be implemented using small and medium scale integrated gates and programmable logic devices.

4. State machines are used to control common everyday devices, such as elevator doors, traffic lights, and combinational (electronics) locks.

5. There are many sensor inputs and outputs other than LEDs and seven-segment displays in real-world systems.

Knowledge

Students will …

1. Understand the basic function of a state machine.

2. Identify the parts of a state graph and a state transition table.

3. Recognize a state machine and identify examples of a state machine.

4. Recognize a wide range of sensor inputs and outputs in real-world systems.

Skills

Students will …

1. Describe the components of a state machine.

2. Draw a state graph and construct a state transition table for a state machine.

3. Derive a state machine’s Boolean equations from its state transition table.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 4. Implement Boolean equations into a functional state machine.

5. Use Circuit Design Software (CDS) and a Digital Logic Board (DLB) to simulate and prototype state machine designs implemented with discrete and programmable logic.

Resources

Problem 4.1.3 State Machines: Tollbooth (VEX/DMS)

Day-by-Day Plans

Time: 20 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 4.1 Teacher Notes – Introduction to State Machines.

Days 1–4

Sensors and Motors: Copier Jam Detector

Present Concepts and Essential Questions to provide a lesson overview.

Guide students through the Introduction to Sensors and Motors: Copier Jam Detector DMS-VEX or DLB-VEX while students take notes.

Introduce Project 4.1.1 Sensors and Motors: Copier Jam Detector (VEX/DMS).

Assess student work using Project 4.1.1 Sensors and Motors: Copier Jam Detector (VEX/DMS) Answer Key.

Days 5–8

Introduction to State Machines

Guide students through the State Machine Design presentation while students take notes.

Introduce Activity 4.1.2 State Machines: Phone Number (DMS).

Assess student work using Activity 4.1.2 State Machines: Phone Number (DMS) Answer Key.

Days 8–20

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. State Machines: Tollbooth

Introduce Problem 4.1.3 State Machines: Tollbooth (VEX/DMS).

Assess student work using Problem 4.1.3 State Machines: Tollbooth (VEX/DMS) Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project 4.1.1 Introduction to Sensors and Motors: Copier Jam Detector (VEX-DMS) Answer Key

Conclusion

Using your engineering notebook as a guide, write a conclusion (minimum 250 words) that describes the process you used to design, simulate, and build your Copier Jam Detector circuit. This conclusion must include all of your design work, preliminary and final schematics, parts list, and a digital photograph of your final circuit. The documentation should be complete enough that another student, with the same knowledge of digital electronics, could reproduce your design without additional assistance.

Design Mode – Simplified

Note: Motor Output signal “High” turns the warning LED on and the motor off in this example.

PLD Mode Design – Simplified

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 4.1.2 Introduction to State Machines: Phone Number (DMS) Answer Key

Design Mode (Procedure item 8)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLD Mode - DMS (Procedure item 11)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Conclusion

1. The state machine design in this activity has four states and thus requires two state variables. If a design required eight states, how many state variables would be required?

8 States = 3 State Variables

2. What about sixteen states?

16 States = 4 State Variables

What is the relationship between the number of states and the number of state variables?

# States <= 2(# state variables)

3. If you simplified the logic expression for the three (or four) outputs correctly, the final expressions were not a function of the input EN. It will ALWAYS be the case that the outputs are not a function of the inputs. Why?

The outputs are determined by the combinational logic that creates the three or four numbers. The EN is only important for the transition (clock).

Going Further (Optional)

5. The state machine design in this activity used D flip-flops. This type of flip-flop was selected because of its ease of design; however, J/K flip-flops could have also been used. Redo your state machine design using J/K flip-flops or a NAND only approach. Can you add a new feature or option to this design that would be beneficial?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Problem 4.1.3 State Machine Design: Tollbooth (VEX-DMS) Answer Key

State Graph Analysis

From the provided state graph, you can see that the tollbooth design requires four states (S0–S3). The two required state variables have been identified as Qa and Qb. You should maintain these labels in your design. How did you know that two state variables were required for this design?

With each clock signal, the buttons and switches are checked to determine whether the next state should be transitioned to or there is no change in the state. Can you identify the physical state of the tollbooth based on the provided state graph?

Physical State of the Gate State Qa Qb (Open/Closed) or (Opening/Closing)

S0 0 0 The gate is… CLOSED (Motor Off)

S1 0 1 The gate is… OPENING

S2 1 0 The gate is… OPEN (Motor Off)

S3 1 1 The gate is… CLOSING

Tollbooth State Transition Table Analysis

PRESENT Operator Limit NEXT STATE* Outputs STATE Pushbuttons Switches Open Close Open Close Motor Motor Gate Gate State Qa Qb State Qa* Qb* Switch Switch Limit Limit Open Close Open Closed

S0 0 0 0 0 S0 0 0 1

The gate is “CLOSED” with no inputs from the operator. Motor is off.

S0 0 0 1 0 S1 0 1 0 1

The operator has pressed the push-button “OPEN SWITCH”, but the gate is still closed. “MOTOR OPEN” should be the next state.

S1 0 1 0 S1 0 1 1 0 0

The gate is opening but has not reached the “OPEN LIMIT”. Reachng the “OPEN LIMIT” should be the next state.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. S1 0 1 1 S2 1 0 1 0 0

The gate has reached the “OPEN LIMIT”, but “MOTOR OPEN” is still on. “GATE OPEN” with motor off should be the next state.

S2 1 0 0 0 S2 1 0 0 0 1 0

The gate is “OPEN” with no inputs from the operator. Motor is off.

S2 1 0 1 S3 1 1 0 0 1 0

The operator has pressed the push-button “CLOSE SWITCH”, but the gate is still open. “MOTOR CLOSE” should be the next state.

S3 1 1 1 0 S3 1 1 0 1 0 0

The gate is closing but has not reached the “CLOSE LIMIT”. Reaching the “CLOSE LIMIT” should be the next state.

S3 1 1 1 S1 0 0 0 1 0 0

The gate has reached the “CLOSE LIMIT”, but the “MOTOR CLOSE” is still on. “GATE CLOSED” with motor off should be the next state.

Design Equations

The input combinational logic to your flip-flops is represented by the NEXT STATE* in your transition table. That is, the Da and Db into your flip-flops are the same as the Qa* and the Qb* in your transition table. Therefore, you should be able to generate two logic expressions from the INPUTS listed as a (logic 1) for Qa* and Qb*, respectively. See whether you can generate the unsimplified expressions without referring to the previous information.

Input Combinational Logic

Capture these expressions in your engineering notebook and simplify into your own design.

There are four possible outputs: “Gate Closed Indicator”, “Motor Open Signal”, “Gate Open Indicator”, and “Motor Close Signal”. What are the logic expressions for these four outputs based on the transition table?

Output Combinational Logic

Motor Open Signal = MO = Qa’Qb

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Motor Close Signal = MC = QaQb

Gate Open Indicator = GO = QaQb’

Gate Closed Indicator = GC = Qa’Qb’

Tollbooth Test Fixture: Design Mode

Conclusion

In your engineering notebook, write a conclusion (minimum 250 words) that describes the process you used to design, simulate, and prototype your tollbooth state machine. This conclusion must include all of your design work, preliminary and final schematics, a parts list, and a digital photograph of your final circuit. The documentation should be complete enough that another student, with that same knowledge of digital electronics, could reproduce your design without additional assistance.

PLD Mode

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Gate is CLOSED with Motor OFF.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Dueck, R., & Reid, K. (2008). Introduction to digital electronics. Clifton Park, NY: Thompson Delmar Learning.

Floyd, T. (2006). Digital fundamentals. Upper Saddle River, NY: Pearson Education.

International Technology Education Association. (2000). Standards for technological literacy. Reston, VA: ITEA.

National Council of Teachers of English (NCTE) and International Reading Association (IRA). (1996). Standards for the English language arts. Newark, DE: IRA; Urbana, IL: NCTE.

National Council of Teachers of Mathematics (NCTM). (2000). Principles and standards for school mathematics. Reston, VA: Author.

National Research Council (NRC). (1996). National science education standards. Washington, D.C.: National Academies Press.

Pecht, M. (1993). Soldering processes and equipment. New York, NY: John Wiley & Sons.

Schultz, M. E. (2007). Grob’s basic electronics: Fundamentals of DC and AC circuits. New York, NY: McGraw Hill.

Tocci, R., Widmer, N., & Moss, G. (2007). Digital systems: Principles and applications. Upper Saddle River, NJ: Pearson Education.

Tokeim, R. L. (2003). Digital electronics principles and applications. Columbus, OH: Glencoe/McGraw-Hill.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 4.2 Introduction to Microcontrollers

Teacher Notes

It is recommended that you present the activities, projects, problems, and presentations in the following sequence. Microcontrollers, Microcomputers, and Microprocessors

This presentation introduces the idea of microcontrollers. Students will learn to distinguish between microcontrollers, microcomputers, and microprocessors. They will learn how embedded controllers are in many devices we interact with on a daily basis. They will be introduced to programming and syntax to create sketches on the Arduino™ Microcontroller Platform. Tutorial 4.2.1 Installing Arduino IDE Software

The tutorial is a brief overview describing the installation of the Arduino™ integrated development environment (IDE) software. It is optional, as some classrooms may already have software installed. The open-source Arduino environment makes it easy to write code and upload it to the I/O board. It runs on Windows, Mac OS X, and Linux. The environment is written in Java® and is based on Processing, avr-gcc, and other open-source software.

The Arduino software consists of the IDE and core libraries. The core libraries are written in C and C++ and are compiled using avr-gcc and AVR Libc.

The Arduino software language you program in is very similar to C++, because it is derived from C/C++. These connections to C and C++, two languages that professional programmers are usually familiar with, make it a good platform for programmers who want to start tinkering.

Activity 4.2.2 Introduction to Microcontrollers

Until now, input devices and output devices have been limited to the sensors and human input devices available in your classroom. In today’s world of electronics, there are a tremendous number of other devices students could use in their designs.

In this activity students will create their first programs (sketches) to control systems with unique sensors, human input controls, motors, and servos that they may not have used previously. The ATmega328 microcontroller found on the Arduino™ UNO Microcontroller Board will be used to explore these controls and inputs.

Programming languages have their own grammar called “syntax”. Programs written with the Ardiuno

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. software are called Sketches. A Sketch (program written with Arduino) will contain: a title, constants, variables, setup() functions, and loop() functions. PWM: Pulse Width Modulation Signals

This presentation introduces Pulse Width Modulated Signals. Pulse Width Modulation is a technique for getting analog results with digital means. Digital control is used to create a square wave. A microcontroller can compare the time High to the time Total and assign a value to that ratio. Activity 4.2.3 PWM Signals

Servos are designed to receive PWM signals and translate signals into a speed or a position. In this activity you explore controlling motors and servos with PMW signals. We will also take a look at accelerometers that create PWM signals that a microcontroller can be interpret into acceleration along an axis.

Microcontrollers can also receive PWM signals from input devices such as accelerometers. Problem 4.2.4 Microcontrollers: The Tollbooth Revisited

Previously students created a tollbooth that was controlled as a state machine using an FPGA. In this lesson students integrate one of the new sensors or human input devices to the assembly and control this new tollbooth with a microcontroller.

You may allow students to choose which new sensors or human input devices to use or you may assign specific design requirements.

Although the VEX 2-Wire Motor is designed to operate with 7.2 V, the motor controller 29 allows the motor to act as a servo within tolerances at 5 V. You do not need an H-Bridge for this design, since you are only operating one servo.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. PLTW ENGINEERING

Lesson 4.2 Introduction to Microcontrollers

Teacher Resources

View Student Course Preface

A microcomputer is a small, relatively inexpensive computer with a microprocessor as its . Microcontrollers are used to control many everyday products like garage door openers, traffic lights, home thermostats, and robots. Embedded controllers are everywhere.

Until now, input devices and output devices have been limited to the sensors and human input devices available in your classroom. In today’s world of electronics, there are a tremendous number of other devices students could use in their designs.

In this unit students will create their first programs (sketches) to control systems with unique sensors, human input controls, motors, and servos that you may not have used previously. The ATmega328 microcontroller found on the Arduino™ UNO Microcontroller Board will be used to explore these controls and inputs.

Programming languages have their own grammar called “syntax”. Programs written with the Arduino software are called Sketches. A Sketch (program written with Arduino) will contain: a title, a setup() function, a loop() function and possibly other functions, constants and/or variables.

If the syntax of a language is not followed, the program will not compile correctly. This means that no executable code will be produced. Fortunately, the Arduino integrated development environment (IDE) will provide error messages that will help you fix your “bad grammar”… called “syntax errors”. One of the most common syntax errors that students make is forgetting that lines of code need to end with a semicolon.

Established Goals

It is expected that students will …

1. Recognize and relate how microcontrollers represent the next evolution in circuit design to control real-world systems.

2. Design and implement circuit designs using a microcontroller.

Transfer

Students will be able to independently use their learning to …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Recognize and relate how microcontrollers represent the next evolution in circuit design to control real-world systems.

2. Design and implement circuit designs using a microcontroller.

EQ

Essential Questions

Students will keep considering …

1. Why are microcontrollers such a valuable tool today in electronics?

2. What are the components and processes associated with programming microcontrollers to control real-world systems?

Understandings

Students will understand that …

1. Microcontrollers are used to control many everyday products like robots, garage door openers, traffic lights, and home thermostats.

2. Microcontrollers manage inputs and outputs through a programming language and how the device is wired.

3. A servo motor is one that delivers continuous motion at various speeds.

4. Microcontrollers can be programmed to sense and respond to outside stimuli.

Knowledge

Students will …

1. Identify everyday products that contain microcontrollers.

2. Know the key components to a programming language for a microcontroller.

3. Identify and describe a servo motor.

4. Know what Pulse Width Modulation (PWM) is and how it is used to control a motor.

Skills

Students will …

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 1. Program a microcontroller to control a servo.

2. Program and test a microcontroller to control a real system based on inputs.

3. Use mathematics to calculate programming values.

Day-by-Day Plans

Time: 10 days

Note: In preparation for teaching, it is strongly recommended to review the Lesson 4.2 Teacher Notes – Introduction to Microcontrollers.

Day 1

Microcontrollers, Microcomputers, and Microprocessors

Present Concepts and Essential Questions to provide a lesson overview.

Guide students through the Microcontrollers, Microcomputers, and Microprocessors presentation while students take notes.

Introduce Tutorial 4.2.1 Installing Arduino IDE Software. (This is optional if software has been previously installed.)

Days 2–5

Introduction to Microcontrollers

Introduce Activity 4.2.2 Introduction to Microcontrollers.

Assess student work using Activity 4.2.2 Introduction to Microcontrollers Answer Key.

Days 5–6

PWM Signals

Guide students through the PWM: Pulse Width Modulation presentation while students take notes.

Introduce Activity 4.2.3 PWM Signals.

Assess student work using Activity 4.2.3 PWM Signals Answer Key.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Days 7–10

Microcontrollers: Tollbooth Revisited

Introduce Problem 4.2.4 Microcontrollers: The Tollbooth Revisited. Assess student work using Problem 4.2.4 Microcontrollers: The Tollbooth Revisited.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 4.2.2 Introduction to Microcontrollers Answer Key

Procedures

Sketch 2: “AltBlink”

9. With LED A defined as the resistor on the Arduino, and LED B defined as the LED on the breadboard, make the two LEDs blink in alternating pattern.

LED A (on Arduino) is “off” when LED B (on breadboard) is “on”.

LED A (on Arduino) is “on” when LED B (on breadboard) is “off”.

Sketch 2: “AltBlink” Code

/* AltBlink: This code makes two LEDS blink in an alternating pattern. This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set the pin numbers: const int ledAPin = 13; // constant ledAPin assigned to pin 13 (on Arduino) const int ledBPin = 2; // constant ledBPin assigned to pin 2 (on breadboard) // Variables: Variables will change. They’re used do assign variable names: // there are no variables in this example // Setup: The setup routine runs once when you start or press reset: void setup() { // put your setup code here, to run once pinMode(ledAPin, OUTPUT); // initialize the LED A pin as an output (on Arduino) pinMode(ledBPin, OUTPUT); // initialize the LED B pin as an output (on breadboard) } // Loop: The loop routine runs over and over again forever: void loop() { // put your main code here, to run repeatedly: digitalWrite(ledAPin, HIGH); // turn the LED A on (HIGH is the voltage level) digitalWrite(ledBPin, LOW); // turn the LED B off (LOW is the voltage level) delay(1000); // wait for one second digitalWrite(ledAPin, LOW); // turn the LED A off by making the voltage LOW digitalWrite(ledbPin, HIGH); // turn the LED B on (HIGH is the voltage level) delay(1000); // wait for one second }

Sketch 3: “Pushbutton”

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 17. Have your instructor verify that the circuit works as expected.

/* Pushbutton A pushbutton turns on an LED. Option A = Use a pushbutton on the Digital MiniSystem (buttonPin) Option B = Use a VEX Bumper Switch (buttonPin) LED and 220 Ohm Resistor on breadboard (ledPin 2) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int buttonPin = 12; // constant buttonPin assigned to pin 12 const int ledPin = 2; // constant ledPin assigned to pin 2 (LED on breadboard) // Variables: variables will change: int buttonState = 0; // define variable for reading the pushbutton status // Setup: The setup routine runs once when you start or press reset: void setup() { pinMode(ledPin, OUTPUT); // initialize the LED pin as an output pinMode(buttonPin, INPUT); // initialize the pushbutton pin as an input } // Loop: The loop routine runs over and over again forever: void loop(){ buttonState = digitalRead(buttonPin); // read the state of the pushbutton value if (buttonState == HIGH) { // if it is, the buttonState is HIGH digitalWrite(ledPin, HIGH); // turn LED on } else { digitalWrite(ledPin, LOW); // turn LED off } }

Sketch 4: “DigitalReadSerial”

24. Have your instructor verify that the circuit works as expected.

/* DigitalReadSerial: Reads a digital input on pin 12, prints the result to the serial monitor. With the sketch uploaded, open the serial monitor to see the values. (Tools -> Serial Monitor) (Ctrl + Shift + M) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int buttonPin = 12; // constant buttonPin assigned to pin 12 // Variables: variables will change: int buttonState = 0; // define variable for reading the pushbutton status // Setup: The setup routine runs once when you start or press reset. void setup() {

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Serial.begin(9600); // initialize serial communication at 9600 bits per second pinMode(buttonPin, INPUT); // initialize the pushbutton pin as an input } // Loop: The loop routine runs over and over again forever: void loop() { int buttonState = digitalRead(buttonPin); // read the input pin: Serial.println(buttonState); // print out the state of the button delay(1); // delay in between reads for stability }

Sketch 5: “DigitalReadSerialLED”

26. Have your instructor verify that the circuit works as expected.

/* DigitalReadSerial: Reads a digital input on pin 12 and prints the result to the serial monitor. LED indicates high or low. With the sketch uploaded, open the serial monitor to see the values. (Tools -> Serial Monitor) (Ctrl + Shift + M) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int buttonPin = 12; // constant buttonPin assigned to pin 12 const int ledPin = 2; // constant ledPin assigned to pin 2 (LED on breadboard) // Variables: variables will change: int buttonState = 0; // define variable for reading the pushbutton status // Setup: The setup routine runs once when you start or press reset. void setup() { pinMode(ledPin, OUTPUT); // initialize the LED pin as an output Serial.begin(9600); // initialize serial communication at 9600 bits per second pinMode(buttonPin, INPUT); // initialize the pushbutton pin as an input } // Loop: The loop routine runs over and over again forever: void loop() { int buttonState = digitalRead(buttonPin); // read the input pin: Serial.println(buttonState); // print out the state of the button delay(1); // delay in between reads for stability if (buttonState == HIGH) { // if it is, the buttonState is HIGH digitalWrite(ledPin, HIGH); // turn LED on } else { digitalWrite(ledPin, LOW); // turn LED off } }

Sketch 5: “PIRDigitalReadSerial”

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. 29. Have your instructor verify that the circuit works as expected.

/* PIRDigitalReadSerial: Reads a digital input on pin 13, prints the result to the serial monitor. With the sketch uploaded, open the serial monitor to see the values. (Tools -> Serial Monitor) (Ctrl + Shift + M) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int PIRpin = 13; // constant PIRpin assigned to pin 13 with PIR Sensor attached // Variables: variables will change: int PIRState = 0; // define variable for reading the PIR Sensor status // Setup: The setup routine runs once when you start or press reset. void setup() { Serial.begin(9600); // initialize serial communication at 9600 bits per second pinMode(PIRpin, INPUT); // initialize the PIR Sensor pin as an input } // Loop: The loop routine runs over and over again forever: void loop() { int PIRState = digitalRead(PIRpin); // read the input pin: Serial.println(PIRState); // print out the state of the sensor delay(1); // delay in between reads for stability }

Sketch 6: “AnalogReadSerial”

37. Have your instructor verify the circuit works as expected.

/* AnalogReadSerial: Reads an analog input on pin A0, prints the result to the serial monitor. With the sketch uploaded, open the serial monitor to see the values. (Tools -> Serial Monitor) (Ctrl + Shift + M) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int potPin = A0; // constant potPin assigned to pin A0 with potentiometer attached // Variables: variables will change: int potValue = analogRead(potPin); // define variable for reading the potentiometer value // Setup: The setup routine runs once when you start or press reset. void setup() { Serial.begin(9600); // initialize serial communication at 9600 bits per second pinMode(potPin, INPUT); // initialize the potentiometer value pin as an input } // Loop: The loop routine runs over and over again forever: void loop() { int potValue = analogRead(potPin); // read the input pin: Serial.println(potValue); // print out the state of the sensor

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. delay(1); // delay in between reads for stability }

Sketch 7: “Joystick”

40. When the joystick is sitting in the middle, what are the values roughly?

Values should be near 510–520 range.

41. When you move the joystick, what are roughly the maximum value and the minimum value you see?

Maximum values should be near 1023. Minimum values should be near 0–100

42. What do you think this range should be exactly? (Hint: Think base 2 numbers.)

210 = 1024 creating a range of 0–1023

43. Have your instructor verify that the circuit works as expected.

Sketch 7: “Joystick”

/* Joystick: Reads an analog input on pin A0 and prints the result to the serial monitor (Up/Down). Reads an analog input on pin A1 and prints the result to the serial monitor (Left/Right). With the sketch uploaded, open the serial monitor to see the values. (Tools -> Serial Monitor) (Ctrl + Shift + M) This example code is in the public domain. */ // Constants: Constants won't change. They're used here to set pin numbers: const int udPin = A5; // constant udPin assigned to pin A0 with potentiometer attached (Up/Down) const int lrPin = A4; // constant udPin assigned to pin A1 with potentiometer attached (Left/Right) // Variables: variables will change: int udValue = analogRead(udPin); // define variable for reading the potentiometer value (Up/Down) int lrValue = analogRead(lrPin); // define variable for reading the potentiometer value (Left/Right) // Setup: The setup routine runs once when you start or press reset. void setup() { Serial.begin(9600); // initialize serial communication at 9600 bits per second pinMode(udPin, INPUT); // initialize the potentiometer value pin as an input (Up/Down)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. pinMode(lrPin, INPUT); // initialize the potentiometer value pin as an input (Left/Right) } // Loop: The loop routine runs over and over again forever: void loop() { int udValue = analogRead(udPin); // read the input pin (Up/Down) int lrValue = analogRead(lrPin); // read the input pin (Left/Right) Serial.print("Up/Down value = "); Serial.println(udValue); // print out the state of the sensor Serial.print("Left/Right value = "); Serial.println(lrValue); // print out the state of the sensor delay(600); // delay in between reads for stability }

Conclusion

1. Looking around the room or building you are in, identify 3–5 devices that most likely have a microcontroller embedded in them.

Answers will vary. There are many. Examples include:

Thermostats

Microwaves/appliances

Elevators

2. What are some of the major parts to a program or sketch?

A Sketch (program written with Arduino) will contain: a title, constants, variables, setup() functions, and loop() functions.

3. Without worrying about syntax, what conditional statement would you write to create the following outputs on the serial monitor based on the inputs of the 2-Axis Joystick?

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Example

// Loop: The loop routine runs over and over again forever: void loop() { int udValue = analogRead(udPin); // read the input pin (Up/Down) int lrValue = analogRead(lrPin); // read the input pin (Left/Right) if (udValue > 550){ Serial.print("You are moving forward"); } if (udValue < 500){ Serial.print("You are moving backward"); } if (lrValue > 550){ Serial.print("You are moving right"); } if (lrValue < 500){ Serial.print("You are moving left"); } delay(300); }

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Activity 4.2.3 PWM: Pulse Width Modulation Answer Key

Sketch 1: “Two Axis Accelerometer”

4. Upload the program and open the serial monitor. With the breadboard on a flat surface, determine:

What values do you read for the x-axis?

Values will vary.

Should read in a range near 0.

What values do you read for the y-axis?

Should read in a range near 0.

“Two Axis Accelerometer”

5. Pick up the breadboard keeping it parallel to the floor. Slowly rotate the board on the y-axis (part of the board farthest from you is down; part of the board from nearest you is up). Then slowly rotate the board in the opposite direction around the y-axis. Describe how the motion relates to the values you see on the Arduino serial monitor.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Tilting the front of the board down produces negative values.

Tilting the front of the board up produces positive values.

The values will stop when the board reaches a maximum/minimum position. This position may not be exactly perpendicular to the floor.

6. Slowly rotate the board on the x-axis (left part of the board is down; right part of the board is up). Then slowly rotate the board in the opposite direction around the x-axis. Describe how the motion relates to the values you see on the Arduino serial monitor.

Tilting the left side of the board down produces positive values.

Tilting the right side of the board down produces negative values.

11. Upload the program and open the serial monitor. Rotate the potentiometer and note how the motor reacts.

Students should see:

90 degrees: stop motor

<90 degrees: motor forward

>90 degrees: motor reverse

However, with this range that is mapped, the change from forward to all stop to reverse is in a very small window.

12. The motor most likely does not respond the way that you want. The range that translates into the motor speed is actually a much smaller range than (0–180). Modify the code so that the potentiometer controls the speed and direction over a much wider range of motion for the potentiometer.

In the example code the range was changed to (40–130) based on value read where the motor was observed to be stopped (90).

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Problem 4.2.4 Microcontrollers: Tollbooth Revisited Answer Key

Resources

4.2.4.AK Microcontrollers_TollboothRevisited

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. References

Arduino. (n.d.). Arduino Learning. Retrieved from http://arduino.cc/

Parallax. (n.d.). Learn.parallax tutorials. Retrieved from http://learn.parallax.com/learn/home

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Course Presentations

Resources

Digital Electronics PowerPoint Presentations (zipped folder)

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Assessment Resources

Resources

DE Score Interpretation Guide

DE Content Map

DE Sample Multiple Choice Items (QTI format)

DE Test Blueprint Template

EoC Assessment Administration Manual

The PLTW End-of-Course (EoC) Assessment Administration Manual is an overall guide for the EoC. It provides information on how to prepare students for the assessment, as well as how to verify the student roster in myPLTW, and obtain test codes. Additionally, the manual provides the test administration protocols and scripts, along with what to expect once the EoC has concluded. All teachers should read through the EoC Assessment Administration Manual at least once, mark and highlight areas of importance, and use the scripts during testing.

EoC Assessment Administration Manual.pdf

Score Interpretation Guide

The PLTW End-of-Course (EoC) Score Interpretation Guides are course-specific supplements to the PLTW End-of-Course Assessment Administration Manual. Each guide provides information about how to interpret student EoC scores in general and for a specific course, as well as PLTW policy for appropriate and inappropriate uses of EoC scores. The complete Achievement Level Descriptions (ALDs) that are aligned to the EoC scores for each course are also included within the Score Interpretation Guide. Content Map

Content maps represent the approximate percent of items on the EoC Assessment by lesson. You can use them to help plan student test preparation. Sample Multiple-Choice Items

PLTW has released sample EoC Assessment items for you to use. These multiple-choice items allow you and your students to become familiar with the types of questions included in the EoC Assessment. You are encouraged to use these items during the school year for classroom assessment and EoC Assessment preparation.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Note: These items do not represent the content spread of the EoC Assessment, but rather the type of items students will see on the exam. These items can be used in combination with your own items.

The multiple-choice items have been added as a QTI .zip file located at the top of this page. The file needs to be downloaded and imported into your own learning management system. Test Blueprint Template

PLTW is dedicated to meet the diverse assessment requirements of our districts and states that wish to create assessments for learning or assessments of learning. For this reason, test blueprinting resources are available for network use. Test blueprinting is a best-practice that helps plan and align tests with specified learning objectives.

The Excel sheet can be used to create assessments using the same knowledge and skills used for the PLTW EoC Assessments. In this document you can denote the type of item to be placed on an assessment, the cognitive level using Webb’s taxonomy, and the name of the item on the test.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Hardware and Software Support

Resources

DLB Content

DLB folder

DMS - Pilot Legacy Files folder

DMS Startup - Multisim folder

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Multisim 14 CDS Files

Resources

Multisim 14 CDS Files folder

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Arduino IDE Files

Resources

Accelerometer Motor.zip

AltBlink-feb04a.zip

ArduinoTest_feb04a.zip

AnalogReadSerial-feb04a

Blink_feb04.zip

DigitalReadSerial_feb04a.zip

DigitalReadSErialLED_feb04a.zip

Joystick_feb04a.zip

JoystickMoving_feb04a.zip

microServoPot_feb04a.zip

PIRDigitalReadSErial_feb04a.zip

Pushbutton_apr04a.zip

Pushbutton_DigialREadSerial_NT_apr04a.zip

ServoPot_feb06a.zip

TollBooth_apr11a.zip

TwoAxisAccelerometer_feb05a.zip

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Digital Electronics Course Outline

Request a copy of the course outline from PLTW.org.

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Digital Electronics Course Resume

Course resumes showcase the technical skills students obtain in each PLTW course. Each resume outlines the computational skills, analytical skills, and knowledge acquired in the course. Course Resumes also detail student experience with tools, software, lab work, and engineering design. The detailed skills listed within course resumes illustrate the immediate, applicable contributions that students can make within a workplace. Computational and Analytical Skills

Use mathematical processes to convert any value between any number systems

Calculate voltage, current, and/or resistance for components in a complex circuit

Translate circuit designs, truth tables, design requirements into logic expressions

Simplify circuits using Boolean algebra theorems and DeMorgan’s theorems

Simplify a logic expression graphically using the Karnaugh Mapping process

Digital Electronics Design Experience

Implement the design process to design a circuit

Design a circuit to meet voltage, current, or resistance design requirements

Select components in a design to produce a desired waveform

Implement the best combinational logic circuit design

Apply knowledge of logic gates to select an appropriate gate for the circuit design

Troubleshoot the design of a circuit by analysis and comparison to the truth table

Implement a circuit design based on logic expressions

Troubleshoot existing circuits based on logic expressions

Determine when NAND only or NOR only implementations are the most efficient

Implement a seven segment display into a circuit design

Determine when a common cathode or common anode seven segment display may perform better in a particular circuit design

Design a sequential circuit

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Describe the function of XOR/XNOR gates in a circuit design

Design an adder/subtractor circuit related to the carry out and use on XOR gates

Design a desired frequency of a clock signal in a 555 timer design

Design a sequential logic circuit to produce a desired output

Design synchronous/asynchronous counter circuits based on design requirements

Design a state machine based on specific design requirements

Design a circuit with motors as outputs that operate at different voltage levels

Select and apply the most appropriate design method for circuit implementation

Practical Application Experience

Solder and de-solder components to printed circuit boards

Validate circuit design through measurement using a probe/oscilloscope and analysis of timing diagram

Select and apply the most appropriate technology for circuit implementation

Implement designs on an FPGA

Create a program to manage inputs and outputs of a microcontroller

Tools and Software

Oscilloscope

DMM

FPGA

Digital Mini System

Digital Logic Board

Professional Skills

Team collaboration

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Project management

Problem-solving

Communication skills

Presentation skills

Technical writing

Course Knowledge

Foundations in Electronics

Introduction to safety, electricity, and components

Introduction to common analog and digital circuit designs and applications

Combinational Logic

Designing AOI combinational logic circuits

Alternative Design: Universal gates and K-mapping

Specific combinational logic designs

Introduction to PLD design and circuit prototyping on a PLD

Sequential Logic

Sequential logic circuit design

D flip-flops, J/K flip-flops, and flip-flop applications

Asynchronous counters

Counter design SSI, MSI, and MOD asynchronous counters

Synchronous counters

Counter design SSI, MSI, and MOD synchronous counters

Controlling Real World Systems

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Introduction to state machines

Introduction to sensors, motors, and state machine design

Introduction to microcontrollers

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Frameworks

Resources

DE 1.1FWK - Introduction to Electronics

DE 1.2FWK - Introduction to Circuit Design

DE 2.1FWK - AOI Cobinational Logic Design

DE 2.2FWK - Alternative Design Universal Gates and KMapping

DE 2.3FWK - Specific Combinational Logic Designs

DE 2.4FWK - Introduction to PLDs

DE 3.1FWK - Sequential Logic Circuit Design

DE 3.2FWK - Asynchronous Counters

DE 3.3FWK - Synchronous Counters

DE 4.1FWK - Introduction to State Machines

DE 4.2FWK - Introduction to Microcontrollers

Copyright © 2017 Project Lead The Way, Inc. All rights reserved. Student Accessibility

In addition to the student accessibility standards inherent in the way we develop PLTW courses, PLTW supports purposeful student accessibility in the following ways:

Courses supports standard accessibility practices and techniques including the use of video captions, alternative text descriptions, and compatibility with screen readers. (Note: Features may vary based on course development date.)

Some of our newer PLTW course developments feature a Student Accommodation section to help support you in adjusting course activities, projects, or problems to be accessible for your students.

A non-digital, PDF version of the student course curriculum is available for use in the following classroom situations:

Technology issues.

Students who require a text or print version.

Another circumstance in which student access to digital course curriculum is not feasible or possible.

These course versions are intended to be used only to support student accessibility when access to digital content through Courses is not possible.

Important: Due to its non-digital nature, the PDF version of course curriculum will not feature digital interactivity and tools, embedded media, or any updates made available via Courses during the school year.

Resources

Digital Electronics Course PDF

Digital Electronics Teacher Guide PDF

Copyright © 2017 Project Lead The Way, Inc. All rights reserved.