JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003

APPLIED PHYSICS REVIEWS—FOCUSED REVIEW

Recent advances on electromigration in very-large-scale-integration of interconnects K. N. Tu Department of Materials Science and Engineering, University of California, Los Angeles, Los Angeles, California 90095-1595 ͑Received 4 February 2003; accepted 21 July 2003͒ Today, the price of building a factory to produce submicron size electronic devices on 300 mm Si wafers is over billions of dollars. In processing a 300 mm Si wafer, over half of the production cost comes from fabricating the very-large-scale-integration of the interconnect metallization. The most serious and persistent reliability problem in interconnect metallization is electromigration. In the past 40 years, the microelectronic industry has used Al as the on-chip conductor. Due to miniaturization, however, a better conductor is needed in terms of resistance–capacitance delay, electromigration resistance, and cost of production. The industry has turned to Cu as the on-chip conductor, so the question of electromigration in Cu metallization must be examined. On the basis of what we have learned from the use of Al in devices, we review here what is current with respect to electromigration in Cu. In addition, the system of interconnects on an advanced device includes flip chip solder joints, which now tend to become weak links in the system due to, surprisingly, electromigration. In this review, we compare the electromigration in Al, Cu, and solder on the basis of the ratio of their melting point to the device operating temperature of 100 °C. Accordingly, grain boundary , surface diffusion, and lattice diffusion dominate, respectively, the electromigration in Al, Cu, and solder. In turn, the effects of microstructure, solute, and stress on electromigration in Al, Cu, and solder are different. The stress induced by electromigration in Cu/low-k interconnects will be a very serious issue since the low-k dielectric ͑with a value of k around 2͒ tends to be weak mechanically. In a multilevel interconnect, a electromigration due to current crowding, acting normal to current flow, has been proposed to explain why many electromigration induced damages occur away from the high region. In mean-time-to-failure analysis, the time taken to nucleate a void is found to be much longer than the growth of the void in Al and solder interconnects. This is not the case for Cu interconnects for the nucleation of a void on a surface. On accelerated tests of electromigration in Cu interconnects, the results gathered above 300 °C will be misleading since the transport will have a large contribution of grain boundary diffusion, which is irrelevant to electromigration failure in real devices induced by surface diffusion. © 2003 American Institute of Physics. ͓DOI: 10.1063/1.1611263͔

TABLE OF CONTENTS IV. ELECTROMIGRATION IN FLIP CHIP SOLDER JOINTS...... 5461 I. INTRODUCTION...... 5452 A. Effect of current crowding on electromigration.. 5462 II. ELECTROMIGRATION IN Al B. Effect of eutectic composition on electromigration...... 5464 INTERCONNECTS...... 5455 C. Polarity effect of electromigration on chemical A. Effect of microstructure on electromigration. . . 5455 reactions at cathodes and anodes in solder B. Effect of solute on electromigration...... 5456 joints...... 5465 C. Effect of stress on electromigration and vice D. Effect of stress on electromigration and vice versa...... 5456 versa...... 5467 III. ELECTROMIGRATION IN Cu E. Effect of solder composition on INTERCONNECTS...... 5458 electromigration...... 5467 A. Effect of microstructure on electromigration. . . 5459 V. CURRENT CROWDING...... 5468 B. Effect of solute on electromigration...... 5460 A. Driving force of electromigration...... 5469 C. Effect of stress on electromigration and vice B. Effect of contact resistance on current versa...... 5461 crowding...... 5470

0021-8979/2003/94(9)/5451/23/$20.005451 © 2003 American Institute of Physics

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5452 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

FIG. 1. SEM image of two-level Al͑Cu͒ interconnect lines with W-plug vias on a Si surface. The image was taken after etching away the interlevel dielectric. The width of the line and the spacing between them is 0.5 ␮m ͑Ref. 10͒.

VI. ANALYSIS OF MEAN TIME TO FAILURE.... 5470 A. Effect of current density...... 5470 B. Effect of activation energy of diffusion...... 5471 C. Effect of void nucleation and growth at cathodes...... 5471 D. Effect of hillock and whisker formation at anodes...... 5472 VII. SUMMARY...... 5472

I. INTRODUCTION FIG. 2. ͑a͒ SEM image of an eight-level Cu interconnect structure taken An ordinary household extension cord conducts electric- after the interlevel dielectric was etched away. ͑Courtesy of Dr. Jeffrey Su, ity without mass transport because the den- Institute of Microelectronics, Singapore. This image was suggested by Dr. ͒͑͒ sity in the cord is low, about 102 A/cm2. The free- Ahila Krishnamoorthy, Institute of Microelectronics, Singapore. b Cross-sectional TEM image of a six-layered dual damascene Cu intercon- model of conductivity of metals assumes that the conduction nect structure on a Si surface. The diameter of the narrowest Cu via is 0.25 are free to move in the metal, unconstrained by the ␮m. There is a liner between a via and the line below it. ͑Courtesy of Dr. L. perfect lattice of except for scattering interactions due to C. Hsia, Chartered Manufacturing, Singapore.͒ phonon vibration. The scattering is the cause of electrical resistance and . When an is out of its equi- librium position, for example, a diffusing at the acti- thick carrying a current of 1 mA, for example, the current vated state, it possesses a very large scattering cross section. density will be 106 A/cm2. Such current density can cause Nevertheless, the scattering does not enhance displacement mass transport in the line at the device operation temperature of the ion and it has no net effect on the diffusion of the ion of 100 °C and lead to void formation at the cathode and when the electric current density is low. However, the scat- extrusion at the anode. These defects are the most persistent tering by a high current density, above 104 A/cm2, enhances and serious reliability failures in thin film integrated circuits. atomic displacement in the direction of electron flow. The As device miniaturization demands smaller and smaller in- enhanced atomic displacement and the accumulated effect of terconnects, the current density goes up, so does the prob- mass transport under the influence of electric field ͑mainly, ability of circuit failure induced by electromigration. This is electric current͒ are called electromigration. It is worth not- a subject which has demanded and attracted much ing that a household cord is allowed to carry only a low attention.1–7 In Ref. 7 a rather long list of literature ͑with current density, otherwise joule heating will burn the fuse. A titles͒ about experimental studies of electromigration in Al thin film interconnect in a device can carry a much higher and Cu interconnects is given. current density, which facilitates electromigration, because In the past 40 years, Al has been the interconnect con- the Si substrates on which interconnects are built are very ductor in the microelectronic industry. A small amount of Cu, good heat conductors. On the other hand, in a device having 1–2 at. %, has been added to Al to improve its resistance to a very dense integration of circuits, the heat management is a electromigration,8 and the Al͑Cu͒ interconnect has been used serious issue. Typically, a device is cooled by a fan or other without serious reliability problems. Due to the trend of min- means in order to maintain the operation temperature around iaturization, however, a better interconnect conductor than 100 °C. Al͑Cu͒ is needed in terms of resistance–capacitance delay, In very-large-scale-integration of circuits on a device, electromigration resistance, and cost of fabrication. The in- assuming an Al or Cu thin film line 0.5 ␮m wide and 0.2 ␮m dustry has turned to Cu as the interconnect conductor, so the

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5453

FIG. 3. ͑a͒ Schematic diagram of the Blech structure consisting a short Al strip on TiN base line for electromigration tests. A void at the cathode and a hillock at the anode are depicted. ͑b͒ SEM image of the top view of a Cu strip tested for 99 h at 350 °C with current density of 5ϫ105 A/cm2. ͑c͒ SEM image of the top view of a Cu͑2wt%Sn͒ strip tested at the same condition ͑Ref. 13͒.

FIG. 4. ͑a͒ SEM image of mass depletion at cathode of a Cu strip at 2.5 h at 400 °C with current density of 2.1ϫ106 A/cm2, ͑b͒ at3.5h,and͑c͒ at 4.5 question of electromigration in Cu metallization must be ex- h. The drift velocity is about 2 ␮m/h as measured from the three images amined. We shall review what have we learned from the ͑Ref. 13͒. previous studies and use of Al interconnect in devices. We ask what is current with respect to electromigration behavior in Cu interconnects. Since the system of interconnects in a on a 200 or 300 mm wafer would cost over billions of dol- device includes solder joints on one end that link to packag- lars. More than half of the production cost in processing a ing circuits and also silicide contacts on the other end that wafer now is spent to make the interconnect metallization. link to active Si transistors, we should also examine elec- One of the important differences between the Al inter- tromigration in solder joints and silicide contacts. Because connect shown in Fig. 1 and the Cu interconnect shown in the geometry and eutectic compositions of solder joints are Fig. 2 is the vias between lines. In the Al interconnect, the unique, electromigration in solder joints is different from that via is a W plug, so there are interfaces between Al and W. in Al and Cu. More importantly, it has become a reliability But in the Cu interconnect, the via is Cu; typically, a via and issue.9 We shall examine it here, too. Electromigration in the line above it are made in one step by electroplating using silicide contacts will not be reviewed. the dual damascene process, so there is no interface between Figure 1 shows a scanning electron microscopy ͑SEM͒ them. On the other hand, there is an interface between a via image of a two-level Al interconnect on a Si surface after the and the line below it in the multilevel Cu interconnects. This insulating dielectric has been etched away.10 The width of is due to the use of the liner to improve the adhesion of Cu to the Al lines is 0.5 ␮m and the spacing between them is 0.5 the dielectric walls and the use of chemical–mechanical pol- ␮m, so the pitch is 1 ␮m. On 1 cmϫ1 cm area, we can have ishing to build the multilevel structure. In the three- 104 lines and each of them has a length of 1 cm, so the total dimensional structure, if electromigration induces an opening length of interconnects in such a layer is 100 m. When we in any part of it, the device fails. We note that the three- build six such layers on a chip of the size of our fingernail, dimensional interconnect turns up and down and also left and the total length, if we include that of interlevel vias, is over 1 right. There is current crowding at a turn where electromi- km. Figure 2͑a͒ shows a SEM image of an eight-level Cu gration is enhanced. We shall address the effect of current interconnect structure taken after the interlevel dielectric was crowding on electromigration in Sec. V. etched away. Figure 2͑b͒ shows a cross-sectional transmis- The phenomenon of electromigration can be observed sion electron microscopic ͑TEM͒ image of a six-level Cu from the response of a short Al or Cu strip on a base line of interconnect structure built on a Si surface. There, the width TiN as shown in Fig. 3͑a͒. This structure is called the Blech of the narrowest interconnect via is 0.25 ␮m. It is worth structure for electromigration tests.11,12 Figures 3͑b͒ and 3͑c͒ mentioning that a factory that can build this kind of structure show, respectively, scanning electron microscope images of

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5454 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

TABLE I. Melting point and diffusivities of Cu, Al, and eutectic SnPb.

Melting Temperature ratio Diffusivities at 350 °C point ͑K͒ 373 K/T m Diffusivities at 100 °C (cm2/s) (cm2/s) ϭ ϫ Ϫ28 ϭ ϫ Ϫ17 Cu 1356 0.275 Lattice Dl 7 10 Dl 5 10 ϭ ϫ Ϫ15 ϭ ϫ Ϫ9 Grain boundary Dgb 3 10 Dgb 1.2 10 ϭ Ϫ12 ϭ Ϫ8 Surface Ds 10 Ds 10 ϭ ϫ Ϫ19 ϭ Ϫ11 Al 933 0.4 Lattice Dl 1.5 10 Dl 10 ϭ ϫ Ϫ11 ϭ ϫ Ϫ7 Grain boundary Dgb 6 10 Dgb 5 10 ϭ ϫ Ϫ9 ϫ Ϫ10 Ͼ Ϫ5 Eutectic 456 0.82 Lattice Dl 2 10 –2 10 Molten state Dl 10 SnPb

the morphology of a Cu strip and an alloyed Cu strip with 2 the melting point of solders, about slightly less than half of wt % Sn in electromigration with current density of 5 the melting point of Al, and about one quarter of the melting ϫ105 A/cm2 at 350 °C for 99 h.13,14 At the cathode end of point of Cu. In this temperature scale, lattice diffusion, grain the strips, a depleted region can be seen, but at the anode boundary diffusion, and surface diffusion occur predomi- 3 1 1 end, an extrusion is seen. By conservation of mass, both nantly at 4, 2, and 4 of the absolute temperature of a metal, depletion ͑void͒ and extrusion occurs in the same strip. respectively.15 These short strips were sandwiched between Ta thin films Table I lists the melting points and diffusivities which and were deposited and patterned on a long W base line are relevant to the electromigration behaviors in Cu, Al, and which was deposited on an oxidized Si wafer. The applied eutectic SnPb. The diffusivities for Cu and Al were calcu- electric current in the W line took a detour to go along the lated on the basis of the following equations from the master strip because the latter is a path of low resistance. When the log D vs Tm /T plot for face-centered-cubic metals, see Fig. current and temperature are high enough, atomic displace- 15 in Ref. 16: ment occurs and void and extrusion formations can be ob- ϭ ͑Ϫ ͒ served directly. We can measure the rate of depletion at the Dl 0.5 exp 34Tm /RT , cathode and calculate the drift velocity. Figure 4 is a set of D ϭ0.3 exp͑Ϫ17.8T /RT͒, ͑1͒ SEM images of the depletion at the cathode of a Cu strip gb m taken at different intervals at 400 °C with current density of ϭ Ϫ ͒ Ds 0.014 exp͑ 13Tm /RT , 2.1ϫ106 A/cm2. The drift velocity is about 2 ␮m/h. It is important to note that the atomic displacement and mass where Dl , Dgb , and Ds are, respectively, lattice diffusivity, 16,17 transport are in the same direction as the electron flow. grain boundary diffusivity, and surface diffusivity. Tm is Electromigration is the result of a combination of ther- the melting point, and the units of 34, 17.8, and 13 Tm are in mal and electrical effects on mass transport. If the conduct- cal/mol. As shown in Table I, at 100 °C the lattice diffusivity ing line is kept at a very low temperature ͑e.g., liquid nitro- of Cu and Al is insignificantly small, and the grain boundary gen temperature͒, electromigration cannot occur because diffusivity of Cu is three orders of magnitude smaller than there is no atomic mobility. The contribution of thermal ef- the surface diffusivity of Cu. At 350 °C the difference be- fects can be recognized by the fact that electromigration in a tween surface and grain boundary diffusivity of Cu is much bulk metal such as a eutectic solder bump occurs at about less, indicating that we cannot ignore the latter. The lattice three quarters of its melting point in absolute temperature, diffusivity of eutectic SnPb ͑not a face-centered-cubic metal͒ and electromigration in a polycrystalline Al thin film line at 100 °C given in Table I is an average value of tracer dif- occurs at less than one half of its melting point in absolute fusivity of Pb and Sn in the alloy.18 It depends strongly on temperature. At these temperatures, there are which the lamellar microstructure of the eutectic sample. Since a undergo random walks in the bulk of the solder bump and in solder joint has, typically, a few large grains, the smaller the grain boundaries of the Al thin film line, respectively, and diffusivity is better for our consideration. The surface diffu- these are the atoms which take part in electromigration under sivity of Cu, grain boundary diffusivity of Al, and lattice the applied field. It is interesting to note that when the width diffusivity of the solder are actually rather close at 100 °C. of a thin film line is reduced to the submicron range, the To compare atomic fluxes transported by these three kinds of grain size in the line is comparable to the line width. The diffusion in a metal, we should have multiplied the diffusiv- grains then become bamboo like in morphology so a continu- ity by their corresponding cross-sectional area of path of dif- ous grain boundary path of diffusion does not exist. When a fusion. But the outcome is the same. Cu thin film line possesses a bamboo-like microstructure, In face-centered-cubic metals such as Al and Cu, atomic electromigration takes place on the surface of the line at diffusion is mediated by vacancies. A flux of Al atoms driven about one quarter of the melting point of Cu in absolute by electromigration to the anode, requires a flux of vacancies temperature. Indeed, we can assume the Si device operation to the cathode in the opposite direction. If we can stop the temperature to be 100 °C, which is about three quarters of vacancy flux, we stop electromigration. To maintain a va-

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5455 cancy flux we must supply vacancies continuously. Hence, we can stop a vacancy flux by removing the sources or sup- plies of vacancies. Within a metal interconnect, dislocations and grain boundaries are sources of vacancies, but the free surface is generally the most important and effective source of vacancies. For Al, its native oxide is protective, which means that the interface between the metal and its oxide is not a good source or sink of vacancies. This is also true for Sn. It is known that an anodized Al line has a better elec- tromigration resistance than those that are not anodized. FIG. 5. Schematic diagram depicting a two-level Al interconnect with W If the atomic or vacancy flux is continuous in the inter- vias. The broken curve arrow indicates the direction of electron flow. A void connect, i.e., the anode can supply vacancies and the cathode is expected to form above the W plug on the left-hand side or the cathode side. can accept them continuously, and if there is no flux diver- gence in between, there will be no electromigration induced damage such as void and extrusion formation. In other words, without mass flux divergence no electromigration II. ELECTROMIGRATION IN Al INTERCONNECTS damage occurs in an interconnect when fluxes of atoms and The microelectronic industry has used Al as the intercon- vacancies can pass through it smoothly. Hence, atomic or nect conductor for 40 years even though Cu is a better elec- mass flux divergence is a necessary condition concerning trical conductor.1–4 This is because Al has certain advantages electromigration failure in real devices. The most common in lithographic processing. It has good adhesion to the SiO2 mass flux divergences are the triple points of grain bound- surface. It can be deposited by e-beam evaporation or sput- aries and interfaces between dissimilar materials. tering, and it can be patterned by dry or reactive ion etching. In summary, electromigration involves atomic and elec- It does not poison Si as Cu does, so Al can be deposited tron fluxes. Their distribution in interconnects is the most directly on Si to serve as contact metallization on Si devices. important concern in electromigration damage. In a region Electromigration damage in Al lines was discovered in the where both distributions are uniform, there will be no elec- 1960s and was soon recognized to occur by grain boundary tromigration damage. Concerning atomic or vacancy fluxes, diffusion. The recognition has led to two major trends in the the most important factor is the temperature scale shown in study of electromigration in Al; the effect of microstructure Table I. Atomic diffusion must be thermally activated. The and the effect of solute. second is the design and processing of the interconnect struc- ture. For example, the difference in via structure between Al A. Effect of microstructure on electromigration and Cu metallization is important. Nonuniform distribution The triple points of grain boundaries can serve as centers or divergence occurs at microstructure irregularities such as of atomic flux divergence. They lead to supersaturation of grain boundary triple points and interphase interfaces in in- vacancies and become locations of void nucleation and terconnects, and they are the sites of failure initiation. In growth near cathodes. Similarly, extrusions occur near an- Secs. II, III, and IV, the effect of microstructure, solute, and odes. Hence, the mechanism of void formation at a triple stress on electromigration in Al, Cu, and solder interconnects point and growth into an opening along a grain boundary will be discussed, respectively. In Sec. VI, mean-time-to- were studied. Consequently, the processing of Al intercon- failure analysis on the basis of void and hillock formation nects with a bamboo-type microstructure, containing no due to flux divergence will be discussed. grain boundary triple points and no continuous grain bound- Concerning the electron flux, the current density must be ary path, attracted much attention. Interestingly, it was soon high enough for electromigration to occur. Because transis- recognized that when the linewidth becomes smaller than the tors in devices are turned on by pulsed , we grain size, the microstructure naturally becomes bamboo consider only electromigration under direct current. A brief like. But it was also found to be very hard to fabricate a line review of electromigration by pulsed direct current can be having a perfect or 100% bamboo-type microstructure. found in Ref. 4. While a uniform current distribution is ex- When a single level of Al interconnect was advanced to pected in straight lines, nonuniform current distribution oc- a multilevel Al interconnect, atomic flux divergence shifted curs at corners where a conducting line turns, at interfaces from grain boundary triple points to the interface between an where conductivity changes, and also around voids or pre- Al line and a W via. The interfacial divergence is due to the cipitates in a matrix. In Secs. IV A and V, the effect of cur- fact that atomic diffusivities in Al and W are very different. rent crowding on electromigration will be discussed. Figure 5 is a schematic diagram depicting a two-level Al Besides electrical due to the applied electric field, interconnect with W vias. The curved arrow in Fig. 5 indi- there are gradients of mechanical stress, chemical potential, cates the electron flow direction. Considering the W via in and temperature acting on atomic diffusion in interconnects. the left-hand side, we expect Al atoms to depart from the How these forces act together is of interest. In Secs. II C, Al/W interface, so vacancies will accumulate above the in- III C, and IV D, the interaction between electrical and me- terface and become supersaturated and eventually form a chanical forces will be reviewed. In Sec. IV C, the interac- void. When the void grows as big as the via, it becomes an tion between electrical and chemical forces will be dis- opening in the circuit. This phenomenon has been called the cussed. ‘‘wear-out’’ mode of failure. In actual devices having the

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5456 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

C. Effect of stress on electromigration and vice versa The interconnect structure shown in Fig. 1 has many short segments. Electromigration in a short segment tends to induce back stress. This was first recognized by Blech and Herring using a set of short Al strips patterned on a base line of TiN as depicted in Fig. 6.11,12 It was found that the longer FIG. 6. Schematic diagram of a set of Al strips of different lengths patterned the strip, the more the depletion at the cathode side in elec- on a base line of TiN. The longer the length, the larger the depletion at the tromigration. Below a ‘‘critical length,’’ there was no observ- cathode. Below the critical length, there is no electromigration damage as able depletion. The dependence of depletion on strip length depicted by the last one on the left-hand side. was explained by the effect of back stress. In essence, when electromigration transports Al atoms in a strip from cathode to anode, the latter will be in compression and the former in multilevel structure of Al lines and W vias, the lifetime has tension. On the basis of the Nabarro–Herring model of equi- been found to be reduced by a factor of 50 as compared to librium vacancy concentration in a stressed solid,19 the ten- that of single level Al interconnects due to flux divergence at sile region has more and the compressive region has less the interface. We shall reconsider this issue in Sec. V when vacancies than the unstressed region, so there is a vacancy we discuss current crowding at the Al/W interfaces. concentration gradient decreasing from cathode to anode. The gradient induces an atomic flux of Al diffusing from anode to cathode, and it opposes the Al flux driven by elec- tromigration from cathode to anode. The vacancy concentra- B. Effect of solute on electromigration tion gradient depends on the length of the strip; the shorter Methods for reducing grain boundary diffusion in Al in the strip, the greater the gradient. At a certain length defined order to improve its resistance to electromigration have as the critical length, the gradient is large enough to balance stimulated much study, especially concerning the structure electromigration so no depletion at the cathode nor extrusion and atomic jump processes in grain boundaries. It is very at the anode occurs. difficult to specify the atomic positions in an arbitrary large In analyzing this stress effect, irreversible processes angle grain boundary, even with the help of atomic resolution have been proposed by combining electrical and mechanical transmission electron microscopy and computer simulation. forces on atomic diffusion. The electrical force proposed by In turn, the activated configuration and activation energy of Huntington and Grone is taken to be20 grain boundary diffusion cannot be defined. Therefore, an ϭ ϭ͑ ϩ ͒ ͑ ͒ educated guess of grain boundary diffusion is based on our Fem Z*eE Zel* Zwd* eE, 2 knowledge of lattice diffusion.15,16 where e is the charge of an electron and E is the electric field In bulk alloys, certain solutes have the effect of retarding (Eϭ␳ j, and ␳ is resistivity and j is current density͒. Z* can or enhancing solvent diffusion. For example, solute atoms of el be regarded as the nominal valence of the diffusing ion in the Cu in bulk Al are known to enhance the lattice diffusion of metal when the dynamic screening effect is ignored; it is Al solvent atoms. This effect can be calculated on the basis responsible for the field effect and Z*eE is called the direct of atomic jump frequencies around a pair of Cu–vacancy in el force. Z* is the charge number representing the an Al lattice. By this reasoning, of the use of alloying to wd exchange effect and Z* eE is called the electron wind force, retard grain boundary diffusion of Al, we would not choose wd and it is generally found to be of the order of 10 for a good Cu. However, when a small amount of Cu was co-deposited conductor, so the electron wind force is much greater than with Al, the co-deposited thin film sample actually showed the direct force for electromigration in metals. Z* is the ef- much less electromigration.8 Now, it is a general practice in fective charge number of electromigration. For a discussion industry to add 1 at. % or so of Cu to Al, and the lifetime of the electrical force, see the review by Ho and Kwok,4 and improvement against electromigration can be orders of mag- other papers.21–25 nitude better than that of pure Al. The excess Cu forms The mechanical force is taken as the gradient of chemi- Al Cu precipitates in Al grain boundaries. These precipitates 2 cal potential in a stressed solid, dissolve and serve as sources of Cu to replenish the loss of Cu in grain boundaries when electromigration depletes them d␴⍀ by driving them to the anode. Why Cu is capable of retarding F ϭϪ ␮ϭϪ , ͑3͒ me “ dx grain boundary electromigration in Al has been a question of keen interest. Again, because of the difficulty in knowing the where ␴ is hydrostatic stress in the metal and ⍀ is atomic grain boundary structure precisely, no definitive answer has volume. Thus, we have a pair of phenomenological equations been given. Most likely, the answer is either a reduction of for atomic and electron fluxes, driving force or a reduction of kinetics or both. Kinetically, Cu may either reduce the concentration of vacancies in the D d␴⍀ D J ϭϪC ϩC Z*eE, ͑4a͒ grain boundary or increase the activation energy of grain em kT dx kT boundary diffusion of Al. What is important to industrial manufacturing is the finding that the process of adding Cu to d␴⍀ J ϭϪL ϩn␮ eE, ͑4b͒ Al is forgiving, meaning that it tends to work well. e 21 dx e

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5457

FIG. 7. Schematic diagram of a short strip confined by rigid walls. Com- pressive stress will build up at the anode as more and more atoms are being driven into the anode by electromigration.

2 where Jem is atomic flux in units of atoms/cm s, and Je is electron flux in units of coulomb/cm2 s. C is the concentra- tion of atoms per unit volume, and n is the concentration of conduction electrons per unit volume. D/kT is atomic mo- ␮ bility and e is electron mobility. L21 is the phenomenologi- cal coefficient of irreversible processes and it contains the FIG. 9. Rate of resistance change of an Al line vs current density is shown 26 by the solid squares. The electromigration induced steady-state compressive deformation potential. ͑ ͒ ͑ ͒ ϭ stress gradient vs current density is shown by the open squares Ref. 29 . In Eq. 4a , if we assume Jem 0, i.e., there is no net ͑Courtesy of Professor. G. S. Cargill III, Lehigh University.͒ electromigration flux or damage, we obtain the expression for the critical length as ⌬␴⍀ electromigration, the interaction between an applied stress ⌬xϭ . ͑5͒ Z*eE and electromigration is the same; for example, an applied compressive stress at the anode will retard electromigration. Since the resistance of the conductor can be taken to be The Blech structure has been used very often in experi- constant at a constant temperature, we have, instead, the mental studies of electromigration for the following reasons. ‘‘critical product’’ or ‘‘threshold product’’ of ‘‘j⌬x’’ by mov- We can observe the damage directly and measure the drift ing the current density from the right-hand to the left-hand velocity by measuring the rate of depletion at the cathode. side of the equation. Also, we can study the effect of back stress. Nevertheless, ⌬␴⍀ there has been a question about the nature of the back stress. j⌬xϭ . ͑6͒ Z*e␳ If we confine a short strip by rigid walls as shown in Fig. 7, we can envisage easily the compressive stress at the anode Under a constant applied current density, a bigger value of induced by electromigration. Thus, we consider a fixed vol- ͑ ͒ critical product in Eq. 6 means a longer critical length, in ume at the anode and we add atoms into it by electromigra- ͑ ͒ turn, a larger back stress in Eq. 5 . For Al and Cu intercon- tion, the stress change in the volume is nects, we take jϭ106 A/cm2 and ⌬xϭ10 ␮m, we have a typical value of critical product about 1000 A/cm. ⌬C ⌬␴ϭϪB , ͑7͒ It has been proposed that if we can design interconnects C in a device to have a product of j⌬x less than the critical where B is the bulk modulus. And the time dependence of product, we will have no electromigration. This might be stress buildup in a short strip by electromigration can be practical for devices approaching the nanoscale, but it has obtained by solving the continuity equation since stress is not been widely explored except in certain test samples. We energy density and a density function obeys the continuity note that while the back stress in the above is induced by equation,27,28 ␴ץ ␴ 2 CDZ*eEץ 2␴ Dץ ␴ Dץ C ϭϪ Ϫ ͩ ͪ Ϫ . ͑8͒ xץ x BkTץ x2 BkTץ t kTץ B The solution for a finite line and the manner of stress buildup as a function of time is shown in Fig. 8. Clearly, in the beginning of electromigration the back stress is nonlinear along the length of the strip; the curved lines. In reality, the buildup is asymmetrical since the hydrostatic tensile stress at the cathode can hardly be developed. In a fixed volume with a rigid wall, the compressive stress increases with the addition of atoms. However, in short strip experiments, there are no rigid walls to cover the Al strips, except native oxide. How can the back stress build up at the anode if the native oxide is not a rigid wall? One plausible explanation is that the Al native oxide has removed the source of vacancies from the surface, therefore, when FIG. 8. Solutions for stress evolution in a finite line as a function of time electromigration drives atoms into the anode region, the out- under electromigration ͑Ref. 27͒. diffusion of vacancies will reduce the vacancy concentration

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5458 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

in the anode region if there is no source to replenish it. It is large area (9ϫ9cm2) charge-coupled-device detector with easy to envision the physical picture that under compression an exposure time of1sorlonger, from which the orientation there is less equilibrium vacancies, but the reverse situation and strain tensor of each illuminated grain can be deduced is not so easy to envision, i.e., when there is less equilibrium and displayed by software. The resolution of the white beam vacancies in a region, the region is in compression. We are Laue technique is 0.005% strain. In addition, a four-crystal not used to this latter concept. Furthermore, if we consider monochromator can be inserted into the beam to produce Au, which forms no oxide, and an unconfined Au strip with monochromatic light for diffraction. The combined white a clean surface, it is hard to imagine how a back stress can be and monochromatic beam diffractions are capable of deter- developed in the strip under electromigration. This is be- mining the total strain–stress tensor in each grain. The tech- cause Au atoms can diffuse to the free surface at the anode to nique and applications of scanning x-ray microdiffraction relieve the stress. It is equally hard to imagine the back stress has been described by MacDowell et al.34,35 in Cu short strips. Since Cu grows a nonprotective oxide, its surface can act as a source and sink for vacancies, although it may not be as active as a free surface in ultrahigh vacuum. III. ELECTROMIGRATION IN Cu INTERCONNECTS More intriguingly, if electromigration in Cu interconnects oc- Although the Al͑Cu͒ alloy has performed well as an in- curs by surface diffusion, how surface diffusion can produce terconnect conductor for a long time, the trend of miniatur- a back stress is unclear. We shall discuss this point later in ization has recently demanded a change due to the following Sec. III C. reasons.37 First is the resistance–capacitance (RC) delay in A serious effort has been dedicated to measuring the signal transmission in fine lines. Second is the high cost of back stress in Al strips during electromigration. It is not an building a multilayered interconnect structure. Third is the easy task since the strip is thin and narrow; typically, it is concern of electromigration.5–7,38–47 For the use of narrower only a few hundred nanometers thick and a few microns and narrower lines, not only the line resistance increases, but wide, so a very high intensity and focused x-ray beam is also the capacitance between lines will drag down signal needed in order to determine the strain in Al grains by pre- propagation. If we choose to maintain the dimensions of the cision lattice parameter measurement.29–35 Alternatively, a Al interconnect without change, we must add more layers of focused laser beam has been used to measure the Raman Al, from six to eight or ten. To make more layers of metal- frequency shift from the Si underneath the Al line due to the lization on Si is very undesirable because of cost. Moreover, piezospectroscopic property of Si.36 if we have to add two more layers of interconnects, the ad- Microdiffraction x-ray beams using synchrotron radia- ditional processing steps can reduce yield. This is another tion have been employed to study the back stress. White x reason why the dual-damascene processing of Cu is attrac- rays of 10 ␮mϫ10 ␮m beam from the National Synchrotron tive. It has combined the steps of making one level of lines Light Source ͑NSLS͒ at National Brookhaven Laboratory and one level of vias together, so most of the steps of making were used to study electromigration induced stress distribu- vias have been removed. Using the same number of process- tion in pure Al lines.29 The line was 200 ␮m long, 10 ␮m ing steps, we can build more levels of Cu than Al intercon- ␮ ␮ wide, and 0.5 m thick with a 1.5 m SiO2 layer nects. on top, 10 nm Ti/60 nm TiN shunt layer at the bottom, and Since Cu has a much higher melting point (1083 °C) 0.2 ␮m thick W pads at both ends which connect the line to than Al (660 °C), atomic diffusion should be much slower in contact pads. The electromigration tests were performed at Cu than Al at the same device operation temperature. So, 260 °C. The results of the steady state rate of resistance in- electromigration is expected to be much less in Cu intercon- crease, ␦(⌬R/R)/␦t, and the electromigration induced nects. Surprisingly, the benefit is not as big as expected. As ␦␴ ␦ steady state compressive stress gradient, EM / x, versus we have stated in the beginning, electromigration in Cu oc- current density are shown in Fig. 9. No electromigration oc- curs by surface diffusion which has a lower activation energy curred below the threshold current density ‘‘jth’’ of 1.6 than grain boundary diffusion. Why has electromigration ϫ105 A/cm2. Below the threshold current density, the elec- changed from grain boundary diffusion in Al to surface dif- tromigration induced steady state stress gradient increased fusion, on Cu? Ironically, this is because of the use of the linearly with current density, wherein the electron wind force damascene process to fabricate the Cu interconnect. In addi- was counterbalanced by the mechanical force, so no elec- tion, it is because Cu intrinsically does not adhere to oxide tromigration drift was observed. surfaces. Why do we have to use damascene processes to The x-ray microdiffraction apparatus at the Advanced produce Cu interconnects? This is because Cu cannot be Light Source ͑ALS͒ in Lawrence Berkeley National Labora- etched or patterned by dry or reactive ion etching. Therefore, tory is capable of delivering white x-ray beams ͑6–15 keV͒ we have to form Cu lines by electrolytic plating of Cu into focused to 0.8–1 ␮m by a pair of elliptically bent trenches in dielectric,48 followed by a wet process of Kirkpatrick–Baez mirrors.34,35 In the apparatus, the beam chemical–mechanical polishing ͑CMP͒ to planarize Cu with can be scanned over an area of 100 ␮m by 100 ␮m in steps its surrounding dielectric. On the polished flat surface we of 1 ␮m. Since the diameter of the grains in the strip is about repeat the process and build the multilevel Cu interconnect 1 ␮m, each grain can be treated as a single crystal with shown in Fig. 2. In the damascene process, via holes are respect to the microbeam. Structural information such as etched together with trenches in the dielectric layer, followed stress/strain and orientation can be obtained by using white by electroplating Cu into the trenches and via holes simulta- beam Laue diffraction. Laue patterns were collected with a neously. Compared to the process of making Al lines and

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5459

W-plug vias, the dual damascene process has saved the step TABLE II. Conductivity of Al and Cu thin film interconnects. of making vias. In filling Cu into the trenches and via holes Film Resistivity ͑␮⍀ cm͒ at 20 °C in the dielectric, we need to improve the adhesion of Cu to the dielectric, so a liner such as Ta, TaN, or TiN is used to Sputtered Cu 2.1 Al ͑2wt%Cu͒ 3.2 cover the bottom and sidewalls of the trenches and holes ͑ ͒ 7 Cu 0.5 wt % Sn 2.4 before the electroplating of Cu. To plate the Cu, a seeding Cu ͑1wt%Sn͒ 2.9 layer of electroless Cu or vapor-phase deposited Cu is needed before the electrolytic plating. Then, CMP is used to polish the top surface of Cu, which has no liner, and it is followed by the deposition of a is dominated by grain boundary diffusion. But, it becomes dielectric layer so that the dual damascene process of build- irrelevant when electromigration occurs by surface ing another interconnect layer of vias and lines can be re- diffusion.47 On a surface, the triple point is not an effective peated. Thus, CMP produces a top surface of Cu interconnect flux divergence point. The surface plane of the ͓111͔ oriented which does not adhere to the dielectric layer over it. Atomic grains and their twins is of interest since it affects surface diffusion on the top surface of the Cu interconnect becomes diffusion. the ‘‘built-in’’ path for electromigration. Furthermore, the The microstructure of the seeding layer of electroless or liner between a Cu via and the Cu line below it is an inter- vapor-phase deposited Cu is also interesting since its grain 47 face of flux divergence. These are the two weak places for size is linearly proportional to its thickness. The grain growth electromigration failure to occur. Which is the weaker one during deposition is called flux-driven grain growth,50 yet may depend on process control and it can lead to early fail- after deposition the grains do not grow at room temperature ure, resulting in a bimodal distribution of failures. Neverthe- as those in the electrolytic Cu. Nevertheless, when electro- less, the mechanism of how surface diffusion can lead to lytic Cu is plated on the seeding layer, the microstructure of void formation in a via is intriguing. It may be that a certain the latter is lost due to ripening. The interaction between amount of interfacial diffusion can occur between Cu and its these two types of Cu film is interesting, and it seems that the liner. Then, the nucleation of a via–void could be thermal organic and inorganic additives in the electrolytic Cu might stress induced due to poor adhesion. Moreover, the third have diffused into the seeding layer to enhance its grain mode of failure is stress-induced extrusion at the anodes, boundary mobility. resulting in dielectric delamination or fracture, especially for The divergence at Al/W interfaces is no longer an issue long interconnects with low-k dielectric insulation. in Cu interconnects due to the dual damascene process. Nev- A. Effect of microstructure on electromigration ertheless, the interface between a via and the line beneath it can still be an interfacial discontinuity due to the liner. Be- Because electromigration in Al interconnects takes place sides adhesion, the liner also serves as a diffusion barrier to along grain boundaries, the effect of microstructure is a key prevent Cu from reaching Si. As a diffusion barrier, the issue. The knowledge leant from Al was applied to Cu inter- thicker the better. A thick liner will increase the resistance of connects with the intention to improve electromigration re- the interconnect, so actually, the thinner the better. While a sistance, but with less success. For example, no significant cleaning of via holes is performed before depositing the difference in electromigration failure was found between liner, if the cleaning is not done properly, it affects the adhe- polygranular and very long-grained bamboo Cu sion between a Cu via and the Cu line beneath it. Hence, interconnects.41 The microstructure of electrolytic Cu has the besides being a flux divergence plane, the liner between a via unusual property that it undergoes abnormal grain growth or and a line is of reliability concern due to poor adhesion under recrystallization near room temperature. It has a strong ͓111͔ thermal stress. texture in the as-plated state, yet the abnormal grain growth has weakened the texture due to twin formation.49 Why some of the grain boundaries in the electrolytic Cu have high mo- bility is unclear; it could be due to a minute amount of the organic and inorganic additives from the plating bath. Also, whether grain boundary diffusivity along these grain bound- aries is the same as that given in Table I is of interest. Both grain size and impurity may affect electrical conductivity and good conductivity is the first requirement of interconnect metallization. So far, this is not an issue for electrolytic Cu. The minute amount of additives from the plating bath has little effect on the conductivity and the grain size is, typi- cally, about 0.1 ␮m before grain growth. The room temperature grain growth has led to a nonuni- form distribution of large grains and clusters of small grains in the interconnect. The large grains are bamboo like and their grain boundaries to smaller grains have triple points. FIG. 10. Resistivity of Cu͑0.5 wt % Sn͒ and Cu͑1wt%Sn͒ alloy thin films Hence, the microstructure is undesirable if electromigration as a function of temperature ͑Ref. 13͒.

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5460 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

FIG. 13. Schematic diagram of surface steps and kinks on a Cu surface. The shaded atoms at the kinks are Sn atoms. FIG. 11. Resistance change of Cu͑0.8 at. % Sn͒ and pure Cu thin films in the electromigration test at 250 °C with current density of 106 A/cm2 ͑Ref. 14͒.

Cu interconnects. Figure 10 shows the resistivity of Cu ͑0.5 B. Effect of solute on electromigration wt % Sn͒ and Cu ͑1.0 wt % Sn͒ alloys as a function of tem- perature using Van der Pauw test structures. Figure 11 shows Since the solute effect of Cu is beneficial to electromi- the resistance change of Cu͑Sn͒ and pure Cu thin films under gration resistance in Al, we ask if a similar solute effect can electromigration at 250 °C and 106 A/cm2. While the resis- be found in Cu and what is the beneficial solute? Again, if tance of the Cu in testing changed quickly with time, the we try to find a solute to slow down grain boundary diffusion resistance of the Cu͑Sn͒ remained unchanged. Figure 12 of Cu, it is irrelevant as we really need a solute that can slow shows a comparison of the measured edge displacement ver- down surface diffusion of Cu. There is no guideline to find sus time for 150-␮m-long and 5-␮m-wide Cu and Cu͑Sn͒ such a solute until we realize that electromigration in Cu test strips with a current density of 2.1ϫ106 A/cm2 at interconnects is dominated by surface diffusion. To sustain a 300 °C. The slope of the edge displacement vs. time gives continuous surface atomic flux of Cu, we must be able to the average drift velocity for the Cu mass transport during release Cu atoms from kink sites on surface steps continu- electromigration. The average drift velocity of the Cu mass ously. In other words, the mechanism and energy needed to transport in the Cu͑Sn͒ alloys strips is small at the beginning dissociate Cu atoms from kink sites, as in desorption and low 51,52 of testing, then it increases slowly with time, and eventually temperature sublimation of atoms from a solid surface, reaches a value comparable to that of pure Cu. are important in the consideration of surface electromigra- Why Sn is beneficial in resisting electromigration in Cu tion. is unclear. Since electromigration in Cu interconnects is After adding and testing many elements in Cu, Sn was known to occur by surface diffusion, it is likely that some- found to show a significant effect in resisting electromigra- 13,14 how surface diffusivity of Cu is slowed down by Sn, and tion in Cu. Table II compares the conductivity of Al and more importantly, the supply of surface flux of Cu atoms is reduced, especially, if the dissociation of Cu atoms from the kinks on Cu surface steps is retarded due to a strong binding of Sn atoms to the kinks. Figure 13 is a schematic diagram of surface steps and kinks on a Cu surface. The shaded atoms at kinks represent Sn atoms. If we assume a strong binding there, the release of Cu atoms from the surface steps will be blocked. Moreover, when Sn segregates to Cu surfaces and forms an oxide bond with the top dielectric layer that im- proves the adhesion between the Cu and the top dielectric layer, it may further retard surface dissociation and diffusion of Cu. The device operation temperature is around 100 °C, which is only about 0.275 of the absolute melting tempera- ture of Cu. So, at 100 °C we can ignore both lattice and grain boundary diffusion in Cu. We may still be able to ignore both of them at a testing temperature of 250 °C. But at 350 °C, which is about 0.46 of the absolute melting temperature of Cu, we cannot ignore grain boundary diffusion in Cu. Hence, the finding that there is no electromigration in Cu͑Sn͒ up to FIG. 12. Plots to show the comparison of edge displacements vs time for ͑ ͒ ͑ ␮ ͑ ͒ 250 °C see Fig. 11 , yet some has occurred at 350 °C see 150- m-long strips of Cu and Cu Sn alloys at 300 °C with current density ͒ of 2.1ϫ106 A/cm2. The measured drift velocity ‘‘s’’ is given in the figure Fig. 4 , tends to indicate that while Sn may be effective in ͑Ref. 13͒. retarding surface diffusion of Cu, it is less effective in retard-

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5461 ing grain boundary diffusion. More importantly, what is the proper temperature range for conducting an accelerated elec- tromigration test for a Cu interconnect? On the basis of the fact that in devices the electromigration in Cu interconnects is dominated by surface diffusion, we may conduct the tests at 250 °C but not at 350 °C. The result at 350 °C is mislead- ing since it may include grain boundary diffusion.

C. Effect of stress on electromigration and vice versa The effect of applied compressive stress on electromi- gration in Cu is expected to be the same as that in Al; it retards electromigration at the anode. But, the effect of back stress on electromigration in Cu is unclear.7 The first ques- tion is whether there is any back stress to be induced by FIG. 14. Schematic diagram of a flip chip solder bump joining an intercon- electromigration in Cu strips at a temperature below 250 °C. nect line on the chip side ͑top͒ and a conducting trace on the board ͑bottom͒. If a Cu strip is not covered by a liner such as Ta, nor confined The teeth-like structures depict the scallop-type intermetallic compounds by rigid walls, it is hard to envision how back stress can be formed between the solder and conducting metals. generated under electromigration by surface diffusion. This is because a free surface is the most effective source and sink of vacancies, so stress will be relaxed easily and will not diffusion in Al or surface diffusion of Cu from the point of build up. Hence, definitive experimental measurements on view of electromigration. While the total atomic flux in lat- back stress in Cu interconnects will be needed.13,43–46 tice diffusion is much bigger, so is the volume of a void In devices, the Cu interconnect is embedded in an inter- required to fail a solder joint. Therefore, a low melting point layer dielectric, so it is confined. Electromigration will in- or fast diffusion is not the answer. Why electromigration oc- duce extrusion or hillock formation at the anode end, even curs in flip chip solder joints at such low current densities though the electromigration occurs by surface diffusion. The will be explained below. extrusion can deform the surrounding dielectric if it is soft, We recall the critical product in Eq. ͑6͒. If we replace ⌬␴ cause delamination if its adhesion is poor, or crack the di- by Y⌬␧, where Y is Young’s modulus and ⌬␧ϭ0.2% is the electric if it is brittle. The effect of electromigration induced elastic limit, we see in Eq. ͑9͒ that the critical product is a stress in Cu/low-k interconnects is unclear. This will be a function of Young’s modulus, resistivity, and effective charge serious reliability issue when a low dielectric constant insu- number of the interconnect material: lation having a constant near or below 2 is integrated with Cu metallization. On the other hand, if the dielectric is rigid, Y⌬␧⍀ j⌬xϭ . ͑9͒ electromigration can lead to plastic deformation of the con- Z*e␳ fined strip. To compare the value of the critical product among Cu, Al, and eutectic SnPb, we note that eutectic SnPb has a re- IV. ELECTROMIGRATION IN FLIP CHIP SOLDER sistivity that is one order of magnitude larger than those of JOINTS Al and Cu. The Young’s modulus of eutectic SnPb ͑30 Gpa͒ Flip chip technologies and flip chip solder joints have is a factor of 2–4 smaller that those of Al ͑69 Gpa͒ and Cu been discussed in a recent article,53 so they will not be re- ͑110 Gpa͒.56 The effective charge number of eutectic SnPb peated here. However, we need to discuss why electromigra- (Z* of lattice diffusion͒2,57,58 is about one order of magni- tion in flip chip solder joints is of concern. In today’s circuit tude larger than those of Al (Z* of grain boundary design, each solder joint will carry 0.2 A and it will be diffusion͒26 and Cu (Z* of surface diffusion͒.7,13 Therefore, doubled in the near future. At present, the diameter of a in Eq. ͑9͒, if we keep ⌬x constant for comparison, we find solder joint is about 100 ␮m and it will be reduced to 50 ␮m that the current density needed to cause electromigration in soon. In the most advanced device today, there are already eutectic SnPb solder is two orders of magnitude smaller than over 7000 solder joints on a chip. We can place 10 000 solder that needed for Al and Cu interconnects. This is the major joints on a 1 cmϫ1 cm chip if the diameter of the solder reason why electromigration in flip chip solder joints can be bumps and the spacing in between them is 50 ␮m. The av- serious. erage current density in such a 50 ␮m joint is about Furthermore, due to the unique geometry of a flip chip 104 A/cm2 when a current of 0.2 A is applied. This current joint, current crowding occurs at the contact interface be- density is about two orders of magnitude smaller than that in tween the solder bump and interconnect wire ͑to be dis- Al and Cu interconnects. Electromigration does occur in flip cussed in the next section͒. The high current density due to chip solder joints at such a low current density and it occurs current crowding is about one order of magnitude higher by lattice diffusion.9,54,55 Often, it is explained by the low than the average current density in the joint. The low thresh- melting point or fast diffusion of solder. However, Table I old of the current density needed to have electromigration in shows that at device operation temperature of 100 °C, lattice solder and the high current density due to current crowding diffusion in solder is not much faster than grain boundary are the key reasons why electromigration in flip chip solder

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5462 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

FIG. 15. ͑a͒ 2D simulation of current distribution in a solder joint. ͑b͒ Display of current density distribution in the cross section of a solder joint which is plotted on the x – y plane and the intensity of the current density is plotted along the z axis ͑Ref. 59͒. joints can compete with electromigration in Al and Cu inter- connects as the major reliability problem in microelectronic devices. In addition, owing to the eutectic composition of solder alloys and the thin film metallization used at the interfaces of a joint, the nature of electromigration in flip chip solder joints is very different from that in Al and Cu interconnects.54–62 This will be discussed below. A. Effect of current crowding on electromigration Figure 14 is a schematic diagram depicting the geometry of a flip chip solder bump joining an interconnect line on the chip side ͑top͒ and a conducting trace on the board or mod- ule side ͑bottom͒.55–60 Because the cross section of the line on the chip side is about two orders of magnitude smaller than that of the solder bump, there is a very large current density change at the contact between the bump and the line since the same current is passing between them. The change leads to current crowding at the entrance into the solder bump, so the current density there is about one order of magnitude higher than the average current density in the 5 2 middle of the bump. It will be 10 A/cm when the average FIG. 16. Cross-sectional SEM images of asymmetrical dissolution of a thick current density in the bump is 104 A/cm2. Figure 15͑a͒ is a Cu UBM due to current crowding by electromigration at 125 °C with an two-dimensional ͑2D͒ simulation of current distribution in a applied current of 1.2 A: ͑a͒ 0 min, ͑b͒ 15 min, ͑c͒ 45 min, and ͑d͒ 90 min. ͑ ͒ The electron current entered the bump from the upper-left corner. As the solder joint. Figure 15 b is a display of current density dis- solder replaced the Cu at the upper-left corner, more and more intermetallic ͑ tribution in the joint, where the cross section of the joint is Cu6Sn5 formed inside the solder bump near the anode. Courtesy of Profes- plotted on the x – y plane and the current density is plotted sor C. R. Kao, National Central University, Taiwan.͒

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5463

FIG. 18. Corresponding voltage vs time curve of the solder joint shown in Fig. 16 ͑Ref. 59͒.

along the z axis.59,60 It is the current crowding or the high current density shown at the upper-right corner in Figs. 15͑a͒ and 15͑b͒ that leads to electromigration damage in the solder joint, not the average current density in the bulk of the joint. Consequently, electromigration damage occurs near the con- tact between the line and the bump. Figure 16 displays a set of scanning electron micro- scopic images at several different stages of a flip chip solder joint in electromigration. Figure 16͑a͒ shows the cross sec- tion of the joint before electromigration. A very thick Cu underbump metallization ͑UBM͒ is at the upper interface. The electron flow comes from the upper-left-hand intercon- nect and enters the bump at the upper-left corner. Figures 16͑b͒,16͑c͒ and 16͑d͒ are images of the cross section of the solder joint after 15, 45, and 90 min, respectively, powered at 1.25 A at 125 °C. The left-hand side of the Cu UBM disap- peared gradually and was replaced by solder. Correspond- ingly, more and more Cu6Sn5 intermetallic compound was formed inside the solder bump. The asymmetrical dissolution of the Cu UBM is clearly due to the fact that the electron current entered the solder bump from the upper-left corner so current crowding occurred there. Why the Cu UBM can be

FIG. 17. Cross-sectional SEM images of electromigration failure of a flip FIG. 19. Schematic diagram depicting the effect of void formation and chip solder joint due to void formation and propagation along the contact propagation on the current entering the solder bump. While the current is interface between the thin film Cu/Ni͑V͒/Al UBM and the eutectic SnPb being displaced to the front of the void, there is little change in resistance. solder bump: ͑a͒ 37 h, ͑b͒ 38 h, ͑c͒ 40 h, and ͑d͒ 43 h at 125 °C with current Only when the void has propagated across the entire contact interface, the density of 2.25ϫ104 A/cm2 ͑Ref. 59͒. resistance will jump abruptly ͑Ref. 59͒.

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5464 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

TABLE III. Electromigration test of solder joints vs Al and Cu intercon- TABLE IV. Intersititial Diffusion of noble and near-noble metals in Group nects. IV elements.

Al or Cu Cu, Ag, Au, Ni, Pd, Pt interconnects Solder bumps ...... `...... Interstitial Diffusion Si, Ge, Sn, Pb Cross section 0.5ϫ0.2 ␮m2 100ϫ100 ␮m2 Resistance 1–10 ⍀ 10Ϫ3 ⍀ Current 10Ϫ3A1A Current density 106 A/cm2 103 –104 A/cm2 when the void has extended across the entire joint or when the contact becomes an opening. The second reason is given in Table III, where a comparison of the electrical behavior dissolved and why a large amount of intermetallic compound between the Al ͑or Cu͒ interconnect and solder joint is given. can be formed in the solder joint will be discussed in Sec. The resistance of a cubic piece of solder of 100 ␮m IV B. ϫ100 ␮mϫ100 ␮m ͑the size of a solder joint͒ is about 1 Figure 17 displays another set of SEM images of the m⍀. The resistivities of Sn and Pb are, respectively, 11 and damage in a flip chip solder joint caused by electromigration. 22 ␮⍀ cm. The resistance of an Al or Cu line 100 ␮m long The upper interface of the solder joint consisted of a set of with a 1 ␮mϫ0.2 ␮m cross section is about 10 ⍀. So, the ͑ ͒ 59 thin films of Cu/Ni V /Al. The total thickness of the thin solder joint is a low resistance conductor, but the intercon- ␮ film UBM is about 1 m, hence, it is not resolved in the nect is a high resistance conductor. While the resistance of SEM image. Electrons of the applied current entered the the latter is sensitive to a slight microstructure change, the bump from the upper-right corner of the joint. Up to 37 h at former is not. Often, a solder joint may contain a huge ϫ 4 2 125 °C with a current density of 2.25 10 A/cm , no dam- spherical void due to residue flux in the solder paste, yet the ͑ ͒ age was observed as shown in Fig. 17 a . Yet, after 38 and 40 void has little effect on the resistance of the solder joint. h, voids are seen at the upper-right interface, and the voids propagated along the interface from right to left, shown in ͑ ͒ ͑ ͒ Figs. 17 b and 17 c , respectively. After 43 h, the joint failed B. Effect of eutectic composition on electromigration by having a large void across the entire interface, shown in Fig. 17͑d͒. The corresponding curve of potential change ver- A major advantage of flip chip technology is that thou- sus time is shown in Fig. 18. In the curve, the potential sands of solder joints or electrical leads can be formed si- change is insensitive to the void formation until the end, multaneously by low temperature heating in forming gas. where it showed an abrupt jump when the void has extended Also, many joints can be placed near the center of a chip in across the entire interface. The arrows in Fig. 18 indicate the order to avoid the voltage drop from a lead located at the corresponding ‘‘time’’ when the images in Fig. 17 were edge of the chip. The technology does require that all the taken. Why the potential or resistance change of the solder joints melt or solidify at the same temperature, so a eutectic joint is insensitive to void formation and propagation can be alloy is favored as solder. However, a eutectic alloy has a explained by two reasons. The first is shown in Fig. 19, unique property that at a constant pressure and temperature where a schematic diagram depicts the cross section of a ͑below the eutectic temperature͒, it has a two-phase micro- solder joint with a void formation at the upper interface. The structure and there is no chemical potential gradient as a formation and propagation of the void displaced the current function of alloy composition. A schematic diagram of the to the front of the void, so very little change in resistance is binary phase diagram of Sn–Pb is depicted in Fig. 20 to affected by the void formation. An abrupt change occurs only illustrate the effect of eutectic composition. If we consider at ambient pressure and 150 °C, any composition along the broken line shown in Fig. 20 will decompose into the two end phases at the two points indicated by the arrows. These two phases are at equilibrium with each other, independent of the amount of each phase. Consider the two alloys at points ‘‘A’’ and ‘‘B’’ as shown in Fig. 20 to form a diffusion couple. Upon annealing at 150 °C, we find that there is no interdiffusion or no homogenization, except some ripening. Thus, if we subject a homogeneous ͑in average composition͒ two-phase eutectic alloy to electromigration, it becomes in- homogeneous by driving one of the phases to the anode and the other to the cathode. We can have phase separation and a large amount of up-hill diffusion and yet there exists no con- centration gradient force to oppose it.62 This eutectic effect is different from the classic Soret effect,15 in which a homoge- neous single phase alloy becomes inhomogeneous under a FIG. 20. Schematic binary eutectic phase diagram of Sn–Pb. Along the temperature gradient. The difference is not because a eutectic broken line at constant 150 °C, there is no chemical potential change as a function of composition. Hence, we can have phase separation along the alloy is a two-phase alloy, rather that in the Soret effect, there broken line driven by electromigration. is a chemical potential or composition gradient to resist the

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5465

FIG. 21. Schematic diagram of ͑a͒ V groove along ͗110͘ direction on the Si͑001͒ surface with two Cu wires at two ends, and ͑b͒ cross-section view of a V-groove solder sample ͑Ref. 57͒. effect. But in a eutectic alloy, there is no chemical potential gradient to resist phase separation driven by electromigration or other forces. If we examine the binary phase diagram of Sn–Cu or Sn–Ni, we find that Sn and Cu6Sn5 as well as Sn and Ni3Sn4 form eutectic couples. This means that below their eutectic temperature we can form a large amount of these compounds in a Sn matrix, and the compounds will be in equilibrium with the matrix. Indeed, this has been observed in electromi- gration in solder joints. Since both Cu and Ni are being used as UBM in solder joints, they can be dissolved by electromi- gration into the solder joint and form a large amount of in- termetallic compounds ͑IMCs͒ near the anode, especially, the Pb-free solders which are Sn based. The dissolution rate is surprisingly fast due to the fact that noble and near-noble metals diffuse interstitially in group IV elements as shown in Table IV.63,64 Electromigration of these metals in Sn and Pb are very fast.65–68 FIG. 22. SEM images of morphological changes on a eutectic SnPb solder line due to electromigration: ͑a͒ large lump formation at the anode after applying 2.8ϫ104 A/cm2 at 150 °C for 8 days; ͑b͒void at the cathode in a C. Polarity effect of electromigration on chemical top-down cross section of the sample shown in ͑a͒; and ͑c͒ a sample at room reactions at cathodes and anodes in solder joints temperature with 5.7ϫ104 A/cm2 for 12 days ͑Refs. 57 and 62͒. Both noble and near-noble metals react with Sn to form IMCs at room temperature due to fast interstitial diffusion.69 Since the IMCs formed at the solder joint interfaces are an respectively. The V-shaped grooves with a width of 100 ␮m integral part of the joint, they must be considered together and a length along the ͓110͔ direction on a 4-in.-diam ͑001͒ with the solder bump in electromigration. Because of fast silicon wafer were processed by standard lithography and diffusion and rapid reaction, the chemical and electrical etching techniques. Following wet oxidation of a thin SiO2 forces interact at these interfaces.70 However, the interactions layer of 0.1 ␮m, metal layers of 0.05 ␮m of Ti, 1 ␮mofCu, at the cathode and the anode are different due to the polarity and 0.05 ␮m of Au were deposited sequentially by e-beam effect. At the cathode, the electrons flow from the IMC to evaporation. The wafer was cut into small pieces with a solder, but at the anode the flow direction is reversed. While V-groove line in the middle. For each piece, two Cu wires electromigration enhances IMC formation at the anode, it were placed at the two ends of the V groove as electrodes, enhances IMC dissolution at the cathode. then eutectic SnPb and Pb-free solder of SnAg3.8Cu0.7 were To investigate the polarity effect, we have designed test regrown into the V groove between the two copper wires samples of V-groove solder lines with Cu electrodes at the using mildly activated resin flux. two ends.57,71,72 Figures 21͑a͒ and 21͑b͒ show schematic dia- Electromigration in eutectic SnPb V-groove solder lines grams of a V-groove sample and its cross-sectional view, has been studied at 150 °C and room temperature.57,62 At

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5466 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

FIG. 23. SEM images of thickness change at the anode and cathode, after current stressing of ͑a͒ 0 h at the anode, ͑b͒ 10 h at the anode, ͑c͒ 0hatthe FIG. 24. ͑a͒ Cross-sectional SEM image of a flip chip corner solder joint cathode, and ͑d͒ 10 h at the cathode with current density of 3.2 joining a chip to a board. Relative displacement of 10 ␮m due to shear ϫ104 A/cm2 at 180 °C ͑Refs. 71 and 72͒. between the chip and the board can be seen. ͑b͒ Schematic diagram of a sheared solder joint in which the electron current enters the joint from the compressive ‘‘C’’ region. ͑c͒ Electron current enters the joint from the ten- sile ‘‘T’’ region. 150 °C, the extrusion at the anode became very apparent after 8 days’ stressing with current density of 2.8 ϫ104 A/cm2, as shown in Fig. 22͑a͒. The void at the cath- of 210Pb and 113Sn in eutectic SnPb solder, that Pb diffusion ode is seen more clearly after polishing away a 10 ␮m layer is faster than Sn at temperatures above 120 °C, and Sn dif- from the surface, see Fig. 22͑b͒. The extrusion at the anode fuses quicker than Pb at temperatures below 120 °C. side is a big lump, rather than small hillocks as in the anodes Knowing the volume of mass transport ͑the volume of of Al or Cu thin film interconnects. the extrusion at the anode or void at the cathode͒,wecan The morphological change due to electromigration is obtain the flux of electromigration, Jem , by assuming that ͑ ͒ ϭ⍀ less obvious at room temperature, as shown in Fig. 22 c , Vem JemAt, where Vem is the volume of mass transport, although the stressing time is longer, 12 days, and current ⍀ is the atomic volume, A is the cross section of the solder density is more than doubled. line, and t is the time of electromigration. Then, the effective Redistribution of Sn and Pb in eutectic SnPb solder lines charge number, Z*, can be calculated. The average values of was examined by x-ray dispersive analysis. At 150 °C, a Z* in high temperature and room temperature electromigra- significant accumulation of Pb occurs at the anode side, with tion in eutectic SnPb are calculated to be 33 and 39, respec- a maximum concentration reaching 95 wt % Pb. In contrast, tively, which are close to the reported values of 47 and 18 for at room temperature Sn accumulates at the anode side, with a self-electromigration in bulk Pb and bulk Sn, respectively.2 maximum concentration of about 92 wt % Sn. These phe- The polarity effect of electromigration on the thickness nomena suggest that in the SnPb system Pb is the dominant and morphology changes of IMCs at the cathode and anode diffusion species at 150 °C, but Sn is the dominant diffusion in SnAg3.8Cu0.7 V-groovesolder lines has been investigated at species at room temperature. These results are in agreement 150 and 180 °C, with current density in the range of 18 3 4 2 71,72 with those of Gupta et al. obtained from tracer 10 –10 A/cm . The same IMC of Cu6Sn5 and Cu3Sn

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5467 form at solder/Cu interfaces with or without applying electric current. The IMC formed after the initial reflow had a scallop-type morphology and transformed into layer-type morphology in solid state aging, with or without electromi- gration. Electromigration enhances the growth of IMCs at the anode and inhibits the growth at the cathode as compared with the no-current case. As shown in Figs. 23͑a͒ and 23͑b͒, for the sample under current density of 3.2ϫ104 A/cm2 at 180 °C, both Cu6Sn5 and Cu3Sn layers keep growing at the anode side with the application of current. The total thick- ness approaches 9 ␮m after 87 h. This thickness is compa- rable with that grown for 200 h at 180 °C without current. At the cathode side, shown in Figs. 23͑c͒ and 23͑d͒, the IMC grew much slower than that at the anode side and the IMC also became layer like. Voids started to appear in the solder part just in front of the solder/IMC cathode interface after 21 h.71,72 The growth of IMC at the anode obeys the parabolic growth rate, and the back stress in IMC might have played a significant role in the parabolic growth. The polarity effect of electromigration on IMC forma- tion in eutectic SnPb solder, as a function of temperature, is of interest because of the temperature dependence of the dominant diffusing species in the solder. For example, we can use Ni instead of Cu as electrodes and the formation of IMC will be different. Then, the length of the solder line might affect IMC formation at the cathode and anode, too. FIG. 25. ͑a͒ Cross-section SEM image of a side view of a eutectic SnPb solder joint after electromigration. A dimple in the cathode side and a bump in the anode side can be seen, ͑b͒ Cross-section optical image of a side view D. Effect of stress on electromigration and vice versa of a eutectic SnAgCu solder joint after electromigration. The surface re- mains flat, indicating that the electromigration damage is very little ͑Refs. In flip chip devices, the solder joints at the corners of a 58 and 73͒. chip are subjected to large shear during thermal cycles be- cause of different thermal expansion coefficients between the chip and its substrate. In Fig. 24͑a͒, an SEM image of a build up in a flip chip solder joint. How the back stress corner solder joint in a flip chip is shown, where the upper interacts with the thermal shear stress is also of interest. part of the joint is sheared to the left with respect to the lower part of the joint. The shear displacement ‘‘x’’ was mea- E. Effect of solder composition on electromigration sured to be 10 ␮m. The height ‘‘h’’ of the solder in the joint is about 30 ␮m, so the shear (sϭx/h) is very large. To The electronic industry has been searching for Pb-free demonstrate the relationship between the shear and elec- solders for benign manufacturing. Tentatively, eutectic tromigration, a schematic diagram of the cross section of a SnAgCu has been recommended to replace eutectic SnPb. solder joint under shear is shown in Fig. 24͑b͒. In the dia- The composition of Sn in a solder joint is an interesting issue gram a letter ‘‘T’’ is used to indicate the tensile region and a in electromigration. For example, in eutectic SnAgCu, the letter ‘‘C’’ to indicate the compressive region. There are a composition of Sn is about 96 wt % and it matrix is essen- pair of ‘‘T’’ and a pair of ‘‘C’’ in the sheared joint. We note tially Sn. In eutectic SnPb, the composition of Sn is about 60 that the electrons can enter the joint from a ‘‘C’’ region as wt %. In high-Pb solders, which have been widely used in shown in Fig. 24͑b͒ or a ‘‘T’’ region as shown in Fig. 24͑c͒. mainframe computers to join chips to ceramic modules, the Similarly, it can get out of a joint from either a ‘‘C’’ or ‘‘T’’ composition of Sn is 3 wt % and its matrix is essentially Pb. region. Generally speaking, at the cathode, electromigration Generally speaking, if we assume atomic diffusivity is pro- is enhanced by tension but retarded by compression. At the portional to melting point of the solder, we expect electromi- anode, the interaction is opposite. Hence, a favorable condi- gration in eutectic SnPb to be the fastest, next will be the tion should be the electron current entering the joint from the eutectic SnAgCu, and the slowest will be the high Pb. At the ‘‘C’’ region and leaving from the ‘‘T’’ region. We note that moment, we have preliminary data to show that indeed elec- there are no experimental data to verify the effect yet. If this tromigration in eutectic SnAgCu is slower than that in eutec- effect is true, we should be able to take advantage of it in tic SnPb.58,73 designing the circuit in packaging. Figures 25͑a͒ and 25͑b͒ show, respectively, sideview The question of back stress in flip chip solder joints is SEM images of eutectic SnPb and eutectic SnAgCu solder interesting, yet no measurement has been conducted to inves- joints after electromigration. The test temperature was tigate it. Under the constraints of underfill, back stress may 120 °C and the applied current was 1.5 A. In Fig. 25͑a͒ a

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5468 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

FIG. 27. ͑a͒ Schematic diagram of the cross section of an Al short strip on TiN and the formation of a void at the upper-left corner where current density is low. ͑b͒ Schematic diagram of the top view of a TiN base line ͑ ͒ FIG. 26. a Cross-section SEM image of a front view of a eutectic SnPb having a U-turn and an Al short strip having an overhang ͑Refs. 74 and 75͒. solder joint after electromigration. Surface markers are indicated by the small circles with numbers. ͑b͒ Marker displacement plotted against time ͑Refs. 58 and 73͒. tectic SnPb alloy has a lamellar structure consisting of pri- mary Pb and primary Sn phases. Atomic diffusion along the lamellar interfaces has been found not to be as fast as ex- dimple in the cathode side and a bump in the anode side can pected due to habit or a semicoherent relationship between be seen after 40 h. In Fig. 25͑b͒, the surface remains flat after the lamellae.18 The activation energy of the interfacial diffu- 200 h, indicating almost no obvious damage by electromi- sion is about 80%, not 50%, of that of lattice diffusion in the gration. primary phases. Then, in solid state aging of solder joints, Figure 26͑a͒ shows a cross-sectional SEM image of the large grains of primary Pb and primary Sn are formed and front view of a eutectic SnPb solder joint after electromigra- the interfaces are disconnected and reduced, so the interfacial tion. On the polished surface, embedded debris ͑polishing diffusion is less dominant. Therefore, electromigration in a powder͒ was found which served as diffusion markers for the eutectic SnPb solder joint is sensitive to the thermal history study of atomic flux migration during electromigration. The of the joint, since it was very different after reflow as com- arrow in Fig. 26͑a͒ indicates that electrons flowed down- pared to solid state aging, especially when we consider elec- ward, the same as the atomic flux of electromigration, hence, tromigration at the device temperature of 100 °C. the markers migrated upward. The marker displacements have been measured as a function of time and they are shown V. CURRENT CROWDING in Fig. 26͑b͒. The displacement is linear with time. Knowing the displacement and the average cross-sectional area, the In Sec. IV A, we discussed current crowding in a flip volume displaced by electromigration, in turn the effective chip solder joint and its effect on electromigration. We ex- charge number of electromigration, can be calculated as dis- pect to find current crowding in a multilevel structure of Al cussed in Sec. IV C. The obtained Z* values are in agree- and Cu interconnects due to interfaces, turns, and vias. We ment. Using the same marker measurement, the rate of dis- review below experimental observations of the effect of cur- placement was found to be much less in eutectic SnAgCu. rent crowding, and we introduce a driving force of electromi- For electromigration in the high-Pb solder, there are little gration on the basis of the current density gradient. We em- published data for comparison. Since the lattice diffusivity of phasize that this force is normal to the direction of electron eutectic SnPb solder is about two orders of magnitude faster flow, while the classic electron wind force is parallel to elec- than that in the high-Pb solder, electromigration in the latter tron flow. is expected to be two orders of magnitude slower than the Several observations of unexpected void formation in former, assuming the other parameters as given in Eq. ͑9͒ are low current density regions in electromigration tests have the same. Atomic diffusivity in a eutectic SnPb alloy is af- been reported. Using the Blech structure of short Al strips on fected by microstructure. Below the eutectic temperature, eu- a TiN base line as sketched in Fig. 27͑a͒, Okabayashi and

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5469

͑ ͒ FIG. 29. a Schematic diagram of a 90° turn in an interconnect, where Fwd ͑ ͒ is electron wind force and Fgrad is current density gradient force. b Current distribution in the 90° turn, in which current crowding occurs at the inner corner ͑Ref. 76͒.

FIG. 28. ͑a͒ Schematic diagram of a two-level Cu dual damascene intercon- of the Cu interconnect. The extension should have had very nect. The electron current direction is indicated by a curve arrow. ͑b͒ SEM little current density, nevertheless, a large void was found image of void formation in the upper surface of the Cu interconnect and also there. a large void formation in the overhang at the left-hand bottom of the Cu In the above three cases, voids were found to form in the interconnect ͑Ref. 40͒. ͑Courtesy of Dr. C. K. Hu, et al., IBM T. J. Watson Research Center, Yorktown Heights, NY.͒ low current density region. The explanation given was that vacancies were driven by a stress gradient to the low current density region. Such an explanation is unsatisfactory because co-workers observed void formation to start at the upper-left it has ignored the fact that void formation requires nucleation corner of the strip where current density is low.74 The mea- that in turn requires a supersaturation of vacancies. We re- surement was performed by sidewise cross-sectional TEM. consider the case shown in Fig. 27͑a͒ or 3͑a͒. According to After a long period of testing time when the anode side has the classic electron wind force of electromigration, vacancies formed a large hillock, they reversed the current direction are driven to the cathode in the opposite direction of electron and found that voids started to form at the tip of the hillock. flow, hence, vacancies should accumulate at the lower-right Again, the voids were found in the region of nearly no cur- corner. Thus, there is a vacancy concentration gradient de- rent density. Figure 27͑b͒ shows a schematic diagram of the creasing from the lower corner to the upper corner, which top view of a Blech structure of the Al strip on TiN having a may induce a flux of vacancies to go from the lower corner U turn, and it was used to study electromigration by Shin- to the upper corner. What is hard to explain by this reasoning gubara and co-workers.75 Some of the Al strips had an over- is why vacancies can reach supersaturation at the upper cor- hang outside the TiN and the overhang should have very ner and nucleate a void there, since the vacancy gradient is little current. Nevertheless, voids were found in the over- from the lower corner ͑high concentration͒ to the upper cor- hang. ner ͑low concentration͒. Vacancies should have reached su- In Figs. 28͑a͒ and 28͑b͒, respectively, a schematic dia- persaturation at the lower corner first and nucleated a void gram and SEM image of electromigration damage in a mul- there. A different explanation has been offered on the basis of tilevel Cu interconnect are shown.40 The direction of electron a new driving force of electromigration.76 flow is indicated by the long and curved arrow. Two kinds of A. Driving force of electromigration damage were observed. First, surface void formation was observed in the upper surface of the Cu interconnect. Sec- In Figs. 29͑a͒ and 29͑b͒, schematic diagrams of an inter- ond, a huge void formation was found at the lower extension connect having a 90° turn and a current density distribution

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5470 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu

shown in Black’s equation of mean-time to failure of inter- connects, which will be discussed in Sec. VI A. In Eq. ͑10͒ and also in Huntington’s equation of elec- tromigration, which is shown below, D J ϭC Z*e␳ j, ͑12͒ em kT the force is linear with current density. The discrepancy could be due to the fact that in Huntington’s derivation,20 the effect of momentum exchange between electrons and diffus- ing atoms has been lumped into ‘‘Z*,’’ the effective charge number, although Z* does not appear to depend on current density explicitly. This is not so. Because electrons scatter on ͑or very near͒ the Fermi surface, and their momentum trans- fer per collision is on the order of the Fermi momentum and not the electron drift velocity ͑which is proportional to elec- tron current density͒. Therefore, Z* contains the momentum FIG. 30. Effect of contact resistance on current crowding is to reduce it by exchange, but it does not contain the current density. The current spreading ͑Refs. 80 and 81͒. force is linearly proportional to electron current density.25 Combining the classic electron wind force and the cur- rent density gradient force in the cathode side of the Al short strip shown in Fig. 3͑a͒, we have a vector sum of at the turn are shown, respectively. A very high current den- sity exists at the inner edge of the turn, and there is a current Dv D dP J ϭJ ϩJ ϭC ͑ϪZ*eE͒ϩ⌬C ͩ Ϫ ͪ , density gradient that decreases from the inner edge to the sum em gm v kT v v kT dr outer edge of the turn. If a potential is applied to the gradi- ͑13͒ ent, it produces a force acting down the gradient. Consider a where the first term is due to electromigration driven by the vacancy in the high current density region, it will be pushed electron wind force and the second term by the current den- down the current density gradient by a force, F, because the sity gradient force. Hence, in Figs. 3͑a͒ and 5, vacancies will vacancy is a high resistance or a high potential entity: be pushed towards the upper corner before they reach the dP lower corner. This will lead to accumulation and supersatu- FϭϪ , ͑10͒ dr ration of vacancies in the upper corner. A void will nucleate, grow, and eventually deplete the entire cathode end of the ϭ ⌬␳ where P qv jA v , and qv is the effective charge of the strip. In comparison, if a void were nucleated at the lower ϭ ͒ vacancy ( Z*v e and Z*v is the effective charge number , j is corner, it would not be able to deplete the entire cathode end the current density, A is the scattering cross section of the of the strip.76 ⌬␳ vacancy, and v is the resistance of the vacancy in the lattice.76–78 The vacancy flux driven by the gradient force will be given as B. Effect of contact resistance on current crowding Current crowding can be diffused or spread by contact D dP ϭ⌬ ͩ Ϫ ͪ ͑ ͒ resistance as shown in Fig. 30.80,81 By changing the contact Jgm Cv , 11 kT dr resistance between the Al strip and TiN base line, we found ⌬ that a spreading of the current entering or leaving the Al can where Cv is the excess vacancy concentration in the cur- rent crowding region relative to the constant current density be achieved, resulting in a reduction of current crowding. region. The beneficial effect of contact resistance can be applied Another way to envision the gradient force is to compare to vias and to flip chip solder joints. In the latter, we can use the scattering on the two sides of a vacancy. The scattering a thick underbump metallization such as a thick Cu or elec- ͑ ͒ on the higher current density side is greater than that on the troless Ni P . It will move the high current density region lower current density side, hence, there is a net force pushing from the solder to the UBM. However, the high current den- the vacancy down the gradient. While the scattering of a sity in the UBM might enhance the dissolution of the UBM lattice atom can be calculated, the resistance of a lattice atom as shown in Sec. IV A. is only about one hundredth of that of a vacancy,79 so the gradient force on an atom is much less. Besides, the mobility VI. ANALYSIS OF MEAN TIME TO FAILURE of a vacancy is much higher than an atom. A. Effect of current density On the basis of scattering, it is expected that the force should have a square dependence on current density. The The electronic industry uses the mean-time-to-failure force by scattering depends on the momentum of electrons ͑MTTF͒ analysis to predict the lifetime of a device. In 1969, and the number of electrons scattered by an atom per unit Black provided the following equation to analyze failure in time; both are proportional to current density. This has been Al interconnects caused by electromigration:82

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5471

1 Q volume to be equal to the cross section of the via times the MTTFϭA expͩ ͪ . ͑14͒ jn kT thickness of the Al line. Ignoring nucleation, the time needed to fail a test strip is the time needed to transport enough The derivation of the equation was based on an estimate vacancies to grow the void of volume V: of the rate of forming a void across an Al interconnect. The most interesting feature of the equation is the dependence of V tϭ . ͑15͒ the MTTF on the square power of current density, i.e., n ⍀J A ϭ2. em d In the MTTF equation, whether the exponent n is 1, 2, or We recall that Jem depends on back stress as shown in Eq. a larger number has been controversial, especially when the 4͑a͒. On the other hand, a simpler way to estimate the time effect of Joule heating is taken into account. However, as- of growth of such a void is to divide the diameter of the via suming that mass flux divergence is required for failure and by the drift velocity measured from the Blech strip shown in the nucleation and growth of a void requires vacancy super- Fig. 4 or the two-level test structure shown in Fig. 5, saturation, Shatzkes and Lloyd have proposed a model by solving the time-dependence diffusion equation and obtained d a solution for MTTF in which the square power dependence tϭ . ͑16͒ v on current density is also obtained.83 Nevertheless, whether drift Black’s equation can be applied to MTTF in Cu intercon- In measuring the drift velocity in Al͑Cu͒ strips using the nects and flip chip solder joints deserves careful examina- two-level test structure, it was found that there is a long tion. incubation time before void growth. The incubation time is due to the depletion of Cu and nucleation of a void in the B. Effect of activation energy of diffusion cathode end. After that the void growth by electromigration in the pure Al cathode is very fast. This behavior is also Although the effect of microstructure was not taken into found in the testing of Cu͑Sn͒ samples shown in Fig. 12, as account in obtaining the MTTF equation, Black has studied compared to that of pure Cu in Fig. 12. Since the grain three kinds of Al films with different microstructures and boundary diffusivity in Al and surface diffusivity in Cu as obtained three different values of activation energy ‘‘Q’’ in shown in Table I are close to each other and their corre- 82 the MTTF equation. It is clear that as linewidth has been sponding cross-sectional area of diffusion is also compa- ␮ ͑ ͒ reduced from 25 to 10 m in Black’s samples to less than rable, we expect their drift velocity near the device operation half a micron today, the distribution of grain size and the temperature to be similar. dominant diffusion path in interconnects have changed. The The above calculation indicates that the time needed to changes will affect the activation energy ‘‘Q’’ in the MTTF grow a void to the size of an opening above a via in Al equation. To determine the activation energy, accelerated interconnects is only a small fraction of the measured MTTF. tests at high temperatures are performed. We must pay atten- Therefore, the bulk part of the MTTF is taken up by the time tion to the temperature range in which lattice diffusion might needed to deplete the Cu solute from the cathode and to overlap grain boundary diffusion and also grain boundary overcome the nucleation barrier of a void. In other words, diffusion might overlap surface diffusion. For example, even in the heterogeneous nucleation of a void in Al inter- while an accelerated test at 350 °C for Al interconnects is connects, the supersaturation of vacancies needed to over- acceptable, it is not for Cu interconnects since grain bound- come the nucleation barrier is important as assumed in the ary diffusion cannot be ignored at 350 °C for Cu as shown in model of Shatzkes and Lloyd.83 Table I, although Cu has a higher melting point than Al. For However, the case of the Cu interconnect is different. If eutectic SnPb solder, it is more complicated because of the we consider surface diffusion, it is hard to imagine why the change of dominant diffusion species above and below nucleation of a void on a surface requires much supersatura- 100 °C. tion. On the other hand, the growth of a void on a surface may not be a localized event since it can spread out and thin down the entire or a large portion of the interconnect. In such C. Effect of void nucleation and growth at cathodes a case, it is the growth not nucleation that will take most of The formation of a void requires nucleation. If we ignore the time to fail the device. Furthermore, a void spreading out at this moment the barrier of nucleation of a void, we can between a via and the line below it is much more serious just consider the growth of a void by vacancies driven to the than a void spreading out in the middle or other part of the void or by atoms driven away from the void. We assume the line far away from a via. ϭ⍀ ⍀ volume of the void to be V JemAdt, where is the In the case of a flip chip solder joint, Fig. 18 shows that atomic volume of an atom or a single vacancy; Jem is the the time to failure is controlled not by the propagation of a atomic or vacancy flux driven by electromigration; Ad is the void across the contact interface, but by void nucleation. The effective cross-sectional area of the diffusion flux, which is latter takes about 90% of the time before failure. The propa- different for lattice diffusion, grain boundary diffusion, and gation of the void across the entire contact takes only about surface diffusion; and t is time. To define the volume of the 10% of the time. Furthermore, as shown in Secs. IV A and V, void that is large enough to become an opening, we consider the effect of current crowding cannot be ignored in the analy- a void in an Al line above a W via and we take the void sis of MTTF. Black did point out the importance of the cur-

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp 5472 J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu rent or temperature gradient on interconnect failure, although VII. SUMMARY he did not take them into account in his equation explicitly.82 We have compared the electromigration behaviors in Al On the basis of the failure mode of a flip chip solder joint as and Cu interconnects and solder joints on the basis of the shown in Figs. 16 and 17, the major effects of current crowd- ratio of their melting point to the device operating tempera- ing are to increase greatly the current density at the entrance ture of 100 °C. Accordingly, grain boundary diffusion, sur- of the solder joint and also to increase the local temperature face diffusion, and lattice diffusion dominate, respectively, in due to Joule heating. electromigration in Al, Cu, and solder. In turn, the effects of The above discussion indicates that we may not apply microstructure, solute, and stress on electromigration are dif- Black’s equation directly to predict MTTF for Cu intercon- ferent in Al, Cu, and solder. For example, while the triple nects and flip chip solder joints. While Black’s basic assump- point of grain boundaries has been an important issue in tions are correct, we need to revise the equation for the fail- electromigration in Al, it is not so in Cu interconnects be- ure in Cu interconnects and flip chip solder joints. The cause a triple point on the surface is not an effective mass distribution of failure time due to electromigration in a large flux divergence. Therefore, while Cu solute in Al has reduced number of interconnects has been found to obey a log– grain boundary diffusion of Al, we will need a solute in Cu normal function. Why such a distribution function is obeyed which can retard the surface diffusion of Cu. So far, Sn has is unclear since no mathematical derivation of such a distri- been found to be very effective in retarding electromigration bution function has been given. in Cu. For the damascene process, improving the adhesion of the Cu to the dielectric layer above it is a most challenging D. Effect of hillock and whisker formation at anodes issue of device fabrication because otherwise the surface is the built-in mass transport path of electromigration. For Cu/ The extrusion of hillocks and whiskers at anodes is an- low-k interconnects, the compressive stress at the anodes in- other mode of interconnect failure. Such extrusion can be- duced by electromigration will be a very serious issue since come a short or crack the interlayer dielectric. The latter is a the low-k dielectric ͑with a value k around 2͒ tends to be concern for Cu/low-k technology. Because of current crowd- weak mechanically. Several experimental observations have ing, the one-dimensional compressive stress distribution as shown that void formation in electromigration occurs in low presented in Fig. 8 for a short strip is inadequate. We expect current density regions accompanying current crowding. A a stress center at the lower-right corner ͑anode͒ in Fig. 3͑a͒ electromigration force is proposed; the current density gradi- or 7 due to current crowding where current exits the strip. ent force, acting normal to current flow, can explain why While the electron wind force pushes atoms to the lower- electromigration damage occurs away from the high current right corner, there should be a current density gradient force density region. In mean-time-to-failure analysis, the time to push atoms to the upper-right corner. Nevertheless, the taken to nucleate a void is more important in Al intercon- gradient force on an atom is small as we have discussed in nects and flip chip solder joints than that on the surface of a Sec. V, so the effect of the gradient force at the anode is Cu interconnect. For accelerated tests of electromigration, different from that at the cathode. We must use a two- the temperature range must be relevant to the real failure dimensional stress distribution to model the stress at the an- mechanism in actual devices, e.g., 350 °C is too high for Cu ode. Measurement of the two-dimensional stress distribution interconnects. is a challenging problem. Finally, there are still many unanswered questions about The atomic mechanism of growth of hillocks and whis- electromigration, therefore, much more study will be needed. kers at the anode is of interest. Typically, spontaneous whis- At the moment, the microelectronic industry is working on 84 kers grow from the bottom by pushing up or by extrusion. the integration of ultra-low-k dielectrics with Cu metalliza- This is also true for hillock growth since the electron wind tion. The question of what is beyond Cu will be challenging. force drives atoms to the bottom where the current exits the strip at the anode end. If adhesion between the interconnect ACKNOWLEDGMENTS and its bottom dielectric is weak, extrusion occurs at the bottom interface. If the bottom adhesion is good, extrusion of The author would like to acknowledge the support by hillocks occurs on the top surface of the strip, and a crack of National Science Foundation Contract No. DMR 9987484 the surface oxide ͑Al strip͒ is required. Vacancies can come and Semiconductor Research Corporation Contract No. NJ- in from the crack to mediate the diffusion of atoms. By using 774. The author would like to thank Professor Y. C. Chan in focused ion beams, we can cut a thin slice of the anode and the Department of Electronic Engineering at City University use transmission electron microscopy to study the micro- of Hong Kong for hosting his one month leave ͑December structure change and the growth mechanism. 2002͒ when a bulk part of this review was written. The trav- A strip of Sn grows whiskers at the anode driven by eling support provided by The Royal Society/Kan Tong Po electromigration.55 On the other hand, it is well known that Visiting Professorship at City University of Hong Kong is Sn whiskers grow spontaneously on a thin coating of Sn on much appreciated. Also, the author would like to thank Pro- Cu.85,86 But, whether the mechanism of growth of these two fessor R. S. Sorbello in the Department of Physics, Univer- kinds of Sn whiskers is the same or not is unknown. While sity of Wisconsin–Milwaukee, for comments on the linear both are driven by a compressive stress, it is unclear whether dependence of electromigration driving force on current den- the stress distribution in the root area of a whisker is the sity. The assistance by Albert Wu and Jong-ook Suh ͑UCLA͒ same. in collecting references and figures is appreciated, too.

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp J. Appl. Phys., Vol. 94, No. 9, 1 November 2003 K. N. Tu 5473

1 H. B. Huntington, in Diffusion, edited by H. I. Aaronson ͑American So- 44 P. C. Wang and R. G. Filippi, Appl. Phys. Lett. 78, 3598 ͑2001͒. ciety for Metals, Metals Park, OH, 1973͒, Chap. 6, p. 155. 45 K. D. Lee, E. T. Ogawa, H. Matsuhashi, P. R. Justison, K. S. Ko, P. S. Ho, 2 H. B. Huntington, in Diffusion in Solids: Recent Development, edited by and V. A. Blaschke, Appl. Phys. Lett. 79, 3236 ͑2001͒. A. S. Nowick and J. J. Burton ͑Academic, New York, 1974͒, p. 303. 46 E. T. Ogawa, A. J. Bierwag, K. D. Lee, H. Matsuhashi, P. R. Justison, A. 3 F. M. d’Heurle and P. S. Ho, in Thin Films: Interdiffusion and Reactions, N. Ramamurthi, and P. S. Ho, Appl. Phys. Lett. 78, 2652 ͑2001͒. edited by J. M. Poate, K. N. Tu, and J. W. Mayer ͑Wiley-Interscience, 47 C. L. Gan, C. V. Thompson, K. L. Pey, W. K. Choi, H. L. Tay, B. Yu, and New York, 1978͒, p. 243. M. K. Radhakrishnan, Appl. Phys. Lett. 79, 4592 ͑2001͒. 4 P. S. Ho and T. Kwok, Rep. Prog. Phys. 52,301͑1989͒. 48 D. Josell, D. Wheeler, W. H. Huber, and T. P. Moffat, Phys. Rev. Lett. 87, 5 ͑ ͒ C. K. Hu and J. M. E. Harper, Mater. Chem. Phys. 52,5 1998 . 016102 ͑2001͒. 6 R. Rosenberg, D. C. Edelstein, C. K. Hu, and K. P. Rodbell, Annu. Rev. 49 C. Lingk, M. E. Gross, and W. L. Brown, J. Appl. Phys. 87, 2232 ͑2000͒. Mater. Sci. 30,229͑2000͒. 50 7 K. N. Tu, A. M. Gusak, and I. Sobchenko, Phys. Rev. B 67, 245408 E. T. Ogawa, K. D. Li, V. A. Blaschke, and P. S. Ho, IEEE Trans. Reliab. ͑2003͒. 51,403͑2002͒. 51 ͑ 8 G. A. Somorjai, Chemistry in Two Dimensions: Surfaces Cornell Univer- I. Ames, F. M. d’Heurle, and R. Horstman, IBM J. Res. Dev. 4, 461 sity Press, Ithaca, 1981͒. ͑1970͒. 52 K. N. Tu, J. W. Mayer, and L. C. Feldman, Electronic Thin Film Science 9 S. Brandenburg and S. Yeh, in Proceedings of the Surface Mount Interna- ͑Macmillan, New York, 1992͒, Chap. 5. tional Conference and Exhibition, SMI98, San Jose, CA, August ͑1998͒, 53 K. N. Tu, A. M. Gusak, and M. Li, J. Appl. Phys. 93, 1335 ͑2003͒. pp. 337–344. 54 C. Y. Liu, C. Chen, C. N. Liao, and K. N. Tu, Appl. Phys. Lett. 75,58 10 Cover of the July 1995 issue of IBM J. Res. Dev. ͑1999͒. 11 I. A. Blech, J. Appl. Phys. 47,1203͑1976͒. 55 ͑ ͒ 12 ͑ ͒ C. Y. Liu, C. Chen, and K. N. Tu, J. Appl. Phys. 88, 5703 2000 . I. A. Blech and C. Herring, Appl. Phys. Lett. 29, 131 1976 . 56 13 K. L. Lee, C. K. Hu, and K. N. Tu, J. Appl. Phys. 78, 4428 ͑1995͒. W. D. Callister, Jr., Materials Science and Engineering an Introduction, ͑ ͒ 14 C. K. Hu, B. Luther, F. B. Kaufman, J. Hummel, C. Uzoh, D. J. Pearson, 5th ed. Wiley, New York, 2000 , Chap. 6, Table 6.1, and Appendix B, and K. N. Tu, IBM Tech. Discl. Bull. 37,61͑1994͒. Table B.2. 57 15 P. G. Shewman, Diffusion in Solids, 2nd ed. ͑The Minerals, Metals, and Q. T. Huynh, C. Y. Liu, C. Chen, and K. N. Tu, J. Appl. Phys. 89, 4332 ͑ ͒ Materials Society, Warrendale, PA, 1989͒. 2001 . 58 16 N. A. Gjostein, in Diffusion, edited by H. I. Aaronson ͑American Society T. Y. Lee, K. N. Tu, and D. R. Frear, J. Appl. Phys. 89, 4502 ͑2001͒. 59 for Metals, Metals Park, OH, 1973͒, Chap. 9, p. 241. E. C. C. Yeh, W. J. Choi, and K. N. Tu, P. Elenius, and H. Balkan, Appl. 17 P. Wynblatt and N. A. Gjostein, Surf. Sci. 12, 109 ͑1968͒. Phys. Lett. 80, 580 ͑2002͒. 18 D. Gupta, K. Vieregge, and W. Gust, Acta Mater. 47,5͑1999͒. 60 W. J. Choi, E. C. C. Yeh, and K. N. Tu, IEEE Electronic Component & 19 C. Herring, J. Appl. Phys. 21,437͑1950͒. Technology Conference, Proc. 1201 ͑2002͒. 20 H. B. Huntington and A. R. Grone, J. Phys. Chem. Solids 20,76͑1961͒. 61 T. Y. Tom Lee, T. Y. Lee, and K. N. Tu, IEEE Electronic Component & 21 C. Bosvieux and J. Friedel, J. Phys. Chem. Solids 23,123͑1962͒. Technology Conference, Proc. 558 ͑2001͒. 22 R. S. Sorbello and B. Dasgupta, Phys. Rev. B 16, 5193 ͑1977͒. 62 G. Xu, M.Sc. thesis, UCLA ͑2001͒. 23 R. S. Sorbello, Phys. Rev. B 31, 798 ͑1985͒. 63 B. F. Dyson, T. R. Anthony, and D. Turnbull, J. Appl. Phys. 37, 2370 24 R. Landauer, Phys. Rev. B 14, 1474 ͑1976͒. ͑1966͒. 25 R. S. Sorbello, in Solid State Physics, edited by H. Ehrenreich and F. 64 W. K. Warburton and D. Turnbull, in Diffusion in Solids, edited by A. S. Spaepen ͑Academic, New York, 1997͒, Vol. 51, pp. 159–231. Nowick and J. J. Burton ͑Academic, New York, 1975͒,p.171. 26 K. N. Tu, Phys. Rev. B 45, 1409 ͑1992͒. 65 C. K. Hu and H. Huntington, Phys. Rev. B 26, 2782 ͑1982͒. 27 M. A. Korhonen, P. Borgesen, K. N. Tu, and C. Y. Li, J. Appl. Phys. 73, 66 C. K. Hu and H. Huntington, Phys. Rev. B 28, 579 ͑1983͒. 3790 ͑1993͒. 67 D. C. Yeh and H. Huntington, Phys. Rev. Lett. 53, 1469 ͑1984͒. 28 ͑ ͒ J. J. Clement and C. V. Thompson, J. Appl. Phys. 78, 900 1995 . 68 C. K. Hu and H. Huntington, J. Appl. Phys. 58, 2564 ͑1985͒. 29 P. C. Wang, G. S. Cargill III, I. C. Noyan, and C. K. Hu, Appl. Phys. Lett. 69 K. N. Tu and R. Rosenberg, Jpn. J. Appl. Phys. Part 1, 44,633͑1974͒. 72,1296͑1998͒. 70 30 S. W. Chen, C. M. Chen, and W. C. Liu, J. Electron. Mater. 27,1193 G. S. Cargill III, Solid-State Electron. 46,1139͑2002͒. ͑ ͒ 31 1998 . B. C. Valek, J. C. Bravman, N. Tamura, A. A. MacDowell, R. S. Celestre, 71 H. Gan and K. N. Tu, IEEE Electronic Component & Technology Con- H. A. Padmore, R. Spolenak, W. L. Brown, B. W. Batterman, and J. R. ference, Proc. 1206 ͑2002͒. Patel, Appl. Phys. Lett. 81, 4168 ͑2002͒. 72 H. Gan, W. J. Choi, G. Xu, and K. N. Tu, JOM 6,34͑2002͒. 32 X. Zhang, H. Solak, F. Cerrina, B. Lai, Z. Cai, P. Ilinski, D. Legnini, and 73 T. Y. Lee, K. N. Tu, S. M. Kuo, and D. R. Frear, J. Appl. Phys. 89, 3189 W. Rodrigues, Appl. Phys. Lett. 76, 315 ͑2000͒. ͑2001͒. 33 G. Schneider, D. Hambach, B. Niemann, B. Kaulich, J. Susini, N. Hoff- 74 H. Okabayashi, H. Kitamura, M. Komatsu, and H. Mori, AIP Conf. Proc. mann, and W. Hasse, Appl. Phys. Lett. 78, 1936 ͑2001͒. 34 373, 214 ͑1996͒; see Figs. 2 and 4. A. A. MacDowell, R. S. Celestre, N. Tamura, R. Spolenak, B. Valek, W. L. 75 Brown, J. C. Bravman, H. A. Padmore, B. W. Batterman, and J. R. Patel, S. Shingubara, T. Osaka, S. Abdeslam, H. Sakue, and T. Takahagi, AIP ͑ ͒ Conf. Proc. 418, 159 ͑1998͒; see Table I. Nucl. Instrum. Methods Phys. Res. A 467,936 2001 . 76 35 N. Tamura, A. A. MacDowell, R. S. Celestre, H. A. Padmore, B. Valek, J. K. N. Tu, C. C. Yeh, C. Y. Liu, and C. Chen, Appl. Phys. Lett. 76,988 ͑ ͒ C. Bravman, R. Spolenak, W. L. Brown, T. Marieb, H. Fujimoto, B. W. 2000 . 77 ͑ ͒ Batterman, and J. R. Patel, Appl. Phys. Lett. 80, 3724 ͑2002͒. J. R. Lloyd, Appl. Phys. Lett. 79, 1061 2001 ; comments on Ref. 76. 78 ͑ ͒ 36 Q. Ma, S. Chiras, D. R. Clarke, and Z. Suo, J. Appl. Phys. 78, 1614 K. N. Tu, Appl. Phys. Lett. 79, 1063 2001 ; response to Ref. 77. 79 ͑1995͒. M. C. Gutzwiller, in Atomic and Electronic Structure of Metals, edited by 37 International Technology Roadmap for , Semiconductor J. J. Gilman and W. A. Tiller ͑American Society for Metals, Metals Park, Industry Association, San Jose ͑2001͒; see website http://public.itrs.net/ OH, 1966͒, Chap. 12. 38 C. W. Park and R. W. Vook, Appl. Phys. Lett. 59, 175 ͑1991͒. 80 C. C. Yeh and K. N. Tu, J. Appl. Phys. 88, 5680 ͑2000͒. 39 C. K. Hu, R. Rosenberg, and K. Y. Lee, Appl. Phys. Lett. 74, 2945 ͑1999͒. 81 E. C. C. Yeh and K. N. Tu, J. Appl. Phys. 89, 3203 ͑2001͒. 40 C. K. Hu, L. Gignac, S. G. Malhotra, R. Rosenberg, and S. Boettcher, 82 J. R. Black, Proc. IEEE 57, 1587 ͑1969͒. Appl. Phys. Lett. 78, 904 ͑2001͒. 83 M. Shatzkes and J. R. Lloyd, J. Appl. Phys. 59, 3890 ͑1986͒. 41 C. S. Hau-Riege and C. V. Thompson, Appl. Phys. Lett. 78, 3451 ͑2001͒. 84 N. Furata and K. Hamamura, Jpn. J. Appl. Phys. 8,1404͑1969͒. 42 J. R. Lloyd and J. J. Clement, Thin Solid Films 262, 135 ͑1995͒. 85 K. N. Tu, Phys. Rev. B 49, 2030 ͑1994͒. 43 R. Frankovoc and G. H. Bernstein, IEEE Trans. Electron Devices 46, 2233 86 G. T. T. Sheng, C. F. Hu, W. J. Choi, K. N. Tu, Y. Y. Bong, and L. Nguyen, ͑1996͒. J. Appl. Phys. 92,64͑2002͒.

Downloaded 01 Nov 2003 to 164.67.192.119. Redistribution subject to AIP license or copyright, see http://ojps.aip.org/japo/japcr.jsp