european www.-eetimes.com November 2013 business press

Energy democratization will come: it’s called multi-junction photovoltaics

FREE Executive interview: Special focus: SHIPPING ON ORDERS Fairchild’s COO Vijay Ullal Automotive Electronics OVER €65! DIGIKEY.COM/ EUROPE

020212_FRSH_EET_EU_Snipe.indd 1 2/2/12 12:37 PM 131021_WLSReels_EET_EU.indd 1 10/15/13 12:01 PM november 2013

opinion DESIGN & PRODUCTS

4 Must manufacturing leave Europe? SPECIAL FOCUSES: - AUTOMOTIVE ELECTRONICS 50 Last Word: Revitalizing the older product 22 Automotive drives new architectures NEWS & TECHNOLOGY ARM’s latest processor architecture owes a lot to its 6 Energy democratization will come: automotive customers. It may it’s called multi-junction photovoltaics seem strange at first, but while The mood was very opti- the V8-R architecture targets mistic at the 28th European real time and security photovoltaic solar energy applications, it is particularly aimed at the automotive conference (EU PVSEC) taking market. place in Paris early October. The scientific opening ses- 24 Automotive infotainment system designs get easier sion brought to light very promising results and new with multi-output ICs cell concepts enabled by III-V material combinations As product form factors are decreasing, demand for grown into nanowire arrays. their functionality and features continue to increase. Furthermore, the industry trend for sophisticated digi- 10 Europe’s chip industry in expectation of manna tal ICs such as and from (EU) heaven or field programmable gate arrays that power these At the SEMICON Europa indus- products continues to lower their operating voltage try congress which took place in while simultaneously increasing their amperage. Dresden from October 8 to 10, the reiterated announcements - OPTOELECTRONICS from EU Commissioner Neelie Kroes to foster the European semiconductor industry 31 Optical encoder integration for BLDC-motor feedback with high subsidies for R&D, received much attention. The majority of wasted electrical energy in the industry comes from 12 Imec opens its toolbox: finer pitches, faster lanes, oversized motors and fixed speed power and fancy stuff drive systems. Thus energy An awful lot of exciting new efficient motion control system projects can crop up at large- should adapt in the future to the scale research facilities such actual load demand from the as those hosted on the imec application. campus. During a two-day technology update held at - ANALOG DESIGN its headquarters in Leuven, Belgium, the company opened its toolbox and showcased possible business 36 Mitigating antenna effect in design opportunities enabled by new process capabilities With the increasing density and combined with open thinking. Gate oxide width reducing with each technology node, many 18 Energy, Mobility, and the Cloud: key opportunities for effects which were common in power-management solutions VLSI are becoming important EE Times Europe Power and difficult to manage. One of Management’s editor, Paul those effects is Antenna Effect. Buckley interviews Vijay Ullal, the President and Chief Operating Offi- cer of Fairchild Semiconductor, who Reader offer joined Fairchild Semiconductor in September 2012. 46 This month, Farsens is giving away five kits for 21 Modular robots self-assemble EEtimes Europe readers to evaluate its Fenix Vortex, Kineo and X1 wireless, battery free sensor tags. Each kit worth 249€ includes three sensor tags and a USB Flash drive with the nec- essary software to test the tags.

49 DISTRIbution corner

51 WHITEPAPERS

3 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com UNCOMMON MARKET Value Instruments: The quality you expect Must manufacturing leave Europe? at an unexpected price. By Peter Clarke High quality = high price? Not with our Value Instruments. Value Instruments are versatile T&M instruments for everyday lab use. europe stands at a crossroads with a Dutch company and moves entirely to In contrast, the likes of Infineon, ❙ Quality T&M solutions engineered by Rohde & Schwarz regard to electronics manufacturing. Singapore? STMicroelectronics and NXP have ❙ Accurate, reliable, easy to use Some would say it is already too late, Meanwhile we have European tended to pursue a fab-lite manufac- ❙ Comprehensive support thanks to the extensive service and technical at least for some aspects of manufac- Commissioner for the digital agenda, turing policy. One could argue that IC support network turing. IC manufacturing at the leading Neelie Kroes, saying she is willing to manufacturing is too far gone to be edge is done in volume in Taiwan and spend more than €5 billion of European recoverable. South Korea and North America. tax payers’ money across the semicon- And it has been argued that once ductor value chain over the next seven And this is because the indigenous manufacturing goes, design will follow. years. companies have not shown anything What will this leave for working-age like the ambition to match that of Europeans to do, apart from washing Commissioner Kroes who wants Europe each other’s linen? Show tourists around to compete at sub-20-nm IC manufac- our heritage sites and flip burgers for turing and in manufacturing on 450mm them? diameter wafers. This leaves Ms Kroes One of the news items is that the IC is in the difficult position of having very test and assembly plant belonging to few players to whom she can hand Unisem Berhad (Kuala Lumpur, Malay- out funds to try and help achieve her sia) in Crumlin, South Wales, will close strategic vision. by the end of the year with the loss of 45 jobs unless some white knight res- Start with the customers cuer can be found. It is my belief that support measures, however large, will not change how Eu- While the number of jobs is not large rope fares in manufacturing. What will on continental scale the site is repre- change is when European companies in sentative of a downward trend in manufacturing have the will to compete European IC manufacturing that needs on a global stage. And when European to be reversed. The site was built in investors see the opportunity to create 1995 for IC manufacturing and de- value by making things despite its capi- signed to employ 1100 people and to tal intensity. operate 24 hours a day and seven days a week. “Raspberry Pi has shown And yet there are examples of It has retreated to low volume spe- manufacturing that can give Europeans cialist work but nonetheless it is one that with the right product cause for hope. of few sites left in Europe that does full assembly production and test from addressing a global market The one millionth Raspberry Pi $35 wafers in, through initial probing and on European manufacturing not board was produced in Sep- to -bonding and packaging. tember at the Sony manufacturing plant only makes sense, but can at Pencoed, South Wales. Raspberry Pi How long before NXP moves show a lead to the world.” boards were originally made in China to Singapore? but the long communications channels Find the right instrument here: Another news item that caught my eye meant the Raspberry Pi Foundation www.rohde-schwarz.com/value concerns NXP Semiconductors NV Kroes wants Europe to more than was open to an approach from Sony to (Eindhoven, The Netherlands) formerly double its market share in IC manufac- perform local manufacturing. Sony is the semiconductor division of Phil- turing up to 20 percent. Ms Kroes is reportedly producing 12,000 units per ips Electronics. In September it was appealing – and it would seem almost day and has had to employ 40 extra revealed that Singapore would become desperately – for European companies staff to cope with demand and the NXP’s global headquarters for opera- to come together in some way to try boards are being exported globally. tions in a move to align NXP with its and recreate the success of Airbus growing Asian customer base. CEO Industrie. Board-level manufacturing is not Rick Clemmer has in the past said that But Airbus was a different case. The the same as IC packaging, which in NXP is practically a Chinese company national champions that existed at the turn is not the same as front-end wafer because of the number of people it turn of the millennium had the techni- processing. employs there and the strength of its cal capability and focused interest in But Raspberry Pi has shown that shipments into China. manufacturing aircraft. Bringing them with the right product addressing a Meanwhile NXP is expanding its together to achieve critical mass on global market European manufacturing corporate R&D in Singapore. How a global scale made sense and has not only makes sense, but can show a much longer before NXP ceases to be worked. lead to the world.

4 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com

10641.029_ValueInstruments_EETEurope-Nov13_210x297_e.indd 1 16.10.13 11:11 Uhr Value Instruments: The quality you expect at an unexpected price.

High quality = high price? Not with our Value Instruments. Value Instruments are versatile T&M instruments for everyday lab use. ❙ Quality T&M solutions engineered by Rohde & Schwarz ❙ Accurate, reliable, easy to use ❙ Comprehensive support thanks to the extensive service and technical support network

Find the right instrument here: www.rohde-schwarz.com/value

10641.029_ValueInstruments_EETEurope-Nov13_210x297_e.indd 1 16.10.13 11:11 Uhr EE Times Europe.pdf 1 12/09/2013 17:29

Energy democratization will come: it’s called multi-junction photovoltaics

By Julien Happich

The mood was very optimistic at the 28th European photo- voltaic solar energy conference (EU PVSEC) taking place in Paris early October. The scientific opening session brought to light very promising results and new cell concepts enabled by III-V material combinations grown into nanowire arrays. The conference was also an opportunity for delegates of the European Commission’s Joint Research Center to present their PV Status report for 2013, and discuss the strategies needed to increase the role of photovoltaics in the European energy landscape.

Different ways to stack Fig. 2: Multi-junction solar cells mechanically stacked with multi-junction solar cells terminals for each cell, versus monolithically integrated two- In his keynote presentation, head of the division “Materials – terminal multi-junction cells. Solar Cells and Technology” at Fraunhofer ISE, Dr. Andreas W. Bett unveiled state-of-the-art results in solar cell efficiency, In previous research, Pieter highlighted that by mechanicallyC claiming a world record at 44.7% of efficiency with a four-junc- stacking cells connected in parallel rather than using a mono- M tion structure (four subcells). lithic build with the different bandgaps all in series, the overall This record efficiency was measured at a concentration of cell stack suffers less from varying light conditions (which can Y

297 suns, the cell being able to convert 44.7% of the solar affect differently the bandgaps and will turn some of them CM spectrum’s energy from ultraviolet through to the infrared (each into loads in a series configuration). In fact, based on device MY subcell absorbing different wavelength ranges, see figure 1). performance measurements and climatological information, the In solar plants, using glass or plastic optics to concentrate the researcher was able to calculate an overall annual energy yieldCY sun’s ray on smaller stacked cells also comes out cheaper than increase of up to 25% for mechanically stacked cells, simply CMY spending silicon on larger areas. W. Bett said on a side line that because this type of cells is less sensitive to spectral variations K optical spectrum splitting, although feasible, was not cost ef- caused by time of day changes and atmospheric conditions ficient, hence the cell-stacking option. – see figure 3. In comparison, the performance of current- Instead of taking the standard monolithic layered approach matched monolithically grown multi-junction cells can be limited of growing lattice-matched layers of III-V compound semicon- by a top underperforming junction in the early morning and late ductors, W. Bett looked at detailed simulation results to find the afternoon due to red-rich spectra (at sun rise and sun set). most efficient stack of energy band gaps. His lab then relied on metalorganic vapour phase epitaxy (MOVPE) to reach the right material combinations and optimum bandgaps, using a novel metamorphic growth concept whereby one builds buffer layers of progressive compositions between stacks of slightly mis- matched lattice constants. According to W. Bett, this strategy gives more flexibility in the choice of materials, hence the higher photovoltaic conversion efficiency achieved.

Fig. 3: Comparing the overall annual energy yield of mechanically stacked versus monolithically integrated multi- junction cells, based on calculations and climatological data.

Enhancing light absorption Maybe still exotic at this stage, the research presented by Lars Fig. 1: Fraunhofer ISE’s four-junction solar cell and its external Samuelson, Professor of Semiconductor Physics at Lund Uni- quantum efficiency as measured by the Fraunhofer ISE CalLab. versity (Sweden), is an attempt to bring down the cost of single Not all would agree with the overall cost efficiency of this ap- crystal III-V solar cells to that of thin films solar cells. proach, at least not Philip Pieter, Development Director at imec. Samuelson has recently published 13.8% efficiency results According to Pieter, building multi-junction cells is better done for an InP nanowire array solar cell, based on resonant light- through the mechanical bonding of different cells (see figure 2), trapping in 180-nm diameter nanowires grown to feature junc- each chosen for their efficiency rather than for their crystal lat- tions and heterostructures (axially and radially) – see figure 4. tices or current matching. Grown by MOVPE using patterning by nano imprint lithography,

6 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com EE Times Europe.pdf 1 12/09/2013 17:29

C

M

Y

CM

MY

CY

CMY

K cently published in the Scientific Reports under the title: Loss mitigation in plasmonic solar cells (Sci. Rep. 3, 2874) show an a real BroaDsiDe! integrated efficiency enhancement of 6 and 22 % when using Al nanoparticle arrays of 200nm and 400nm pitches, respectively, whereas the overall external quantum efficiency was reduced when using Au or Ag nanoparticles of the same size (due to the excitation of localised plasmon resonances, and interband transitions leading to energy absorption in Au). Another highlight of the conference were on the advances made by Empa (the Swiss Federal Laboratories for Materials Fig. 4: InP nanowire array solar cell concept based on Science and Technology) on Copper indium gallium (di)selenide (CIGS) for thin film solar cells (using a liquid deposition process resonant light-trapping in 180-nm diameter nanowires. on flexible substrates). The lab reported a record efficiency of the nanowires were only covering 12% of the cell’s surface. 20.4% for its foil-based solar cells and aims to reach 25% in the The Swedish lab also demonstrated that with these optically medium term. optimized structures, the share of sunlight converted into a photocurrent was about six times the limit in a single ray optics Photovoltaics under attack description (the light being optically trapped into the nano- At a round table that followed the scientific opening, Claude structures). Samuelson then unveiled a new process to grow Turmes, Member of the European Parliament, Vice-Chair of the these nanowires, which he said could bring low-cost volume Group of the Greens/EFA and Member of the Committee on manufacture into the equation. Industry, Research and Energy emphasized the need for Euro- Aerotaxy, as he named it, consists in flowing gold aerosol pean countries to cooperate on research and development, to seed particles through a furnace containing a mixture of precur- better synchronise the energy production mix across the differ- sor gases (trimethylgallium TMGa and arsine AsH3). This con- ent member states. One idea put on the table was to standard- tinuous gas-phase synthesis boasts a very fast growth rate of ize PV module sizes to further reduce production costs across around 1µm/s for structurally and optically tunable nanowire di- all European countries. odes. With this process, Samuelson estimates that the nanow- Turmes highlighted that Europe’s commitment to have 20% ires could be produced at less than 5% the cost of nanowires of its energy coming from renewable sources by 2020 would epitaxially grown on GaAs, and under a quarter of what it would mean more PV capacity and decreasing PV costs to match grid- cost to build those on silicon. parity, though he noted that the current energy market had to be Using these nanowires in a “carrier ink”, those could be changed to better reflect the costs of pollution and the negative spread and aligned vertically on a micro-patterned surface impact of non-renewable energies on the environment. which would then be pulled off as a thin film. With only 10 to “Our challenge is to change the energy market so photo- 12% of nanowire surface coverage, 95 to 100% of the light is voltaics can fly, not how to integrate PV into a rotten energy absorbed claims the professor whose roadmap for the future is market” he said. to achieve between 20 and 25% of light conversion efficiency “Photovoltaics are becoming successful enough to pro- with dual bandgap III-V nanowires embedded in flexible thin vide electricity at a cost that threatens the oleogarchies” said film. Turmes, adding that the biggest obstacle to PV is the market domination by a handful of power companies and their lobbies. One way to limit light losses in standard solar cells is to “The benefits of photovoltaics for the democratization of energy rely on light scattering inside the cells, as Dr. Nicholas Hylton are so compelling that nobody will be able to stop this energy low ohmic precision anD power explained in his presentation about broadband absorption revolution, we just need to create a societal majority” he added. enhancements. A research associate in the experimental solid According to Turmes, the increase of renewables will come state group of the Blackett Laboratory at Imperial College, as a bottom-up societal revolution, not from large companies London, Hylton experimented with aluminium nanoparticle ar- centrally managing complex power plants and defending their rays to perform surface-based nanostructural light trapping and selfish interests. As millions of consumers decide to become Top performance on small surface areas wiTh low-ohmic precision resisTors increase absorption efficiency – see figure 5. prosumers, they will also become decision partners on energy The lab grew thin GaAs photodiodes to probe the effects of management and distribution and will accelerate the societal scattering/absorption, with gold, silver and aluminium nanoparti- change required for renewables to grow. By reversal of the length to width ratio, our Vlx series resistors have larger soldering and contact pads, giving them: cles with a diameter of 100nm and distributed at various pitches. The costs of PV modules is less than 30% of the total cost Over the 400 to 900nm frequency range, the results re- of photovoltaic power, the reminder being split between the _ better heat dissipation, rthi < 20 K/w costs of grid-connection and financing. Hence, research is _ higher power rating: 2 w for size 1020, 1 w for size 0612 also needed to store energy efficiently so as to get rid of grid _ significant increase in mechanical stability infrastructure restrictions. In the future, traditional power plants will have to change their business model, only to provide energy reserves in case of renewable energy shortages. “We must define a new grid not just for high voltages, but also medium and low voltages, capable of better managing the mix of local energy generation and autonomy, encouraging partnerships between consumers and producers”, said Domi- nique Ristori, General Director for the Joint Research Centre of Innovation by Tradition the European Commission. “Turning customers from passive to Fig. 5: Concept of nanostructural light trapping using active prosumers should be profitable to the whole society” he isabellenhütte heusler Gmbh & co. KG nanoparticles on top of regular cells. concluded. eibacher weg 3 – 5 · 35683 Dillenburg · phone +49 (0) 2771 934-0 · fax +49 (0) 2771 23030 [email protected] · www.isabellenhuette.de 8 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com

Isa-AnzeigeVLx_Elektronik_210mm x 297mm_ENG.indd 1 11.07.2012 13:47:34 a real BroaDsiDe!

low ohmic precision anD power resisTors

Top performance on small surface areas wiTh low-ohmic precision resisTors

By reversal of the length to width ratio, our Vlx series resistors have larger soldering and contact pads, giving them:

_ better heat dissipation, rthi < 20 K/w _ higher power rating: 2 w for size 1020, 1 w for size 0612 _ significant increase in mechanical stability

Innovation by Tradition

isabellenhütte heusler Gmbh & co. KG eibacher weg 3 – 5 · 35683 Dillenburg · phone +49 (0) 2771 934-0 · fax +49 (0) 2771 23030 [email protected] · www.isabellenhuette.de

Isa-AnzeigeVLx_Elektronik_210mm x 297mm_ENG.indd 1 11.07.2012 13:47:34 eet_LMR_Layout 1 19/09/2013 15:15 Page 1

semicon europa 100% of the Europe’s chip industry in expectation performance. of manna from (EU) heaven By Christoph Hammerschmidt 33%* of the cost. at the semicon Europa industry congress which took place SoCs and System-in-Packages (SiPs) and achieve higher value in Dresden from October 8 to 10, the reiterated announcements systems with extremely high functional density. In this context, from EU Commissioner Neelie Kroes to foster the European Through Silicon Vias (TSVs) play an important role: In three-di- semiconductor industry with high subsidies for R&D, received mensional, stacked chips they make wire bonding connections much attention. In a panel discussion with leading semiconduc- between the different layers redundant and offer far better elec- tor industry experts and managers, optimism was the predomi- tric properties. As part of its activities in developing 3D chips, nant attitude, but there was also some scepticism to hear. the Fraunhofer Institute for Electronic Nanosystems (ENAS) In his keynote speech, SEMI president Denny McGuirk pro- showed research results in the segment of Carbon nanotubes vided a positive outlook for the chip industry though he declined (CNTs). The researchers from Chemnitz regard CNTs as a good to give exact figures. According to McGuirk, the perspectives technique in creating TSVs at wafer level. At Fraunhofer’s large for the semiconductor equipment industry are even better - stand in the exhibition hall, they showed a technique to create after a decline of two percent in the current year, the equipment and process TSVs based on CNTs as well as copper-based market will rebound stronger than the chip market. McGuirk TSVs, another specialty of Fraunhofer ENAS. predicted a growth of 15 percent for the equipment makers, While TSVs and other process elements of 3D integration driven particularly by the dedicated foundry and the memory such as etching, isolation and assembly are already in the final sector. Intel’s anticipated entry into the 14nm technology will stretch to commercialisation, there is still need for research in also trigger significant investments. In contrast to earlier invest- the field of thin wafer handling. “This segment is not yet where ment rounds, this time the spending is it should be”, summarized Fraunhofer driven by technology upgrades rather researcher Juergen Wolf. than capacity expansion. The chip industry in Europe is also expected Co-located with Semicon Europa to invest significant amounts, since was PE2013, the industry meeting of Intel in Ireland and Globalfoundries in fledgling organic electronics. In this Germany have announced to upgrade field of technology, manufacturability their respective production lines. Mc- was the buzzword of the year. The Guirk also hailed the European Union’s lack of high-volume manufacturability Exceptional close in phase noise, strategy to recapture 20 percent of the is currently the main barrier between ACPR -78 dBc in a low cost Signal Analyzer global semiconductor market. “Eu- this technology and its commercial rope’s single-minded determination and breakthrough. But it seems like solu- tenacity is what it takes to ‘make things tions are already in sight. One of the For critical Land Mobile Radio (LMR) measurements the required happen’ in semiconductor manufactur- research institutions that already have ing strategy”, McGuirk said. achieved a remarkable level of develop- test performance usually comes at a cost. Not this time. With Find out more and download the Can the European chip industry defend its ment is VTT, the Technical Research Anritsu’s innovative approach to testing you can get the Nevertheless, there were sceptical position in the global competition hopes through Centre of Finland. VTT’s Vice President Technical Note, just voices as well. In a panel discussion EU funding? SEMI president Denny McGuirk (left) of Strategic Research Harri Kopola performance you need without the cost you would expect. scan the code. about the perspectives of Europe’s chip and Saxony premier minister Stanislaw Tillich showed in his presentation that this industry, Rob Hartmann, Director Stra- discussed the matter at a joint tour at Semicon institution already has developed amaz- The MS2830A Signal Analyzer offers outstanding performance. tegic Development of lithography sys- ing capabilities in processing flexible Europa. tems manufacturer ASML expressed electronic circuits. VTT possess a pilot Out-of-band spurious, Adjacent Channel Power Ratio (ACPR), doubts that even the EU’s ambitions goal of activating private factory that enables the researchers to deposit a wide variety investments of as much as 100 billion euros would be suffi- of functional materials in up to four layers on a carrier sheet. emissions mask, and more—the MS2830A performance margin cient. “Actually, this is not very much money. In order to get 20 The roll-to-roll equipment processes up to 2.160 square meters percent (of global market share) we need more,” he remarked. (23.647 square feet) per day along with the appropriate testing meets the severest standards, eliminating the cost and need for Frank Averdung, CEO of chip equipment manufacturer Suss capabilities. Products that can be manufactured with VTT’s pilot high-end spectrum analyzers on radio equipment production and Microtec, added that “it is not only the funding - it is important factory include in-moulded OLEDs, opto-fluidic light sources, to set up the correct boundary conditions” to achieve the EU’s printed sensors and memories and many more. inspection lines, where cost cutting is a key factor. goal of 20 percent market share. The most challenging topic for the production of smart inte- grated systems is the integration of organic electronic devices 3D integration for silicon, manufacturability with silicon electronics, explained Henning Sirringhaus, profes- * Comparison with general spectrum analyzer meeting severe standards requirements. for Plastic Electronics sor at the University of Cambridge and co-founder of Plastic In terms of technology, the focus of the conference laid on ad- Logic Ltd. This company already manufactures organic large-ar- vanced packaging, MEMS and 3D integration, as far as “classi- ea displays at an industrial scale. While he did not directly refer cal” silicon-based semiconductors were concerned. In the field to Plastic Logic, Sirringhaus said that the state of technology Sales Offices: Europe 44 (0) 1582-433433, USA and Canada 1-800-ANRITSU, of Plastic Electronics, manufacturability and system integration allows manufacturing such displays at a yield comparable to Japan 81 (46) 223-1111, Asia-Pacific (852) 2301-4980, www.anritsu.com were the main topics. 3D chip integration is crucial to combine traditional silicon technology. ©2013 Anritsu Company

10 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com eet_LMR_Layout 1 19/09/2013 15:15 Page 1

100% of the performance. 33%* of the cost.

Exceptional close in phase noise, ACPR -78 dBc in a low cost Signal Analyzer

For critical Land Mobile Radio (LMR) measurements the required test performance usually comes at a cost. Not this time. With Find out more and download the Anritsu’s innovative approach to testing you can get the Technical Note, just performance you need without the cost you would expect. scan the code.

The MS2830A Signal Analyzer offers outstanding performance. Out-of-band spurious, Adjacent Channel Power Ratio (ACPR), emissions mask, and more—the MS2830A performance margin meets the severest standards, eliminating the cost and need for high-end spectrum analyzers on radio equipment production and inspection lines, where cost cutting is a key factor.

* Comparison with general spectrum analyzer meeting severe standards requirements.

Sales Offices: Europe 44 (0) 1582-433433, USA and Canada 1-800-ANRITSU, Japan 81 (46) 223-1111, Asia-Pacific (852) 2301-4980, www.anritsu.com ©2013 Anritsu Company IMEC TECHNOLOGY FORUM

Imec opens its toolbox: finer pitches, faster lanes, power and fancy stuff

By Julien Happich an awful lot of exciting new projects can crop up at large-scale research facilities such as those hosted on the imec campus. During a two-day technology update held at its headquarters in Leuven, Belgium, the company opened its toolbox and showcased possible business opportunities enabled by new process capabilities com- bined with open thinking.

3D versus 2.5D IC integration Of course, 3D IC integration was on the menu, with program director for 3D system integration Eric Beyne presenting imec’s latest packaging experiments and warning us about hidden yield costs. Imec has proven the suitability of tin-tipped 20µm pitch copper µBump interconnects and backside revealed copper TSVs to be used as µBumps for multiple die stacking up to six layers. But thermal management remains a limitation for stack- BEOL copper/ oxide damascene silicon interposer technology with ing dies as power density increases too, warned Beyne, 2x2µm copper tracks supports 200Mbit/s. not just for processors but also for DRAM whose data retention The finer silicon interposer option, using a back-end-of-line decreases with temperature. (BEOL) copper/oxide damascene technology with line sec- So an alternative stacking option is to link multiple dies on tions of 1x1µm and 1µm vias only required two routing layers an interposer, with fine pitch interconnects. The so-called 2.5D and the average wiring length was equal to the dies’ spacing interposer technology is very cost sensitive as it adds another on the interposer. Imec has validated its BEOL copper/ oxide damascene silicon interposer technology at 200Mbit/s by con- necting real chips with 2x2µm copper tracks, with excellent eye diagrams. The trade-off between vertical 3D stacking and side-by-side die stacking on an interposer has to be carefully evaluated, explained Beyne, not just for performance, but also for the costs associated with different testing, assembly and logistics scenarios and the overall yield results.

The test flow of each stacking option must be optimized based on yield and cost parameters of the individual components being assembled. This evaluation and the optimization of the 3D stacked IC test flow is not trivial, costs can soar if the yield drops due to inadequate testing steps. For this purpose the Delft University of Technology (TU Delft) and imec have co-de- veloped 3D-COSTAR, a test flow cost modelling tool for 2.5/3D Tin-tipped 20µm pitch copper µBump interconnects. stacked ICs. layer and processing step, what’s more, the longer planar inter- connects increase resistive losses and delays, adding capaci- tance too.

Based on Wide-I/O memory-logic interconnects require- ments respecting the Jedec Standard JESD229 (1200 µBumps per chip, four 128-bit channels consisting of 6 rows with 40 µm pitch by 50 columns of 50 µm pitch), imec simulated various ap- proaches on a 10x20mm interposer. An advanced high density laminate build-up with line sections of 10x10µm linked by 15µm diameter vias took 8 routing layers with an average wire length taking more than 80% of the die spacing. A semi-additive copper and photo-patterned dielectric redistri- bution layer build-up with line sections of 5x5µm and vias 7µm in diameter, took half the number of routing layers with an aver- age wire length over 66% of the die spacing. Backside revealed copper TSVs stack up to six layers of dies.

12 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com eu.mouser.com

The Newest Products for Your Newest Designs®

The tool may also point to extra design-for-test features that may need to be integrated at the design stage of the different chips. The tool covers the entire 2.5D-/3D-stacked IC produc- tion flow, including design, manufacturing, test, packaging and logistics. It is aware of the stack build-up (2.5D versus 3D, mul- tiple towers; face-to-face or face-to-back) and stacking process (die-to-die, die-to-wafer, or wafer-to-wafer).

CMOS-compatible photonics: one more layer in the 3D stack Today’s current approach to optical I/Os is to use discrete VC- SELs (vertical-cavity surface-emitting lasers) at board and rack level only, and achieving higher bandwidth means adding more fibres in a limited space. At chip level and for intra-package connectivity, copper is mostly used. Optical IO program director at imec and responsible for the silicon photonics technology platform, Philippe Absil came with extra solutions for high-speed intra-package interconnects. To support the Terabit-scale Ethernet of the future, transceivers capable of 50Gbit/s+ data rates will be required with operating power scaling down an order of magnitude from 20pJ/bit with today’s embedded optical modules to only a few pJ/bit with

imec’s silicon photonics technology platform. integrated optical modules (IOMs). This calls for photonics integrated circuits with absolute nano- meter-scale fabrication control to achieve high index contrast waveguides (capable of low-loss µm-scale bending radii) and More New Products smart routing elements (directional couplers and sub-wave- length gratings). As imec’s answer, Absil unveiled a fully inte- More New Technologies grated silicon photonics platform to support high-performance optical transceivers (25Gbit/s and beyond), enabling chip-level More Added Every Day large-scale integration using standard high-yield 130nm CMOS processes. The researcher presented his results on a photonics dem- onstrator featuring low Primary Logo Secondary Stacked Logo loss (2.5dB/cm) strip waveguides with 2.5nm of thickness control and highly efficient grat- ing couplers (2.5dB insertion loss) made of doped glass, with 50GHz-capable Ge-on- Si waveguide photodi- odes for the optical-to- electrical (O-to-E) signal conversion, 25Gb/s Authorised distributor of semiconductors and electronic components for design engineers. Mach-Zhender interfer- 40nm CMOS driver chip on top of a ometer and micro-ring photonics chip modulators (28Gb/s at www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 13 NewestProd_UK_93x277.indd 1 10/14/13 9:23 AM IMEC TECHNOLOGY FORUM Got Tough Software Radio Design Challenges?

perature solution-based process. Potentially much cheaper than silicon-based approaches, such cells would prove useful when laminated onto large building areas. Imec’s roadmap for solid-state batteries starts from the characterisation of a planar thin-film Li-ion battery, studying one interface at a time to determine the right solid electrolyte (with high ionic conductivity but small electronic conductivity). Initial Ge photodiode integrated with a Si ring modulator. research started with a demonstration platform using a Li4Ti5O12

1V, 40Gb/s at 3.3V) for the electrical-to-optical (E-to-O) signal anode and a LiMn2O4 cathode using the respective Lithium-free conversion. In a prototyping example, Absil showcased the 3D precursors TiO2 and MnO2. heterogenous integration of a 40nm CMOS driver chip on top of a photonics chip, assembled through a 150µm-pitch flip-chip process. Running at 10Gb/s, the drivers and the photonics elements (modulators, passive filters for multiplexing/de-multiplexing, thermo-optic tuners and single fiber I/Os) dissipated 0.38pJ/bit from a 1V power supply. Absil also disclosed a Ge photodiode integrated with a Si ring modulator capable of 20Gb/s opera- tion. Such combinations have already led to proprietary silicon photonics bio sensors aimed at the analysis of clinical samples. Capable of sensing surface molecular bindings directly, offer- ing real-time results for multiple arrays of tests on a single-chip, the photonics sensors could accelerate diagnostics and drug developments. The prototypes are produced via the ePIXfab and Europractice IC service. Imec’s exploration roadmap for solid-state batteries. A roadmap for the internet of power With this approach, imec plans to look into two directions, Focusing on energy-related topics, Business Development one relying on thin film deposition over metal foils (in a roll-to- Director Philip Pieters detailed imec’s research strategy as a roll process) while also exploring 3D microstructures (such as roadmap to the internet of power. silicon micro-pillars) to design solid-state flex batteries. Pieters noted that with the democratization of photovoltaic Another direction would be to develop ceramic batteries technology, the same decentralization trend that the internet built around pressed pellets and bipolar stacks. Pieters sees 3D had enabled with data (generated by users locally rather than thin-film batteries evolve into a large area technology by 2020. Unleash The New Virtex-7 Onyx Boards! centrally controlled, and stored anywhere on portable devices) The toolbox for this research includes materials modelling to had to be replicated with the power generation industry, shift- screen materials and establish physical models, but also all the ing from centrally-controlled large-scale power plants with grid thin-film deposition and patterning techniques, with chemical balancing, to decentralized energy production by prosumers (i.e modification processes. consumers with their own roof-top or façade PV installations) ® combined with distributed energy storage solutions. Fifty shades of light: Pentek’s Onyx Virtex-7 FPGA boards deliver unprece- With more than twice the resources of previous Virtex While for decentralized energy production, imec looks into smart lenses as a blue-sky experiment dented levels of performance in wideband communications, generations plus advanced power reduction techniques, silicon and also organic photovoltaics, for distributed storage, PhD candidate at Gent University, Jelle De Smet gave us SIGINT, radar and beamforming. These high-speed, the Virtex-7 family delivers the industry’s most advanced the research centre explores electrochemical solutions for solid- a lecture on smart lenses, or what electronically active lenses multichannel modules include: FPGA technology. state batteries. Looking at further deployments of photovoltaic ought to bring to their wearers, not just improved sight, but pos- • A/D sampling rates from 10 MHz to 3.6 GHz capacity in Europe, research from the European Photovoltaic sibly extra information. • D/A sampling rates up to 1.25 GHz Call 201-818-5900 or go to Industry Association (EPIA - www.Epia.org) suggests that local With no definite time line, the ultimate goal would be to www.pentek.com/go/eetonyx PV-energy production throughout Europe would require 10% integrate an LCD to provide readable information sent wirelessly • Multi-bandwidth DUCs & DDCs for your FREE online Putting of additional PV capacity compared to a “PV in the South” ap- from a mobile. For now, De Smet has designed a cable-tied • Gen3 PCIe with peak speeds to 8 GB/sec proach. Yet, it would still be more efficient and cost effective to FPGAs to Work in Software locate PV installations where consumption is located, instead of • 4 GB SDRAM for capture & delay Radio Handbook and Onyx adopting a “PV in the South” scenario which would somehow • Intelligent chaining DMA engines product catalog. “centralize” PV-energy production in the sunnier South and • Multichannel, multiboard synchronization ® drastically increase total energy costs through additional power • ReadyFlow Board Support Libraries transmission and distribution lines. ® Pieters highlighted that reaching grid-parity in terms of • GateFlow FPGA Design Kit & Installed IP ® PV-generated electricity price (as it is the case in Germany for • GateXpress FPGA - PCIe configuration manager example) is not enough to make photovoltaics a winner, the • OpenVPX, AMC, XMC, PCIe, cPCI, rugged, cost of local energy storage has to be taken into account when conduction cooled calculating the levelized cost of electricity. This not only means that PV modules should output more (hence the on-going • Pre-configured development system for PCIe research), it also means that localized energy storage should be • Complete documentation & lifetime support implemented at very low cost. Through the Solliance organic PV program, imec has demon- strated stacked polymer triple junction cells reaching efficien- cies over 9.5%, which can be manufactured through a low-tem- A smart lens concept presented by Jelle De Smet.

Pentek, Inc., One Park Way, Upper Saddle River, NJ 07458 • Phone: 201.818.5900 • Fax: 201.818.5904 • e-mail:[email protected] • www.pentek.com 14 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Worldwide Distribution & Support, Copyright © 2013 Pentek, Inc. Pentek, Onyx, ReadyFlow, GateFlow & GateXpress are trademarks of Pentek, Inc. Other trademarks are properties of their respective owners.

OnyxAd_EETimesEU.indd 1 6/5/2013 8:13:16 PM Got Tough Software Radio Design Challenges?

Unleash The New Virtex-7 Onyx Boards!

Pentek’s Onyx® Virtex-7 FPGA boards deliver unprece- With more than twice the resources of previous Virtex dented levels of performance in wideband communications, generations plus advanced power reduction techniques, SIGINT, radar and beamforming. These high-speed, the Virtex-7 family delivers the industry’s most advanced multichannel modules include: FPGA technology. • A/D sampling rates from 10 MHz to 3.6 GHz • D/A sampling rates up to 1.25 GHz Call 201-818-5900 or go to www.pentek.com/go/eetonyx • Multi-bandwidth DUCs & DDCs for your FREE online Putting • Gen3 PCIe with peak speeds to 8 GB/sec FPGAs to Work in Software • 4 GB SDRAM for capture & delay Radio Handbook and Onyx • Intelligent chaining DMA engines product catalog. • Multichannel, multiboard synchronization ® • ReadyFlow Board Support Libraries ® • GateFlow FPGA Design Kit & Installed IP ® • GateXpress FPGA - PCIe configuration manager • OpenVPX, AMC, XMC, PCIe, cPCI, rugged, conduction cooled • Pre-configured development system for PCIe • Complete documentation & lifetime support

Pentek, Inc., One Park Way, Upper Saddle River, NJ 07458 • Phone: 201.818.5900 • Fax: 201.818.5904 • e-mail:[email protected] • www.pentek.com Worldwide Distribution & Support, Copyright © 2013 Pentek, Inc. Pentek, Onyx, ReadyFlow, GateFlow & GateXpress are trademarks of Pentek, Inc. Other trademarks are properties of their respective owners.

OnyxAd_EETimesEU.indd 1 6/5/2013 8:13:16 PM IMEC TECHNOLOGY FORUM

prototype lens featuring a nine-pixel array capable thin plastic materials and of multiple grey-scale settings. With integrated light elongated by 20% (the test sensors, the lens could respond to changes in light was actually stopped before conditions and operate as an artificial iris, contracting reaching failure). 20% elonga- or expanding its shaded areas. A current solution for tion is probably much more people with damaged iris consist of a fixed implant that than what would be needed in blocks out excess light, a solution that falls short of the most flexible applications, he many light conditions outside a set average. De Smet Thermo-plastically deformable circuits. explained. The tricky part is to recognizes that the path to designing a full working display on ensure that the transition from the hard PCB islands to the fully a lens will be a difficult one, as many other aspects need to be flexible polymer support are progressive enough in cladding taken into consideration, including power and focusing issues. thickness to prevent hard bends that could disrupt contacts The idea behind this long-term research project is to gather with the PCB. The labs have developed several prototypes in a know-how and experiment with various building blocks from number of European projects, including a platform for low-level different disciplines, possibly with the help of existing passive blue light therapy, for the treatment of repetitive strain injuries (in lens manufacturers who may want to share their knowledge of cooperation with Philips in the Place-It project). biocompatible materials for such a project. In the future, imec could be looking at integrating flexible One thing that De Smet highlighted is that a micro photovol- blocks into this scheme (such as flexible taic cell (maybe associated with a flexible printed battery), could OLEDs and thin-film organic circuits) instead of relying on hard double up as a light sensor (in effect, not drawing any current component islands. but directly driving the light shutters). Coils could also be inte- From an industrial point of view, stretchable and flexible grated to recharge the battery at night (when the lenses would circuits may have more applications as a way to build fully con- be stored into a special inductive charging case). formable circuits, using thermo-formable plastics as an encap- An intermediate step to the full LCD-on-the-eye augmented sulation material to freely set the deformable circuit into specific reality concept would be to enable a multifocal lens that could but solid shapes. Applications could range from fancy 2.5D light be switched for close or far focus (using an electro-optic actua- sources to automotive interiors, free form keyboards and other tor, maybe embedded micro-fluidics to change the lens’ cur- consumer electronics. vature). The researcher would not give out any details on how In fact, to further explore the manufacturability of these the lens would detect where to focus, but he said he had some concepts, imec and its project partners have just launched ideas, with a patent pending on an electro-optic actuator. Such TERASEL (Thermo-plastically deformable circuits for embed- a product would address the billion or so people suffering from ded randomly shaped electronics), a project under the Euro- presbyopia, typically when you reach over 40 and part of your pean Union’s Seventh Framework Programme for Information cornea stiffens in the normal ageing process. and Communication Technologies (FP7). Running for the next three years under Vanfleteren’s direction, the project will look Soothing flexible electronics take shape at the development, industrial implementation and applica- Responsible for the research on flexible and stretchable elec- tion of large-area, cost-effective, randomly shaped electronics tronics at imec’s Ghent lab, professor Jan Vanfleteren gave us and sensor circuit technologies. It also aims to set up a com- an update on all things flexible and plastic. plete multi-competence industrial production chain, capable The initial flexible electronic concepts were to use conven- to achieve mature, near-to-production industrial processes for tional PCB manufacturing techniques to interconnect functional manufacturing these randomly shaped circuits. hard-PCB islands with off-the-shelf electronic components onto a stretchable matrix made of wavy metallic interconnects. The Apps that will comfort healthy athletes interconnects can be etched or laser ablated from a fully plated Program director of wearable healthcare at imec, Chris Van temporary adhesive substrate. The full assembly is then encap- Hoof presented the healthcare and fitness markets and the sulated on both sides into any suitable stretchable rubber or huge potential those represent for wearable sensors and health silicone resin, depending on the final application requirements. monitoring devices. The metal meander shapes can be optimized as a function Van Hoof first related his experience with a commercialized of the maximum elongation that the final circuit will have to DNA spit kit, a low cost product (just under $99) that provides undergo during its use. So far, Vanfleteren has demonstrated statistical data about your health risks based on the analysis of the mechanical reliability of such assemblies encapsulated into your DNA (the full DNA data is also kept by the lab providing the different polymers, with over 60,000 cycles reached for inter- service, for future data mining). Indeed, for a large part, our ge- connects made of 17µm thick copper traces cladded by 50µm netics defines a baseline for our health (hereditary diseases or susceptibilities) then our lifestyle and our environment complete the picture on the long term. Factor-in unhealthy or healthy be- haviours and more or less exposure to contaminants and other health hazards and you’ll increase or decrease your potential for chronic diseases. The idea behind these DNA testing kits is that you could balance your lifestyle to reduce health risk factors known to be associated with certain types of diseases. Then, Van Hoof listed three lifestyle-related risk factors, namely, bad diets (too much fat, sugar, salt), the lack of physical activity, and smoking, all three identified as responsible for most chronic diseases such as heart diseases, strokes, cancers, diabetes, pulmonary diseases. A smart lens concept. “Epidemiology studies show that only a few hours or moder-

16 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com ate physical exercise per week are enough to drastically reduce the global risk of mortality posed by these diseases”, explained Van Hoof who then concluded that providing accurate data about their physical activity could empower people towards disease prevention. “it’s mostly about energy balance, too much intakes without appropriate exercise and the consequences could be obesity, hypertension and their associated diseases”, explained Van Hoof, a fairly slim and athletic researcher.

Many fitness-gadgets are already on the market, designed to give indications about the level of physical activity and to estimate burnt calories. According to Van Hoof, they all fail to provide accurate measurements, data that could be acted upon reliably. For this purpose, imec has developed wearable sensor circuits (in a patch form with an autonomy of one month) 2 with elaborate algorithms to monitor energy expenditure with Connect a claimed accuracy of 90% (compared with data acquired through lab-accurate but very obtrusive test apparatuses). The Expertise Reliability Innovation wearable patch detects the type of activity and estimates the spent calories with an error reduction by a factor of 3 compared to alternative wearable solutions, claims imec. This could lead to mobile applications with very precise daily summaries and maybe warnings or incentives for more exercises. Productronica This is an 12 - 15 November 2013 interesting Booth B3-369 technological feat, though, apart from fitness freaks or athletes looking to moni- tor their perfor- mances, I doubt that another beeping device would shake the unhealthy habits An example of a fitness mobile application. of junk food en- thusiasts and excessive TV watchers or video gamers. Even if the device was to blip on every occasion to warn you about your raised health risks and a statistically premature death, I guess this would have less impact than the horror warnings found on cigarette packs and liquor bottles, and it would be Get the right solution ignored altogether by today’s unhealthy or “risk taking” people. Proven, reliable connectors and That would be unless these devices and DNA tests become mandatory on behalf of productivity gains and money savings cable assemblies are here to be made (governments’ struggling health sectors, very large corporations and health insurance lobbies may want to impose that through legislation, otherwise who else would care?). www.fi scherconnectors.com Or maybe some insurance companies would manage to lure new customers (say the first 6 months free if you accept to sport these 24/7 real-time health warning/reading devices) to only vali- date the profiles that they see fit enough for a lucrative insurance policy. A few years ago, imec had discussed the iLab DNA/RNA anal- ysis platform concept as a disposable micro-fabricated mobile Headquarters accessory able to give health readings based on various bodily Fischer Connectors SA fluids. Today, the research centre has added other wearable read- Saint-Prex - Switzerland ers measuring individual stress levels based on an assortment of Phone +41 800 95 95 skin-contact measurements, in the future this could be extended mail@fi scherconnectors.ch to wearable sensors for airborne contaminants (all connected to the cloud for real-time air quality mapping). The true people empowerment may then come from more environmental aware- ness and a more defined will to turn our industries and lifestyles greener for the sake of a healthier living (less would be more). www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 17

EETimes_GEN_93x277mm_b5_11_13.indd 1 15.10.13 10:58 executive interview

Energy, Mobility, and the Cloud: key opportunities for power-management solutions SMT ENCLOSuRE COIN CELL CONTaCTS ®

By Paul Buckley #110

EE Times Europe Power Management’s editor, Paul Buckley digital camera, portable multimedia player, and even your laptop. interviews Vijay Ullal, the President and Chief Operating Officer For brushless DC (BLDC) motor control, the advanced variable- of Fairchild Semiconductor, who joined Fairchild Semiconductor frequency control technology that Fairchild is developing will in September 2012. After a year in the post we discover how he speed up the adoption of this technology by providing a flexible sees Fairchild developing. yet cost-effective solution. It will help us further reduce household power consumption. The demand for Green #112 EE Times Europe: In your first year as COO home appliances will in turn create huge demand at Fairchild what aspects of the business do you for BLDC motors. Fairchild aims to catalyze the think you have made progress with and where do transition from AC induction to BLDC motors by you think you need to improve for the future? introducing modular motor-control algorithms Vijay Ullal: In our first year, the focus has to help small and mid-size home appliance been on operational efficiency and technology manufacturers in implementing BLDC motor- development. Our quality, reliability and flexibility control applications. Other areas in which we are of our supply chain have improved significantly. investing are inverters for solar applications and Our technology cycles of learning per year have electrical vehicles. improved dramatically. I am excited by the strong Our mWSaver technology is helping power- foundation we have built for new product devel- supply designers achieve lower standby power in opment. In our second year, we will build on this their applications, exceeding worldwide energy foundation by improving the effectiveness of our saving regulations in applications such as battery sales and marketing as well as fine-tuning our chargers, adapters and switch-mode power sup- new product development machine. In particular, plies for LCD TVs and printers. we will leverage our strength in discretes to pro- The automotive market is demanding ever- vide complete power management solutions. higher energy efficiency. We continue to develop best-in-class solutions for electronic power steer- EE Times Europe: What do you think will be Vijay Ullal, Fairchild ing, ignition and EV traction. the three major technology trends of 2014 and LED technology is another area expected Semiconductor’s COO: “We see a how will they impact Fairchild? to grow dramatically over the next several tremendous opportunity for us in Vijay Ullal: We see a tremendous opportunity years, and Fairchild Semiconductor is uniquely for us in the next decade in the megatrends of the next decade in the megatrends equipped to address the semiconductor re- Energy, Mobility, and the Cloud. Within each of of Energy, Mobility, and the Cloud” quirements of the evolving LED market. We are these megatrends, we will excel at providing high-efficiency power- developing a growing portfolio of building blocks that can be used management and power-delivery solutions in industrial, mobility, for LED Lighting solutions. automotive and clean energy. In the industrial markets, we see an Fairchild will deliver power semiconductor solutions that have acceleration of the trend towards complete system in a package. leading energy density, size and cost. We will do this by integrat- In Mobile, we will continue to see a transition from building-block ing leading MOSFET and IGBT technologies into advanced power products to niche analogue systems on a chip. For the Cloud, there modules. Our goal is to simplify the design process by providing is a need for power-delivery solutions for data centres and ultra-low a turn-key solution. We can do this because we have a unique combination of expertise in leading-edge process and packaging power networked wireless sensors for IoT applications. • Ideal for enclosures with self contained battery compartments • Easy battery access, removal and installation • Industrial and consumer We are energized by the opportunity to play an important role technology, IC design and knowledge of applications. in solving the problems of increasing cost, pollution and climate product applications • Ultra low profile• Features gold-plated phosphor bronze contacts • Spring tension contacts adjust to variations change. These are a direct result of the increased use of energy EE Times Europe: Fairchild’s industrial and Appliance sales are in battery diameter for a dependable connection • Available on Tape & Reel • Positive and Negative contacts can be used as caused by the rapid urbanization of the world’s population. Let me now 40 percent of overall sales and growing fastest - what tech- a design set or individually on a PCB • Accommodates cell diameters 16mm and larger • Request Catalog M60.2 talk about a few examples of how we think we can impact the lives nologies are driving the growth? of a large part of this population. Vijay Ullal: Motor control is the largest segment of this market For off-line power supplies, we aim to develop a ‘universal’ and consumes a significant percentage of the world’s energy. adapter that can be used for various portable devices. Imagine how Consequently, there is a strong push across the world for energy It’s what’s on the InsIde that counts convenient it would be if you only need to carry one adapter for efficiency driven by government regulatory mandates and by most of your electronic devices, such as your smart phone, tablet, operators to reduce Total Cost of Ownership (TCO). Specifically, ® a large percentage of energy consumption is attributed to HVAC, Vijay Ullal has 30 years of experience in the semiconductor home appliances, pumps and fans which is the focus of Fairchild’s ELECTRONICS CORP. industry, including engineering and operations leadership of investments. positions at Intel Corporation, Saratoga Semiconductor and, most recently, Maxim Integrated Products, Inc., where he was EE Times Europe: Only the industrial and automotive sectors ap- European Headquarters: www.keyelco.com • 33 (1) 46 36 82 49 • 33 (1) 46 36 81 57 Group President, Consumer Solutions Division from 2007 to pear to be following a growth curve in the past two years. Why? April 2012. Vijay Ullal: Our growth has occurred in sectors where our invest-

18 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com

EET Euro THiNK Snow+SMT Encl-11-13.indd 1 10/10/13 10:58 AM SMT ENCLOSuRE COIN CELL CONTaCTS ®

#110

#112

• Ideal for enclosures with self contained battery compartments • Easy battery access, removal and installation • Industrial and consumer product applications • Ultra low profile • Features gold-plated phosphor bronze contacts • Spring tension contacts adjust to variations in battery diameter for a dependable connection • Available on Tape & Reel • Positive and Negative contacts can be used as a design set or individually on a PCB • Accommodates cell diameters 16mm and larger • Request Catalog M60.2

It’s what’s on the InsIde that counts ®

ELECTRONICS CORP.

European Headquarters: www.keyelco.com • 33 (1) 46 36 82 49 • 33 (1) 46 36 81 57

EET Euro THiNK Snow+SMT Encl-11-13.indd 1 10/10/13 10:58 AM ments have coincided with favourable market quickly try out different approaches to solve dynamics. The industrial and automotive sectors a customer problem. The third element is to have had the most pull for our power manage- advance process technology where we have ment products. Our strategy in the maturing mo- a proprietary advantage in power devices and bile segment is to target profitable niches rather integration both as a system in package as well than participating in a hyper-competitive market as with a monolithic solution. with a broad portfolio of products. EE Times Europe: Are there any changes in EE Times Europe: Sales of SMP and IGBT the supply chain in Europe planned for 2014? products seem to be growing quickly. What is the Fairchild’s WebDesigner tool. Vijay Ullal: There are many improvements to reason for this? the supply chain at Fairchild but these are of a global nature rather Vijay Ullal: The reasons have been described earlier in this inter- than specific to Europe. view. IGBT growth is being driven by emerging applications such as Solar, HEV and EV and existing applications such as Motors and EE Times Europe: Is the calibre of new electronic engineering Appliances that are requiring higher voltage and power devices. talent of sufficient quality in Europe compared to the rest of the world? EE Times Europe: Are there any power management technolo- Vijay Ullal: Yes. In specific areas, it is superior. gies that Fairchild sees as having created a leadership position? Vijay Ullal: There are too many to list them all. I will mention a EE Times Europe: Is it proving more difficult to recruit design en- few. In low voltage MOSFET switches, we have a figure of merit gineers with sufficient skills in analog or mixed-signal technologies? that sets the standard for the industry. Ditto for SiC BJT switches. Vijay Ullal: On the contrary, our story of transformation is attract- We also have an end-to-end solution for fast charging of mobile ing some of the best analogue and mixed-signal engineers in the devices. We have an exciting, ultra-low power inertial sensor. industry.

EE Times Europe: Do you expect to see an upturn in Fairchild’s EE Times Europe: What are Fairchild’s strategic plans to interact sales in computing and consumer markets or will the decline in with design engineers and keep them abreast of new technology these sectors continue? developments? Vijay Ullal: We will continue to make investments in the most Vijay Ullal: Engineers are the heroes of any technology company attractive sectors. We have moved our investments away from and this is absolutely the case at Fairchild. We provide training and notebooks and displays. We will move these investments into areas encourage attendance at seminars. We incentivize our engineers that require innovative products such as Cloud and IoT. to come up with breakthroughs and defend our IP by filing for pat- ents. Most importantly, we provide them the opportunity to work on EE Times Europe: Are there any technology innovations or mar- exciting, new products. ket drivers that will help Fairchild make an upswing in the comput- ing, consumer or mobile phone markets? EE Times Europe: Are there any innovations in online design Vijay Ullal: Our innovation in power management and sensor tools we can expect to be introduced by Fairchild? technology will be a tailwind for us. The move of computing from Vijay Ullal: Fairchild’s Power Supply WebDesigner – a suite of PC to tablets will benefit us as we anticipated that change a couple time-saving tools for designing and optimizing the system and of years ago. The emergence of cloud computing will play to our power train – are designed to help new as well as experienced de- strengths in power management as well. sign engineers rapidly implement the latest technologies into their applications. EE Times Europe: What are the most critical design challenges Fairchild is also working to help designers stay up to date in Fairchild is looking to address in automotive, industrial, power sup- several ways, among them are online design tools and our Power plies and motion control applications? Seminar Series. Our Power Seminar Series, starting in Europe in Vijay Ullal: Fortuitously, it is the same across these applications. September 2013, is a comprehensive one-day seminar provid- It is about achieving the highest level of energy efficiency and ing rich technical and practical presentations that combine new, power density by applying the right combination of power device, advanced power supply concepts, tutorial review of basic design control and integration via understanding of the system-level principles, and “hands-on” real-world application examples. requirements. The focus of Fairchild power seminars is on technologies and techniques, not products. It’s an opportunity for engineers look- EE Times Europe: Fairchild currently has no manufacturing sites ing for the latest advancements in power supply design, as well as in Europe - why? Is this likely to change? those looking for a refresher. The seminars are in-depth theoretical Vijay Ullal: Our manufacturing sites have originated either from and practical discussions on the pros and cons of different solu- the spin-off from National Semiconductor or from acquisitions. tions to improve energy efficiency and system performance. Each Europe is very strong in technology and IP. As we develop or of the presentations is accompanied by a technical paper with the acquire technology in Europe, it is possible that we may add a site full in-depth treatment of the topic for reference. in Europe. EE Times Europe: Which social media technologies will Fairchild EE Times Europe: Have any changes in the way Fairchild has look to deploy to maintain a dialogue with its engineering audience? developed its process and packaging technologies or test method- Vijay Ullal: We are looking at all the new social media to assess ologies had an impact in improved sales? their effectiveness. This is still an evolving art for all companies, not Vijay Ullal: Yes. The first element is the continuous focus on just for those in the semiconductor industry. We will be conducting quality in a holistic manner from design to package to test. The some interesting experiments over the next twelve months. second element is to accelerate prototyping cycle so that we can Stay tuned.

20 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Modular robots self-assemble By R. Colin Johnson the brainchild of Massachusetts Institute of cylindrical magnets mounted on Technology (MIT) researchers, the M-Block pro- rollers along their edges, so that totypes of self-assembling robots use a unique any M-Block edge can be mated internal flywheel for locomotion instead of the usual to any other M-Block edge, since wheels and legs. their magnets rotate so that oppo- Created by MIT research scientist John Roman- site poles - north and south - align ishin, with the director of MIT’s Computer Science to hold them firm. The edges are and Artificial Intelligence Laboratory (CSAIL) profes- also beveled so that the magnets sor Daniela Rus, and post-doctoral researcher Kyle do not touch unless one is flipping Gilpin, the cube-shaped robots have no external atop the other, at which point the moving parts, and yet can propel themselves for- edges touch at the axis of rota- ward, roll over the ground, climb over and around tion, providing a stronger attrac- each other, leap into the air, jump atop each other, tion during the flipping operation, form stacks, suspend upside down from metallic surfaces, and thus anchoring the edge as one rotates atop the other. Next snap together into any configuration. researchers want to build armies of M-Blocks which can self The key to their locomotion capabilities is an internal flywheel assemble into useful reconfigurable shapes, such as scaffold- that rotates at up to 20,000 revolutions per minute (RPM). By ing. Also by adding special purpose M-Blocks, holding internal braking the flywheel under program control, its angular momen- cameras, lights, battery packs and other devices, swarms could tum can impart the desired locomotion. And by carefully align- self-assembly into complete functioning electronic subsys- ing permanent magnets embedded into their edges and faces, tems. Currently, the researchers are building an army of 100 M-Blocks can snap together to form arbitrary shapes. M-Blocks, each with programmable smarts that allow them to To allow M-Blocks to snap together arbitrarily, they use self-assemble into a variety of useful configurations.

PRODUCTRONICA MUNICH 12. - 15. November 2013 Hall A1 | Booth 181 go -grafik.de www.

sophisticated precision

→ Spring Contact Probes → Fixtures for ICT- and Functional Test → Probe Cards for Wafer Test

FEINMETALL GMBH | +49 7032 2001 0 | www.feinmetall.com

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 21 AUTOMOTIVE ELECTrONICS

Automotive drives new processor architectures

By Nick Flaherty arm’s latest processor architecture owes a lot to its The Energy Micro devices have multiple low power modes and automotive customers. It may seem strange at first, but while XMOS has enabled the M3 core to operate as a core and use the V8-R architecture targets real time and security applications, those low power modes. This gives a range of processing from it is particularly aimed at the automotive market. 100nA in deep sleep mode to 150mW with all cores operating Virtualization is the key theme, both for the ARM v8-R but and handling 500MIPs. also for Imagination Technologies’ new Warrior cores. At the The deal allows XMOS to tap the existing eco-system of same time XMOS Semiconductor is also seeing automotive ARM binary software and allows developers to add software- designs driving demand for Ethernet AVB on its Xcore multicore defined peripherals using the XCore tools and IP, says Nigel controller. Toon, CEO of XMOS. “The xCORE-XA extended architecture ARM v8-R will be used for the next generation of ARM R redefines what embedded developers can achieve using a pro- cores that are currently used for ASIC designs with automotive grammable platform,” he said. “Adding the Cortex-M3 technol- and large industrial customers. Unlike the 64-bit V8-A architec- ogy from Silicon Labs as part of the xCORE-XA products allows ture for applications processors such as the Cortex-A53 and customers to include ARM binary code and to create unique A57 it will be 32-bits, but it adds the same ability to run Linux end products with the lowest energy consumption. We believe and Android ‘out of the box’ alongside security and virtual- xCORE-XA represents a completely new class of programmable ization enhancements. Both of these tackle the issue of the SoC. Now we can bring system level programmable configura- (MMU) which Imagination has also tion with hardware levels of real-time performance to low-ener- had to address. gy battery-powered applications, configured and programmed “Over the last two years we have been planning how to apply completely in software.” the new technology to embedded applications,” said Rich- Designers can also add real-time data-plane plus control ard York, director of embedded processors at ARM. “Talking processing and DSP blocks, using multiple xCORE processor to people in the automotive and cores, with the ARM available to run industrial markets, they have big larger control plane processing soft- software problems that microcon- ware such as communication protocol trollers don’t help them solve, such stacks, standard graphics libraries, or as different levels of safety, com- complex monitoring systems. bining rich operating systems with “ARM believes that xCORE-XA real time operating systems, legacy represents a significant step forward software and software form lots of for embedded systems, allowing engi- different sources.” neers to create an integrated SoC that A key point is that the MMU will is configured completely in high level be 100% architecturally identical software,” said Nandan Nayampally, to the A8 version to run the wide vice president of Application Systems range of software being developed Marketing at ARM. for mobile applications, and this has been demanded by the Imagination Technology has also launched its latest core, automotive customers looking to build infotainment systems using the Release 5 of the MIPS architecture to tackle the that also connect to the car’s networking infrastructure. Un- same challenges as ARM v8-R in re-using high level operating derneath that, virtualization hardware will allow hypervisors to systems such as Android while adding virtualization and secu- run unmodified on the core with only one level of translation to rity. This is the first implementation of release 5 and comes as support both mainstream operating systems such as Android Imagination completes the takeover of MIPS. alongside and RTOS. The new MIPS cores come in three varieties within the ‘War- At the same time XMOS Semiconductor expects to receive rior’ family, all using Release 5. The P class takes the proAptiv automotive qualification for its multicore controller by the end of cores on, while the I and M class map to the InterAptive and the year. The xCore 32-bit architecture provides a deterministic, MicroAptiv cores. software programmable I/O capability that has been adopted in The first core is the 32-bit P5600 which extends the address robotics and increasingly in automotive as Ethernet AVB starts pace to 40-bits for more complex software and adds the full to gain traction. 128 SIMD (single instruction, multiple data) design for digital XMOS is also adding an ARM Cortex-M3 alongside its cores signal processing, imaging and media that was part of Release to tap into the ecosystem of ARM software, and the key is that 5. “We fully understand we are not the first in offering 128-bit designers can easily add high performance I/O such as multiple SIMD but sometimes there are benefits in not being first,” said Ethernet AVB interfaces alongside the ARM as the controller. Mark Throndson, director of processor marketing at Imagina- For the xCore eXtended Architecture (XCore-XA) XMOS is tion Technologies. “In this case we take a very RISC-like view of combining seven of its deterministic xCore processors with SIMD and designed it to be supported and programmed in high M3-based silicon from Energy Micro which was acquired earlier level languages. For the complete set of compiler vector opera- this year by Silicon Labs, using two dies in a single package. tions we have 100% native instruction mapping in the GMU

22 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com compiler. Auto vectorization is being increasingly common but it’s only as good as the native instruction support so we believe auto vectorization with our SIMD will be quite effective.” Superior Solutions for The P5600 incorporates Enhanced Virtual Address (EVA) and Extended Physical Address (XPA) features that enable scal- ability to future generations of products. EVA enhances the Automotive. effective use of the virtual memory space in the P5600, allowing both user and kernel space to access more than 3GB of space each without the need for HIGHMEM support in Linux. XPA extends the physical addressing capabilities of the P5600 up to 1 Terabyte. In combination with hardware virtualization, EVA and XPA extend the usability of the P5600 well beyond other 32-bit CPUs. The devices have security applications in mind, with hard- ware virtualization that separates out the user and root capa- bilities and allows existing hypervisors to be ported to the new core without requiring modification. “We took a CPU view of hardware virtualization, we have virtualized both the I/O pro- cesses and interrupts at the cluster level so I/O is transactional within each virtual domain.” Imagination plans to use virtualization as the foundation for a growing number of applications demanding robustness, support for multiple security contexts and more. Starting with the P5600, MIPS Series5 cores embrace hardware virtualization from the entry level to the high end, with simple, flexible and complete virtualization across the MIPS Series5 range. The silicon footprint for the P5600 is up to 30% smaller than comparable CPU cores with performance exceeding 5 Core- Mark/MHz with 3.5 DMIPS/MHz. At a system level the core shows 1.2x – 2x performance gains on the previous Aptiv family on a wide variety of system-oriented benchmarks, including SPECint2000, Linpack, and Javascript/Browser tests, and 2x – 3x higher data movement on widely-used routines such as MemCopy libraries* There is support for up to six cores per cluster with high- performance cache coherency to support a 4.1 or 4.2 scheme Aluminum electro- Multilayer varistors combining high frequency, high leakage versions of the core lytic for sure ESD with lower leakage, lower frequency variants with high reliability protection Imagination aims to provide binary compatibility across the range of Series5 Warrior CPUs, from entry-level/ Film capacitors Pressure sensors CPUs up to high-end performance-driven networking systems. for HID lamps and for harsh electric vehicles environments MIPS Series5 cores share a common for execution of all 32-bit and 64-bit code, ensuring that 32-bit binaries for any SAW components Customized ‘Warrior’ CPU will run without change on any 64-bit ‘Warrior’ for telematics, NTC temperature CPU. RKE and TPMS sensors The MIPS P5600 will be available for licensing this quarter while cores based on the v8-R architecture will be announced next year, with silicon from partners in the second half of 2015. The first devices will emerge for the industrial market even though the main focus is automotive, says York, and the same MLCC (various DC/DC converters cores will be used for both. “The main competition is propri- types) for highest and chargers for etary architectures,” he said. “The people we are talking to are reliability e-mobility using [Freescale] PowerPC, [Renesas] SH, [Infineon] Tricore or [Renesas] .” Rare earth and Common-mode The first device XMOS XA device is the XA-U8-1024, features ferrite magnets filters for power eight 32bit processors (seven xCORE logical cores plus an ARM lines Cortex-M3 processor), 192KB SRAM, and 1024KB of Flash and will sell for around $15, says Toon. The device includes a low energy USB interface, ultra low-energy peripherals and analog functions including ADC, DAC, op-amps and capacitive Common-mode SMT power sensing comparators. Future family members will include 6-core chokes for CAN inductors up and 8-core products with Flash sizes ranging from 512KB to bus and FlexRay to +150 °C 1024KB, and device variants with or without the low-energy USB 2.0 interface. www.tdk.eu · www.epcos.com www.electronics-eetimes. AUTOMOTIVE ELECTrONICS

Automotive infotainment system designs get easier with multi-output power management ICs

By Steve Knoth and Jeff Marvin

As product form factors are decreasing, demand for packed into a single device, the combined power dissipation their functionality and features continue to increase. Further- can be significant, easily approaching two Watts or more. Typi- more, the industry trend for sophisticated digital ICs such as cal PMIC packages such as the 6x6mm 40-pin, exposed pad microprocessors and microcontrollers or field programmable QFN have a thermal resistance of 33°C/W resulting in a junction gate arrays that power these products continues to lower their temperature rise in excess of 60°C. When this is combined with operating voltage while simultaneously increasing their amper- the additional challenge of a wide ambient operating tempera- age. Microprocessors are among the most popular of these to ture range, the maximum junction temperature of the PMIC can design in, and there is a growing list of power efficient types often exceed 125°C. Even in body electronics, not under the from such suppliers as Freescale, Intel, NVIDIA, , ARM hood, the ambient temperature inside a sealed plastic elec- and others. They are designed to provide low power consump- tronic control module can reach 95°C. Due to these temperature tion and high performance processing for a wide range of wire- challenges many PMICs rated for 85°C, or even 125°C, are not less, embedded and networking applications. sufficient for sustained high temperature operation. Another key to operating an integrated power management The original intent of these processors was to enable OEMs device in a high ambient temperature environment is for the de- to develop smaller and more cost-effective vice to self-monitor its own die temperature and portable handheld devices with long battery report when its junction temperature is getting life, while simultaneously offering enhanced too high so that the system controller can make computing performance to run feature-rich an intelligent decision on whether to reduce multimedia applications. Nevertheless, de- power to the load(s). Operating system software mand for this same combination of high power can do this by turning off less critical functions or efficiency and processing performance has by turning down the performance in processors spread to non-portable applications. A couple and other high power functions such as displays of examples include automotive infotainment and network communications. systems and other embedded applications, The environment within a current vehicle’s both of which demand similar levels of power dashboard is crowded with electronics. Adding efficiency and processing horsepower. In all to this crowding are radios from Bluetooth to cases, a highly specialized, high performance cell phone based network connectivity. There- power management IC (PMIC) is necessary fore, it is imperative that any additional entries to properly control and monitor the micropro- Fig. 1: LTC3676-1 simplified to this thermally constrained environment not cessor’s power so that all of the performance typical application diagram. contribute excessive heat or EMI. There are strict benefits of these processors can be attained. Further, as the Electromagnetic Compatibility (EMC) requirements which cover electronic content of automobiles continues to dramatically radiated and conducted emissions, radiated and conducted increase, so too has the use of microprocessors as the work immunity or susceptibility, and Electrostatic Discharge (ESD). horse of various control systems within the vehicle. Infotainment Being able to conform to these requirements affects the per- systems have captured a wide array of functions to enhance the formance aspects of a PMIC design. Some are straightforward, driving experience. Touch screens, Bluetooth communication, such as the DC-DC switching regulators must operate at a fixed digital and high-definition television (HDTV), satellite radio, CD/ frequency well outside of the AM radio band. However, another DVD/MP3 players, global positioning system (GPS) navigation common radiated emission source found in DC-DC converters and video game systems have created a full-fledged entertain- comes from the switching edge rates of its internal power MOS- ment center inside the car. FETs. These edge rates should be controlled to reduce radiated emissions. Automotive PMIC challenges Electronic systems designed for automotive applications are Many of today’s embedded systems and advanced proces- challenging for many reasons, including the wide operating sors require controlled and choreographed sequencing as temperature range, strict EMC and transient requirements, as power supplies are powered up and applied to various circuits. well as the high quality levels demanded by automotive OEMs. Allowing for system flexibility and a simple approach to se- Starting with the wide operating temperature range, power man- quencing not only makes the system design easier, but it also agement ICs are challenged on two fronts. First, power conver- enhances system reliability and allows for a single PMIC to sion - even when highly efficient - must dissipate some level of handle a broader range of the system than just a specific pro- power as heat. When several DC-DCs and LDO regulators are cessor’s requirements. In summary, the main challenges facing the automotive infotainment system designer include balancing Steve Knoth is Senior Product Marketing Engineer at Linear power dissipation with the high level of integration of multiple Technology – www.linear.com switching regulators and linear regulators, accurately regulating Jeff Marvin is Design Center Manager for the Power Products output voltage and load step response required by advanced Group at Linear Technology. nanometer technology processors and FPGAs.

24 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com It also includes monitoring junction temperatures, and the each for core, memory, I/O and system on-chip (SoC) rails plus control device should be immune to radiated and conducted three 300mA linear regulators for low noise analog supplies. It noise while not contributing to emissions. The device should configures a 1.5A buck regulator for source/sink and tracking also be able to manage power sequencing during startup and operation to support DDR memory termination and also adds a shutdown, it should withstand large voltage transients and VTTR reference output for DDR. These two pin features replace temperature extremes. the LDO4 enable pin and feedback pins of the LTC3676. LDO4 Historically, many PMICs have not possessed the necessary is still programmable by I2C. Supporting the multiple regulators power to handle these modern systems and microprocessors. is a highly configurable power sequencing capability, dynamic Any solution to satisfy the automotive power management IC output voltage scaling, a pushbutton interface controller, plus design constraints as already outlined must combine a high regulator control via an I2C interface with extensive status and level of integration, including high-current switching regula- fault reporting via an interrupt output. The LTC3676 supports tors and LDOs, wide temperature range of operation, power i.MX6, PXA and OMAP processors with eight independent rails sequencing and dynamic I2C control of key parameters with at appropriate power levels with dynamic control and sequenc- hard-to-do functional blocks. Furthermore, a device with high ing. Other features include interface signals such as the VSTB switching frequency reduces the size of external components pin that toggles between programmed run and standby output and ceramic capacitors reduce output ripple. voltages on up to four rails simultaneously. This low ripple combines with accurate, fast response regula- Delivered in a 40-pin 6x6x0.75mm exposed pad QFN pack- tors to satisfy demanding voltage tolerances of 45nm type age, this integrated power management solution for applica- processors. Such power ICs must also be capable of meeting tion processors can solve the automotive infotainment system the rigorous automotive environment including radiated emis- design challenges outlined above. The LTC3676HUJ PMIC is sion suppression, although the input voltage is typically a pre- available in a high temperature (H-Grade) option with a junc- regulated 5V or 3.3V rail off the system or battery voltage. tion temperature rating from -40°C to +150°C, easily satisfying the high temperature automotive operating requirement. The A high power, power management solution IC includes a thermal warning flag and interrupt specifically The LTC3676/-1 are complete power management solutions for for junction temperature monitoring and also includes a hard Freescale i.MX6 processors, ARM based processors and other thermal shutdown for reliable protection of the hardware, should advanced portable systems. The chip contain power dissipation be mismanaged, or in the event of a severe four synchronous step-down DC/DC converters at up to 2.5A fault condition.

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 25 AUTOMOTIVE ELECTrONICS

Follow-us on

Fig. 2: The LTC3676 startup sequence. The LTC3676 PWM switching frequency is specifically trimmed to 2.25MHz with a guaranteed range of 1.7MHz to 2.7MHz. Its internal regulators can also be set to a forced continuous PWM operating mode to prevent operation in pulse skip or burst-mode even at light loads. This not only keeps the frequency fixed but also further reduces voltage ripple on the DC-DC output capacitors. EETimes Europe Suppressing radiated and conducted emissions Since there are four switching regulators onboard the LTC3676, [email protected] each has an associated reactive device (inductor) to be con- cerned about. One possible solution is to shield the LTC3676 www.absopulse.ch area to prevent EMI from being emitted. Besides being expen- sive and heavy, this does not solve the problem of contamina- tion by any wires that might be connected to the power supply High-Performance, high reliable Power Supplies area. It is better to use source suppression and antenna elimina- tion. Source suppression necessitates good layout/component 15 W to 12 kW+ adapted to your challenging projects selection (and internal IC design) to prevent the generation of l AC/DC Power Supplies radio frequency energy. It is often necessary to use shielded inductors and to place those inductors further away from l Rectifiers and Battery Chargers the LTC3676 than the output capacitors. This is because the l DC/DC Converters AC currents circulate from the LTC3676 through the induc- l Sine-Wave Inverters tor, through the output , to ground and back to the l Phase and Frequency Converters LTC3676. It is clear that wide traces, preferably area fill, should l AC- Input, DC-output UPS systems be used to connect the ground of the output capacitors to the ground of the LTC3676 and to the ground of the PVIN input l Open Frame decoupling capacitors also. l Wall mount The LTC3676 also provides some tools for source suppres- l 19” Cassettes sion. Its DC-DC converters specifically include a dv/dt control l DC-input dimmable back-light inverters feature which slows down the switching edge rates to reduce radiated emissions. Since the buck regulators are synchronous, for LCD displays both the rise and the fall time are both controlled. l Complete Power Systems in 19" and 23" Racks A slow edge rate of about 3ns rise/fall, was selected to both pass emission requirements and still limit switching losses, ABSOPULSE Marketing Europe GmbH which helps to optimize power converter efficiency. Each of the PoBox 1501 4 buck switching regulators in the LTC3676 default to this 3ns 8620 Wetzikon CH edge rate control mode, but can also be individually set via I2C, Tel. +41 78 896 50 49 to a faster 1ns rate, to improve efficiency, if limited edge rate Fax +41 44 944 38 44 and emissions control is not required. In addition to switching time control, the LTC3676 offers Write us: [email protected] some other EMI suppression tools. The frequency of the buck regulators can be changed from 2.25MHz to 1.12MHz. Also, to See us: www.absopulse.ch minimize the input ripple, which can end up radiating through the power input wiring, the buck regulators can be staggered

26 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Our Latest Products Picor Cool-Power Isolated ZVS DC-DC Converters

Simple to Use n Complete Isolated DC-DC converter with zero-voltage switching module n Three input voltage ranges for communication, industrial, rugged/M-Grade applications n Communication converters have max peak input voltage of 100 V / 100 ms (non-operating) n 2,250 V input to output isolation

High Density n 50 W / 60 w output power (dependent upon converter model) n Surface mount 22mm x 16.5mm x 6.7mm high-density package n 900 kHz switching frequency, miminizes input filtering and reduces output capacitance

Rich Feature Set n On/Off Control, positive logic ENABLE n Wide trim range +10/-20% Trim n Temperature monitor™ & Over-temperature Protection (OTP) n Input UVLO & OVLO and output OVP n Over current protection with auto restart n Adjustable soft-start n Output voltage sensing without opto coupler use for higher reliability

Resources Blog Post: The technology behind the Cool-Power ZVS DC-DC Converters Video: An Introduction to Vicor’s Cool-Power ZVS DC-DC Converters

Two-Part Web Seminar Series About EMI

Watch Part 1 Watch Part 2

Practical Design Considerations and Solutions The Causes and Impact of EMI in Power Systems for the Reduction of Conducted EMI and Filter Size in Power Systems VI Brick AC Front End

Overview Features n Universal Input: 85 – 264 Vac n Low profile, 9.55 mm height above board n Output: 48 Vdc - isolated, regulated (SELV) n Small footprint, size of a business card n Power: 330 W - over entire input voltage range n Flanged aluminum package for secure mounting and n Isolated AC-DC converter with active Power thermal management Factor Correction (PFC) n Consistent high efficiency across the worldwide mains n Integrated rectification, filtering and transient protection (flat efficiency curve) n Peak efficiency: >92% n Reduced power loss and cooling requirements n EN55022, Class B EMI conducted emissions with a n Module includes PFC, regulation, isolated 48 V output few components (SELV), filtering, rectification, transient protection, agency approvals, simplified thermal management n EN61000-3-2 harmonic limits n Simple design, requires few external components n -55 to 100°C baseplate operation n Module power density, 121 W/in³ n Complete solution including hold-up capacitors, 54 W/in³

Basic Application C2 Gnd +OUT R2 ® F1 VI Brick +OUT AC (L) +OUT L2 C3 C4 85 – 264 AC Front End C5 CM MOV Vac RSV1 AC (N) -OUT EN -OUT RSV3 Gnd -In -OUT

L1

R1

9.55 mm C1

Resources

An Introduction to the Vicor AC Front End Module

Webinar: Designing High Performance AC-DC Power Systems Using a Power Component Approach

AC Front End Product Information Consistent High Efficiency Over Line, Load, Temperature

96 94 92 Input Output Output Operating 90 Part Number 88 Voltage Voltage Power Temperature 86 84

FE175D480C033FP-00 85 – 264 Vac 48 Vdc 330 W -20 to 100°C (%) Efficiency 82 80 78 FE175D480T033FP-00 85 – 264 Vac 48 Vdc 330 W -40 to 100°C 76 0 10 20 30 40 50 60 70 80 90 100 Percent Load (%)

FE175D480M033FP-00 85 – 264 Vac 48 Vdc 330 W -55 to 100°C 100 V 115 V 240 V

Replace the “–00” suffix in the part number with “–CB” to order an evaluation board. Picor Cool-Power ZVS Buck Regulators

Wide Operating Range n Wide VIN (8 – 36 V) and wide VOUT (1 – 16 V) L1 n 12 V-optimized performance with PI34xx Series Vin Vin VS1 Vout Cin ZVS Buck Cout n -40°C to 125°C operating range PGND PI33XX Vout REM

Simple to Use; Fast Development Time SYNCI TRK SYNCO ADJ EN EAO n Internal compensation - few external components SGND n No additional design or additional settings required

High Efficiency n Up to 98% peak efficiency (19 VIN to 15 VOUT) n PI34xx Series optimized for 12 VIN with even

higher efficiency Cool-Power Output Range IOUT n Light and full load high-efficiency performance Model Number Set Trim Range Max PI3311-00-LGIZ 1.0 V 1.0 V to 1.4 V 10 A Flexible and Rich Feature Set PI3318-00-LGIZ 1.8 V 1.4 V to 2.0 V 10 A n Paralleling and single wire current sharing PI3312-00-LGIZ 2.5 V 2.0 V to 3.1 V 10 A PI3301-00-LGIZ 3.3 V 2.3 V to 4.1 V 10 A n Frequency synchronization PI3302-00-LGIZ 5.0 V 3.3 V to 6.5 V 10 A n User adjustable soft-start & tracking PI3303-00-LGIZ 12 V 6.5 V to 13.0 V 8 A n Power-up into pre-biased load PI3305-00-LGIZ 15 V 10.0 V to 16.0 V 8 A Higher Current Versions 2 n Optional I C functionality & programmability PI3311-01-LGIZ 1.0 V 1.0 V to 1.4 V 15 A PI3318-01-LGIZ 1.8 V 1.4 V to 2.0 V 15 A

n PI3312-01-LGIZ 2.5 V 2.0 V to 3.1 V 15 A PI3301-01-LGIZ 3.3 V 2.3 V to 4.1 V 15 A I2C Functionality and Programmability 8 – 36 Vi PI3311-20-LGIZ 1.0 V 1.0 V to 1.4 V 10 A PI3318-20-LGIZ 1.8 V 1.4 V to 2.0 V 10 A PI3312-20-LGIZ 2.5 V 2.0 V to 3.1 V 10 A PI3301-20-LGIZ 3.3 V 2.3 V to 4.1 V 10 A PI3302-20-LGIZ 5.0 V 3.3 V to 6.5 V 10 A PI3303-20-LGIZ 12 V 6.5 V to 13.0 V 8 A PI3305-20-LGIZ 15 V 10.0 V to 16.0 V 8 A PI3311-21-LGIZ 1.0 V 1.0 V to 1.4 V 15 A PI3318-21-LGIZ 1.8 V 1.4 V to 2.0 V 15 A PI3312-21-LGIZ 2.5 V 2.0 V to 3.1 V 15 A PI3301-21-LGIZ 3.3 V 2.3 V to 4.1 V 15 A Resources 12 V Optimized Option PI3420-00-LGIZ 1.0 V 1.0 V to 1.4 V 15 A

Video: Interview with ECE Europe about ZVS Regulators n PI3421-00-LGIZ 1.8 V 1.4 V to 2.0 V 15 A PI3422-00-LGIZ 2.5 V 2.0 V to 3.1 V 15 A

Webinar: ZVS Point-of-Load Regulation – Enabling High 8 – 18 Vi PI3423-00-LGIZ 3.3 V 2.3 V to 4.1 V 15 A Performance On-Board Power Solutions PI3424-00-LGIZ 5.0 V 3.3 V to 6.5 V 15 A I2C is a trademark of NXP Semiconductors Webinar: Design Considerations For High Performance On-Board Power Design

Cool-Power ZVS Buck Regulators Product Information

Reduces Q1 turn-on losses Reduces gate drive losses { Reduces body diode conduction ZVS ZVS Benefits of Zero-Voltage-Switching Topology VI Chip PRM Module

Simple to Use n Point-of-load, Buck-Boost regulation

ENABLE VAUX

n Factorized Power Architecture REF/ TRIM REF_EN

R n Minimal external components TRIM AL PRM VT SHARE/ VC CONTROL NODE

SGND IFB High Density F 1 V V +IN +OUT OUT 3 IN 20 V to 55 V C C n Up to 1,700 W/in , with 500 W IN OUT –IN –OUT 2 SGND in 1.1in package GND

SGND Wide Vin Optimized for 48 Vout n 24 Vin, 18 – 36 Vin range PRM Modules Input Voltage Output Voltage Output Output Package n 36 Vin, 18 – 60 Vin range Model Number Nom. (V) Range (V) Voltage Range (V) Power Max. Current Max. Size n 45 Vin, 38 – 55 Vin range P024F048T12AL 24 V 18 – 36 V 26 – 55 V 120 W 2.5 A Full n P036F048T12AL 36 V 18 – 60 V 26 – 55 V 120 W 2.5 A Full 48 Vin, 36 – 75 Vin range P045F048T17AL 45 V 38 – 55 V 26 – 55 V 170 W 3.5 A Full High Efficiency P045F048T32AL 45 V 38 – 55 V 26 – 55 V 320 W 6.67 A Full P048F048T12AL 48 V 36 – 75 V 26 – 55 V 120 W 2.5 A Full n Full chip 500 W: 97.8% P048F048T24AL 48 V 36 – 75 V 26 – 55 V 240 W 5.0 A Full n Half chip 250 W: 96.7% PRM48BH480T200A00 48 V 38 – 55 V 5 – 55 V 200 W 4.17 A Half PRM48BF480T400A00 48 V 38 – 55 V 5 – 55 V 400 W 8.33 A Full Flexible PRM48AH480T200A00 48 V 36 – 75 V 20 – 55 V 200 W 4.17 A Half PRM48AF480T400A00 48 V 36 – 75 V 20 – 55 V 400 W 8.33 A Full n Regulation: Remote sense, local loop, PRM48BH480T250A00 48 V 38 – 55 V 20 – 55 V 250 W 5.21 A Half adaptive loop PRM48BF480T500A00 48 V 38 – 55 V 20 – 55 V 500 W 10.42 A Full n Parallel capabilities

These PRM modules can be further configured to meet your exact needs.

Resources Video: Overview of Vicor’s VI Chip PRM Module

PRM Product Information

Configure a PRM for your application’s requirements

VTM +5 V, 20 A 48 Vdc 85 – 264 Vac AC Front End PRM VTM +5 V, 20 A 48 Vdc Input Active Output VTM –5 V, 20 A EMI Filter Filtering 85 – 264 Vac AC Front End PRM VTM +5 V, 20 A FilteringInput Active Output 48 Vdc VTM –5 V, 20 A EMI Filter Filtering 85 – 264 Vac AC Front End PRM Input Active Output PRM VTM 0.8 V, 130 A VTM –5 V, 20 A EMI Filter Filtering PRM VTM 0.8 V, 130 A Buck-Boost Power Management 48 V, 10.4 A PRM VTM 0.8 V, 130 A Buck-Boost 48 V, 10.4 A Cool-Switch Cool-Swap Cool-Power 3.3 V, 18 A Cool-Switch Cool-Swap Buck-Boost 48 V, 10.4 A Cool-Power 3.3 V, 18 A Cool-ORing µRDS(on)FET Bus 12 V, 10 A Cool-Switch Cool-Swap Converter Cool-ORing µRDS(on)FET Cool-Power Bus 123.3 V, V, 10 18 A A Converter Buck 1 – 16 V, 50 W Cool-ORing µRDS(on)FET Bus 12 V, 10 A Converter Buck 1 – 16 V, 50 W Solutions from AC to Point of Load

Buck 1 – 16 V, 50 W Recent Press Articles EDN: Vicor reveals new ChiP power package at APEC 2013 Power Electronics: New Thermal-Design Options Drive Power Density

Resources

Vicor’s CEO discusses ChiP technology at APEC An introduction to ChiP technology Online Design Tools

Online Simulator n Determine trim resistors for fixed and variable output n Simulate electrical and thermal behavior voltage trimming n User defines line and load conditions, input and output n Calculate required bus capacitance for VI-ARM, FARM, and impedance and filters ENMod modules n Simulations include start-up, steady state, shutdown, n Thermal calculator for heat sink selection Vin step and load step, as well as thermal. n Electrical and thermal performance showed in charts Filter Design and tables n Select attenuation and frequency n Choose from five different topologies n Supports regulated and unregulated converters

Design Calculators Resources Calculation of Components for Parallel Damped Filter

Video: Using Vicor’s online simulator

Online simulator: VI Brick IBCs

Online simulator: BCMs

Online simulator: PRMs

Filter design tool

Design calculators

Configure Your Product

PowerBench™ online design center AC-DC Converters n Design your own DC-DC converters to meet your n VIPAC - Autoranging input with filtering, multiple output, application’s requirements cold plate chassis, n Or use hundreds of predefined designs n FlatPAC - Multiple output and autorange input with heat n Online registration allows designs to be saved sink or conduction-cooled models

VI Chip® PRM® Module Complete power systems n Point-of-Load Buck-Boost regulation with remote sense n Westcor custom AC-DC n Full Chip (up to 500 W in 1.1 in2) n High power density, small size, high efficiency n Half Chip (up to 250 W in 0.57 in2) n Fan-cooled, slide-in assemblies

Other DC-DC Converters n Maxi, Mini, Micro Series: Full (160–600 W), Half (100–300 W), Quarter (50–150 W) VI Chip PRM Module Configurator n VI-200 / VI-J00 Series: Full brick (50–200 W), Half brick (25–100 W) n ComPAC, VIPAC Arrays and chassis-mount MegaMods

Resources

PowerBench online design center

Design calculators

Webinar: Modeling, Simulation, and Selection Techniques in Power Design Enabling Our Customers’ Competitive Advantage At Vicor, we enable customers to efficiently convert and manage power from the wall plug to point of load.

We master the entire power chain with the most comprehensive portfolio of high efficiency, high-density, power distribution architectures addressing a broad range performance-critical applications.

Vicor’s holistic approach gives power system architects the flexibility to choose from modular, plug-and-play components ranging from bricks to semiconductor-centric solutions.

By integrating our world-class manufacturing and applications development, we can quickly customize our power components to meet your unique power system needs.

Focus Performance-Centric Markets /Applications

Communications Computing Industrial Automotive Defense/Aerospace

> 400 VDC Power > Data Centers > ATE > Electric Vehicles > Aircraft Test Distribution > High Performance > Lighting > Hybrid Vehicles Equipment > Datacom Computing > Process Control > Ground Vehicles > Netcom > Network Servers > Transportation > Radar > Telecom > Telemetry Infrastructure > Unmanned Vehicles

Phone: Europe: 00 800 8426 7000 US: 1 800 735 6200 between two different clock phases. without increasing product cost or The LTC3676 is also capable of weight. providing considerable power, in The LTC3676 supports simple and excess of 10W. This can result in effective power sequencing which substantial circulating currents and so can be handled through serial com- it is imperative to provide an uninter- munications or via pin strapping where rupted path for this current to circu- power supply output voltages are tied late. In particular, slots in the ground to enable pins in the desired turn-on plane, which force the large circulating sequence. Internally each enable is currents to flow around them, create delayed micro seconds to further time slot antennas. But other obstacles, stagger the startup sequence. This such as changing layers, contribute feature is supported with precision low some energy in the EMI signature and voltage enable thresholds so the se- should be minimized. Ideally the top quencing is possible even with output and bottom layers should be all, or voltages as low as 0.43V. Each supply mostly, ground plane, with the signal voltage output is also soft-started to layers flowing internally. Since this is limit inrush current and produce clean usually not practical, some thought Fig. 3: The LTC3676 power-down sequence. voltage transitions - see figure 2. needs to go into how the ground plane will be connected prior to commencing layout. The LTC3676 also includes easily programmable power- For example, it is not a good idea to put the LTC3676 into down sequence control. The IC includes two registers for a corner or tab on the PCB. This will make it very difficult to initializing a power down sequence configuration which will be properly route the ground plane. However, it is a good idea to followed at the next turn-off event or overpower fault condition. route the high circulating current areas of the LTC3676 first, to Each regulator (DC-DC and LDO) can be pre-set to any one of ensure the most optimum layout possible. four time slots for shutdown. Each regulator output includes If EMI control is planned and executed with the concepts of an internal pull-down that is engaged when disabled to source suppression and antenna elimination in mind, it is pos- guarantee the controlled discharges as shown in figure 3 and a sible to create a full power system that is a good EMI neighbor low starting point for the next turn-on sequence.

BE CONNECTED EVERYWHERE

Bluetooth Low Energy Module – PAN17xx Series

Bluetooth® Low Energy technology reduces power consumption down to a tenth of a Classic Bluetooth® device. It is only activated for events such as sending fi les, and otherwise stays in sleep mode. Bluetooth® Smart devices are designed to gather a specifi c type of information and send it to a Bluetooth® Smart Ready device.

Features: Applications: • Rapid connection and disconnection (few ms), • 2.4 GHz Bluetooth low energy systems which allows to build multiple connections even • Proprietary 2.4 GHz systems so scatternet is not supported • Human Interface Devices • For small, discrete data transfer • Sports and leisure equipment • Remote control for , MP3 players Products: • Consumer Electronics • PAN1720 (P/N ENW89820A3KF) • USB dongles • PAN1721 (P/N ENW89835A3KF) • Health Care and Medical • Supported Firmware: nBlue™ by BlueRadios Inc.

Please sign up to our webinar “Bluetooth Smart Integration Made Easy!“ on http://www.element14.com/community/events/3782

panasonic_anz_pan1720_21_be_connected_190x136_v02.indd 1 24.09.13 10:05 www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 27 AUTOMOTIVE ELECTrONICS

Adaptive vehicle software architecture project that aims at developing an adaptive software architecture to correct malfunctions for vehicles which avoids these shortfalls. This adaptive system In modern cars, software controls many functions - including is based on the standardized automotive software environment safety-critical ones. For drivers (and for the industry, too) it would Autosar and supports safeguard processes according to ISO be a horror vision if a software bug would trigger a potentially 26262. To enable developers to immediately utilize this architec- fatal accident. Researchers now ture, the consortium develops the corresponding design meth- are developing a software archi- odology. This includes the early definition of an abstraction which tecture that compensates for eases and streamlines the vehicle design process. The approach such malfunctions. Increasingly to create the necessary safety measures in software instead of mechanical components in hardware redundancies enables designers to omit additional con- vehicles are replaced and dis- trol units in the vehicles and thus reduce complexity, cost and not placed by electronic controls. least weight. With SafeAdapt, developers only define the degree The deployment of these x-by-wire systems does not stop short of adaptivity instead of describing each single potential scenario. of safety-critical functions. A standard approach is to safeguard It facilitates reducing hardware redundancies since it eliminates such functions by redundancies, at the expense of costs and the need to have a second, redundant in standby energy consumption. For this reason, Fraunhofer Institute for Em- mode. Instead, any other ECU which is not busy at the moment bedded Systems (Munich) has joined with a group of companies can execute the respective software function. including Delphi Germany, Duracar, Fico Mirrors, Tecnalia, Pinifa- Delphi rina, Siemens and TTTech and launched the SafeAdapt research www.delphi.com

Cell monitoring chip simplifies balancing agement system which eliminates the powerful host controller, of li-ion battery cells complex software and vulnerable serial communication links In battery electric and hybrid vehicles, it is necessary to imple- normally used today. The AS8506 can implement both passive ment a robust cell balancing mechanism to optimize power and active cell balancing autonomously, or it can support a output of lithium-ion batteries. Typically, this balancing re- microcontroller-based system via its Serial Peripheral Interface. quires a powerful microprocessor running a complex software. An advanced analog circuit in the AS8506 compares up to Now chipmaker ams has introduced a cell monitoring IC that seven cell voltages against an internal or external reference with significantly re-duces the hardware requirements in battery cell an accuracy of 1mV, to support cell-balancing and cell-monitor- management systems. The AS8506 performs distributed cell ing functions. Cell voltage measurements can also be digitized monitoring and balancing operations for stacked cell modules, with an accuracy of 5mV and reported to a host controller. including Safe Operating Area (SOA) checks and passive or ac- Active and passive cell balancing use a similar circuit design, tive cell balancing. It suits for all lithium-based cell chemistries, but active balancing requires an additional flyback transformer. such as those found in hybrid and fully electric vehicles, as well The control circuit is integrated in the AS8506. The device also as for supercaps (EDLCs). In conventional systems, a compli- features internally or external adjustable upper and lower cell cated algorithm running remotely on a high-end microcontroller voltage limits. Temperature measurement is carried out through decides which cells have to be balanced. The architecture two external NTC sensors. implemented in the AS8506 can control balancing locally at the AMS cells, enabling designers to create a more streamlined cell man- www.ams.com.

TI rolls heterogeneous number crunching SoC M4 cores (for “housekeeping” tasks inside the chip only), the for automotive video processing device should offer enough power to process the video input Cameras and image processing functions along with down- of up to six cameras in real-time and forward it to multiple stream sensor fusion algorithms increasingly become the displays. In addition, this computing environment at chipscale technology of choice when it comes to developing intelligent level contains a graphics engine, a display subsystem, a vision driver assistance systems which acceleration unit and several more functional units and memo- detect and intelligently recognize ries. The device is manufactured in 28 nanometre process the surroundings of cars in the technology which enables the high degree of integration we flowing traffic. Image processing see in these chips. The entire chip consumes 2 to 4 Watt of however demands huge amounts electric power, where the lion’s share certainly is allocated to of computing power. Texas Instru- the ARM15 cores. The three versions of the TDA2x family are ments has developed a family of optimized for different flavours of image-processing tasks in System-on-Chip devices combine the car - front camera applications such as lane assist, park the number crunching abilities of assist and sensor fusion to blend signals from cameras, radar a DSP with the properties of a general-purpose microproces- and other sources. “Sensor Fusion computers will probably be sor. With the TDA2x SoCs, Texas Instruments (TI) follows the the most powerful systems in future car generations”, believes approach of a heterogeneous multicore computing resource. Frank Forster, ADAS Europe Marketing and System Applica- With two C66x DSP cores of the latest generation, up to four tions Manager at Texas Instruments. embedded vision accelerator cores (EVEs) with a capacity Texas Instruments of 10.4 GMACs each, two ARM15 cores and two dual ARM www.ti.com

28 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com THE ORIGINAL PUSH-PULL CONNECTOR

Safety IC has pressure sensor inside Chipmaker Elmos has integrated a pressure sensor into its new safety IC E524.40. The device is designed for sensing functions in applications like side airbags and pedestrian protection systems. The sensor detects sudden changes in pres- sure independent of the average ambient air pressure. Both relative and average air pressure are constantly analysed by the IC. The IC complies with the specifica- tions according to AK-LV29 (VDA) and has been designed in accordance with Functional Safety standard ISO 26262. The pressure sensor and the signal readout circuit identify a dynamic pressure change associat- ed to an accident and communicate it to the PSI5 interface. The diagnostic data of sensor and IC are transmitted as well in order to monitor the system status, thus complying with functional safety requirements. Additionally extensive self test routines are implemented for diagnostic functionality at system start and in operation. Self test capability comprises both the sensor and the IC (analog part, DSP, ROM, and EEPROM). The integrated EEPROM stores calibration data, device ID, and other freely programmable data. The system comes in a modified SOIC20 package. For the assembly of the PSI5 network, Elmos offers the interface components E981.07 and E981.08 (dual and quad channel, respectively). Thus a complete system can be configured with synchro- nized modules easily and quickly. Elmos www.elmos.com More than Low-profile CAN board suits 50'000 connector small embedded systems combinations Kvaser has launched a CAN add-on board that adds a single, The modular design of high speed controller area network (CAN) channel to any stan- LEMO products provides dard computer board with mini PCI Express capability. Offering more than 50'000 silent mode, error frame detection different combinations and an on-board buffer, the Mini of connectors with a PCI Express HS small form factor large choice of contact board is ideal for any embedded configurations: data acquisition systems, but is particularly envisaged for fleet High and low voltage management. This piggyback Coaxial and triaxial CAN board measures 30x51mm, Quadrax it has a low profile connector that complies with the mini PCI Express standard, which connects via a cable to a DSUB con- Thermocouple nector (or other type) at the computer housing. With just the Fibre optic CAN communication link itself exposed, this arrangement pro- Fluidic and pneumatic tects total system EMC performance because all the electronics remain inside the computer housing. In car or truck applica- Hybrid tions, this can be particularly important. Notably, this add-on Custom solutions board complies with EN 61000-6-2:2005, specifying EMC Cable assembly immunity for industrial environments and also operates over the industrial temperature range of -40 to +85 °C. Other features of this device include a bit rate from 40 to 1000 kbit/s and a CAN transfer rate up to 20000 messages/s. The time stamp accuracy LEMO SA - Switzerland is 25 µs. Kvaser’s free of charge CANLIB SDK can be used to Phone: (+41 21) 695 16 00 develop software for the Mini PCI Express HS board. Fax: (+41 21) 695 16 02 [email protected] Kvaser www.kvaser.com Contact your local partner on www.lemo.com

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 29 MEMS accelerometer targets engine element provides a mechanical low-pass filter that cuts off above 115 Hz and provide a good stable amplitude output and noise anti-vibration and suspension applications filtering. By using an analog output, the SCA720 can be placed Component manufacturer Murata announced the SCA720 series a good distance away from the controlling unit without any of analog MEMS accelerometers aimed specifically at electrically interference to the sensors output. The controlled suspension and engine anti-vibration applications in sensor is earth gravity compensated cars. Measuring only 7.0x8.6x3.3mm and constructed from a sin- with a +1 g offset where Vout is +2.5 gle crystal silicon, the sensor is mechanically robust and has no VDC. Measurement range is 2.11g in deformation at shocks with up to 70.000g. The accelerometer’s both directions, providing a Vout in the 3D structure employs capacitive sensing techniques that give it range from 0 to +5 VDC. extremely sensitive measurement characteristics and excellent Murata offset stability. Internal inert gas damping of the MEMS sensing www.murata.com

Software solution eliminates engine noise What HiFi lovers know from their headphones will soon be available in car interiors: A silent environment with no noise from engine and gearbox, allowing drivers and passengers to enjoy their music while on the road.

Temperature Variable Attenuators

The reason: QNX has developed a TVAs from the recognized leader in high reliability resistive software that eliminates undesired components offer: noise. With its noise cancelling ap- • Case size 0.150” x 0.125” x 0.018” proach, QNX addresses OEMs and suppliers, not end customers. QNX • Choice of three temperature coefficient of attenuation (TCA) Acoustics for Active Noise Control is values: -0.003, -0.007, -0.009 a purely software-based solution that • Attenuation values from 1-10 dB runs on the car radio - that is, if this radio is implemented as a Software • Planar design with solderable or wire bondable terminations Defined Radio (SDR) and has an ap- • Lower signal distortion, phase change and intermodulation propriate processor or DSP inside. As sensors and actuators, the system compared with active circuit temperature compensation utilizes loudspeakers and hands-free microphones that are available in the When the mission is critical, choose car anyway. Since no additional hard- State of the Art. ware is required, manufacturers can implement this solution at significantly lower cost than hardware-based systems. QNX also claims that the results of their Acoustic for Active State of the Art, Inc. Noise Control are significantly better ResisTive PRoduCTs than with known Active Noise Control solutions.The software becomes Made in the USA. particularly relevant against the background of the recent measures from carmakers to increase engine ef- ficiency and reduce fuel consumption with smaller engine designs (with new noise issues). QNX www.qnx.com

30 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com AVAILABLE REEL ON A REEL

Optical encoder integration for BLDC-motor feedback Proner Series By Dr. David Lin FASTON Crimp

The majority of wasted electrical energy in the industry comes from oversized Terminals motors and fixed speed drive systems. Thus energy efficient motion control system should adapt in the future to the actual load demand from the application. BLDC- Motors meet this requirement through electronic commutation and variable speed control. Commutating the motor pole winding at the optimal rotor position is essential for reducing electrical losses when managing variable speed and load situations. The following article discusses different Hall sensor arrangement and technology trends in integration.

Reliable feedback of the rotor position is important for the performance of the total motion control system. It allows a precise commutation of the stator windings and minimizes electrical losses in the motor. Typically the 120˚ in phase shifted UVW signals are used to activate commutation in the BLDC-motor driver. Different options are available today to generate the UVW signals. This could be using Hall sensors or switches which are built into the windings or mounted on a small PCB; calculation by software with data based on the back-EMF from the stator winding; attaching an optical or magnetic encoder to the motor axis; Product Information or the integration of advanced single-chip optical or magnetic encoder ICs into the • Available in 6.3, 4.8 and motor housing. 2.8mm size Hall sensors or switches are widely used in BLDC-motors due to their low com- • Low insertion force ponent cost. The sensorless approach requires effective algorithm to calculate UVW • Mate with 0.8 and from the measured back-EMF. Also a fast microprocessor or DSP is needed to reduce 0.5mm Tabs execution time and minimize the additional latency time introduced. The limitation • Available in production- with sensorless UVW generation can be seen on fast load changes, at low speed and ready full reel packaging out of sync operation. Sensing the absolute rotor position in hardware is regarded as the most reliable option. Attaching an optical or magnetic encoder unit to the BLDC motor is advantageous when very high precision dynamic positioning is required and Speed of application, uniform if the application is not cost sensitive. reliability and low per-line cost have made TE’s FASTON Hall sensor for commutation products the industry leader in Using three discrete Hall sensors/switches in a BLDC motor generates UVW signals the appliance and automotive based on the sensor mounting position, either in the stator windings, or assembled industries. The Proner Series on a small PCB at 0˚, 120˚ and 240˚locations opposite the rotors permanent magnets. FASTON Crimp Terminals In some cases a magnetic pole ring attached to the axis can be used. Figure 1 shows available from RS provides the on the left side the mechanical position of the three Hall sensors/switches and the resulting UVW signals generated. The position accuracy of the UVW signals in relation most effective way of assuring to the actual rotor position stable electrical & mechanical depends on the mounting performance. Applied with tolerances and matching of matching tooling, the ‘F’ crimp the Hall sensors/switches sen- offers precise tensile strength sitivity and stability. Since the and conductivity that will last the magnetic field will vary quite life of the circuit. This method a lot over temperature, rotor of termination also assures speed and operating life time maximum resistance to vibration (permanent magnet ageing) a and corrosion. position error can add-up eas- ily to +/-3˚ or more. Another approach uses four www.rs-components.com/TEReceptacleTerminals integrated Hall sensors and signal conditioning to generate a sine/cosine signal, where the Fig. 1: Options of BLDC motor position sensing for commutation.

Dr. David Lin responsible for magnetic sensor products and applications at iC-Haus - www.ichaus.de - He can be reached at [email protected] www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 31 OPTOELECTRONICS

angular position within a 360˚ turn is continuously control to compensate for different operation available. Figure 1 shows this Hall arrangement condition, like temperature, supply voltage or on the right side. A small permanent magnet magnetic field changes due to temperature or 4-6mm in diameter is attached to the rotor axis ageing. The on-chip sine/cosine signals are am- and generates a rotating field which is picked-up plified to 1 Vpp and provided through a differen- by the integrated Hall bridge. The sensor ar- tial analogue output driver for external monitoring rangement allows the generation of a differential or independent interpolation. They also drive the sine/cosine signal which is insensitive to com- 12-bit real-time Sine-to-Digital converter/inter- mon mode magnetic fields. The sine/cosine sig- polator with a very low latency time of less than nals can then be converted by a sine-to- digital 1µs. converter to an absolute position. This interpola- 12-bit provides a resolution of better the 0.1˚. tion is done by calculating the arctangent of the An absolute position can be readout through sine value divided by the cosine value. It delivers the serial SSI (Synchronous Serial Interface) or an absolute position of the rotor with a configu- BiSS-Interface (Bi-directional Synchronous Se- rable resolution of 6-12 bit. rial Interface) by the motion controller. The open Today’s advances in mixed-signal integration standard SSI/BiSS provides a high speed serial allow the Hall array plus all sine/cosine signal interface also for configuration at the production conditioning and interpolation for the absolute line. If needed, integrated RS422 line driver sup- position to be on one encoder IC. Instead of port also longer cable length to the motor or mo- the three discrete Hall sensor/switches, a single tion controller. The ABZ-signals are updated at a 5x5mm package can be assembled on the same 2MHz frequency and have a latency time of less PCB (see figure 1). the 1µs. The zero position can be programmed in From the absolute position also incremental 256 steps (1.4˚) for the incremental and 192 steps ABZ signals can be generated to monitor fast Fig. 2: Generating UVW and (1.8°) for the UVW interface. position changes with a very low latency. Figure ABZ from Sine/Cosine. Important is also the ability to setup and 2 shows the up/down coded AB-signals adjust the analogue signal conditioning. for incremental operation. When the This allows for a higher quality encoder direction of the motor is reversing the AB- output signal. Selecting the BLDC motor signals shift its phase. The Z-signal marks commutation pole setting enables the the zero position of the rotor and allows in device to be used with various BLDC mo- a simple manner to count from the ABZ- tor types. The adjustable settings reside signals the absolute position in the motor in the onboard RAM of the encoder chip control or motion control system. and can be programmed into the onboard With a sine/cosine to UVW interpola- nonvolatile PROM read-on at power-up. tion unit the commutation signals can be generated for two, four or multiple pole Optical integration BLDC-motor types. In this case each also possible commutation signal is shifted by 60˚ in Magnetic encoder ICs can be better for phase. It can be used to control directly Fig. 3: Motor control with absolute magnetic very harsh, dusty and rigorous environ- the BLDC-driver unit for block commuta- encoder with outputs options. ments. However optical single-chip tion. It can also be used by the motor controller to generate a encoder ICs with commutation outputs have become available sine wave commutation. An integrated single chip magnetic through optical system integration as well. The performance can encoder has usually multiple output options to be used by the be higher, but comparisons indicate more and more a head on motor controller or a superior motion controller. But advances head race between the two technologies. Figure 4 shows two go far behind just the resolution. single chip optical encoders with incremental and UVW outputs. Here the resolution is defined by the code disc and uses three Advances through single-chip encoder optical sensors for the UVW generation. The number of pole integration pairs of the motor is defined the code wheel design. An array of The advances in single-chip encoder integration have taken four photodiodes can provide up to 20,000 counts per revolu- them to a complete “system on-chip” with multiple output tion at a code disc diameter of 33.2 mm, for instance. Special options for the BLDC-motor. Figure 3 shows the BLDC-motor packaging such as optoQFN is required for this optical solution. feedback options for the iC-MH8 as one example. Today’s mixed signal integration capabilities On top of the UVW signals other output options can provide single-chip encoder ICs offering reli- are provided, such as absolute position via the able, highly flexible and configurable magnetic SSI/BiSS interface, ABZ incremental and analogue encoder feedback options with 12 bit resolution. sine/cosine signals. This can compete with traditional Hall sensors/ The chip includes a Hall array, analogue signal switches at the system level with higher perfor- conditioning, digital sine/cosine interpolation, er- mance integrated into the motor housing. On ror monitoring, automatic gain controls, multiple optical encoder ICs with integrated UVW-output encoder output formats, UVW motor commutation options follow also the trend toward a single chip outputs, digital configuration, line driver capability, solution. These trends support the increasing and in-system programmability. Fig. 4: Optical single-chip performance required to improve energy efficiency The signals from the Hall bridge are condi- motor encoder ICs with UVW in electronically commutating motors through best tioned and amplified by a PGA with auto gain commutation. in class motor feedback solutions.

32 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Camera-enhanced cockpit recognizes The front-facing camera captures obstacles ahead of the familiar driver face vehicle, such as pedestrians and road signs. A microproces- Visteon has developed a new cockpit concept that uses mul- sor calculates data from the front-facing camera and the driver tiple cameras in the vehicle to keep a constant eye on both the cameras to ascertain the direction the driver is looking when driver and the road ahead. pedestrians or important road signs appear, and to determine Designed with input from consumers, the new cockpit whether they have been seen. This information then can be concept uses cameras to automatically enlarge certain driver relayed to the driver through an audible alert and a visual cue controls, thus limiting the time needed to operate them and on the instrument cluster. helping prevent potential collisions. Visteon Electronic The concept also recognizes the driver to adjust settings while www.visteon.com helping prevent theft.

“Auto manufacturers are constantly looking for ways to reduce driver dis- traction while enhancing user experi- ences, and this new cockpit concept addresses both issues,” said Anthony Ciatti, electronics innovator. “This solution offers advantages related to user-interface, anti-theft and safety to keep the driver focused on road and Powering your next design. potential obstacles ahead.” During a recent Visteon consumer Let us be your power expert. We understand that you don’t research study, 80 percent of those have the time to master every aspect of electronic design. surveyed reacted positively to Visteon’s As a leading manufacturer of power supplies we are here to camera-enhanced cockpit concept, collaborate with you to ensure your next project is a success. which allows cameras to be inte- grated into various locations to provide optimal viewing angles. The cameras determine where the driver is looking, and paired with a microprocessor that calculates data from the cameras, it can ascertain which centre display panel controls the driver is eyeing. Based on this data, the system can au- tomatically and instantly enhance these Novum® Ac-Dc Dc-Dc controls, making them easier to view. Advanced Power Power Supplies Converters This recognition capability can also be used to automatically adjust controls like seat position and side mirrors. In addition, it can help guard against theft by ensuring the vehicle’s engine won’t start in the event of a break-in, even www.cui.com/PowerExpert recording images of the intruder.

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 33 OPTOELECTRONICS

LED lightbulbs access Internet to pave the way Sensor captures images and gestures for energy saving LiFi technology Siliconfile Technologie, a South Korean developer of CMOS Chinese scientists have claimed that Wi-fi connectivity from a image sensors, has teamed up with Dual Aperture Inc. LED lightbulb (LiFi) is now a step closer. Li-fi promises to be (Palo Alto, Calif.) to build an image sensor that can capture a cheaper and more energy-efficient technology than existing real-time distance information at the same time as taking wireless radio systems. The general availability of LED bulbs and pictures. The ability to do this on a single image sensor, the omnipresence of lighting infrastructure offers major energy as opposed to dual sensors typically used for 3D image efficiency benefits. Although millions of WiFi base stations have capture, would be a boon to gesture recognition systems, been installed worldwide to boost signals most of the energy is the companies said. Startup Dual Aperture has developed a consumed by their cooling systems. The energy utilization rate four-color sensor that includes, red, green, blue and infra-red of WiFi is as low as five percent. Compared with base stations, pixels, instead of the traditional GRGB Bayer filter pattern. the number of lightbulbs that can be used is practically limitless The sensor uses two separate apertures, one for the RGB and more energy efficient. The Chinese scientists claim that a spectrum and the other for the IR spectrum, to simultane- LED lightbulb with embedded microchips can produce data rates ously capture two distinct images with different sharpness as fast as 150 megabits per second, which is speedier than the levels. Under the terms of the agreement average broadband connection in China. Chi Nan, an information Dual Aperture will license the technology to Siliconfile which technology professor with Shanghai’s Fudan University said that will then include the technology, together with related image experiments have shown that four computers under a one-watt processing algorithms and application software, into sen- LED lightbulb may be able to connect to the Internet under the sors and sensor modules. Such sensors will be able to both principle that light can be used as a carrier instead of traditional capture and reconstruct a conventional color image but also radio frequencies, as in WiFi. Chi Nan, who leads a LiFi research provide real-time depth information. The depth information team including scientists from the Shanghai Institute of Technical can be used to support refocusing of lens systems and also Physics of the Chinese Academy of Sciences, plans to display support the preparation of 3D image pairs for stereoscopy ten sample LiFi kits at the China International Industry Fair that and for gesture tracking. opens on November 5, 2013 in Shanghai. Dual Aperture LiFi technology www.dual-aperture.com www.lifi-led.com UMF Compact SMD fuse 250

Visit us at: Hall: 4, Booth: 180 - For primary and secondary protection - Rated currents 500 mA to 10 A - Rated voltage 250 VAC / 125 VDC - High breaking capacity up to 200 A

34ew14_190x136_EE_TimesEurope_Wissen.indd Electronic Engineering Times Europe1 November 2013 www.electronics-eetimes.com17.10.13 10:51 umf.schurter.com 5MP camera bundles boost 25.5” WUXGA large format display delivers Raspberry Pi multimedia 1980x1200 resolution RS Components has released two new product bundles IEE Inc.’s latest offering, a rugged, 25.5” WUXGA display, offers featuring the Raspberry Pi Model A board and the Raspberry 1980x1200 of resolution with 10% more height than a standard Pi camera module. The bundles are aimed at developers re- full HD monitor. In one application, full HD content can run quiring a blend of ultra-low power concurrently and unobstructed consumption and high quality with 12 lines of “banner image capture, and where size text” along the bottom of and weight are important, typi- the screen. This display has cally in mobile applications. The been designed within IEE’s first bundle, priced at $40 (plus enhance lifecycle manage- local taxes and shipping/handling ment program to ensure its charges) simply combines the ultra-low power Raspberry availability for a minimum of Pi Model A with the tiny, lightweight camera module. The seven years. The lightweight, second bundle comes supplied with a 4GB SD card with the machined aluminium unit is constructed for a variety of rugged operating system pre-installed, and can be purchased for applications, especially airborne consoles and mobile command $45. Raspberry Pi Model A is the lower profile version of the stations. The front-facing, sealed OSD switches withstand credit card-sized board, containing 256MB RAM, a single harsh environmental elements, while providing full control of USB port, and no Ethernet connection. The camera module, display parameters, including brightness, contrast up to 1500:1, which measures just 25mm x 20mm x 9mm and weighs a size and a native luminance up to 350 cd/m2 (optionally up to little over 3g, contains a 5-megapixel CMOS sensor capable 800cd/m2) The WUXGA resolution can also be configured to of delivering 2592 x 1944 pixel still images, while supporting replicate multiple legacy 4:3 displays within screen partitions or 1080p at 30fps, 720p at 60fps and 640x480p at 60 or 90fps tiles. The display accepts two DVI video inputs that the user can video capture. easily toggle between using panel keys. The unit operates in the RS Components -20 to +70°C temperature range and draws 45W. www.rs-components.com IEE Inc. www.ieeinc.com UMF Compact SMD fuse 250

Visit us at: Hall: 4, Booth: 180 - For primary and secondary protection - Rated currents 500 mA to 10 A - Rated voltage 250 VAC / 125 VDC - High breaking capacity up to 200 A

umf.schurter.com ANALOG design

Mitigating antenna effect in integrated circuit design

By Gagan Kansal and Ajay Sharma The density and speed of ICs have increased exponentially The classical for several decades, following a trend described by Moore’s theory predicts Law. While it is accepted that this exponential improvement that the amount trend will end, it is still not clear that exactly how dense and fast of degradation is integrated circuits will get by the time this point is reached. With directly propor- the increasing density and Gate oxide width reducing with each tional to the AR, technology node, many effects which were common in VLSI with the charging are becoming important and difficult to manage. One of those effect identical effects is Antenna Effect. The semiconductor technology has for each metal been continuously improved over the past two decades and has layer. However, led to ever smaller dimensions, higher packaging density, faster AR was found to circuits, and lower power dissipation. have little or no dependence on Antenna effect antenna effect. The Antenna Effect or Plasma Induced Gate Oxide Damage is There are also an effect that can potentially cause yield and reliability problems layout dependen- during the manufacturing of MOS integrated circuits. Presently cies that need to Figure 1 Lithographic processes for IC fabrication use ‘Plasma etching’ be considered. (or ‘dry etching’). Plasma is an ionized/reactive gas used to etch. It allows super control of pattern (shaper edges / less un- Layout dependency of charging damage dercut) and also allows several chemical reactions that are not The extent of charging damage is a function of the geometry possible in traditional (wet) etch. But life is not always so good. that is connected to the gate-dense-line antennae. But it is also Several unwanted effects also accumulate. One of them is the affected by electron shading effects, by etch rate differences in charging damage. reactive ion etching (RIE), by plasma ashing and oxide deposi- Plasma charging damage refers to the unintended high-field tion (plasma-induced damage or PID). stressing of the gate-oxide in MOSFET during plasma process- Hence a new model of antenna effect should be considered ing. During Plasma Etching high amount of charge can collect taking into account the etch time factor as shown in formula 1. on poly and metal surfaces. Through capacitive coupling, large A better predictor of antenna effect can be expressed with electric fields may develop over gate oxides, resulting in stress- formula 2. es that cause oxide breakdown and shifts in threshold voltage According to this new model, the PID has little to no de- Vt of the device. The collected net charges are channeled to the pendence on AR, but the ratio of antenna capacitance to gate gate as shown in figure 1 where it is neutralized by the current capacitance is good indicator of PID. The plasma-induced tunneling across the gate-oxide. damage depends upon the frequency of the plasma power Clearly, the size of the conductor exposed to the plasma source. For oxides under 4nm, plasma-induced damage is not plays a role in determining the magnitude of the net charge particularly sensitive to stress current. Increasing the dielectric collection rate and therefore the tunneling current. This is the so constant of the gate without increasing J can decrease the PID. called “antenna effect”. The area ratio of the conductor to the oxide under the gate is the antenna ratio. The antenna ratio, in a rough sense, is a current multiplier that amplifies the tunneling current density across the gate-oxide. For a given antenna ratio, a larger tunneling current is supported when the plasma density is higher. Higher tunneling current means higher damage. For the conductor layer pattern etching processes, the Formula 1 amount of accumulated charge is proportional to perimeter length. For ashing processes, the amount of accumulated charge is proportional to area. For contact etching processes, the amount of accumulated charge is proportional to area of via. Classically, the antenna ratio (AR) is defined as the ratio of total area and/or perimeter of conducting layer attached to gate, to the total gate area.

Gagan Kansal is Layout Design Engineer at Freescale Semiconductors, Noida, India. - www.freescale.com Ajay Sharma is Lead Engineer at Freescale Semiconductors, Formula 2 Noida, India.

36 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Design solution to reduce antenna effects WHY COMPROMISE There are several design solutions to reduce antenna effects. The routing options mean breaking signal wires and route the signal to upper metal layers by jumper insertion. All the metal SPEED being etched is not connected to a gate until the last metal layer is etched. v One can also use dummy transistors by adding extra gates to reduce the capacitance ratio, but there may be reverse antenna effects. Another solution is to connect reverse biased ACCURACY? diodes to the gate of transistor (during normal circuit opera- tion, the diode does not affect functionality). Alternatively, after placement and route, designers could connect diodes only to HAVE IT ALL those layers with antenna violations. One diode can be used to protect all input ports that are connected to the same output ports. Jumper insertion is the most effective method of avoiding antenna-effect problems while diode insertion can repair the remaining antenna problems.

Jumper insertion A jumper is a forced layer change from one metal layer to an- other, and then back to the same layer. Jumper insertion breaks up a long wire so that the wire connected to the gate input is shorter and less capable of collecting charge, as shown in figure 2. It should be noted that the location where we are putting the jumper is very important. We have to put the jumper in such a way so as to decrease the length of the route. Figure 3 shows two nets with the same separation between the input and output pins, but slightly different jumper locations. The first one has no antenna violation but the second one is having Antenna violation. This example shows that antenna violations can be avoided through the use of jumpers (also known as “bridges”). A jumper directs the net to a higher metal layer before descend- ing again. In the process of metallization, the pin is connected to a small amount of wire area, except on the highest layer, avoiding any antenna problem below that layer.

Diode insertion As shown in figure 4, diode insertion near a logic gate input PicoScope PicoScope PicoScope PicoScope pin on a net provides a discharge path to the substrate so that 5442 5443 5444 built-up charges cannot damage the transistor gate. Using Channels 4 4 4 8 to 15-bit modes: 8 to 15-bit modes: diodes, we are actually providing a discharge path for the extra Bandwidth All modes: 60 MHz 100 MHz 200 MHz ions that accumulates on the metal through the substrate. Un- 16-bit mode: 60 MHz 16-bit mode: 60 MHz Sampling rate - real time 1 GS/s (8-bit mode) fortunately, diode insertion increases cell area and slows timing Buffer memory (8-bit) * 32 MS 128 MS 512 MS due to the increase of logic gate input load. Moreover, diode Buffer memory (≥ 12-bit)* 16 MS 64 MS 256 MS Resolution (enhanced)** 8 bits, 12 bits, 14 bits, 15 bits, 16 bits Hardware resolution + 4 bits Signal Generator Function generator or AWG

2 Channel models also available * Shared between active channels ** Maximum resolution is limited on the lowest voltage ranges: ±10 mV = 8 bits • ±20 mV = 12 bits. All other ranges can use full resolution. FLEXIBLE RESOLUTION OSCILLOSCOPE

Figure 2

ALL MODELS INCLUDE PROBES, FULL SOFTWARE AND 5 YEAR WARRANTY. SOFTWARE INCLUDES MEASUREMENTS, SPECTRUM ANALYZER, SDK, ADVANCED TRIGGERS, COLOR PERSISTENCE, SERIAL DECODING (CAN, LIN, RS232, I²C, I²S, FLEXRAY, SPI), MASKS, MATH CHANNELS, ALL AS STANDARD, WITH FREE UPDATES.

Figure 3 www.picotech.com/PS256

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 37 ANALOG design

insertion is not feasible in regions with very high placement utilization. During the IC manufacturing process, the metal layer is ex- posed to conditions that lead to the build-up of an electrostatic charge. The amount of charge that builds up depends on a number of factors; the most important from an antenna stand- point is how much metal is exposed. As more metal is exposed, the maximum charge that accumulates on the net that the metal is part of also increases. The substrate remains at ground since it is connected to the fabrication device. As a result a voltage gradient develops across the gate oxide. When this gradient becomes large enough, it is relieved via an explosive discharge (i.e. “lightning”). The problem is more significant at smaller Figure 5 technologies because the damage resulting from the discharge is more likely to extend across the entire length of the gate. ogy because the method for expressing antenna ratio is not Antenna rule checking is different for every process technol- standardized. Antenna repair is accomplished by inserting a reverse-bias diode on the violating net as close to the gates be- ing protected as practical. During normal chip operation, the reverse bias prevents electrons from flowing from the net through the diode and into the chip’s substrate. During fabrication, however, the charge on the net can build to the point where the voltage drop across the diode exceeds its break-down voltage. This voltage is greater than the normal operating voltage, but less than the voltage at which an electrostatic discharge at the gate can be expected. When this happens, the diode allows electrons to flow from the net to the substrate and thus limits how much charge can accumulate on the net. The process is non-destructive, and it’s possible that the net could discharge through the diode several times during the fabrication process.

The other way to repair is to “break up” the antenna by shifting briefly to a different metal. When this metal layer is fabricated, the long piece on one side is no longer electrically connected to the gate and does not contribute to any antenna effects. When it is eventually connected through the higher-level metal “bridge,” it is no longer exposed to the charge accumula- Figure 4 tion and again does not contribute to an antenna violation – see figure 5.

From entry level to high performance. Please visit us at the productronica in Munich, Oscilloscopes from the T&M expert. hall A1, booth 375 Fast operation, easy to use, precise measurements – that’s Rohde & Schwarz oscilloscopes. R&S®RTO: high performance (Bandwidths: 600 MHz to 4 GHz) R&S®RTM: upper midrange (Bandwidths: 350 MHz and 500 MHz) HMO3000: midrange (Bandwidths: 300 MHz to 500 MHz) HMO: entry level (Bandwidths: 70 MHz to 200 MHz) All Rohde & Schwarz oscilloscopes incorporate time domain, logic, protocol and frequency analysis in a single device. Take the dive at www.scope-of-the-art.com/ad/all

4431.229_ScopeFamily_NEU_EETE-Nov13_420x105_e.indd 1 20.09.13 15:14 Uhr 4-channel PCI Express frame grabber captures High-precision, fully differential analogue video and stereo audio programmable gain amplifier Sensoray has added the Model 810, PCI Express 4-channel Texas Instruments’ PGA281 is a zero-drift PGA providing frame grabber to its portfolio, with audio capture, capable to 5 µV offset voltage for precision and long-term stability. A simultaneously capture four channels of analogue NTSC/PAL fully differential, zero-drift, 36-V programmable gain ampli- video and four channels of fier, the PGA281 offers the stereo audio. It captures raw lowest offset voltage in its video frames from each chan- class to improve accuracy nel at up to full frame rate, and long-term stability. resulting in an aggregate frame Its zero-drift architecture capture rate of up to 120 fps enables DC precision and for NTSC and 100 fps for PAL. long-term stability. It also The high frame capture rate, reduces the need for future through a single-lane x1 PCI system calibration. You Express interface, makes it can use the PGA281 in well-suited for applications that require uncompromising captur- industrial signal acquisition applications, including test and ing of multi-channel video/audio sources in a PCI Express form measurement, strain gauges, bridge amplifiers, and medical factor, such as broadcast-grade video/audio capturing, video instrumentation. Features of the PGA281 include a Zero-drift surveillance, law enforcement, and traffic monitoring. Four BNC architecture, an offset voltage of 25 µV and an offset voltage connectors are available on the board’s mounting bracket for drift of 174 nV/C with a maximum gain of 128 enables de- connecting external composite video sources. A DB15 connec- signers to optimise system performance. The offset voltage tor on the same bracket is used for the audio inputs. A 34-pin provides stability over a wide variety of conditions, including header provides an alternative convenient access to all I/O time and temperature. signals on the board. Video, Audio, and VBI support The unit More than 140 dB over a wide frequency range doubles the supports a wide range of video capture resolutions, including ability to reject common mode signals at unity gain. This al- D1, VGA, QVGA, QQVGA, SIF, 2SIF, 4SIF, CIF, QCIF, SQCIF and lows minimal error when resolving changes in voltage. Digi- 4CIF. Captured frames are formatted as raw RGB or YUV. How- tally programmable internal gain options and output scaling ever, 3rd party and/or OSS CODEC can be used for compressed capabilities provide more than 20 unique gain selections. video/audio capture. The audio can be stereo or mono, and the Programmable gain and attenuation allow for a wide input sampling rate supports 32kHz, 44.1kHz, and 48kHz. Embedded range of a few millivolts to greater than 20V, which makes data may be added to each frame during the vertical blanking the device a versatile front end. An error flag pin indicates interval (VBI). Since the display is inactive during this interval, it if an over-voltage or over-load condition has occurred, al- can be used to transmit time codes, closed captioning, teletext, lowing the designer to monitor and maintain a clear signal. CGMS-A copy-protection indicators, and various data encoded The PGA281 comes in a 5x6.4mm, 16-pin TSSOP package, by the XDS protocol. specified over a temperature range of –40C to +105C. Sensoray Texas Instruments www.sensoray.com www.ti.com

From entry level to high performance. Please visit us at the productronica in Munich, Oscilloscopes from the T&M expert. hall A1, booth 375 Fast operation, easy to use, precise measurements – that’s Rohde & Schwarz oscilloscopes. R&S®RTO: high performance (Bandwidths: 600 MHz to 4 GHz) R&S®RTM: upper midrange (Bandwidths: 350 MHz and 500 MHz) HMO3000: midrange (Bandwidths: 300 MHz to 500 MHz) HMO: entry level (Bandwidths: 70 MHz to 200 MHz) All Rohde & Schwarz oscilloscopes incorporate time domain, logic, protocol and frequency analysis in a single device. Take the dive at www.scope-of-the-art.com/ad/all

4431.229_ScopeFamily_NEU_EETE-Nov13_420x105_e.indd 1 20.09.13 15:14 Uhr ANALOG design

Thin Film prints smart sensor label Dialog touch chip supports Microsoft, FlatFrog Thin Film Electronics ASA, a pioneer of organic electronics, Dialog Semiconductor has announced that its DA8901 multi- has announced it has built a temperature-tracking label display touch controller IC has met the requirements of Windows 8.1 using printed organic electronics. The label and display system certification and is the first chip to support touch technology is intended for tracking the temperature profile of perishable from FlatFrog Laboratories AB (Lund, Sweden). FlatFrog Lab- goods. Organic logic detects that oratories AB, founded in 2007 and backed by Intel Capital a temperature threshold has been amongst others, has developed a patented optical in-glass exceeded and instructs a display technology that supports multi-touch sensing and can as- driver to turn on a segmented sess how hard someone is touching, based on the spread- display. The system makes use of ing of contact surface area. FlatFrog Touch supports up to previously announced develop- 40 simultaneous touches with pressure detection and the ments such as the low-voltage technology is expected to have applications in mainstream organic display drivers based on complementary logic, memory consumer electronics as well as in digital signage, education, write based on temperature threshold, and addressable multi- automotive, gaming and medical displays. bit printed organic memory. Thin Film (Oslo, Norway) said it Although optimized to support Microsoft Windows 8.1, the has now demonstrated all the elements necessary to begin DA8901 is also suitable for use with Android-based touch- production of system products by the end of 2014. Thin Film enabled operating systems. FlatFrog Touch uses in-glass has been targeting the application in perishable goods for some infrared light, which is injected into the screen and detected time and reckons the temperature monitor market will be worth along the edges. IR light information collected at multiple $3.2 billion in 2020. It includes the monitoring of both food sensors at the edge of the screen can not only detect the and pharmaceuticals in transit, in storage and at retailers. “The precise location of touches but also provide up to 10 bits integration of memory and logic creates an extensible platform, of touch pressure resolution. The system can track multiple on which we will be able to create a wide variety of low-cost touches and gestures and works with multiple objects includ- electronics in a label format. Printing provides scale and cost ing gloved hands or a stylus. DA8901 comes in a 5.7mm by advantages that cannot be matched by any other electronic 5.0mm QFN package and is suitable for mounting alongside technology,” said Davor Sutija, CEO of Thinfilm, in a statement. infrared LED emitter and receiver components on a narrow Christer Karlsson, CTO of Thinfilm, added: “A key breakthrough . for this demo was the low-voltage complementary logic we Dialog Semiconductor announced in June of this year. Polyera and our other materi- www.dialog.com als partners have played an essential role in helping us move products forward.” Thin Film Electronics ASA Programmable frequency translator outputs up www.thinfilm.no to 8 different frequencies, less than 0.3ps jitter 1A load-switch comes in plastic package IDT’s 8T49N28x family of universal frequency translator devices, the company’s third generation of timing devices is capable of Silego Technology Inc., a fabless mixed-signal company generating eight different output frequencies with less than 300 has introduced a one-amp dual channel load switch with a femtoseconds RMS phase jitter over the 40-milliohm resistance in a 1-mm by 1.6-mm 8-pin standard standard 12 kHz to 20 MHz integration plastic package, which the company will sell at less than range, all in one chip. The mixed signal 10 cents per unit in volume The SLG59M1446V is designed devices offers eight independently- to switch power rails at voltages of 1.0 to 5.0V, up to 1A programmable clocking outputs with continuous current and 1.5A peak current. Target applica- the flexibility to apply virtually any input tions are mobile devices, board-space constrained and frequency and select virtually any output battery-powered systems. Although Silego (Santa Clara, frequency. The 8T49N28x devices are available with one or two Calif.) is fabless, with operations in Taiwan and additional PLLs in a single package, depending on the needs of the appli- design and technology centers in China, Korea and Ukraine, cation. When configured as a frequency synthesizer, the device it has its own copper-FET process, which is claims provides can use a low-cost, readily-available 10 to 40MHz crystal to an advantage when applied to sub-micron power switches. produce any frequency from 8kHz to 1.0GHz, regardless of the The company did not indicate where it is getting CuFET load crystal frequency used. In a frequency translator configuration, switches manufactured. Each channel can be configured and the devices accept up to four input reference clocks per PLL enabled independently. This device features a linear voltage from 8 kHz to 875 MHz, switching between them as necessary ramp even under dynamic loading conditions. The ramp rate to generate any output frequency from 8 kHz to 1.0 GHz. These on each channel can be independently adjusted using exter- multiple inputs can also be used for redundancy purposes. nal resistors. The part requires a VDD of 2.5 to 5.25V and has Each output is individually programmable as LVPECL, LVDS, or a standby current below 1-microamp. “The CuFET tech- as a pair of LVCMOS signals. What’s more, the chip’s integrated nology allows devices that are both high performance and fractional output divider has been improved through architec- ultra-small, compatible with standard high reliability plastic tural changes in the analogue domain to be able to generate packaging.” said Nathan John, Silego’s director of product independent clock frequencies with less than 0.7ps of RMS jit- marketing, in a statement. ter. For engineers to fully exploit the flexibility of the devices, the Silego Technology company makes available its Timing Commander software. www.silego.com IDT www.idt.com

40 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Low-cost miSLIC line circuit with shared buck boost power Ultra-Miniature - High Reliability Quartz Crystals, Oscillators supply targets broadband gateways and Sensors Microsemi’s fifth-generation telephone line interface solution claims to provide worldwide network operators with the industry’s most economical solution for adding • Highest shock capability in the industry two channels of voice to broadband products including residential gateways, DSL integrated access devices (IADs), cable embedded multi- • Military temperature range and beyond media terminal adapters (eMTAs) and fiber-to-the-premise • Ultra-low power consumption (FTTX) solutions. The Le9662 and Le9672 are designed for • High stability and precision applications requiring shared or individual power supplies. Both miSLIC Series line circuits support ringing and system • Excellent long term aging power management, enabling energy efficient control of two telephone lines for meeting the European Code of Conduct on Energy Consump- tion of Broadband Equipment specifications. The new solutions are also compatible with processors developed by leading system-on-chip (SoC) vendors for residential gateway applications. The Le9662 features a patent-pending shared power supply EXTREME design that rivals the most efficient power supplies available today, only consuming approximately 51 milliwatts of power per channel in the low power standby state. The Medical design also enables cost-savings of approximately 30 percent over alternative power Military and supply configurations. To further reduce development costs, the solution is provided Industrial as an economical two-layer reference design board. Microsemi’s residential gateway Applications solutions allow customers to add voice capabilities to customer premises equipment (CPE) easily and cost-effectively. These products deliver the highest levels of integra- INNOVATION tion available today and are optimized for short loop, power-sensitive applications. Microsemi also offers an extensive application toolset to complement these devices, including software development tools, reference designs and development platforms, High Reliability to speed time-to-market. Crystals and Microsemi www.microsemi.com Oscillators

Ultra-low power FPGAs enable always-on sensor solutions • Expert technical support • Designed and manufactured in the USA for context-aware mobile apps CX16A 24 MHz to 50 MHz With its ultra-low-density iCE40 FPGAs, Lattice Semiconductor claims to be deliver- Crystal ing the world’s most flexible, single-chip sensor solutions for making a new genera- CX16 2.0 x 1.2 x 0.4 mm tion of context-aware, ultra-low power mobile devices possible. Delivered in a 16-ball CX11A 16 MHz to 250 MHz 1.48x1.40mm wafer level chip scale package (WLCSP), the iCE40LP integrates an Crystal RGB LED driver and is flexibly programmable to implement advanced functions such CX11L/HG 3.2 x 1.5 x 0.5 mm as IrDA, barcode emulation (requiring a dedicated LED CXOX_A 32.768 kHz to 160 MHz driver), service LED, and more in a single chip with avail- Oscillator able logic for additional customer defined functions. With CXOX/HG/HT 3.2 x 2.5 mm CXOMK hard IP for strobe generators, I2C and SPI interfaces, the 32.768 kHz to 200 MHz iCE40LM FPGAs deliver near-zero latency to the mobile Oscillator market, enabling context-aware systems with the real- CXOMK/HG/HT 6.5 x 5.0 mm time capturing of user and environmental inputs with mini- mal delay or error, all from a WLCSP measuring 1.71x1.71x0.45mm (0.35mm pitch). High-Shock • High-Temperature • High-Precision Because the iCE40LM draws just under 1mW in active mode, it can be used to con- Military and Avionics | Industrial | Medical stantly monitor sensors, buffering data for up to a dozen of seconds before it wakes up the application processor for context-specific responses. This hardware combina- tion can reduce power consumption by a factor of 100x over traditional application MEETING THE processor-only implementations, says the manufacturer, hence extending overall EXTREME DEMANDS battery life of the mobile application. Equipped with two I2C interfaces, the chip can check different sensors at different data rates, which gives device system architects OF RAPIDLY the ability to add or customize sensor management functions and capabilities using EVOLVING one chip, for implementation across different designs. The new additions include the TECHNOLOGY iCE40LM 4K, iCE40LM 2K, iCE40LM 1K FPGAs with 4000, 2000 and 1000 look-up tables respectively. The iCE40LP 640 FPGA and iCE40LP 1K FPGA will further drive AS9100C down the footprint of the iCE40 family. When combined together, the iCE40LM and ISO 9001:2008 iCE40LP would only require a quarter of the footprint traditionally associated with the discrete chips necessary to implement a sensor manager, IR remote control, LED STATEK CORPORATION driver and barcode scanner functionalities, claims the manufacturer. 512 N. Main St., Orange, CA 92868 Lattice Semiconductor Tel. 714-639-7810 Fax 714-997-1256 www.latticesemi.com/iCE40 www.statek.com

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 41 Nanopower op-amps optimize 8-bit MCUs feature high-accuracy oscillator system power consumption circuit for single-phase fan motors STMicroelectronics’ TSU10X nanopower op-amps provide ultra- 8-bit microcontrollers equipped with an oscillator circuit low power consumption across the full operating temperature that provides more than twice the accuracy compared with range, allowing engineers to optimize power budget and battery previous models has been developed by LAPIS Semicon- lifetime wherever quiescent current ductor Co., Ltd., a ROHM Group is the major concern. The TSU101, Company. The ML610Q101 and TSU102 and TSU104 are single, dual ML610Q102 utilize motor control and quad configurations, respectively. technology optimized for control- Drawing less current per op-amp than ling rotation in single-phase fan the typical self-discharge current of a motors. In addition, the industry- lithium-ion battery, TSU10x devices leading high-accuracy oscillator enable longer operation from small coin cells in applications circuit reduces rotational variations, while multiple timers such as energy-harvesting systems, personal medical monitors, minimize noise. A hysteresis differential comparator is also smoke alarms, passive infrared detectors, wireless sensors, se- built in that allows a Hall element to be used, contributing curity cameras and smart tags. Specified maximum current over to lower costs. Additional features include a compact 16pin the temperature range is as low as 800 nA at 1.8 V or 850 nA package 4x4mm, ensuring compatibility with small fans, and at 3.3 V and typical current consumption is 580 nA at 25°C and high noise immunity (cleared the ±30 kV level in IEC61000- 1.8 V. The maximum input offset voltage is 4.5 mV and input 4-2 noise testing), making them suitable for applications bias current is 300 pA, also specified over the full temperature with demanding noise requirements. LAPIS Semiconductor range. The devices have rail-to-rail inputs and outputs, operate has developed an integrated oscillator circuit and a regula- from 1.5 V to 5.5 V, and have typical gain-bandwidth product of tor for logic power supply that does not require external 8 kHz. capacitance, providing a two-fold improvement in oscillator STMicroelectronics accuracy compared with conventional models. This minimiz- www.st.com es rotational variations with single-phase fan motor rotation control. Circuit and layout have enabled high noise immunity, JESD204B FPGA debug software accelerates making it possible to clear the ±30 kV level. LAPIS Semiconductor high-speed design www.lapis-semi.com Analog Devices has developed an FPGA-based reference design with software and HDL code that reduces the de- sign risk of high-speed data converter and software defined Single IC enables any portable device to be radio systems using JESD204B-compatible converters. The JESD204B Xilinx Transceiver Debug Tool provides an on-chip, quickly charged over USB in a car 2-D statistical eyescan that helps designers of radar arrays, Maxim Integrated has introduced the MAX16984 automo- software-defined radio and other high-speed systems more tive DC-DC converter with USB charge emulator which uses quickly verify the signal integrity of JESD204B data converter- a single IC to recognize and then charge any portable device to-FPGA designs using gigabit transceivers. It supports the (PD) directly from a vehicle bat- 312.5-Mbps to 12.5-Gbps JESD204B data converter-to-FPGA tery. The single-chip solution serial data interface and Xilinx 7 series FPGAs and Zynq-7000 integrates all the functions of the All Programmable SoCs. The JESD204 and the JESD204B traditional three-chip solution. The revision data converter serial interface standard was created MAX16984 combines a low EMI 5 through the JEDEC committee to standardize and reduce V automotive-grade DC-DC con- the number of data inputs/outputs between high-speed data verter capable of driving up to 2.5 converters and other devices, such as FPGAs. Fewer intercon- A with dynamic voltage adjust- nects simplifies layout and allows smaller form factor realiza- ment, which is essential for charging contemporary PDs over tion without impacting overall system performance. ADI’s long automotive embedded cables; a USB Battery Charging reference design gathers data directly from the on-chip Rx Specification v1.2-compliant charge emulator, which conducts margin analysis feature in the 7 series IBERT core and man- the necessary handshake between the PD and upstream host ages the data locally inside the FPGA or one of the ARM dual- instructing the PD to increase its charge current; and integrated core Cortex-A9 MPCore processors, displaying the data on an ESD diodes and USB over voltage protection switches, which HDMI monitor or over Ethernet to a remote monitoring station. provide robust industry-le ading fault protection. The device Typically, other scanning tools measure signals off-chip and operates from a voltage up to 28 V and is protected from load require costly test and measurement equipment or transfer the dump transients up to 42 V; integrated output adjustment elimi- data back over JTAG to be viewed on a host/development PC nates cable voltage drop. The MAX16984’s automotive USB in the lab. ADI’s reference design measures link robustness us- function communicates with a connected PD and switches to ing actual JESD204B serial data running to the FPGA. This use low-power mode when not in use to reduce power consump- of “live” data enables signal fidelity to be monitored even after tion. The device is the only USB protection IC with integrated the design has been deployed in the field, for real-time and ESD diodes, which prevent damage to the vehicle’s radio or predictive maintenance over the life of the product. peripheral components. Analog Devices Maxim Integrated Products www.em.avnet.com www.maximintegrated.com

42 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Transistors target UHF TV power amplifiers to broadens RF portfolio Infineon Technologies has introduced 50 V LDMOS transistors designed for use in UHF TV broadcast transmitters, includ- ing one device that claims to provide the highest peak power output available for this application. The higher power output across the entire 470 – 806 MHz TV broadcast band gives amplifier designers the option to use fewer transistors to achieve target output power, which translates to cost savings due to lower component count and higher reliability as a result of simpler design. The high power PTVA047002EV has a rated power output of 135 W average, which is eight percent greater than other available devices, with a DVB-T (8k OFDM) signal, and 17.5 dB gain. For a broadcast amplifier design of 12 KW, the increased power can eliminate up to 20 RF power transis- tors representing a reduction in total system cost while increas- ing reliability due to simpler, lower component count design. The lower power PTVA042502EC and PTVA042502FC transis- tors provide 55 W average output power and 18.5 dB gain with a DVB-T (8k OFDM) signal, which makes them well-suited for applications such as gap fillers. Excellent efficiency, typically 26 percent at 500 MHz, reduces heat output. The efficiency is complemented by low thermal resistance (Rth 0.20 degree C/ Watt) of the package. Infineon Technologies AG www.infineon.com/rfpower

Residential gateway combines xDSL and LTE to aggregate 200Mbps of data throughput Lantiq and Netgem have jointly announced the Hybridge Follow-us on residential gateway, claimed to be the world’s first combined xDSL and LTE unit, and able to support aggregated band- widths reaching up to 200 Mbps at home. The high-end VDSL gateway includes the Lantiq DSLTE technol- ogy, based on the latest AnyWan GRX300 family. On this platform, the Netgem Quat- tuor advanced LTE mobile hotspot can be docked to provide the additional bandwidth. With HYBRIDGE, carriers can offer up to 200 Mbps aggregated download throughput and 100 Mbps aggregated upload throughput, with flawless Quality-of-Service (QoS) and minimum investment, controlled by a software based traf- fic management suite. The aggregated DSL and LTE WAN bandwidth enables several HD video streams simultaneously in any location, even in areas where the wire line service is ADSL only, not VDSL. In addition Hybridge offers Gigabit Ethernet LAN ports and Dual Band Concurrent 2.4GHz + 5GHz Wi-Fi to support fluid distribution of the content to multiple devices in the Home. As a special feature, the LTE module can be separated from the Gateway and can be used as a stand-alone mobile LTE Hotspot. With a single product and subscription pack, operators’ customers can benefit both from an ultra-fast broadband at home and from the best mobile internet when On-the-Go. Lantiq www.lantiq.com @EETimesEurope

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 43 Compression and security target 1.6x1.2x0.4mm crystal covers the 26 to data analytics, storage and cloud security 60MHz frequency range: 15ppm tolerance Providing leading-edge compression to remove or minimize Raltron Electronics has released a new Model R1612 Micro- costly I/O bottlenecks and enable maximum system through- processor Crystal, a unit measuring only 1.6x1.2x0.4mm and put with minimum latency, Exar’s XR9200 family suitable for automatic pick and place and reflow solder- addresses the requirements of in high ing. Covering a frequency range performance applications for the data of 26MHz to 60MHz and available analytics, storage, and cloud security with room temperature frequency markets. The XR9200’s hardware- tolerances of 15ppm and 30ppm, accelerated encryption and public the small crystal units have a 50% key processing enables the secure smaller footprint than most crystals infrastructure needed to support high transaction throughput on the market, claims manufacturer and packet-per-second rate required by enterprise, cloud and Raltron. Temperature stability ranges from 10ppm to 50ppm web-based applications. The family of coprocessors offloads while the operating temperature ranges from -10°C to +60°C computationally intensive compression and security algorithms standard, to -20°C to +75°C extended. Sample turnaround is from a host CPU and matches the performance of hundreds 4 weeks, while volume quantities can be delivered within 6 to of enterprise class CPU cores at much lower power and 8 weeks. cost. The chip supports 40Gbit/s of processing throughput with Raltron Electronics simultaneous compression, encryption and hashing, offering www.raltron.com compression ratios comparable to Level 9 gzip. It supports 40,000 operations/s of RSA with 2048 bit keys. Other features include a PCI Express 3.0 host interface supporting 64Gbit/s of Smart meter chip adds multi-standard OFDM bandwidth with 8 lanes and a 40Gbit/s Interlaken interface for powerline communications for M2M external FPGA connection. The device also allows single root I/O virtualization (SRIOV) with 128 virtual functions to support Italian smart meter chip designer Accent has added multi- virtualized I/Os. It can implement gzip, zlib, Deflate, and eLZS, standard OFDM powerline communications to its ASMgrid2 with support for a wide range of symmetric and asymmetric family to support machine-to-machine (M2M) applications. The encryption algorithms. ASM220 Exar uses the www.exar.com company’s field-proven Single- and three-phase EMC filters offer SUNFX- PLC silicon standard or very high noise attenuation to support The extensive range of low profile single-phase (RS series) mul- and three-phase (RT series) filters from TDK-Lambda enables tiple leading designers to combat potentially noisy disturbances in end- standards system applications. Within the such as G3-PLC, PRIME, ITU G.990x and IEEE P1901.2. The R series, a choice of single-stage ASM220 targets the rapidly growing powerline communications or two-stage filters is available (PLC) Smart Meter market as well as emerging M2M applica- to provide standard or very high tions such as distribution automation, solar, building automa- noise attenuation levels, from tion, and street lighting. 150kHz up to 30MHz. In addition, The device tailors existing ASMgrid2 solutions primarily towards high pulse attenuation is avail- the communication as well as processing needs for many M2M able on some models to prevent line spikes from entering applications. SUNFX-PLC is already incorporated in Accent’s or leaving the OEM equipment. The current ratings for the ASM221 and which also recently completed G3-PLC interop- single-phase RS units range from 0.5A up to 300A, with line erability testing, implements a hybrid hardware/software PLC voltages up to 250Vac or 250Vdc and leakage currents of modem as well as analog front end. An ARM Cortex-M3 serves 1mA. Low leakage models are available with 10µA of leakage as the processor backbone for the SUNFX-PLC modem with current at 250Vac, 60Hz. The three-phase RT series filters performance intensive blocks implemented in hardware. The offer current ratings from 6A up to 1000A, with line voltages ASM220 also implements a scalable SDRAM memory system up to 500Vac or 500Vdc. The maximum leakage current is with an ARM Cortex-M3 with 110+ DMIPS of performance 2.5mA at 250Vac, 60Hz or 5mA at 500Vac, 60Hz. For ease of for both application and communication processing, with installation, many of the models can be specified with wire 256KB/48KB of embedded Flash/SRAM and a 2 MB package leads, lug terminals or captive screw terminals. A choice of option. either standard chassis or DIN Rail mounting is also available The ASM220 fully leverages the ASMgrid2 solution platform, on many filter models rated up to 30A (with screw terminals). which includes communications stacks, development boards, Single-phase RS filters are safety certified to UL1283, CSA and reference designs. The system is compliant with CENELEC, C22.2 No. 8, and EN60939 (up to 30A). The operating tem- FCC, and ARIB bands of operation from 10 kHz to 490 kHz and perature range is from -25°C to +85°C. includes an integrated PLC AFE for low system bill-of-materials. TDK-Lambda Accent www.uk.tdk-lambda.com/r-series www.accent-soc.com

44 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com A8E_EE-Times-Eur_2-375x10-875_A8.qxd 9/26/13 9:

t e Moun Compact PCI timing board can synchronize its clock Surfac ) Plug In to various precision signals (and and Designed for critical applications in defense, industrial controls, transportation and Transformers communications, the TSync-cPCI time code processor leverages Spectracom’s Inductors timing and synchronization engine in a 3U board compliant to PICMG 2.0. It can immediately be conduction cooled per ANSI/VITA 30.1-2002. An s full Catalog cs.com Pico’ ctroni optional thermal frame is available. It has been tested See icoele www.p for shock and vibration to MIL-STD-810F. The TSync- cPCI timing board can synchronize its clock to various Low Profile from signals including GPS L1 (civilian), encrypted GPS (SAASM), GLONASS L1, IRIG time code, or a precision ht. pulse-per-second signal. A choice of a high precision .18" on-board clock with 5 nanosecond resolution provides time and frequency accuracy even in the case of loss of the external reference. The TSync-cPCI board provides precise time to a host computer. It can generate an interrupt at a periodic rate, at a specified time, and/or when a time-tag event occurs. Drivers are available for current versions of popular operating systems. Spectracom Audio Transformers Impedance Levels 10 ohms to 250k ohms, www.spectracomcorp.com Power Levels to 3 Watts, Frequency Response ±3db 20Hz to 250Hz. All units manufactured and tested to MIL-PRF-27. QPL Units available. Sealed circular push-pull connectors feature bend relief tail Power & EMI Inductors Fischer Connectors has released the UltiMate bend relief to prevent cable torsion Ideal for Noise, Spike and Power Filtering Applications in Power Supplies, DC-DC and increase protection of connection for its circular push-pull connectors. Designed Converters and Switching Regulators to withstand a variety of severe environmental, industrial and chemical conditions, this circular push-pull connector features excellent EMC 360° Pulse Transformers shielding, extremely robust keying and an IP68/69 sealing even 10 Nanoseconds to 100 Microseconds. ET Rating to 150 Volt Microsecond, Manufactured unmated. The Fischer UltiMate bend relief guarantees top and tested to MIL-PRF-21038. performance without any hassle and requires no tool. Users only need to carry out five simple steps to complete self-assembly. Multiplex Data Bus Users can also adjust the bend relief to the cable diameter with Pulse Transformers Plug-In units meet the requirements a simple blade. The Fischer UltiMate bend relief is long lasting of QPL-MIL-PRF 21038/27. and resists up to 10,000 constraints at a 90° angle, at tempera- Surface units are electrical equivalents of QPL-MIL-PRF 21038/27. tures ranging from -55 to +135°C. It is UV resistant. Fischer Connectors DC-DC Converter www.fischerconnectors.com Transformers Input voltages of 5V, 12V, 24V And 48V. Standard Output Voltages to 300V (Special voltages can be supplied). Can be used as self Low-cost 0.6 µm resolution linear self-locking drive saturating or linear switching applications. All units is engineered from thermoplastics manufactured and tested to MIL-PRF-27. The U-264KSPA linear actuator from Physik Instrumente is a self-locking drive that 400Hz/800Hz has been optimized for being produced in large quantities from engineering ther- Power Transformers 0.4 Watts to 150 Watts. Secondary Voltages 5V to moplastics. It features a 200 mm travel range and a minimum incremental motion 300V. Units manufactured to MIL-PRF-27 Grade 5, of 6 µm. A linear encoder with 0.6 µm resolution as- Class S (Class V, 1550C available). sures reliable position control and repeatable accura- cy. With the drive technology of PILine ultrasonic piezo to one week Delivery-Stock motors, velocities of up to 150 mm/s and a maximum quantities holding force of 6 N can be achieved. As piezomo- for sample tors are self-locking at rest, no heat is generated and mechanical components such as brakes or gearhead can be dispensed with. As a result, the U-264KSPA ensures high positional stability. The stiff design, direct coupling and fast response times of the piezo ceramics allow for a highly dynamic PICO Electronics, Inc. 143 Spar ks Ave. Pel ham, N. Y. 10803 start/stop behaviour. PILine linear drives dispense with the mechanical complex- E Mail: [email protected] ity of classical rotary motor/gear/leadscrew combinations in favour of costs and www.picoelectronics.com reliability. An integral part of the ultrasonic piezomotor is the piezo ceramics that is Pico Representatives pretensioned against a movably guided runner via a coupling element. The piezo Germany ELBV/Electronische Bauelemente Vertrieb element is excited to high-frequency oscillations that cause the runner to move. E mail: [email protected] Preloading the piezoceramic actuators against the runner ensures self-locking of Phone: 0049 (0)89 4602852 the drive when at rest and powered down. As a result, it does not consume any Fax: 0049 (0)89 46205442 England power, does not heat up, and keeps the position mechanically stable. Ginsbury Electronics Ltd. E-mail: [email protected] Physik Instrumente Phone: 0044 1634 298900 www.physikinstrumente.com Fax: 0044 1634 290904

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 45 Reader Offer Radiation hardened low-voltage DC-DC Five wireless battery-free converters focus on satellite power systems sensor kits to win International Rectifier has introduced the LSO Series of feature- rich radiation-hardened (RAD-Hard) low-voltage, single- and du- This month, Farsens is giving away five al-output DC-DC converter modules for satellite power systems kits for EEtimes Europe readers to evaluate its FenixVortex, requiring up to 15 years or more Kineo and X1 wireless, battery free sensor tags. Each kit of mission life. The LSO Series worth 249€ includes three sensor tags and a USB Flash delivers isolated output voltages Drive with the necessary software to test the tags. from 1.5 V to 15.0 V with typical The wireless efficiency performance up to 81 battery-less sen- percent and features MIL-STD- sors are based 461C CE03-compliant internal on passive RFID EMI filter. The new 30 W modules technology. These offer unique functional features tags are compat- that include latch-off output over-voltage protection (OVP) to ible with commer- help prevent damage to the high value digital and analog loads cial EPC C1G2 as a result of an over-voltage condition. An adjustable OVP UHF RFID readers threshold voltage, input current telemetry, and under-voltage and can communicate their unique ID and the associated lockout (UVLO) with latching mode options are also included. pressure and temperature data within a 1.5 meter range. Available in a 3.5x2.5x0.475 inch standard form factor with a Farsens’s proprietary RFID IC supplies and communicates low weight under 125 grams, the converters are characterized with external sensors by harvesting the RF power emitted with total ionizing dose (TID) of greater than 100K Rad(Si), and by the RFID reader. single event effect (SEE) linear energy transfer (LET) of heavy The FenixVortex is a battery-free UHF RFID tag that is able ions greater than 82 MeV.cm2 /mg. Other key features include to continuously measure the instant temperature in the -30 18 V to 40 V input range, magnetically coupled feedback to to 85ºC range, with an accuracy of ±2 degrees. insure optimum cross-regulation when the loads are unbal- The Kineo measures instant acceleration from ±2 g to ±16 anced, remote sense compensation for single output models, g and checks the orientation of a tagged asset, it transmits and adjustable output voltage. the data to a reader together with the 96-bit EPC tag identi- International Rectifier fier, at a 5Hz data rate. www.irf.com The X1 checks the open/closed status of a controlled switch, at 2Hz data rate and can be equipped with several GPRS data gateway simplifies M2M types of connectors for external switches. Farsens and telemetry applications www.farsens.com TRS-Star GmbH has unveiled a smart GPRS gateway which specifically simplifies M2M (machine to machine) and Check the reader offer online at telemetry applications. The central element of the device is a compact quad-band www.electronics-eetimes.com GSM/GPRS communica- tion module which pro- Bidirectional cell balancer maximizes capacity vides the connected end devices with data trans- and lifetime of series connected battery stacks mission via the mobile Large, series connected strings of batteries are commonly phone network. Applica- used in electric vehicles, backup power systems and a wide tion-specific data can be variety energy storage applications. Maximizing the lifetime transmitted with the help of common protocols such as SMS and ensuring safe usage of such / PPP / TCP / UDP / HTTP/ FTP / MMS / HTTP/ SMTP and battery stacks requires accurate IP-T. The end devices are in turn connected to the smart data measurement and balancing of gateway using standard digital interfaces. Four digital inputs each cells’ state of charge (SoC). and also one USB, RS-232 and RS-485 interface respectively Passive, or dissipative, balancing are available for this. Due to its universally engineered sys- can correct for SoC mismatch due tem architecture, the smart data gateway addresses numer- to temperature gradients or cell to ous M2M applications. The open system architecture makes cell impedance differences through- it possible for smaller customer-specific applications and out the pack. However, passive balancing cannot compensate protocols to run directly on the smart GPRS data gateway. for capacity differences that result from cell aging. Cell aging An engineering service is available for customer-specific occurs in all cells and occurs at different rates due to the same extensions to the application or for the integration of specific factors that cause SoC mismatch. Without capacity compensa- protocols. Due to its high degree of flexibility, the TRS-Star tion, the runtime of the battery is limited by the lowest capacity smart GPRS data gateway provides an extremely compact cell in the stack. Active balancers such as the LTC3300 have the and powerful interface between devices and servers. ability to correct for SoC imbalance as well as compensate for TRS-Star cell to cell capacity differences. www.trs-star.de Linear Technology www.linear.com

46 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com A44EET_EURO_2_37x10_87_A44E.qxd 9/26/13 9:16 AM Page 1

DC-DC CONVERTERS 4500-V IGBT gate driver supports high-power modules 2V to 10,000 VDC Outputs from major manufacturers Amantys has launched a new Insulated Gate Bipolar Transistor (IGBT) Gate Driver 1-300 Watt Modules Regulated/Proportional/Programmable for high power modules, operating at 4500 V with current ratings from 400 A to 1200 A, designed to support modules from manufacturers including ABB, Dynex, Isolated/Single/Dual Outputs Hitachi, Infineon and Mitsubishi. The IGBT gate driver High Reliability includes the Amantys Power Insight condition monitor- Greater than 1,000,000 hrs. ing hardware and software subsystem, to observe and Mil Hbk 217F report critical power switching characteristics. Building on • the philosophy of ‘Power Simplified by Design’, the unit is fully integrated and can measure and export critical performance param- Military Upgrades eters over the existing PWM and fault interface to simplify the design-in process. Expanded operating temperature The 4.5kV gate drive meets requirements of growing high voltage IGBT market in -55º to +85º C, no derating required locomotive, wind turbine, high voltage DC and industrial drive applications. • Amantys Environmental Screening www.amantys.com Selected Screening, Mil Std 883 • MCU packs 204MHz ARM Cortex-M processors ULTRA Miniature From 0.5" x 0.5" x 0.2" with 80MSps 12-bit ADC • The LPC4370 microcontroller from NXP Semiconductors forms a complete solution Surface Mount/Thru-Hole for high-speed data acquisition applications. Powered by a 204-MHz ARM Cortex- M4 processor, the LPC4370 also features the a 12-bit ADC capable of sampling • Custom Models at 80MSamples/s. In addition, the LPC4370 has a 204-MHz • US Manufactured Cortex-M0 co-processor for offloading control and I/O tasks • AS 9100 Approved like handling USB stacks, as well as a dedicated subsystem for handling programmable digital I/O and pre- or post-processing. High Reliability AC/DC Advanced peripherals include Hi-Speed USB with on-chip PHY Power Supplies, Transformers and Ethernet for high-speed communications, and a graphics and Inductors LCD controller for display interfacing. Demanding data acquisition applications such as spectrum analysis, current measurement, digital signal processing, audio stream- ing / processing, and analytical instrumentation typically require multiple chips for in- put, processing and output. The LPC4370 integrates this functionality in a single chip, eliminating data bottlenecks, improving power efficiency and noise performance, as well as reducing the overall PCB footprint, cost and application complexity. The multi- core architecture of the LPC4370 also offers simplified algorithm partitioning. With its large SRAM and flexible memory expansion, the LPC4370 allows system designers to tailor the memory type and size to their specific application requirements. NXP Semiconductors www.nxp.com

Online automated battery sizing calculator See PICO’s full line catalog at supports off-grid renewable energy applications www.picoelectronics.com Trojan Battery has developed an automated battery sizing calculator to accurately size a battery bank for renewable energy applications. Trojan’s battery sizing cal- culator is designed to simplify the task of properly sizing a battery bank for off-grid renewable energy systems. A battery bank is a vital component of a system, so PICO Electronics,Inc. careful attention must be paid to battery sizing to ensure maximum battery perfor- 143 Sparks Ave. Pelham, N.Y. 10803 mance and longevity. Three factors must be considered when sizing battery banks E Mail: [email protected] for renewable energy systems: the electrical power required, or load, of a particular application, the maximum depth of discharge (DOD) the battery will be allowed to Pico Representatives go down to and the autonomy desired, or the number of days the battery will be Germany used to power the loads. Customers simply fill in the appropriate information on ELBV/Electronische Bauelemente Vertrieb the electronic form such as battery voltage, desired to depth-of-discharge (DOD), E-mail: [email protected] Phone: 0049 89 4602852 days of autonomy, AC and DC loads, device types with power ratings, and hours Fax: 0049 89 46205442 per day or days per week used, and the application automatically determines the required battery capacity. It then recommends the Trojan battery models for their England Ginsbury Electronics Ltd. particular set up. The calculator also allows customers to run ‘what if’ scenarios to E-mail: [email protected] find specific battery options to meet their budget or configurationrequirements. Phone: 0044 1634 298900 Trojan Battery Fax: 0044 1634 290904 www.batterysizingcalculator.com

www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 47 Arbitrary waveform generators 7mm slim HDD packs up to 1TByte offer deep memory in a 69.85x100mm form factor Rigol Technologies’ new DG1000Z series arbitrary waveform Electronics Europe has launched a new family of small generators help engineers to accomplish a wide range of form factor hard disk drives (HDDs) that pack up to 1TB in testing applications by combining many functions in one a drive that is only 7mm thick. Designed for use in ultrathin instrument. Functions in- laptops and thin PCs, the MQ02ABF clude Arbitrary Waveform series is designed for silent opera- Generator, Function Gen- tion and low power consumption. A erator, Pulse Generator, thinner mechanical structure contain- Harmonic Generator, and ing a new 5,400 RPM spindle motor Analog/Digital Modula- is housed within a newly designed tor. The DG1000Z series rigid chassis that keeps noise levels also incorporates Rigol’s to 22dB during idle and 23dB during seek operations. Aver- Direct Digital Synthesizer age drive ready time is just 3.0 seconds (typical) and average (DDS) technology, ensuring that signals are delivered with seek times are 12ms. The MQ02ABF100 has a capacity of 1TB stability, precision, purity and low distortion. These arbitrary and the MQ02ABF075 a capacity of 750GB. Both drives are waveform generators with innovative SiFi (Signal Fidelity) can equipped with two storage platters and four data heads fur- generate arbitrary waveforms point-by-point, restore signal nished with dual-stage actuators (DSAs). The MQ02ABF series distortionless and allow precisely adjustable sample rates drives are supplied with 16MiB of buffer memory and high- and low jitter (200ps). The arbitrary waveform memory is speed Serial ATA 3.0 (SATA 3.0) intelligent interface controllers available with 8Mpts (standard) and 16Mpts (optional). Two with maximum operating speed of 6,0 Gbit/s. The drives have channels can be used as two independent generators offer- an operational temperature range of 0-60°C ambient and can ing ±1ppm frequency stability and -125dBc/Hz phase noise. withstand shocks of up to 3,430m/s2 (350G) while in use. The instruments have a built-in 8 orders harmonics generator Toshiba and a built-in 7 digits/s full function frequency counter with www.storage.toshiba.eu. 200MHz bandwidth. Users can choose from up to 160 built- in waveforms with 14 bits vertical resolution and can benefit Source code profiler accelerates application from a convenient arbitrary waveform editing interface. Ver- satile modulation types include AM, FM, PM, ASK, FSK, PSK migration and optimization for the cloud and PWM. The instruments offer standard interfaces USB Cloud Technology Partners freely makes available the beta Host & Device and LAN (LXI Core Device 2011) and a 3.5“ version of its PaaSLane software solution, a tool that dra- TFT colour display. All models are supported by the Ultra matically reduces the time and effort required to optimize Station PC software tool. applications to run in the cloud. Rigol Technologies Traditionally, cloud migration as- http://eu.rigol.com sessments are a labour intensive, time-consuming process that can take months and cost hundreds of VDSL vectoring transformers support thousands of dollars. PaaSLane au- tomates the process and ensures its success by continually high speed data rates evaluating application source code for issues that may affect Pulse Electronics’ BX4285LNL and BX4285LNLT VDSL vector- performance, stability, security, and scalability in specific ing transformers can be used in both VDSL2 vectoring and cloud platforms. PaaSLane evaluates applications for cloud non-vectoring configurations as Class H line drivers to deliver readiness, empowering IT and business leaders to look high bandwidth over existing cop- across entire application portfolios to prioritize applications per transmission lines. The Pulse to migrate based on development effort and code complex- Electronics transformers can be ity. PaaSLane then guides developers in optimizing Java and used with Broadcom’s BCM65x00 .NET applications for public and private cloud platforms by family that integrates vec- providing remediations for specific issues at the line-of-code. toring technology. The transform- Finally, PaaSLane tracks the progress of development teams ers provide excellent longitudinal as issues are resolved, providing critical feedback to engi- balance and total harmonic distortion on an extremely compact neering leadership as well as improving code quality. The tool platform. VDSL2 has the potential to reach 100 Mbps, but uses static code analysis to analyze application source code, noise among the lines in a cable impedes performance. VDSL2 finding common patterns that might affect application per- vectoring cuts out all of the noise, or interference, among the formance, stability, security, and scalability in cloud deploy- VDSL2 lines in a bundle, so every line within a bundle can oper- ments and provides recommendations based on cloud best ate at peak performance, as if no other VDSL2 lines are present. practices. A flexible rules engine supports tuning the analysis This results in superior performance and enables copper to to the specific requirements of a user’s private cloud environ- reach its full potential. ment. The software is capable of processing over 250,000 The BX4285LNL is packaged in trays while the BX4285LNLT is lines of code in less than 10 minutes, and parallel profiling packaged in tape and reel. They are available now with a lead- allows multiple applications to be profiled simultaneously. time of 8 weeks. Cloud Technology Partners Pulse www.cloudtp.com www.pulseelectronics.com

48 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com Freescale Freedom development platform TDK-Lambda UK appoints Caltest Instruments now at Farnell element14 to support laboratory power supplies in the UK Farnell element14 now stocks the new Freescale Semiconduc- TDK-Lambda UK has signed a new distributor agreement tor Freedom development platform KL26Z, designed to assist with Caltest Instruments Ltd which will see Caltest support design engineers in the evaluation of the latest KL26 and KL16 TDK-Lambda within the UK for the company’s range of Pro- devices. Featuring the new Kinetis grammable Power Supplies. Clare Gayton, Distribution Man- MKL26Z128VLH4 MCU, the KL26Z ager at TDK-Lambda UK, commented: “Caltest Instruments Freedom Development Platform offers has many years of experience providing power sources and design engineers high processing test solutions to the industrial, scientific and research market power at a low cost, making it the sectors. We see Caltest Instruments as a strong partner and ideal board for evaluating the latest a positive addition to our existing distributor network.” KL26/16 devices. The development Caltest Instruments platform is available through Newark www.caltest.co.uk element14 in North America, Farnell element14 in Europe, and element14 in Asia Pacific. The Freescale Freedom development platform KL26Z will be available for $15 and is designed for ARCOL Resistors places global distributor low-power consumption devices with high processing demand. Farnell element14 inventory online www.premierfarnell.com UK based ARCOL Resistors has developed an online facility to locate and purchase their wide range of resistor products from their global distribution network. The simple to use ‘stock TraceParts extends its 3D content partnership locator’ is easy to access from the homep- age of Arcol’s website and allows customers with RS Components to feed DesignSpark to find available stock of any resistor avail- TraceParts further expands its exclusive 3D content partner- able via distribution. Powered by the proven ship with RS Components initiated in 2010 to bring more netComponents global electronic component than 100 million free CAD models seamlessly integrated to database, users may refine their search by DesignSpark Mechanical software. The 3D solid modelling and geographic region and complete or partial assembly software tool offered by RS Components and Allied part number. ARCOL claims to offer one of the widest ranges of Electronics is totally free of charge. It brings major benefits to resistors available to electronics designers and manufacturers design engineers around the world and is available in multiple including the market leading HS aluminium housed high power languages, overcoming the two major barriers to entry faced range, the MSR series of bare element resistors, the RWS by potential users who do not currently have access to a 3D precision power wirewound SMD resistor family, axial thin film, design solution. The tool can remove bottlenecks in the early silicon coated types and precision passivated chip resistors and design process by allowing changes and additions in seconds, resistor packs. rather than having to wait for the CAD department using the ARCOL UK traditional 3D tools to rework the design. www.arcolresistors.com RS Components www.TracePartsOnline.net Surface mount packaged LED driver offers power and space efficiency benefits Mouser offers TI designer tool to speed designs Pan-European electronics distributor MSC is offering Aimtec’s AMLDV-NZ series of DC/DC LED drivers, which Mouser Electronics is offering the WEBENCH Designer online produce more than 16 Watt. The AMLDV-NZ series from software design tool from Texas Instruments to enable de- Aimtec consists of high power constant current DC/DC LED signers to create customized designs in seconds. WEBENCH drivers in a SMD package of 23.86x13.7x8mm. Featuring an Designer lets designers generate, optimize, and simulate input range of 5.5 to 48 VDC that steps down to an output designs that conform to their specifica- voltage range of 3.3 to 36 VDC, tions. The distributor will support the the AMLDV-NZ series produces complete design with its large breadth constant output currents from of in-stock products from TI and other 300,350,500 and 700 mA. The leading suppliers. The Texas Instruments modular LED drivers include WEBENCH Designer gives electronic remote ON/OFF function, continu- design engineers a proven way to quickly ous short circuit protection and and accurately simulate power converter maintain an efficiency rate of 96% circuits. Designers can build a circuit and simulate it over time at full load. Providing both PWM and analog dimming func- and temperature. With Mouser Electronics providing quick ac- tions that ensure constant and consistent color and bright- cess to all the parts necessary to realize the simulated circuit, ness of the LED lights they drive. All AMLDV-NZ models the target result is first-pass success, speeding time to market. operate at industrial temperature range of -40°C to +85°C, For added ordering convenience, WEBENCH returns a com- for use in a variety of indoor and outdoor applications. plete Bill of Materials. MSC Mouser Electronics www.msc-ge.com www.mouser.com/new www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 49

Publisher André Rousselot Revitalising the older product +32 27400053 [email protected] By Stuart Kelly

Editor-in-Chief Your company has a product that is a product the first topic for discussion Julien Happich ten, or more, years old. Much has hap- is the timeframe for getting to market. +33 169819476 pened in those past ten years - tech- It maybe that regaining a competitive [email protected] nology has radically changed and the advantage is the driver. Alternatively EDITORS companies your company partners with the product may be required to meet Paul Buckley have switched focus. There is also a a tradeshow date or a customer has a +44 1962866460 continuing need to reduce costs, reduce deadline to meet. [email protected] size, comply with legislation and meet This timeframe will, or should, deter- Peter Clarke industry standards. To keep up with this mine the scale of the project. It is very +44 776 786 55 93 changing market the decision has to be easy to get carried away on a redesign [email protected] made whether to discard the old model and with many internal stakeholders, Nick Flaherty and start again or find a way to bring the from marketing through R&D to manage- +44 7710236368 product up to date. ment, it is essential to prioritise market [email protected] requirements before Christoph Hammerschmidt Whatever the reason, deciding on the critical +49 8944450209 and there are many requirements for the new [email protected] driving the need to product version. Ques- Jean-Pierre Joosting modernise a product, it tions that should be +44 7800548133 is not generally neces- asked include whether [email protected] sary to reinvent the the end product will be wheel. Working with the driven by price, time to Circulation & Finance appropriate design to market or a need to be Luc Desimpel manufacture team you the best. [email protected] can redefine the product This is where an Elec- Advertising Production & that you already have tronics Engineering and Reprints to meet current market Manufacturing Services Lydia Gijsegom drivers. These can be (E2MS) provider, that [email protected] the aesthetics, size, can also take a product cost, technology or all from the earliest design Art Manager four. With sufficient vi- “When redesigning stages to full production, Jean-Paul Speliers sion and future-proofing, working with the supply or re-engineering a Accounting revitalising can extend chain throughout, can its life for another ten be key to meeting all the Ricardo Pinto Ferreira product the first topic for without having to start targets. Regional Advertising again. Alternatively, lim- discussion is the timeframe Representatives ited changes can extend New legislation on Contact information at: its usability for a couple for getting to market”compliance can also http://www.electronics-eetimes.com/en/ of years while a long-term replacement be a major driver for change in a legacy about/sales-contacts.html is delivered. product and recently a wound care device had to be updated to bring it European Business Press SA One industry where redesign is par- into line with new standards for medical 7 Avenue Reine Astrid ticularly prevalent is in the medical sec- devices. Externally, the changes were 1310 La Hulpe tor. Here the value of well-established minor but by switching a handful of elec- Tel: +32 (0)2 740 00 50 european technology is often measured in years of tronic components and modifying the business press Fax: +32 (0)2 740 00 59 good research data and clinical experi- embedded software it is now compliant www.electronics-eetimes.com ence. Many products in this area, such and has the patient protection features VAT Registration: BE 461.357.437 as heart and blood pressure monitors, required for home healthcare applica- RPM: Brussels are moving from medical practitioner tions. Company Number: 0461357437 and hospital use into the hands of the patient. Often the basic technology of By working with an experienced team © 2013 E.B.P. SA the product can remain the same but with a history of integrating the engi- ELECTRONIC ENGINEERING TIMES EUROPE is published 11 times in 2013 by European Business Press SA, its packaging has to be reduced in size neering discipline into the manufacturing 7 Avenue Reine Astrid, 1310 La Hulpe, Belgium and redesigned to meet the consumers’ environment, with the intricacies that Tel: +32-2-740 00 50 Fax: +32-2-740 00 59 needs and ability. involves, and a good understanding of email: [email protected]. VAT Registration: BE 461.357.437. RPM: Nivelles. Volume 15, Issue 10 EE Times P 304128 When redesigning or re-engineering timescales, a realistic plan can be put in It is is free to qualified engineers and managers involved in place. The redesigned product will then engineering decisions – see: http://www.electronics-eetimes.com/subscribe Stuart Kelly is Project Manager at be commercially viable and get to mar- Copyright 2013 by European Business Press SA. Plexus Engineering Solutions - ket at the right price and the right time. All rights reserved. P 304128 www.plexus.com

50 Electronic Engineering Times Europe November 2013 www.electronics-eetimes.com www.electronics-eetimes.com/en/Learning-center/

A leading reference resource for electronics engineers, EE Times Europe’s White Paper library includes over 600 white papers, application notes, technical articles, books and case studies that can be downloaded free of charge. The latest featured papers are available below.

High-voltage DC distribution is key to increased Using Normalized Phase Noise system efficiency and renewable-energy as a Benchmark Metric

The pressure throughout the Phase Noise is a measure of the energy supply chain to deliver spectral purity of a signal in an electrical power more efficiently is oscillator system. It quantifies intense and growing, particularly the short-term random variation in high load applications such of the frequency of the signal, as datacenters. The latest white and is a product of thermal paper from Vicor highlights how noise and low frequency flicker a transition to 400 VDC for power noise within the system. Most transmission and conversion offers RF systems require an overall tangible and significant benefits for integrated phase noise specification to be met, as phase noise can both sourcing options and system corrupt both the up-converted and down-converted signal paths. performance. And provides a significant response to initiatives for In this whitepaper Peregrine discusses a way to benchmark PLL reducing emissions of greenhouse gases, lowering energy con- phase noise in order to easily compare performance between dif- sumption and increasing use of renewable energy sources. ferent PLL configurations or products. www.electronics-eetimes.com/en/Learning-center/ www.electronics-eetimes.com/en/Learning-center/

Ultra-compact and innovative - Limited signal integrity at high data rates: new Schaffner IEC inlet filter series important evaluation options

The FN9280 series Cloud-computing ser- consists of high per- vices, hyperconnectivity: formance single-stage internet, video, online EMC filters. Designed networks, mobile video for the same housing applications, internet cutout, the FN9290 has TV and online radio are a dual-stage filter with leading to a continuous an even better attenu- increase in the transmis- ation performance for sion capacity of net- applications with higher works. This leads to the interference levels. The need for further progress in depth of integration and an increase B versions of both series in data speed. With increasing frequency, the details become ever with minimal leakage currents of 0.5uA max. are suited for the use more important during digital circuit development. Checking and in medical electrical devices. guaranteeing signal integrity carry increasing significance. www.electronics-eetimes.com/en/Learning-center/ www.electronics-eetimes.com/en/Learning-center/

A Case for Nonuniform Fault Tolerance Multi-tone Testing can save in Emerging Memories both Time and Money

As DRAM systems face Given the huge scalability challenges, the amount of time architecture community has required to conduct started investigating alterna- a radiated immunity tive technologies for main test, it is no wonder memory. These emerging operators “dream” of memory technologies tend ways to improve ef- to suffer from the problem ficiency to speed up of limited write endurance. the test. AR RF/Mi- We propose Pay-As-You-Go (PAYG), an efficient hard-error resilient crowave Instrumen- architecture that allocates error correction entries in proportion to tation has developed the number of hard faults in the line. Compared to uniform error a product which uses correction, PAYG requires one third the storage overhead and yet a patented test process that adds additional test frequencies, or provides 13 percent more lifetime. tones, for each test period, or dwell time. www.electronics-eetimes.com/en/Learning-center/ www.electronics-eetimes.com/en/Learning-center/ www.electronics-eetimes.com Electronic Engineering Times Europe November 2013 51 IT’S TIME TO MAKE SOME

FUTURE.It’s time for some real innovation. World-shattering, mind-bending, “I wish I’d thought of that” innovation. It’s time to change everything with the . And Wind River is here to help. Together we’ll build intelligent devices that will cleanly power our planet, conquer disease, and transform our transportation infrastructure. All with the utmost safety, security, and reliability. It’s time to make some future with Wind River, the Intelligence in the Internet of Things.

To fi nd out more visit us at iot.windriver.com The Intelligence in the Internet of Things

52 Electronic Engineering Times Europe October 2013 www.electronics-eetimes.com