Out-Of-Order Issue, Register Renaming, & Branch Prediction

Out-Of-Order Issue, Register Renaming, & Branch Prediction

CS 152 Computer Architecture and Engineering Lecture 11 - Out-of-Order Issue, Register Renaming, & Branch Prediction John Wawrzynek Electrical Engineering and Computer Sciences University of California at Berkeley http://www.eecs.berkeley.edu/~johnw http://inst.eecs.berkeley.edu/~cs152 10/11/2016 CS152, Fall 2016 CS152 Administrivia §Quiz 2 Results posted §PS3 posted 10/11/2016 CS152, Fall 2016 2 Last time in Lecture 12 § Pipelining is complicated by multiple and/or variable latency functional units § Out-of-order and/or pipelined execution requires tracking of dependencies – RAW – WAR – WAW § Dynamic issue logic can support out-of-order execution to improve performance – Last time, looked at simple scoreboard to track out-of-order completion § Hardware register renaming can further improve performance by removing hazards. 10/11/2016 CS152, Fall 2016 3 Register Renaming ALU Mem IF ID Issue WB Fadd Fmul § Decode does register renaming and adds instructions to the issue-stage instruction reorder buffer (ROB) ⇒ renaming makes WAR or WAW hazards impossible § Any instruction in ROB whose RAW hazards have been satisfied can be issued. ⇒ Out-of-order or dataflow execution 10/11/2016 CS152, Fall 2016 4 Renaming Structures Renaming table & regfile Ins# use exec op p1 src1 p2 src2 t1 Reorder t2 buffer . tn Replacing the tag by its value Load Store FU FU is an expensive Unit Unit operation < t, result > • Instruction template (i.e., tag t) is allocated by the Decode stage, which also associates tag with register in regfile • When an instruction completes, its tag is deallocated 10/11/2016 CS152, Fall 2016 5 Reorder Buffer Management Ins# use exec op p1 src1 p2 src2 t1 ptr2 next to t2 . deallocate . Destination registers . are renamed to the instruction’s slot tag ptr1 next available tn ROB managed circularly •“exec” bit is set when instruction begins execution •When an instruction completes its “use” bit is marked free • ptr2 is incremented only if the “use” bit is marked free Instruction slot is candidate for execution when: • It holds a valid instruction (“use” bit is set) • It has not already started execution (“exec” bit is clear) • Both operands are available (p1 and p2 are set) 10/11/2016 CS152, Fall 2016 6 IBM 360/91 Floating-Point Unit R. M. Tomasulo, 1967 Floating-Point 1 p tag/data load instructions 1 Regfile 2 p tag/data p tag/data 3 p tag/data buffers 2 p tag/data 4 p tag/data (from 3 p tag/data p tag/data 5 p tag/data memory) ... 4 6 p tag/data Distribute instruction 1 p tag/data p tag/data 2 p tag/data p tag/data 1 p tag/data p tag/data templates 3 p tag/data p tag/data 2 p tag/data p tag/data by functional Adder Mult units < tag, result > p tag/data Common bus ensures that data is made available store buffers p tag/data immediately to all the instructions waiting for it. (to memory) p tag/data Match tag, if equal, copy value & set presence “p”. 10/11/2016 CS152, Fall 2016 7 Effectiveness? Renaming and Out-of-order execution was first implemented in 1969 in IBM 360/91 but did not show up in the subsequent models until mid-Nineties. Why ? Reasons 1. Complex logic / cost 2. Memory latency a much bigger problem 3. Exceptions not precise! One more problem needed to be solved Control transfers 10/11/2016 CS152, Fall 2016 8 Precise Interrupts It must appear as if an interrupt is taken between two instructions (say Ii and Ii+1) • the effect of all instructions up to and including Ii is totally complete • no effect of any instruction after Ii has taken place The interrupt handler either aborts the program or restarts it at Ii+1 . 10/11/2016 CS152, Fall 2016 9 Effect on Interrupts Out-of-order Completion I1 DIVD f6, f6, f4 I2 LD f2, 45(r3) I3 MULTD f0, f2, f4 I4 DIVD f8, f6, f2 I5 SUBD f10, f0, f6 I6 ADDD f6, f8, f2 out-of-order comp 1 2 2 3 1 4 3 5 5 4 6 6 restore f2 restore f10 Consider interrupts Precise interrupts are difficult to implement at high speed - want to start execution of later instructions before exception checks finished on earlier instructions 10/11/2016 CS152, Fall 2016 10 Exception Handling Commit (In-Order Five-Stage Pipeline) Point Inst. Data Decode PC Mem D E + M Mem W Illegal Data Addr Overflow Kill Select Opcode Except Handler PC Address Writeback PC Exceptions Exc Exc Exc Cause D E M PC PC PC EPC Kill F D Kill D E Kill E M Asynchronous Stage Stage Stage Interrupts • Hold exception flags in pipeline until commit point (M stage) • Exceptions in earlier pipe stages override later exceptions • Inject external interrupts at commit point (override others) • If exception at commit: update Cause and EPC registers, kill all stages, inject handler PC into fetch stage 10/11/2016 CS152, Fall 2016 11 Phases of Instruction Execution PC Fetch: Instruction bits retrieved I-cache from cache. Fetch Buffer Decode/Rename Decode: Instructions dispatched to appropriate issue-stage buffer Issue Buffer Execute: Instructions and operands issued to execution units. Functional Units When execution completes, all results and exception flags are available. Result Buffer Commit Commit: Instruction irrevocably updates architectural state (aka “graduation”). Architectural State 10/11/2016 CS152, Fall 2016 12 In-Order Commit for Precise Exceptions In-order Out-of-order In-order Fetch Decode Reorder Buffer Commit Kill Kill Kill Execute Inject handler PC Exception? • Instructions fetched and decoded into instruction reorder buffer in-order • Execution is out-of-order ( ⇒ out-of-order completion) • Commit (write-back to architectural state, i.e., regfile & memory, is in-order Temporary storage needed to hold results before commit (shadow registers and store buffers) 10/11/2016 CS152, Fall 2016 13 Extensions for Precise Exceptions Inst# use exec op p1 src1 p2 src2 pd dest data cause ptr2 next to commit ptr1 next available Reorder buffer • add <pd, dest, data, cause> fields in the instruction template • commit instructions to reg file and memory in program order ⇒ buffers can be maintained circularly • on exception, clear reorder buffer by resetting ptr1=ptr2 (stores must wait for commit before updating memory) 10/11/2016 CS152, Fall 2016 14 Rollback and Renaming Register File (now holds only committed state) Ins# use exec op p1 src1 p2 src2 pd dest data t1 Reorder t2 . buffer . tn Load Store Commit FU FU FU Unit Unit < t, result > Register file does not contain renaming tags any more. How does the decode stage find the tag of a source register? Search the “dest” field in the reorder buffer 10/11/2016 CS152, Fall 2016 15 Renaming Table r tag Rename 1 t v Register r valid bit Table 2 File Ins# use exec op p1 src1 p2 src2 pd dest data t1 Reorder t2 . buffer . tn Load Store Commit FU FU FU Unit Unit < t, result > Renaming table is a cache to speed up register name look up. It needs to be cleared after each exception taken. When else are valid bits cleared? Control transfers 10/11/2016 CS152, Fall 2016 16 Control Flow Penalty Next fetch PC started Fetch I-cache Modern processors may have > 10 pipeline stages between Fetch next PC calculation and branch Buffer resolution ! Decode Issue Buffer How much work is lost if pipeline doesn’t follow Execute correct instruction flow? Func. Units ~ Loop length x pipeline width Result Branch Buffer Commit executed Arch. State 10/11/2016 CS152, Fall 2016 17 Mispredict Recovery In-order execution machines: – Assume no instruction issued after branch can write-back before branch resolves – Kill all instructions in pipeline behind mispredicted branch Out-of-order execution? –Multiple instructions following branch in program order can complete before branch resolves 10/11/2016 CS152, Fall 2016 18 In-Order Commit for Precise Exceptions In-order Out-of-order In-order Fetch Decode Reorder Buffer Commit Kill Kill Kill Execute Inject handler PC Exception? • Instructions fetched and decoded into instruction reorder buffer in-order • Execution is out-of-order ( ⇒ out-of-order completion) • Commit (write-back to architectural state, i.e., regfile & memory, is in-order Temporary storage needed in ROB to hold results before commit 10/11/2016 CS152, Fall 2016 19 Branch Misprediction in Pipeline Inject correct PC Branch Kill Branch Prediction Resolution Kill Kill PC Fetch Decode Reorder Buffer Commit Complete Execute • Can have multiple unresolved branches in ROB • Can resolve branches out-of-order by killing all the instructions in ROB that follow a mispredicted branch 10/11/2016 CS152, Fall 2016 20 Recovering ROB/Renaming Table t t vv Rename Registe Rename t t vv Table r1 Snapshots r File r2 Ptr2 next to commit Ins# use exec op p1 src1 p2 src2 pd dest data t1 t2 rollback . next available . Ptr1 tn next available Reorder buffer Load Store Commit FU FU FU Unit Unit < t, result > Take snapshot of register rename table at each predicted branch, recover earlier snapshot if branch mispredicted 10/11/2016 CS152, Fall 2016 21 Important Points on OOO Execution §Precise interrupts are provided by committing (graduating) instructions in- order. §Any instruction not yet committed is considered “speculative” because it might be killed because of: – Interrupt on an older instruction – Branch misprediction § Instructions (and their result values) are held in ROB waiting to commit 10/11/2016 CS152, Fall 2016 22 “Data-in-ROB” Design (HP PA8000, Pentium Pro, Core2Duo, Nehalem) Register File holds only committed state Ins# use exec op p1 src1 p2 src2 pd dest data t1 Reorder t2 .

View Full Text

Details

  • File Type
    pdf
  • Upload Time
    -
  • Content Languages
    English
  • Upload User
    Anonymous/Not logged-in
  • File Pages
    36 Page
  • File Size
    -

Download

Channel Download Status
Express Download Enable

Copyright

We respect the copyrights and intellectual property rights of all users. All uploaded documents are either original works of the uploader or authorized works of the rightful owners.

  • Not to be reproduced or distributed without explicit permission.
  • Not used for commercial purposes outside of approved use cases.
  • Not used to infringe on the rights of the original creators.
  • If you believe any content infringes your copyright, please contact us immediately.

Support

For help with questions, suggestions, or problems, please contact us