GMM-Fachbericht 45 EMLC 2005 21st European Mask and Lithography Conference EMLC (Former EMC)

Lectures held at the GMM-Conference January 31 - February 03, 2005 in ,

Conference Chairperson: Dr. Uwe Behringer Institute for Microstructure Technology, Forschungszentrum Karlsruhe

Organizer: VDE/VDI-Society Microelectronics, Micro- and Precision Engineering (GMM) in cooperation with Semiconductor Equipment and Materials International, (SEMI-Europe), SPIE, BACUS, PMJ, AMD , AMTC, Infineon, Silicon Saxony and Institute for Microstructure Technology, Forschungszentrum Karlsruhe

TIB/UB Hannover 89 126 210 73X VDE VERLAG GMBH • Berlin • Offenbach Content

Session 1 Plenary Session Chair: Uwe Behringer, IMT, FZK, Germany

Enabling Technologies for Nanostructuring 17 Hermann Gerlinger (Invited Welcome Speaker) President and CEO, Carl Zeiss SMT AG, Oberkochen, Germany

Relevant Image Quality 21 Christopher J. Progler, (Invited Keynote Speaker), Chief Technology Officer, Photronics Inc., Allen, TX, USA

Session 2 Immersion Lithography Chair: Jo Finders, ASML, The Netherlands

Immersion: Status and Impact on the Lithography Roadmap 25 Christian Wagner (Invited Speaker) Bob Streefkerk, ASML, The Netherlands

Exposure tool for immersion lithography 27 Soichi Owa (Invited Speaker), Hiroyuki Nagasaka, Yuuki Ishii, Kenichi Shiraishi, Shigeru Hirukawa, Corporation, Miizugahara, Kumagaya, Saitama, Japan; Martin McCallum, Nikon Precision Europe GmbH, Nikon Court, Kirkton Campus, Livingston, United Kingdom

Progress in 193nm immersion lithography at IWIEC 29 Kurt Ronse (Invited Speaker), Geert Vandenberghe, Peter Leunissen, IMEC, Leuven, Belgium, Y. Aksenov, Philips research Leuven, Belgium

Session 3 Reticle Manufacturing I Chair: Hermann Wolf, Photronics, Dresden, Germany

Influence of pellicle mounting to predicted mask flatness 37 Masamitsu Itoh, Soichi Inoue.Toshiba Corp., Japan, Komukai Toshiba-cho, Saiwai-ku, Kawasaki; Tsuneyuki Hagiwara, Naoto Kondo, Nikon Corp., Japan

Endpoint detection development for 70 nm technology Cr etch process 41 Pavel Nesladek, Andreas Wiswesser, Oliver Loffler, AMTC, Dresden, Germany

Application of PGSD (Proximity Gap Suction Development) to 70 nm NAND Mask Fabrication (Abstract) 43 Hideaki Sakurai, Tooru Shibata and Masamitsu Itoh.Toshiba Corp., 1 Komukai Toshiba-cho, Saiwai-ku, Kawasaki-city, Japan; Kotaro Ooishi, Hideo Funakoshi, Yoshiki Okamoto, Shigemi Oono and Masatoshi KanedaTokyo Electron Kyushu Ltd;, Naoya Hayashi,Dai Nippon Printing Co., Ltd., Japan The complete manuscript is availabe on page 285

Alta®4700 system mask patterning performance improvements for X-Architecture and wafer electrical performance interchangeability with 50kV E-beam 45 Paul C. Allen, Mike Bohan, Eric R. Christenson, H. Dai, M.Duane, H. Christopher Hamaker, Sam C. Howells, Boaz Kenan, Peter Pirogovsky, Malik K. Sadiq, Robin Teitzel, Michael White, Etec Systems, Inc., Hillsboro, OR, USA

9 Session 4 Data Processing Chair: Christian Kalus, Sigma-C, Munich, Germany

Enhanced Model Based OPC for 65nm and Below 49 James Word, Nicolas B. Cobb, Oliver Toublan (Invited Speaker), Corp. Wilsonville, OR, USA

Extending OASIS for the unification of mask data representation 59 Emile Sahouria, Steffen Schulze, Mentor Graphics Corporation, Wilsonville, OR, USA; Toshio Suzuki, Junji Hirumi, Semiconductor Leading Edge Technologies, Inc., 16-1 Onogawa, Tsukuba-shi, Ibarakiken, Japan

The interaction of Mask manufacturability and Alt PSM design parameters 71 B. Kasprowicz, Photronics Allen, TX , USA, P. J. M. van Adrichem, Inc., Mountain View, CA, USA

Session 5 Technical Exhibition Chair: Uwe Behringer, IMT, FZK, Germany

Session 6 Simulation Chair: Wilhelm Maurer, AG, Munich, Germany

Mask Modeling in the Low kl and Ultrahigh NA Regime: Phase and Polarization Effects 77 Andreas Erdmann (Invited Speaker) Fraunhofer-lnstitute of Integrated Systems and Device Technology IISB, Erlangen, Germany

Gaussian beam writing strategy: Accuracy of using the Shape Beam simulator Selid for Gaussian Beam Systems 87 J. H. Tortai, LTM, CNRS, Grenoble, France; L. Mollard, LETI, CEA, Grenoble, France; Stephan Haefele, Uli Hofmann, Sergey Vychub, Sigma-C, Munich, Germany

Accurate Aerial Image Simulation Using High-Resolution Reticle Inspection Images 89 William B. Howard, Chris A. Mack, KLA-Tencor, Process Analysis Division, Austin, TX, USA

TRAVIT: Software Tool to Simulate Dry Etch in Maskmaking 91 S. Babin, K. Bay, S. Okulovsky, Abeam Technologies, Castro Valley, CA, USA

Session 7 Metrology I Chair and Conference Program Chair: Jacques Waelpoel, ASMLJhe Netherlands

The Use of the CD-SEM for the measurement of sidewall angle of features on photomasks 95 Tom Coleman, , Feldkirchen, Germany;Roman Liebe, Advanced Mask Technology Center, Dresden, Germany; Liraz Gershtein, Roman Kris, Applied Materials Israel, Rehovot, Israel

Application results at 193nm: Lithography simulation by aerial imaging and supplementary high resolution measurements (Abstract) 97 Axel M. Zibold, Klaus Bohm, Robert Brunner, Carl Zeiss SMS GmbH, Jena, Germany The complete manuscript is availabe on page 291

10 Reticle grating measurements for 90nm and 70nm Scatterometry 99 Maurice Janssen, ASM Lithography B. V, Veldhoven, The Netherlands

Results of a round robin measurement on a new CD mask standard 109 F. Gans, R. Liebe, J. Richter, AMTC, Dresden, Germany; T. Schatz, Infineon Technologies AG, Munich, Germany; B. Hauffe, Photronics MZD GmbH & Co. KG, Dresden, Germany; F. Hillmann, S. Dobereiner, H.-J. Bruck, G. Scheuring, MueTec GmbH, Munich, Germany; B. Brendel, L. Bettin, Leica Microsystems Lithography GmbH, Jena, Germany; K.-D. Roth, W. Steinberg, G. Schliiter, Leica Microsystems Wetzlar GmbH, Wetzlar, Germany; P. Speckbacher, W. Sedlmeier, Dr. Johannes Heidenhain GmbH, Traunreut, Germany; T. Scheriibl, Carl Zeiss SMS GmbH, Jena, Germany; W. HaBler-Grohne, C. G. Frase, S. Czerkas, K. Dirscherl, B. Bodermann, W. Mirande, H. Bosse, PTB, Braunschweig, Germany

Actual measurement data obtained on new 65nm generation mask metrology tool set .. 121 Jochen Bender, Michael Ferber, Klaus-Dieter Roth, Gerhard Schliiter, Walter Steinberg, Leica Microsystems Semiconductor GmbH;Wetzlar, Germany; Gerd Scheuring, Frank Hillmann, MueTec Automatisierte Mikroskopie und Messtechnik GmbH, Munich, Germany

Session 8 Reticle Manufacturing II Chair: Gerd Unger, AMTC, Dresden, Germany

Application data of the electron-beam based photomask repair tool MeRiT MG 125 Christian Ehrlich, Carl-Zeiss SMS GmbH, Jena, Germany; Klaus Edinger, Volker Boegli, et al.; NaWoTec GmbH, Rossdorf, Germany; Peter Kuschnerus, Carl-Zeiss NTS GmbH, Oberkochen, Germany

SiOx Deposition with Tunable Transmission and Phase-Shift Properties for the Repair of Phase-Shifting Photomask Defects 129 Michael Moriarty, Jeffrey Marshman, Anthony Graupera, FEI Company, Peabody, MA, USA

Second Level Exposure for Advanced Phase Shift Mask Applications using the SLM-based Sigma7300 DUV Mask Writer 137 Thomas Ostrom, Angela Beyerl, Robert Eklund, Magnus Persson, Peter Hbgfeldt, Micronic Laser Systems AB, Taby, Sweden

Session 9 Metrology II Chair: Carola Blaesing-Bangert, LEICA, Wetzlar, Germany

Influence of Illumination Settings on Mask Metrology using AIMS 141 M. Sczyrba, K. Bubke , A. C. Diirr, M. Ramstein, Advanced Mask Technology Center, Dresden, Germany

DUV Water Immersion Technology Extends Linearity, First Results from the new 65nm Node CD Metrology System LWM500 Wl 143 Frank Hillmann, Stefan Dobereiner, Christian Gittinger, Richard Reiter, Gunther Falk,Hans-Jurgen Briick, Gerd Scheuring, MueTec GmbH, Munich, Germany, Artur Bosser, Michael Heiden, Gerhard Hoppen, Wolfgang Sulik, Wolfgang Vollrath, Leica Microsystems Semiconductor GmbH, Germany

Flare Metrology used for PSD Reconstruction 151 Michael Arnz, Carl Zeiss SMT AG, Oberkochen, Germany

n Session 10 Maskless Lithography ML2 Chair: John Whittey, Leica, Oakdale, CA, USA

Demonstrators - a vital step forward for Projection Mask-Less Lithography (PML2) 163 Christoph Brandstatter, Ernst Haugeneder, IMS-Jena GmbH, Jena, Germany; Hans-Joachim Doering, Thomas Elster, Joachim Heinitz, Olaf Fortagne, Leica Microsystems Lithography GmbH, Jena, Germany; Stefan Eder-Kapl, Gertraud Lammer, Hans Loeschner, IMS Nanofabrication GmbH, Vienna, Austria; Klaus Reimer, Fraunhofer Institut fiir Siliziumtechnologie (ISIT), Itzehoe, Germany; Juergen Saniter, Maati Talmi, Fraunhofer-lnstitut fur Nachrichtentechnik, Heinrich-Hertz-lnstitut, Berlin, Germany; Ramona Eberhardt, Fraunhofer-lnstitut fur Angewandte Optik und Feinmechanik, Jena, Germany; Klaus Kronert, Equicon GmbH, Jena, Germany

Mask Manufacture for Projection Mask-Less Lithography (PML2) - MEMS-Technology for a Programmable Aperture Platesystem ... 165 K. Reimer, M. Witt, D. Kahler, J. Eicholz, L. Ratzmann, W. Brunger, Fraunhofer Institut fur Silizium- technologie (ISIT), Dep. Microsystem-technology, Itzehoe, Germany; H-J. Doring, Leica Microsystems Lithography GmbH, Jena, Germany; E. Haugeneder, IMS Jena GmbH, Jena, Germany; S. Eder-Kapl, R. Nowak, IMS Nanofabrication GmbH, Vienna, Austria

Session 11 Poster Session Chair: Uwe Behringer, IMT, FZK, Germany

High Resolution Holographic Lithography 169 V. V. Kerzhentsev, V.I. Rakhovsky and Yu. A. Tolmachev, NANOTECH Ltd., Moscow, Russia

Optimization of anti-reflective coatings for lithography application 177 J. Bauer, 0. Fursenko, B. Kuck, Th. Grabolla, V. Melnik, W. Mehr, IHP, Frankfurt (Oder), Germany; S. Virko, Institute of Semiconductor Physics (ISP) of National Academy of Sciences of Ukraine, Kyiv, Ukraine

Defect Printability and Inspectability of Halftone Masks for the 90nm and 70nm Node .. 187 K. Eggers, K. Gutjahr, M. Peikert, D. Rutzinger, Infineon Technologies, Dresden, Germany; A. Diirr, R. Ludwig, M Kaiser, J. Heumann, Advanced Mask Technology Center, Dresden, Germany

Pattern-induced non-uniformity of residual layers in nanoimprint lithography 193 Nicolas Bogdanski, Matthias Wissen, Hella-Christin Scheer, Faculty of Electrical, Information and Media Engineering, University of Wuppertal, Germany

High productivity object-oriented defect detection algorithms for the new modular die-to-database reticle inspection platform 201 Syarhei Avakaw, Planar State Scientific and Production Concern for Precision Machine Building, Design Office for Precision Electronics Machine Building: Opto-Mechanical Equipment, Minsk, Republic of Belarus

A Comprehensive Reticle Handling and Storage Approach for Optimized Fab Yields 211 Toshio Umeda, Valqua ACL Co. Ltd., Tokyo, Japan; Mamoru Hidaka and Hideaki Kawashima, Hakuto Co. Ltd., Tokyo, Japan

12 Session 12 Application and Outlook Chair: Michael Tissier, DuPont Photomask, Rousset Cedex, France

From Quartz to Silicon Chips 215 Marc Staples, (Invited Speaker) AMD, Dresden, Germany

Lithography Trends based on the Projection of the ITRS 217 Wolfgang Arden (Invited Speaker) Infineon Technologies AG, Munich, Germany

Session 13 EUV Mask and Lithography Techniques I Chair: Konrad Knapp, Schott Lithotec, Jena, Germany

Overview of SEMATECH's EUVL Program 227 Stefan Wurm (Invited Speaker), SEMATECH, EUV Lithography, Austin, TX, USA

Discussion of a simple EUV Reticle Model 243 Uwe Mickan, ASML Netherlands B.V., Veldhoven, The Netherlands; Martin Lowisch, Carl Zeiss SMT, Oberkochen, Germany

Progress on EUV-Source development, tool integration and applications 245 Rainer Lebert, Bernhard Jagle, Christian Wies, AIXUV GmbH, Aachen, Germany; Uwe Stamm, Juergen Kleinschmidt, Guido Schriever, XTREME Technologies Germany,Joseph Pankert Philips EUV GmbH, Germany; Klaus Bergmann, Willi Neff, Fraunhofer Institut fur Lasertechnik, Germany; Andre Egbert, Phoenix EUV, Germany

Session 14 EUV Mask and Lithography Techniques II Chair: Stefan Wurm, SEMATECH, Austin, TX, USA

Production Challenges of Making EUV Mask Blanks 259 Holger Seitz, Frank Sobel, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Thorsten Reichardt, Ronny Walter, Hans Becker, Ute Buttgereit, Giinter HeB, Konrad Knapp, Schott Lithotec, AG, Meiningen, Germany; Christian Wies, Rainer Lebert, AIXUV GmbH, Aachen, Germany

High Speed Reflectometer for EUV Mask-Blanks 261 C. Wies, R. Lebert, B. Jagle, L. Juschkin, AIXUV GmbH, Aachen, Germany; F. Sobel, H. Seitz, R. Walter, SCHOTT Lithotec AG, Meiningen, Germany; C. Laubis, F. Scholze, Physikalisch-Technische Bundesanstalt, Berlin, Germany; W. Biel, IPP, Forschungszentrum Jiilich GmbH, Julich, Germany; 0. Steffens, S&F NC-Systemtechnik GbR, Herzogenrath, Germany

Efficient Simulation of Defect Free and Defective EUV Masks A Comparison Between the Finite-Difference Time-Domain and the Waveguide Method 271 Peter Evanschitzky, Andreas Erdmann, Fraunhofer Institute Integrated Systems and Device Technology (FhG-IISB), Erlangen, Germany

High Speed Actinic EUV Mask Blank Inspection with Dark-Field Imaging 279 Tsuneo Terasawa, (Invited Speaker) Yoshihiro Tezuka, Masaaki Ito, MIRAI-ASET, Toshihisa Tomie, MIRAI-ASRC, AIST, MIRAI-ASET Tsukuba, Onogawa, Tsukuba, Ibaraki, Japan

13 nachgereichte Beitrage

Application of PGSD (Proximity Gap Suction Development) to 70 nm NAND Mask Fabrication 285 Hideaki Sakurai, Tooru Shibata and Masamitsu Itohjbshiba Corp., 1 Komukai Toshiba-cho, Saiwai-ku, Kawasaki-city, Japan; Kotaro Ooishi, Hideo Funakoshi, Yoshiki Okamoto, Shigemi Oono and Masatoshi KanedaTokyo Electron Kyushu Ltd;, Naoya Hayashi.Dai Nippon Printing Co., Ltd., Japan

Application results at 193nm: Lithography simulation by aerial imaging and supplementary high resolution measurements 291 Axel M. Zibold, Klaus Bohm, Robert Brunner, Carl Zeiss SMS GmbH, Jena, Germany

14