V6/ Issue II /2011 Incorporating Prediction2 Prognostic and Health10 Advanced, Predictive18 in Next-Generation Management Tools Scheduling Technology Manufacturing for Semiconductor Can Improve Litho Cell Manufacturing Predictability Productivity

F a b Solutions

Solutions for Factory and Equipment Efficiency

Look ahead to the power of predictive service solutions. Nanochip FAB SOLUTIONS

F a b Solutions publisher Dana Tribula Predictability: [email protected]

EDITOR IN CHIEF Changing the Game Contents Liz Baird [email protected] for Complex Manufacturing

CONTRIBUTING WRITER David Lammers 1 [email protected] A Letter from Charlie Pappis A Letter from Charlie Pappis

NANOCHIP is published by Predictable Downtime Applied Materials, Inc. and Increased 2 © Copyright Applied Materials, Inc. 2011. Throughput on Incorporating Predictability isn’t one of those concepts people tend to get excited about. And it’s really Constraint Tools Prediction in Improve Total Fab Next-Generation www.appliedmaterials.com CHARLIE PAPPIS no mystery why: when it comes to food, travel, entertainment and many other aspects of life, Output Manufacturing Group Vice President “predictable” can be boring. and General Manager, But in the world of complex manufacturing where we live, predictability represents an incredibly Applied Global Services 6 exciting change in the way we do things. Ever since the semiconductor, flat panel and solar voltaic industries first emerged, many of the high volume production lines have been maintained using the To receive extra copies of NANOCHIP “fail and fix” paradigm. This approach to equipment maintenance and support has resulted in some or to add colleagues to the mailing list, 10 unwanted consequences, including unnecessary unscheduled downtime, scrap product, and lower Prognostic please email your and Health • Name overall fab productivity. Management Tools • Title Now with the development of new technologies based on the prognostics and health for Semiconductor • Company Manufacturing management (PHM) model of equipment maintenance, a new and more productive paradigm Predictability • Business address to [email protected] is available. Instead of waiting for things to break, PHM models and features such as sensors, automation and remote diagnostics will help fab managers predict and prevent problems from Advanced, Predictive occurring. Given the complexity of many manufacturing processes, the ability to detect and fix Scheduling Technology Can Improve Litho Cell problems faster, and anticipate component failure and respond proactively will save fabs time, 18 Productivity money and materials—and significantly improve their products, processes and ROI. NANOCHIP is now available In this issue of Nanochip Fab Solutions, you will get a first look at emerging PHM technologies in an environmentally and the predictive models that support them. You will also learn how they are already proving friendly online version. themselves in real-world installations. For example, Jay Lee and Edzel Lapira of the University Please send an email to [email protected] of Cincinnati Center for Intelligent Maintenance Systems describe how data-driven surge map to request online delivery. modeling used to achieve $50K in energy savings for Toyota is adaptable to semiconductor 20 manufacturing. Solar Fabs Look for Smarter Growth James Moyne discusses the predictability vision and roadmap in his story, “Incorporating Prediction in Next Generation Manufacturing,” and our Applied Global Services FabVantage consultants explore the benefits of developing models and running simulations before implementing All trademarks so designated or changes on the line. By running real data sets pulled from the production floor, models are otherwise indicated as product names becoming more precise than ever, enabling benchmarking of equipment performance and faster or services are trademarks of Applied Materials, Inc. in the U.S. and troubleshooting at the component level. We also explore predictability in Solar manufacturing, with other countries. All other product and thoughts from Applied’s solar expert, Dr. Charlie Gay and others. service marks contained herein are Despite our best efforts though, sometimes the unpredictable does happen. In “A Customer PLUS: trademarks of their respective owners. Story” you’ll read about the amazing recovery of Renesas following the catastrophic Japan 23: Save Time and Money With New PM Kit Service 24: Manage Volatility: Transform Service Into A Variable Cost earthquake earlier this year. And finally, journalist Dave Lammers wraps up with his look to the future 25: A Rewarding Year and the long-predicted industry shift to 450mm manufacturing. 26: From “Fail and Fix” to “Predict and Prevent”: Improving Factory ROI with Prognostics Predictability. It may not be exciting to some. But it is game changing for our industry. At and Health Management Applied Global Services, we see predictability as the next step in helping our customers move closer 30: When Disaster Happens: A Story of Recovery in Japan 33: The Last Word to achieving the ultimate productive fab. We predict it will not be boring!

Nanochip 1 Over the past few years the maximizing fab capabilities, as well (actual) metrology, (3) improved manufacturing discipline has as improving ramp-up rates and the process capability by enabling wafer- seen the beginnings of a major ability to adjust to changing business to-wafer control (as shown in Figure evolution in approach, namely the requirements. 1), and (4) reduced non-product and movement from reactive to predictive scrap wafers. Two separate studies operations.[2] In semiconductor Achieving the Vision: Key have concluded that these benefits manufacturing, the International Prediction Capabilities will amount to an average of over US Technology Roadmap for $35M/year/fab.[5, 6] The full prediction vision Semiconductors (ITRS) has also been must be achieved as part of an Predictive Maintenance (PdM) updated, identifying “the movement evolution rather than a revolution in As noted earlier, unscheduled from reactive to predictive” as a manufacturing practices, because downtime is considered by many major trend in factory integration.[3] the latter would be too expensive to be the number one source of lost The basic concept behind prediction and disruptive to implement. revenue on the factory floor.[1] in manufacturing is to utilize real- Thus we must devise a prediction Predictive maintenance (PdM) is time manufacturing data to predict roadmap containing solutions that the predictive process of utilizing what will happen in the future, and are (1) aligned with the ultimate equipment and process state then make decisions based on these vision of real-time simulation and information to predict impending predictions. With an automated simulation matched with reality, (2) unscheduled downtime events, manufacturing infrastructure non-disruptive, and (3) strictly value thereby minimizing the occurrence of enhanced with prediction, a add (as much as possible), with little unscheduled downs. PdM leverages significant number of benefits will or no downside risk on operations. much of the same input data and iNcorporating be achievable including reduced The following are key prediction modeling techniques of VM, and unscheduled downtime, MTBF, technologies that can be part of such provides for reduction of unscheduled MTTR and scrap, and improved a prediction roadmap. downs (by converting these downs Prediction uptime, life of consumables, yield, into scheduled downs), as well as and throughput. This paper presents Virtual Metrology reduction of MTTR by providing in Next-Generation a roadmap for achieving these goals Virtual metrology (VM) is the through a manufacturing prediction process of using equipment and strategy.[2] process information to predict Manufacturing The ultimate vision of prediction metrology values, thereby avoiding in manufacturing automation is an much of the capital and throughput Process Metrology environment where prediction is in cost associated with measuring all Model lock step with manufacturing.[2] wafers in an in-line metrology system. Trace Data Tuning Real Data We can even take this vision one As shown in Figure 1, a VM system Feedback A Prediction Vision for Manufacturing FD Outputs e.g. 2 of 25 FD VM Model Semiconductor, display, and solar manufacturing facilities today operate step further by considering how to uses information provided by a fault By empower the engineer with real-time detection (FD) system along with Prediction Recipe Adjust Data fundamentally the same way as most manufacturing operations: planned simulation capability. This technology metrology and context information Wafer-to-Wafer Feedback e.g. 23 of 25 James can be likened to your DVR where you (e.g., product type) to provide models production with reactive adjustment. Production is set up to meet certain Wafer-to-Wafer Control Moyne can pause, rewind and replay a movie that predict metrology values for a objectives. As events occur that derail these objectives, some aspects of but also fast-forward to see how the process. VM technology has already production are modified as needed to correct course. Disruptive events include movie will end. Such a capability will been shown to be quite effective in Figure 1: Virtual metrology utilized to enable wafer-to-wafer allow the user to leverage existing predicting semiconductor metrology equipment faults, unscheduled downs, product scrap, and unavailable resources control by providing feedback data for all wafers not directly user interfaces and consider different data,[4] and can provide a number of measured. at a process step, and can lead to increased process cycle time and reduced scenarios in a “what if” fashion as a benefits, including (1) reduced capital process capability. Unfortunately events like these, especially unscheduled mechanism to make decisions and cost of metrology, (2) increased plan for the future. This capability throughput through reduced use of [1] downtime, are the major source of lost revenue in production. will have enormous impact in

2 Nanochip Nanochip 3 Incorporating Prediction an indication of the particular “fix” metrics, and more importantly, in Next-Generation Predictive Yield Realtime required; see for example Figure 2. improving throughput of high- Benefit VM PdM Prediction Scheduling & Control Simulation Manufacturing Further, with a PdM system in place, priority lots to meet customer Reduce unscheduled downtime conservative maintenance schedules requirements.[8] • • • Reduce overall downtime • • can be relaxed, resulting in increased Yield Prediction and Control life of consumables, reduced Reduce MTTR • • Yield prediction and control environmental waste, and further Reduce scrap and NP wafers • • • is the technique of using process, increased uptime. Reduce capital metrology cost • equipment and fab state information Reduce consumables cost/ increase life Predictive Scheduling • • to predict yield and yield excursions, Reduce cycle time and wait time waste State-of-the-art, real-time and then utilizing these predictions • • • Improve on-time production scheduling and dispatch allows to control the operation of the fab to • • • Increase process visibility the fab to be highly responsive yield and throughput objectives.[9] • • • • • Increase process capability to changing conditions such as Using this yield prediction • • • Increase process variability customer orders, inventories or fab information, WIP scheduling, • • • Reduce ramp-up times equipment availability. However this maintenance schedules and even • • • What-if analysis for design and execution system is still reactive. Predictive advanced process control can be • Environmental benefits Earliest scheduling, also called “short interval adjusted to avoid product scrap, • • • • • Predicted scheduling,” utilizes information on unnecessary downtime and low- Improve throughput • • Component Improve yield Failure customer orders in the queue as well quality product, and maximize • Threshold as upstream WIP information and yield-throughput objectives. The Fab-wide control to yield-throughput objectives PHM Signal Actual • Latest equipment availability information advent of yield prediction and control to predict equipment availability will represent the culmination of Figure 4: A summary of benefits provided by prominent prediction technologies. and capability. It then schedules benefit of prediction in the fab as it 5/1 6/1 7/1 8/1 Time and dispatches WIP and production ties prediction and operation to the resources (e.g., reticles) accordingly key revenue generators of yield and sites,[8] and will also be utilized in References [1] O’Brian: Key Industry Executives Discuss Future of Automation Figure 2: Component failure prediction. A predictive health to avoid cycle-time “white space” throughput. (See Figure 3.) the development of PdM solutions. Business, ARC Insights, 2002-07M, (February 2002). management (PHM) signal related to component health is or “wait-time” waste, and improve Applied E3 Advanced Process [2] J. Scoville, “Predictability as a Key Component of Productivity,” throughput. Predictive scheduling Moving Forward: Control software is another building monitored by a fault detection system. A threshold for failure (Keynote), ISMI Manufacturing Week 2011, Austin, TX, block currently being enhanced to is determined and the signal trend is monitored. In this way, has already been shown to be very Applied Materials (October 2011). provide VM and PdM solutions,[4, 7] the failure and timing of the failure can be predicted. effective in improving key throughput Prediction Roadmap [3] 2010 International Technology Roadmap for Semiconductors, and will be the cornerstone of our It is clear the industry is www.itrs.net. future yield prediction and control [4] progressing to a predictive mode K. Olson and J. Moyne, “Adaptive Virtual Metrology Applied solution.[9] to a CVD Process,” Proceedings of the 21st Annual SEMI/IEEE of operation so that benefits With critical KPIs like capital cost, Advanced Semiconductor Manufacturing Conference (ASMC), summarized in Figure 4 can be 110 downtime, productivity, yield and San Francisco, (July 2010). 5.5 realized. Applied Materials is working throughput on the line, prediction [5] M. Koitzsch, and A. Honold “Evaluation of Economic Effects as 100 5.0 to execute a prediction roadmap will be the key to manufacturing the Basis for Assessing the Investment into Virtual Metrology,” 4.5 to achieve the industry’s predictive AEC/APC Symposium 2010, Austin, TX, (October 2010). 90 competitiveness. Achieving the manufacturing goals. This includes [6] F.-T. Cheng, et.al., “Benefit Model of Virtual Metrology and 4.0 ultimate vision—“prediction in lock- 80 the development of building blocks Integrating AVM into MES,” IEEE Transactions on Semiconductor 3.5 step with manufacturing”—requires Wafer Yield Wafer Etch Time that, by themselves, can provide Manufacturing, Special Issue on Advanced Process Control, Vol. 70 Exposure Time (Litho) extension of the entire automation 3.0 Time Process Realative 24, No. 2, (May 2011), pp. 261-272. Wafer Yield immediate and significant ROI. value chain. Prediction solutions [7] Collectively, as part of an integrated J. Moyne and P. Hawkins, “Techniques for Utilizing Data 60 2.5 such as VM, PdM and predictive predictive automation solution, Mining and APC in Predictive Maintenance (PdM) Systems ,” 50 2.0 scheduling can generate high and Proceedings of ISMI Manufacturing Week 2011, (October 2011). 0 20 40 60 80 100 120 they will provide an even greater immediate ROI and support the [8] S. Marteney, “Predictive, Short-Interval Scheduling Improves Run Number level of productivity gains. Applied evolution of manufacturing, from Litho Utilization and Cycle Time,” Solid State Technology, RTD, our real-time scheduling reacting to disruptive production (February 2011). Figure 3: In this example etch time is increased to reduce radial and dispatch product, is one such [9] issues to predicting and resolving J. Moyne and B. Schulze, “Yield Management Enhanced non-uniformity, requiring a decrease in litho exposure time. Decreasing litho example. SmartSched is an upgrade them before there is damage to Advanced Process Control System (YMeAPC): Part I, Description exposure time increases across wafer variability. The control technique used in to RTD that provides predictive products or profitability. and Case Study of Feedback for Optimized Multi-process this simulation balances these two sources of non-uniformity to optimize predicted scheduling solutions which have been Control,” IEEE Transactions on Semiconductor Manufacturing, wafer yield. This process is explained in detail by J. Moyne and B. Schulze.[9] successfully deployed at customer For additional information, please Special Issue on Advanced Process Control, Vol. 23, No. 2, (May contact [email protected] 2010), pp. 221-235.

4 Nanochip Nanochip 5 Predictable Downtime and Increased Throughput on Constraint Tools As discussed in a previous issue but not ideal—could be replicated One of the first findings was that the Improve Total Fab Output of Nanochip,[1] the lot queue time across a company’s entire tool base. chamber cleaning and conditioning and subsequently, cycle time of a routines were incorrectly configured, high-mix fab typically rises sharply Audits and Benchmarks causing the cleaning routine to run as the utilization of bottleneck tools Are the Goalposts more frequently than necessary. increases. (See Figure 1.) The excess cleaning cycles were The Applied Global Services As the fab’s capacity interrupting batches of wafers in (AGS) FabVantage Group is a buffer shrinks, variability in tool process, and were not synchronized consulting team that helps fab performance directly affects with idle time for wafer loading managers ensure their tools are overall throughput. Conversely, and unloading. Consequently, each achieving optimal performance. improvements in equipment uptime cleaning cycle took the system offline While the group does recommend and other factors can substantially longer than necessary. Not only did tool upgrades when warranted, increase throughput, even when excess cleaning reduce overall system substantial improvements can the manufacturer does not invest in throughput, it also led to additional often be made using existing additional tools. wear of the chamber components tools and process recipes. A The fab output, in other words, and hence higher COC and frequency FabVantage engagement typically is not a fixed capacity, but depends of PM in addition to degraded system begins by auditing the fab’s current on the answers to detailed questions reliability and higher unscheduled manufacturing procedures, and about the constraint tools: What downtime. benchmarking tool performance is the throughput of the nitride against the overall Applied Materials deposition process? Is the low-k installed base for each tool. Often dielectric process module achieving these first steps identify areas where the uptime it should? How does small procedural changes can bring this tool’s unscheduled downtime immediate and substantial benefits. compare to that of other similar tools, For example, benchmarking at from tool to tool and at other fabs? one Applied customer site showed

It’s difficult for a single fab Queue Time Lot that their Applied Producer CVD manager to answer such questions. tools were performing below the The best known methods for a best-in-class standard achieved at process or a maintenance procedure 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% other fabs running similar processes. are constantly evolving. Attrition Bottleneck Tool Utilization Unscheduled downtime approached and staff turnover can undermine four times the average (Figure 2) Figure 1: Lot queue time vs bottleneck tool utilization. a fab’s in-house expertise. When and mean time between preventive tough economic conditions limit maintenance (PM) routines was hiring, user conferences and service below the benchmark for some bulletins easily slip to the bottom of applications. The fab’s overall output High an overloaded priority list. Even given was suffering, and fab management Improvement sufficient time and other resources, Opportunity was unable to predict net output engineers at a single fab simply don’t because of high monthly variation in have the network to tap into the latest unscheduled downtime. best known methods for their tools.

How many chips does a fab produce? It’s a critical question, because An equipment audit was Unscheduled Downtime By Companies with multiple fabs performed to verify that best known Low the answer affects revenue projections, customer delivery planning, can compare performance across Robert Grimwood methods were being followed PECVD Oxide PECVD Nitride SACVD SiCN locations—that’s one reason for Doped Oxide and future expansion plans. Yet it can also be a very difficult question and that the system settings were “copy exact” methodologies. But and Helen Armer to answer. The throughput and uptime of any of the hundreds of tools optimal. The FabVantage team even they will only see how their Figure 2. Producer unscheduled downtime benchmark results also evaluated whether scheduled tools behave using their company’s (blue bar), vs. customer fab (orange dot). in a fab, among other factors, can be ultimately crucial in determining maintenance was being done processes and procedures. the output of a fab. properly and at the correct intervals. A procedure that’s “good enough”—

6 Nanochip Nanochip 7 Predictable Downtime and Integrated Producer UI Workbook Increased Throughput on process configuration, mismatched Constraint Tools processing times for different chambers on the same mainframe Improve result in throughput losses if one chamber needs to wait for loading or unloading because its processing total Fab Controller Model: time does not synchronize with output other chambers on the system. WIP Release Alternatively, the maintenance Dispatch Control intervals for each chamber may differ, Downtime Manager Reporting resulting in the system being taken down more frequently. Simulations Integrated AutoMod Producer Submodels showed the FabVantage team Figure 4: Simulation Software UI—Data entry into workbooks and corresponding output generated is reported into submodels based on which investments and changes in controller model programming. the customer’s fab would be most cost-effective. When such clear The effect of the misconfiguration In this example using our opportunities for improvement exist, When the customer tested software In this project challenge, the benchmark results, fab managers can was aggravated by back-office issues simulation software (Figure 4), tool- gains can be realized in as little as options, the benefits were often customer increased output by not then compare their own procedures affecting the fab’s operations: spare level analysis predicted the impact of 6-12 weeks. Over the longer term, lost in the variability of tools, lots only increasing the throughput of the to best known methods, and often parts and qualified engineers were changes in cleaning strategy before changes in fab dispatching strategies and processes. To work around constraint tools, but by addressing make substantial performance often not immediately available, and the changes were implemented in and chamber configurations can this, FabVantage experts used the causes of high and variable improvements with little or no therefore tools tended to stay down the actual fab. At the work-cell level further enhance the fabs output. actual lot arrival data, reflecting the unscheduled downtime. additional investment. longer than necessary. of up to 10 tools, simulation indicated Using tool simulation, the complexity of the production line, By taking an iterative approach, Applied Materials’ FabVantage Fortunately, once these kinds how many wafers can be processed in project team was also able to and ran long-term simulations with in partnership with the customer, the Group helps customers with of issues are identified, they are different configurations. validate the long-term effects and without the software options. By AGS FabVantage Group fine-tuned benchmarking and procedure relatively easy to fix. Changing the For example, total tool output of adopting different software using different input conditions and simulations, minimized disturbance audits, and supports improved cleaning strategy and timing—with no is usually maximized when all of the strategies. Previously the customer simulating long-term performance, to the manufacturing line, and tool performance through process change to either the cleaning routine chambers of a given mainframe run was not convinced of the benefits the benefits of implementing different accelerated the customer’s learning support, equipment optimization, itself or the process recipe—gave an the same process. This customer of implementing different software software options were successfully cycle using simulated time. simulation, and other services. immediate 10-15% improvement in had implemented an integrated demonstrated to the customer. As the team made actual options because of negative For additional information, please system output. Figure 3 shows the process configuration in which each In summary, simulations changes on the tools, measured experiences during actual production. contact [email protected] total impact on output which would chamber is responsible for one step These negative experiences were identified significant throughput results, and closed the loop between [1] be obtained by bringing this toolset in a complex film stack—an approach caused by the complexity of the improvements. An action plan simulated and actual performance, “Agile Manufacturing: Creating a High-Mix Fab that Responds Rapidly up to benchmark levels through a that seems intuitively appealing but manufacturing line and the number was agreed with the customer to the precision of the tool models also to Meet Customer Demand,” Volume combination of improved set-up, turns out to be less efficient when of different products and recipes. introduce these changes over time. improved dramatically, prompting the maintenance and upgrades. tested in simulation. In an integrated Priority changes were scheduled customer to implement additional 5, Issue 2, p. 2. according to their predicted impact. changes based on positive results Modeling and Simulation (See Figure 5.) To date, several and recommendations derived from Producer Nitride Output Improvement Support Strategic system and sequence setting simulations. Predicted Output Improvement Timeline Experiments While 1.5 changes have indeed increased Overall fab output is fundamental 1.25 Minimizing Costs 1.4 throughput by 10-20% across a to every capital spending or revenue 1.20 number of applications. model, and it is driven to a large Modeling and simulation are 1.3 1.15 Furthermore it was noted that extent by the predictable behavior of invaluable tools, allowing fab managers 1.2 simulations were valuable for the individual tools. Tool performance, in 1.10 to compare the potential risks and 1.1 ideas they quashed as well as the turn, rests on a vast array of individual

Normalized Output Normalized 1.05 rewards of different strategies without Normalized Output Normalized 1.0 suggestions they validated. A failed optimizations, from cleaning running actual wafers. 1.00 0.9 experiment in actual production strategies to system configuration 07/10 09/10 11/10 01/11 03/11 05/11 07/11 09/11 11/11 to wafer dispatching logic. A good Time 0.8 means lost output, if only due to the PECVD Oxide PECVD Nitride SiCN Baseline Improved Benchmark Maintenance System additional wafers that might have starting point is to understand how a Output Tool Setup Performance Upgrades been processed by a more optimal customer’s tool performance and fab strategy. In a simulation, a failed operations compare to the world’s Figure 5. Simulations used to identify throughput Figure 3: Prediction of the combined impact of various improvements on one experiment only costs computer time. best-in-class facilities. Armed with improvements in Producer CVD. customer’s Applied Producer CVD application.

8 Nanochip Nanochip 9 Prognostic and Health Management Tools For Semiconductor Manufacturing Predictability The shop floor presents expenses—but it also increases To remain competitive and many opportunities to reduce the risk of much more expensive profitable, semiconductor waste, especially when it comes unplanned downtime due to to operations and maintenance equipment failure. manufacturers must adhere (O&M) of equipment. The risk increases because Currently, frequent calibration manufacturers cannot by to the principles of lean and preventive maintenance accurately determine the actual (PM) schedules ensure high condition of their equipment. Jay Lee manufacturing, just as in any equipment availability and Clearly, there is a need for and reliability. (See Figure 1a.) performance estimation and other demand-driven supply However, semiconductor prediction capabilities that will Edzel chain. This means they need to manufacturers face a constantly provide: changing landscape of ■■ Efficiency improvements Lapira improve overall company cost devices when responding to Reduction in unscheduled Center for customer needs, as well as downtime, increase Intelligent and productivity by identifying, fierce competition brought equipment uptime and Maintenance about by the consolidation of availability, decrease in Systems and eventually eliminating, semiconductor players. MTBF, troubleshooting time University of In addition, the proliferation and MTTR Cincinnati activities that don’t add value. of semiconductor-based ■■ Cost reductions consumer products and Consumables can be more commoditization of technology efficiently used, reduction have driven manufacturing in test wafer usage, costs down, which in turn drives improvement in inventory companies to lower the cost of control ownership of their production assets. As the PM cost curve ■■ Quality improvements in Figure 1b indicates, reducing Reduce tool variability, mini- unproductive planned PM mize rework and scraps, etc. cycles can reduce operating

Preventive Preventive Replacement Replacement Cost Cost Cost Per Unit Time Per Cost Unit Time Per Cost

Availability 100% Time Interval (a) (b)

Figure 1. PM cost vs (a) availability and (b) PM interval.

10 Nanochip Nanochip 11 Prognostic and Health

Management Tools should be used when data to first infer tool failure It is computed by a statistical The widespread use usage-based replacement of reliable models for events, and then act on those comparison of current health of PHM in other industries components and consumables for Semiconductor part degradation and a prediction results to improve indicators with a known came after decades of is still widely practiced. Most Manufacturing reasonable horizon window factory productivity. PHM baseline condition. Prediction research and development existing semiconductor Predictability for performing maintenance tools for signal processing and algorithms can infer equipment in order to overcome major manufacturing equipment are available. feature extraction can assess performance in future machine challenges such as insufficient does not incorporate advanced and predict performance based cycles. Diagnosis methods instrumentation, data, storage, analytic and predictive ■■ CBM is helpful in situations on input from sensors, historical processing power, etc. Today capabilities to determine future when equipment drift can provide insights into which data and operating conditions. the advancement of sensing condition. It also does not indicators can be tracked component is most likely to fail These PHM techniques and data acquisition techniques, provide drill-down functionality but no repeatable models and the type of failure mode that transform input data into a coupled with the emergence to determine which subsystem can be established. will occur. Finally, visualization set of features such as health of powerful processors and or component/consumable is Repairs are done when tools can be employed to deliver assessment, performance the discovery of numerous degrading and will eventually the indicators exceed a the right health information prediction and fault diagnosis. analytic tools and algorithms, require conditioning or Prognostics & Health predefined threshold that (current health and degradation, The Center for Intelligent has mitigated many of these replacement. Management (PHM) was set using historical remaining useful life, fault mode, Maintenance Systems (IMS) component at fault, etc.) to implementation challenges. To effectively implement PHM applies a maintenance maintenance events. has established a systematic the right person (equipment However, manufacturers in PHM in the semiconductor paradigm (reactive, preventive, ■■ Preventive maintenance is instrumentation approach manager, operator, production the semiconductor and other manufacturing industry, both condition-based maintenance applied to critical equipment (see Figure 2) that uses supervisor, manufacturing and segments are then confronted the semiconductor device [CBM] and predictive) to a subsystems and parts PHM tools and algorithms to process engineers, etc.). with the monumental task of manufacturer and the OEM must particular equipment monitoring where sudden or hard develop predictive technologies molding a PHM framework collaborate to support PHM task when and where it is fault events are known to for critical equipment and Lessons Learned from that leverages these advanced capability. Requirements include: appropriate. occur or when there isn’t components. Once data is Other Industries technologies to address their ■■ Creating implementation ■■ Reactive maintenance can sufficient knowledge of collected from a monitored industry-specific issues. guidelines and architectures. be used when performing PHM has been widely used their degradation or failure asset, filtering and signal quick replacement/repair in other industries for various ■■ Developing data standards modes. processing tools are applied to Current State of PHM of noncritical components purposes. In machine tools, for and working together (or with Machine-learning tools remove outliers, transform data in the Semiconductor that do not adversely affect example, predictive algorithms a third-party integrator) to and algorithms are used to into another domain and extract Industry part quality and production help users monitor the condition validate and obtain proven implement a PHM program by features or health indicators. throughput. of cutting tools to extend Most semiconductor device predictive algorithms and applying mathematical models Because a large set of health tool life and avoid conditions manufacturers already use mathematical models. ■■ Predictive approaches to equipment and factory indicators is typically produced, detrimental to surface quality, advanced techniques such as a reduction/selection method ■■ Agreeing on IP concerns thereby reducing rework and statistical process control (SPC), should be adopted to ensure about data sharing scrap. In the aviation industry, measurement system analysis DATA ACQUISITION PRINCIPAL COMPONENT HEALTH INDICATOR fast convergence and accurate and ownership, and safety is the primary driver for (MSA), and fault detection and ANALYSIS EXTRACTION & SELECTION equipment health models. commercialization and using PHM tools to monitor classification (FDC) to analyze Baseline Health assessment tools use implementation paths Current engines and aircraft equipment, process control, sensor and relevant health indicators (for example, dealing with thus avoiding catastrophic metrology data to perform to estimate degradation or custom factory framework failures. Automotive run-to-run (R2R) control and performance. The health metric, and architecture). manufacturers employ PHM advanced process control also known as confidence value The most recent initiatives programs for their industrial (APC). Independently, original or CV, is an objective estimate in this regard have been the robots to ensure uninterrupted equipment manufacturers of equipment performance completion of two PHM production and assembly, (OEMs) have made huge that can be correlated to pilot projects, sponsored by VISUALIZATION PERFORMANCE HEALTH ASSESSMENT because downtime events are strides in advancing the sensing PREDICTION maintenance activities. CV the International Sematech 1 prohibitively expensive. Military technology in their products and S can also be developed to Manufacturing Initiative Bearing RUL 0.8 applications employ integrated developing custom equipment provide health metrics at the F (ISMI), each involving real 0.6 vehicle health management diagnostics. Some OEMs have Comp Motor subsystem, module, component production data from two Y 0.4 (IVHM) as a decision support started developing high-level or consumable levels. This different semiconductor device 0.2 tool to evaluate mission equipment state estimators, but Confidence Value, CV Value, Confidence is extremely useful in helping these are not yet available. manufacturers on two tools from Comp 0 t t t 0 readiness of fighter planes and X Gearbox i i+1 0 100 200 300 maintenance staff troubleshoot two different OEMs and two Cycles all-terrain vehicles before field Despite these PHM faster and more accurately. different universities.[1] deployment. advances, time- and Figure 2. IMS instrumentation approach.

12 Nanochip Nanochip 13 Prognostic and Health Management Tools for Semiconductor Manufacturing Predictability

and ultimately result in the PHM tools can then combine Semiconductor device (thereby extending PM their product from competitors’ ■■ Validation and refinement “Factory of Tomorrow” as the collected data, process manufacturers can use this intervals), consumable and offerings, and achieve greater of health monitoring and illustrated in Figure 3. The knowledge (equipment usage health metric to develop component utilization are customer satisfaction. predictive algorithms Moving Toward modern semiconductor patterns, maintenance history virtual metrology for more maximized. A reduction in require more field data Equipment production floor, equipped with and effect of faults on the accurate yield prediction, tool-induced excursions and PHM Implementation and potentially longer PM Predictability an IT infrastructure that may system), and component design enhance existing APC, test wafer usage can also be Challenges in the intervals to determine what include MES and ERP systems, knowledge (failure modes, improve R2R to incorporate expected. a true unacceptable health Once semiconductor device Semiconductor provides a setting where data lifetime expectancies and wear equipment drift, and finally value is and what additional manufacturers and OEMs ■■ Operation Efficiency Manufacturing can be aggregated from inline profiles) into a quantitative achieve optimal production equipment life is not being begin this mutually beneficial A health metric that Industry metrology measurements, health metric that can be and maintenance scheduling used. collaboration, the next few years describes equipment The semiconductor wafer trace data, process visualized using degradation (just-in-time manufacturing). will see a surge in research (subsystem/module/ manufacturing industry can ■■ Health results must be controller data and even sensor curves, multi-component radar At the enterprise level, the that will lead to transparency component) performance leverage decades of experience integrated with asset signals from select auxiliary charts, fault maps and risk health metric can be used of equipment performance or degradation can be used from other industries in management and equipment components. charts. to objectively compute a to maximize equipment developing its own PHM production systems (FDC, factory-wide overall equipment availability and uptime, applications. However, this R2R, APC, etc.), because effectiveness (OEE) index EQUIPMENT USER and increase net WIP manufacturing sector is ultimately the information based on the true condition Process Knowledge Closed Loop output. With prediction faced with some unique will be used to increase yield of the equipment. Finally, Manufacturing and diagnosis capabilities, implementation challenges: and reduce maintenance Usage Patterns Maintenance History Effects of Faults to Process historical health information mean time between failures costs and downtime. Design ■■ Equipment can be a source can provide valuable feedback (MTBF), troubleshooting of multiple signals and Applied Materials recently to both semiconductor device time, meant time to repair PPM ANALYTIC TOOLS features but most are either joined the IMS consortium In-line Metrology manufacturers (process design (MTTR) and unplanned Factory-Wide redundant or not useful to help establish research Measurements Watchdog Agent® Virtual and efficiency improvements) OEE downtime can all be collaboration protocols to (CD, particle counts, etc.) Metrology and OEMs (equipment redesign (importance of feature curtailed. address these challenges. and upgrades). selection). Signal Health Trace Data ■■ Product Quality Applied Materials plans Processing Assessment ■■ Robust models that can (voltage, current, etc.) Enhanced Improvement to use PHM analytic tools APC SEMICONDUCTOR Benefits of PHM handle chamber-to- Process engineers can to incorporate predictive Fault Performance MANUFACTURING chamber variation (work Process Controller PHM will enable significant include equipment technologies into its offerings Data Diagnosis Prediction OPERATION across multiple chambers) PEEFORMANCE improvements in cost reduction, variability and drift to so that customers can reduce (setpoints, recipe, etc.) Improved must be developed because operation efficiency and product enhance their R2R and costs, operate more efficiently R2R variations make it more Auxiliary Component quality for semiconductor APC systems so that and improve product quality. Signals Reconfigurability difficult to set thresholds for device manufacturers. product quality deviations (motors, pumps, etc.) Tool Selection Optimal Just-in-Time health indicators or feature For additional information, Regime Identification Production & Maintenance ■■ Cost Reduction are minimized (including SEMICONDUCTOR values. Moreover, variations please contact [email protected] or Fault Isolation Maintenance With a properly rework, scrap and MANUFACTURING Scheduling can cause different [email protected] EQUIPMENT excursions). implemented PHM degradation rates and health program, factory managers It is to the equipment condition baselines. can exercise just-in-time supplier’s advantage to Design/ Failure Expected Wear Closed Loop ■■ The effects of process Dynamics Modes Lifetime Profiles Usage to maintenance that makes “design in” advanced PHM (variety and complexity Components Consumables Equipment more efficient use of capabilities in order to convert Equipment Physics Design of recipe, usage, etc.) on equipment repair labor. advanced design knowledge EQUIPMENT SUPPLIER (OEM) equipment degradation Because the equipment’s of their product into increased useful life can be extended must be understood. MACHINE + PROCESS DATA-TO-INFORMATION TRANSFORMATION ENTERPRISE CONTROL + OPTIMIZATION equipment value, differentiate

Figure 3. The modern semiconductor production floor.

14 Nanochip Nanochip 15 Prognostic and Health Case Study: Management Tools Predictive Surge Control for Semiconductor for Centrifugal Air Compressor Manufacturing using Data-Driven Surge Map Modeling Predictability

Surge Feature selection follows an References The Problem Limit exhaustive feature extraction [1] D. Stark, “Prognostics and Control Line by choosing a smaller subset of Health Management (PHM)” Toyota Motor Manufacturing in Kentucky collaborated with the IMS Center to improve the maintenance of their compressed air Capacity significant and relevant health Presentation given at eMfg Surge Limit Area indicators for developing learning Workshop, July 14, 2010 system (CAS), which at that time accounted for ~10-20% of the models. With an optimized set [2] A. Sodemann, Y. Li, J. Lee, R. facility’s electricity bill. CAS is used in various applications such of health indicators, a PHM Lancaster, M. Rucker, J. Carnagie as machining, paint spray, hand tools, cleaning, drying, vacuum Discharge Pressure Discharge system can take advantage and A. Inman, “Data-driven systems, etc. of faster model convergence, Surge Map Modeling for The component identified for monitoring was the centrifugal Definitions better model interpretability, Centrifugal Air Compressors” Inlet Flow Rate Feature extraction in pattern compressor, which is central to CAS operation. A key goal was more comprehensive in 2006 ASME International recognition and in image the avoidance of surge phenomena that can seriously damage the generalization of the original Mechanical Engineering Congress Figure 4. Centrifugal compressor surge map. processing, is a special form system. Surge occurs when the compressor is operated at too low a sensor measurements and finally and Exposition, Chicago, IL, USA, of dimensionality reduction. flow rate for the given discharge pressure. In deep surge situations, or PCA was then used to reduce the number of health indicators to tractability.[4] Nov. 5-10, 2006 It encompasses different Support Vector Machine [3] M. Rucker, “Data-to-Information- the discharge flow reverses and pressure fluctuations can damage minimize model complexity. mathematical techniques that (SVM) is a supervised learning to-Decision An Informal CAS components, disrupting production and resulting in significant The IMS Center used an algorithm called support vector machines can be applied to the sensor method that can be used for Discussion on Industrial downtime and maintenance costs. (SVM) to find the surge surface that maximizes the distance between measurement to compute useful classification and regression Systems,” in e-Manufacturing Compressors are mostly operated far away from possible surge surge and non-surge conditions. Basically, SVM is a supervised health indicators that might not analysis. It generally involves Class, University of Cincinnati - lines to prevent surge, yet compressor operation is most efficient machine-learning method used to analyze data and recognize patterns. be initially apparent. Considering a training phase that requires Cincinnati, OH, May 11, 2009 near the surge limit line, i.e., low-flow and high-pressure operation. The SVM algorithm was further improved by using a variant of the that the health indicators will health indicators with the [4] I. Guyon and A. Elisseeff, “An Compressor manufacturers provide surge maps for operation method, asymmetric support vector machines (ASVM) to minimize be used subsequently for health corresponding label or Introduction to Variable and assessment, fault diagnosis control purposes, but these maps are developed using specific test unpredicted surge occurrences. equipment condition (good or Feature Selection” Journal of and performance prediction, conditions that may not reflect the actual operating environment. bad/faulty). The objective of Machine Learning Research this highlights the importance In addition, conventional surge maps are limited to a single variable The Result using SVM is to use the trained 3:1157-1182 (2003) of extracting features that are (such as motor current) or two variables (such as flow rate versus model to predict equipment [5] C. Cortes and V Vapnik, After the IMS Center developed a systematic methodology of indicative of equipment health or discharge pressure. (See Figure 4.) condition given only the current “Support-vector Networks” data-driven surge map modeling using PHM tools and algorithms, degradation. health condition.[5] Journal of Machine Learning their approach was validated with surge test data from the compressor The IMS PHM Solution Asymmetric Vector Machine 20:273-297 test-bed. With help from the compressor OEM, Toyota retrofitted the (ASVM) is a variant of the The IMS Center implemented a multivariate data-driven surge existing compressor units with the surge detection module into the basic SVM that introduces a bias map modeling approach that adapts to ambient conditions to CAS controller system. towards one class/condition.[2] predict and prevent surge events.[2] As a result of this collaboration, CAS reliability greatly improved The overall approach is shown in Figure 5. Various sensors were at the Toyota facility. Associated maintenance costs and production installed on the test-bed to record variables during air compressor loss were also reduced. The addition of pressure control improved CAS Since its inception in 2001, the National Science Foundation’s Industry & University Cooperative Research operation and capture ambient conditions such as humidity and efficiency. An internal facility audit revealed an annual energy savings temperature. Surge tests were performed over a certain duration to of around $50K [3] once the centrifugal compressor surge prediction Center (NSF I/UCRC) for Intelligent Maintenance Systems (IMS) has been focused on enabling products sample different operating scenarios. Principal component analysis technology was installed. and systems with performance prediction capabilities. The IMS Center is a multi-campus NSF Center of Excellence with research cites at the University of Cincinnati (lead institution), the University of Michigan and DATA COLLECTION PRINCIPAL COMPONENT SUPPORT VECTOR ASYMMETRIC SUPPORT the Missouri University of Science & Technology. The IMS Center has collaborated with more than 70 global ANALYSIS MACHINE VECTOR MACHINE

35 6 SURGE INDICATOR companies and conducted more than 100 projects for research validation. 5.5 30 5 The Watchdog Agent® is a toolbox of algorithms developed by the IMS Center to transform machine, False 4.5 Alarm process and maintenance data into equipment health indicators. For more information about the IMS Center 25 4 3.5 Surge Condition and its research, please visit www.imscenter.net or contact Prof. Jay Lee, Center Director, at [email protected]. Not Surge Condition 20 3 Unpredicted

1st Stage Air Pressure (psia) Air Pressure 1st Stage 2.5 Surge 15 2 Time (sec) 120 130 140 150 160 170 180 1 2 3 4 5 6

Figure 5. Data-driven surge map modeling approach for centrifugal compressors.

16 Nanochip Nanochip 17 bottleneck areas is to return to challenge was to better manage fundamentals. In photolithography the distribution of WIP across the Before SmartSched Advanced, Predictive Lots Not this means reducing the number qualified tools and ensure that Grouped of temperature change delays qualified reticles are delivered where After SmartSched Scheduling Technology on the coat and developer tracks needed to improve tool utilization Lots (track systems are very sensitive to and throughput. Grouped temperature change), minimizing Figure 3 shows how automating Can Improve Litho Cell Productivity reticle changes, managing active reticle scheduling can overcome Reduced Reticle Changes Additional Capacity advanced process control (APC) previous trends of decreased wafers threads, and minimizing the impact of at certain times of day, and elevate Figure 2. SmartSched converts bad whitespace to usable send-ahead lots. (See Figure 1.) the overall wafer count throughout equipment capacity. Faced with the problem of either the 24-hour time period that was buying additional litho tools (at ~$45 tracked. By better predicting reticle million per system) to increase output usage by each tool, SmartSched In less than two decades, fab operations have moved from semi-automated to highly automated, and and reduce cycle time, or finding a way published a schedule that allowed now, to interconnected mega fabs, with complexity that pushes the boundaries of the most advanced to more effectively leverage current operators to ensure that needed state of the art. These mega fabs, which are designed to process hundreds of thousands of wafers investments, chipmakers are choosing reticles were inspected and delivered to leverage optimized scheduling to each tool just in time. Average Wafer Count Wafer Average monthly, are being built in Japan, South Korea, and the United States, and rely on both real-time technology, like SmartSched, to The optimized schedule for improve litho tool utilization. dispatching technology and optimized production schedules to reach their potential production capability. each litho station also resulted in a 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 0 1 2 3 4 The upper graph in Figure 2 reduction in send-ahead lots and Time of Day (Hour) Before SmartSched With SmartSched Lunches, Breaks, & Shift Change The state of the art in most fabs pany’s fab operation management operations. In a recent news release, shows reticle changes in a 12-hour metrology operations and reduced the By today involves the use of real-time technologies. Together, SmartSched Masanori Morikawa, senior manager shift using only real-time dispatching cycle time for lots that triggered send- Figure 3. Hourly throughput improvements resulting from David dispatching rules that select lots and Applied Real-Time Dispatcher of manufacturing engineering at rules. These dispatching rules ahead metrology. (See Figure 4.) optimized reticle scheduling and delivery. only from on-hand work in process (RTD) define the new state of the art, the Toshiba Yokkaichi Operation, choose the best lot to process from The results of in this second case Hanny (WIP) at the moment a tool becomes and set a de facto standard for mega currently one of the world’s largest the available queue each time a study show both more predictable available. Some very sophisticated fab operations. 300mm mega fabs, said, “Unlocking load-port becomes available. If we and increased hourly and overall and Steve RTD rules do look ahead in an effort SmartSched is the semiconduc- litho capacity was identified as a were to zoom in on this schedule throughput, a reduction in the number to predict the arrival of lots. However, tor industry’s only commercially critical step in increasing output in we would see small amounts of idle of send-ahead lots required, an Marteney this limited look-ahead capability is available predictive scheduling soft- our manufacturing operations. We tool time each time a reticle change increase in equipment utilization (see usually confined to batch formation ware. It functions by constantly look- selected Applied’s SmartSched is needed and each time there is a Figure 5), and a reduction in utilization in the wet processing and diffusion ing ahead in time to predict the arrival solution because it offers the significant setup change on the coat variance. SmartSched helped drive Number of Send Aheads Triggered areas. In most circumstances, the of lots so that the system can optimize quickest, most cost-effective route to track. This idle time, often called “bad a significant improvement in overall Tool 1 Tool 2 Tool 3 Tool 4 Tool 5 Tool 6 look-ahead capabilities are limited to the production schedule for critical optimize throughput and reduce cycle whitespace,” is avoidable. lithography operations. Before SmartSched With SmartSched looking upstream in the process flow tool groups. SmartSched feeds time, focusing first on lithography The lower graph in Figure 2 Figure 4. Reducing send-ahead wafers leads to fewer a few steps. However, these solutions predicted lot arrivals to a scheduler steps. We plan on working with shows how SmartSched uses its Conclusion interrupts and less tool whitespace. predictive capabilities to look ahead do not scale to meet the complex that evaluates millions of “what-if” Applied to extend SmartSched Fab operations in the age of and schedule the whole 12-hour shift. needs of interconnected mega fabs. scenarios against fab objectives. By capability to meet additional Toshiba the mega fab need to advance the The result is a significant reduction in Applied’s SmartSched advances considering fab transfers, different production requirements so we state-of-the-art beyond real-time setup changes and reticle changes. the state of the art in semiconductor tool assignments and processing can improve output and equipment dispatching alone. Advanced The bad whitespace that is almost fab operations, adding very accurate sequences, it predicts the outcome of utilization in multiple areas of our scheduling systems like SmartSched invisible in the upper schedule is WIP prediction and schedule optimi- each scenario and develops a short- manufacturing operations.” that can look into the future, Utilization saved by SmartSched and we can see zation to the capabilities of the com- interval manufacturing schedule. Its In most fabs around the world, accurately predict lot movement, it at the end of the schedule as “good direct connection with RTD enables photolithography is a bottleneck and optimize schedules for 100% 84% 75% the dispatcher to immediately execute area. In an advanced fab, the high whitespace.” This good whitespace is Before With bottleneck tools are essential and SmartSched SmartSched the optimized schedule. This is the equipment and reticle costs and tool capacity that is now available. (1 Month) Processing Time represent the new state of the art. new state of the art. an increasing number of device Set Up Time 2. Optimizing Reticle Delivery SmartSched’s capability to predict Figure 5. Litho equipment utilization can be significantly Rework layers can account for about a Scheduling WIP movement and then to create improved with SmartSched. Tool Idle third of the cost of manufacturing Queue Case Studies Tool Down In an early production use of an optimized production schedule Tool Utilization Tool Time a semiconductor chip. Thus, SmartSched, the environment was reduces otherwise wasted equipment scheduling, delivering improvement Tool Busy 1. SmartSched Optimization companies have spent many years very different from that described capacity and metrology operations, in the most complex areas of for Photolithography developing systems that seek to previously. The product mix was resulting in significant equipment semiconductor manufacturing and optimize lithography operations as Actual SmartSched Theoretical Toshiba’s efforts to maximize much higher and therefore there utilization, throughput, and cycle time doing so in the largest and most much as possible. litho cell output reflect its under- was less opportunity to make improvements. advanced fabs in the world. standing of the potential of schedule The key to optimizing Figure 1. SmartSched reduces wasted capacity resulting from improvements though setup SmartSched is a key For additional information, please photolithography and other unnecessary setup and rework. optimization to improve lithography reduction. In this environment the advancement in predictive contact [email protected]

18 Nanochip Nanochip 19 Fabs must not only reduce overall range of performance will never achieve the efficiency fundamental to every revenue Solar fabs cost/watt, but also must varies by almost 2.5 % for multi that a higher quality wafer can projection and capacity planning improve cell performance. Cell cells and 1.5% for mono cells. unless it is improved by special decision fab owners make, but look for smarter growth and module level efficiency, This range is primarily due processing. output forecasts are unreliable Solar energy has begun to reach the tipping point where photovoltaic quality, and reliability have to variability in wafer quality, Variable raw material unless the fab’s inputs are By become critical for end particularly minority carrier quality presents a dilemma understood. Charlie Gay electricity can compete with grid power, especially in sunny island areas customers. This demand lifetime. for fab owners and process In the integrated circuit like Malta and the Caribbean. Installations have exploded: the world’s is being met by new cell Indeed, wafer quality engineers. What are reasonable industry, individual wafers are and technologies such as selective variations account for as targets for cell yield and wafer labeled with serial numbers total installed base was less than 2 GW in 2001, while more than 18 GW emitters and back contacts, much as 2/3 of the variance breakage? How successful is a and tracked from the beginning Suketu of new generating capacity came online in 2010 alone. By the end of 2011, as well as by improvements in in final cell efficiency. Figure given line optimization likely to of the line to the end. Defect wafering and casting processes 2 simulates the effect on be? How many watts can the inspections along the way the global installed base is likely to reach 65 GW. This enormous growth Parikh such as cast monocrystalline multi cell efficiency of lifetime plant’s output actually generate, establish a yield expectation for has brought tremendous opportunities for solar cell manufacturers, but silicon. There is an increasing variations from 5-25 µsec, and how much revenue can each wafer and, over time, for has also attracted many new competitors to the industry. Volume alone focus on improving along with variations in process the fab expect? Yield losses the fab as a whole. This level of manufacturing performance metrics such as reflectance, due to scrap, breakage and tracking is probably not feasible is no longer enough to make a solar fab cost competitive. There are many and tightening the cell efficiency line-width, and emitter and electrical efficiency loss affect for solar cell fabs. Today’s high volume fabs already, with more under construction. To minimize costs distribution. passivation quality. Even though the fab’s bottom line. Higher solar fabs may have thirty to process optimization can help efficiency modules earn a higher fifty thousand wafers being and maximize profits, fabs need to look closer at the sub-components of Better wafers in, a fab get the most from its price premium, so a tighter processed in total every hour, performance and manufacturing yield. better solar cells incoming wafers, a wafer with cell efficiency distribution is valued at about a dollar each. out a 1 microsecond carrier lifetime critical. Predictable fab output is An integrated circuit fab handles only a few hundred wafers per Solar cell yield has three hour, each worth hundreds or components: mechanical, thousands of dollars, depending electrical, and cosmetic yield. 6 on the stage of processing. A typical fab’s yield loss 5 distribution is shown in Figure 1. Wafer binning sets 4 While cosmetic yield — mostly yield expectations attributable to color variations 3

Yield Loss Yield A more meaningful tracking — lies within the control of the 2 fab, mechanical and electrical unit for solar fabs is the batch. 1 yield largely depend on the Wafers sliced from the same quality of the incoming wafers. 0 part of a given ingot are likely Mechanical Misprocessing Poor Electrical Cosmetic In a typical mainstream solar Breakage Scrap Efficiency Defects to have similar properties. At fab, incoming wafers may incoming inspection, tools like scanning photoluminescence fall into any of over a dozen Figure 1: Causes of cell line yield loss. classifications covering such spectroscopy can rapidly sort properties as silicon purity, wafers based on characteristics crystal quality, dislocation and like lifetime. Physical defects may be readily classified on the basis grain boundary variation, and 17.20 17.00 of physical and optical detector- dopant distribution. Minority Process Characteristics 16.80 carrier lifetimes range from 0.5 Process FSRV 5e4, Rs55 ohm/sq, 7% based tools. Armed with this 16.60 Refl 6.5% information, fabs can more to 25 µsec for multicrystalline 16.40 Refl 7.5% (%) 16.20 readily predict end-of-line yield cells and 100-500 µsec for Refl 8.0% eff

C 16.00 typical monocrystalline wafers. Wafer FSRV 10000 and efficiency for each batch. 15.80 FSRV 100000 Binning information, in Typical average efficiency 15.60 60 ohm/sq for multicrystalline (multi) 15.40 turn, can drive a wide range of cells ranges from 16.5 to 17% 15.20 optimizations, from individual 0 5 10 15 20 25 while monocrystalline (mono) Bulk Minority Carrier Lifetime (µsec) tools to company-level cells range from 17.5 to 18.2% strategies. After models of average efficiency. Even with the interrelationship between improved process controls and Figure 2: Cell efficiency simulation for multicrystalline wafers materials and processes are quality across cell lines, the with varying lifetimes and processes. developed, the fab can use them

20 Nanochip Nanochip 21 Solar fabs Save Time And Money a selective emitter process, for both incoming wafers and the look for example. When a new process capabilities of the process line. With New PM Kit Service line is being installed, wafer As the solar industry grows smarter growth quality data can save weeks of and becomes more competitive, setup and troubleshooting time, fabs need to understand how No doubt many of us at one time or another have helping engineers to determine their operations compare to the begun preventive maintenance (PM) on a tool, only to whether a particular result is due world’s best in class facilities. to the wafers or the tools. Improved benchmarking and find that one or two parts haven’t been ordered or can’t incoming wafer inspection be found, so the task had to be delayed. Now Applied Better forecasts allow them to set realistic fab Materials offers a convenient, cost-saving service drive better strategy performance expectations, to design appropriate feedback to be ready to respond quickly, and to develop appropriate aimed at streamlining the PM process. With the new loops and control systems. A fab minimizing any downtime. Finally, at the strategic level, batch level tracking, inline Applied PM Kit Service, custom packages containing can use this information to define In addition, identifying the a company can begin to analyze metrology, and process control and identify an “out-of-spec” root cause of automation- the relationship between all the parts you need for a scheduled PM are ready methodology to make sure process, or one that has deviated related failures in the cell line incoming wafer quality, selling that tighter performance goals when you need them—all ordered, stocked, staged and so far from optimal that it should is significantly easier if one price, and profit. Maybe the Figure 1: are met across each level from delivered under a single part number and PO. You save be taken off-line for repair or has a better understanding of higher selling price that high Cleanroom- wafering to cell and module recalibration. One example of incoming wafer quality. efficiency cells demand justifies ready kit. time, money and aggravation. manufacturing. Solar lines with this approach, developed by At the fab level, binning the purchase of better quality improved process control, Applied Materials, is shown in information can be used for silicon. Conversely, maybe metrology and automation can Advance kitting of materials Logistics and order management maintenance activities that commonly Figure 3. disposition and planning lower grade silicon should save 3-5 cents/watt of overall By required for PM routines allows the also benefit from moving toward an use the same sets of parts each time, This figure explains how purposes. When wafers have carry an even steeper discount cost through improved yields, technician to complete quality work outsourced kitting model. In fact, initial such as part rebuilds. Quick BOM wafer metrology and binning better quality to begin with, it because of its lower yield and Peggy better efficiency distribution within the committed timeframe, cost modeling indicates potential changes are easy to make, and kits at the end of a wafering step might make sense to redirect efficiency. Though asking these and reduced scrap. with more time spent productively operational and logistics cost savings can be modified quarterly to meet can improve wafer quality them to a more highly optimized questions seems obvious, Marcucci on maintenance itself rather than of as much as 80% over conventional changing needs. through classification of failures process flow. Such wafers answering them depends For additional information, please searching for parts. Industry in-house kitting operations. The usual on good information about Applied PM kits include: and improvements in the might be good candidates for contact [email protected] [1] estimates indicate that more than kitting process within the warehouse ■■ wafering process. Separating Customized PM kit configuration 15% of a technician’s time is spent requires customers to designate incoming wafers by quality ■■ Free kit modifications to support looking for parts and more than an organized space for staging and level will allow a cell line to WAFER YIELD MANAGEMENT SERVICE changing needs in the fab 20% of their time is spent going packaging. Also, procurement produce more predictable to and from their job location. PM may need to issue multiple POs for ■■ Kit lead-time performance results, thus supporting further kits greatly reduce both of these by one PM activity, and must manage commitments improvements in each line Baccini Baccini providing a single bag of parts in a incoming material for on-time arrival. ■■ Certified Applied spares through process control and new PWS Metrology Cell Ingot (A) Texture Emitter Diffusion Arc Print Furnace Test cleanroom-ready package with a By outsourcing kitting activity to a Wafering & Dry & Sort technology. Wafer ■■ Option to use any ValueSpec Quality clearly visible bill of materials (BOM). trusted vendor like Applied Materials, For example, one of most Brick parts or lower cost material Ingot (Z) PWS The technician makes one trip to the customers can streamline operations, common causes of breakage Wafering Mechanical warehouse, chooses the correct kit, increase time for work on other tasks, ■■ Competitive pricing is the backside metallization Lifetime wipes the outer packaging clean, and and lower costs with no additional part process. The thermal expansion The Applied PM Kit Service enters the fab. charges. (See Figure 2.) mismatch between aluminum for planned and scheduled work is  ũ   With Applied PM kits, the ware- and silicon creates substantial one of the easiest, most efficient house receives one box versus five, or ways to complete quality work with stress. Incoming wafers with Applied PM Kits Offer Cost Benefits even ten, with no additional space and micro-cracks or edge flaws are ũ   minimal productivity impact. Kitting handling requirements. Procurement more likely to fail under these can enhance tool reliability, increase teams order, manage, and track one line conditions. Wafer binning uptime and productivity, reduce Cost item instead of many. data allows a fab to determine comparison costs, and streamline operations. Wafering Performance Wafering Bins Setup for monthly Applied PM kits are designed by whether an increase in breakage ěũ $#1(-%ũ(#+"ũ ,/1.5# ěũ #31.+.%8ũ(-ũ#34/ Tester Bins PM kit Most importantly, it can take the customers to meet their specific fab is due to a tool defect or to the ěũ'(!*-#22ũ4 +(38 ěũ3 13(-%ũ4 +(38 ěũ ũ(#+"ũ3.ũ'(!*-#22 aggravation out of routine PM tasks. ěũĔũ -%.3Ĕũ1(!*Ĕũ 1#3. ěũ'(!*-#22Ĕũũĸ ũ(#+"Ĺ ěũũ(#+"ĔũĂũ3.ũ ($#3(,# maintenance needs. Customers are arrival of a particularly crack- ěũ ($#3(,#ũĸĂũ(-2Ĺ ěũ5#1 ++ũ(231( 43(.-Ĕũ(#+" given their own unique part number For additional information, please prone batch of wafers. As a ěũ 3 ũ- +82(2Ĕũ 1#3. No Kits Applied Materials contact [email protected] PM Kits for each kit. Kits can be designed to questionable batch of wafers support monthly, quarterly, semi- [1] Source: Marshall Institute – Blog approaches the metallization Figure 3: Applied’s overall approach to metrology, binning, and classification to improve distribution and Figure 2. Applied PM kits can save up to 80% annually on annual and annual PMs. Kits are “MRO Storeroom Best Practices” step, the fab can alert technicians performance predictability in each group. order and logistics costs. also an efficient choice for other Andy Gager, May 10, 2010 22 Nanochip Nanochip 23 Manage Volatility: A Rewarding Year Both can be adjusted as often as quarterly, based on the Transform Service run rate. The variable component is built on per-tool service pricing based on tool-specific critical parameters (e.g., hours of RF operation, number of wafers processed, etc.). A true measure of success in any business Into A Variable Cost For example, a high-mix, high-change production is satisfied customers. environment where tool utilization rates vary greatly, by such as a foundry with new, state-of-the-art 300mm Kerwin production capabilities that are not yet fully utilized, will Over the past year, Applied Global Services benefit greatly from this cost flexibility. is proud to have been recognized with Hoversten Applied Performance Service, meanwhile, guarantees performance excellence awards from some of tool uptime regardless of the run rate. Its cost structure the finest companies in the semiconductor, is based on a fixed amount that doesn’t change, plus a variable cost adder aligned to tool-specific critical solar and display manufacturing businesses. parameters. Customers can realize additional cost flexibility by idling unneeded tools, up to a certain percentage, when fab loading levels are lower. Applied Performance Service can be implemented ■■ AUO Corporation in many different ways. For example, one fab with 42 tools (PVD, etch, DCVD and FEP) had been using a mix ■■ Fujitsu, Ltd. of Applied and in-house maintenance. Fab management switched all their Applied Materials tools to Applied ■■ Hynix Semiconductor, Inc. Performance Service and, as part of the package, the E3 automation software was installed on the entire ■■ Intel Corporation installed base of tools. At the time, the customer had been experiencing some puzzling, erratic behaviors ■■ ReneSola Company, Ltd. with their PVD tools. With E3, the root cause of the inconsistent performance was quickly identified and ■■ Samsung Electronics Corporation, Ltd. fixes implemented. In the end, the customer achieved performance improvements of up to 12% on critical ■■ Semiconductor Manufacturing International PVD applications, with related cost reductions of more than 10%. Corporation (SMIC) Another customer with 64 tools (implant, etch, DCVD, etc.) implemented Applied Performance Service, ■■ Star Optoelectronic Technology Company, Ltd. including E3 automation and Applied’s ExpertConnect These services can incorporate market-leading remote diagnostic capability. The technology enabled Applied’s offerings flex with ■■ Taiwan Semiconductor Manufacturing Company, Ltd. Applied E3 automation software, a fab-wide automation predictive maintenance models to be developed that market changes to emphasize and equipment engineering solution that enables fabs to helped identify potential trends and problems before (TSMC) uptime or throughput. run more efficiently and at a lower total cost of operation. they resulted in yield-killing issues. The service ultimately E3 provides Applied’s service experts with onboard delivered fixed cost reductions of 15% and variable cost ■■ Texas Instruments, Inc. tool-monitoring data, giving them a more complete Wherever possible semiconductor manufacturers reductions of 10%, and allowed the customer to achieve understanding of tool performance and helping them avoid want to quickly transform fixed costs into variable costs leaner manufacturing status. ■■ Trina Solar, Ltd. or better respond to variation and excursions. that scale with production, enabling them to meet With the highly cyclical nature and intense cost Although each service has fixed and variable cost fluctuating market demand predictably and profitably. pressure of the semiconductor industry, the complexity ■■ United Microelectronics Corporation (UMC) components, there are important distinctions. Both the new Applied Adaptive Service and the of balancing output and costs is greater than ever. Applied Adaptive Service is a new offering designed New service programs like these, aided by E3 and more traditional Applied Performance Service provide ■■ Electronics Corporation for customers with highly variable production levels. It the flexibility and comprehensive support needed to do ExpertConnect, and an ever-growing roster of technical features a completely flexible cost structure that provides innovations, are helping chipmakers adjust costs to market this. Each enhances the productivity and profitability of ■■ WINTEK Corporation the opportunity to completely align service costs with fab operations at low, predictable costs that scale with and fab conditions and identify problems sooner—or the customer’s run rate. In essence, it guarantees the tool fab loading. before they happen at all. uptime needed to meet specified fab loading levels. With Adaptive Service, Applied commits to meet For additional information, please contact throughput requirements and both the fixed and variable [email protected] cost components flex in line with the fab utilization rate.

24 Nanochip Nanochip 25 Following the successful Information Action Data example of suppliers in other From “Fail and Fix” to “Predict and Prevent”: industries, Applied Materials is moving toward a prognostics and health management (PHM) model for equipment Figure 1: Data is of little value without the ability to translate it into actionable information. maintenance that will enable iMPRoving Factory ROI this more proactive approach. into information that can be Excursion control can be ■■ Identifying key failure Working collaboratively with used to make, and eventually applied to any tool output— modes for a particular piece with Prognostics and the Center for Intelligent predict key decisions about both on the tool itself or on of equipment or a related Maintenance Systems (IMS) equipment maintenance actions on-wafer results. The challenge subsystem. For example, (see related article on page and scheduling. (Figure 1) This in implementing excursion models that predict RF Health Management 10), the company is developing data is a combination of status control is in identifying the right match failures or end- an integrated suite of tools variable identification (SVID) variables/signals to track. Many of-usable life of certain and services to ultimately data, specific sensor output, times, meaningful deviations of consumable parts. enable manufacturers to adopt on-wafer results, or perhaps system parameters are subtle ■■ Analyzing a set of and not easy to detect. predictive strategies that help a virtual identifier that is a interrelated tool outputs to The limitation of excursion them operate tools and fabs combination of several of these. create a virtual signal that control is that the alert happens more efficiently, with lower The implementation of indicates tool or component only after the problem has costs and higher output. PHM is best thought of in degradation or imminent occurred. Limits can be set several levels. failure. What is PHM? very low to provide early ■■ Excursion Control warning before damage is done, Testing the model in a Based on in-depth however this has the downside production environment characterization and modeling, Excursion control is a of frequent interruption – and to ensure that it correctly PHM methodologies are system that tracks performance associated downtime. Looser forecasts a component designed to predict future tool violations against preset limits limits reduce the number of problem. performance by assessing its and alerts the user when interventions but increase PdM requires identification deviation and/or degradation these limits are violated. the risk that each excursion is of specific tool problems that from a normal, “healthy” The purpose is to provide an catastrophic. can feasibly be modeled and operating condition. PHM early warning mechanism to predicted. Once a problem has methodologies reduce minimize the damage and Predictive been identified, there must unscheduled events and waste once an excursion has Maintenance be enough flexibility in the total downtime to increase occurred. Excursion control software to combine different equipment efficiency. Shorter is implemented on individual The next higher level of subsystems to model the MTBC and MTTR, and reduced tools and across the tool set in sophistication is predictive pertinent events. maintenance costs through the fab. Controlling equipment maintenance (PdM) in which Keeping process tools running is of key importance in a semiconductor A very simple example of a more accurate diagnostics are and process excursions involves methods/algorithms are predictive maintenance model fab. One of the largest operational expenses is equipment maintenance, added benefits. Additionally, extensive data collection and developed that characterize is shown in Figure 2. The data higher tool reliability minimizes monitoring for any deviations complex tool problems to therefore this is always an area of focus for CIP to reduce cost and/or indicates foreline pressure in a rework and scrap and uses associated with this data. At the provide prediction of likely improve efficiency. Also, process tool health is directly related to results Silane CVD process chamber. fewer test wafers, resulting in fleet level, statistical limits are problems before they actually Over time, the chamber shows on the wafer, so reducing scrap and rework while maximizing yield is a lower costs. Further, reducing derived from all tools running occur. The goal of PdM is to a regular increase in pressure. unscheduled downs improves a standard process with the prevent unplanned downtime, very high priority. New innovations and technologies are being developed The linear nature of the increase the WIP predictability for more same hardware configuration. enabling potential problems By shows a build-up indicative to accelerate cost reduction in equipment maintenance and to minimize accurate dispatching decisions. In this case, statistical limits to be addressed during a of material deposits on the are typically large, since the scheduled routine maintenance. Michael downtime and scrap caused by equipment failure. These rely on heavily pressure gauge. This deposition Transforming Data chambers can be in a variety of This minimizes the excessive impacts the subsequent foreline Armacost and mining the vast amounts of data generated by a semiconductor factory into Action states at any time. At the tool time and cost devoted to an pressure reading, leading to to identify clues to potential equipment failure or impending maintenance level, single-chamber variations unplanned down including At the center of the PHM incorrect information. A model Tony Martinez are much tighter, and must the wafer loss associated with need. The objective is to shift from the current reactive “fail and fix” approach is Applied E3 data can be developed to look for account for drifts associated failures. acquisition software, which a slow increase in foreline methodologies, to “predict and prevent” models that reduce maintenance with changing process PdM generally involves the enables the collection and pressure over time. A warning conditions. following: spend, variability and waste. transformation of tool data

26 Nanochip Nanochip 27 From “Fail and Fix” to Lift Speed vs. Date 20 Lift Pin Adjustment “Predict and Prevent”: Lift Speed Improving Factory ROI time for proper chucking to ■■ CMP – Reflexion LK and GT 15 Deviation Conclusion building models in prognostics can occur, resulting in leakage of be categorized broadly into data- ■■ Etch – AdvantEdge, Enabler Many semiconductor with Prognostics and helium used to cool the wafer. 10 driven approaches, model-based ■■ manufacturers recognize the approaches, and hybrid approaches.

CVD – PE Silane and (s) Speed Lift Measurement of this parameter As the name implies, data-driven 5 economic value to be gained Health Management is not trivial, since this it occurs Producer SACVD Spec Limit from improved equipment techniques utilize monitored after the wafer has stopped ■■ PVD – Endura Al operational data related to 0 performance—especially standard processing, and the system health. Data-driven equipment maintenance. By approaches are appropriate 10/4/11 10/6/11 10/2/11 10/8/11 9/24/11 9/26/11 9/28/11 9/30/11 10/12/11 10/16/11 10/18/11 10/10/11 10/14/11 10/22/11 10/24/11 10/26/11 10/28/11 digital signal of the lift actuator 10/20/11 Equipment Health using the new PHM-enabled when the understanding of first can be installed to alert the user failure mode. Eventually a virtual Date does not provide sufficient data Index principles of system operation is to check the Pirani gauge port sensor is identified and a model Chamber: A B C tools now in development, to determine subtle variances in not comprehensive or when the when the pressure reaches a manufacturers can begin to is built on sensor performance system setup. The complexity of The next level of Figure 4: Lift speed versus date for several chambers for a conductor system is sufficiently complex that preset level, thus triggering a migrate from an inefficient under various failure/ this measurement is highlighted sophistication in tool etch chamber. Lift spin deviations in Chamber A were identified and developing an accurate model is service routine before there is “fail and fix” paradigm to a much prohibitively expensive. Therefore, deterioration conditions. in Figure 3. Initially a trigger maintenance is the development corrected to eliminate the possibility of He backside faults. any subsequent process impact. A typical example is of a comprehensive equipment more cost-effective “predict principal advantages to data driven point is selected, such as the approaches is that they can often be Unfortunately, the highlighted by monitoring health index. A health index and prevent” approach that ESC helium valve closure time analyzed, chamber B has an Success Metrics deployed faster and more cheaply complexity of semiconductor can significantly improve wafer lift speeds to prevent (a.). From this point, the lift takes into account all the various issue that needs to be addressed. than other approaches, and that Although the PHM features factory ROI. process tools is such that most helium leak faults. Helium leak health indicators (virtual and An equipment health index they can provide system-wide actuator digital signal indicating described in this article reflect the failures are not easily tracked coverage (cf. physics-based models, faults can be catastrophic to lift initiation is identified (b.). real) and, based on empirical is flexible, and can use a variety For additional information, breadth of Applied’s engineering which can be quite narrow in and monitored. Models must wafer yield, since uncontrolled and modeled performance, of statistical approaches to please contact When this occurs, the flow and software capabilities, they scope). The principal disadvantage be based on tracking many substrate temperature can assigns a weighting to each determine which chambers or through the foreline pressure are really just a means to an end. [email protected] is that data driven approaches may pieces of operational data, lead to process fluctuations. indicator and combines this recipes need to be examined, and is monitored (c.). When the lift PHM features are designed to or [email protected] have wider confidence intervals and then using sophisticated One cause of such leaks has with the degree of variation of which are not healthy. Eventually, than other approaches and that actuator I/O indicates the pins improve overall tool performance Definitions: mining technologies to identify these from normal (healthy) they require a substantial amount been linked to the speed of are fully up (d.), then the foreline these approaches will be linked Prognostics is an engineering at customer fabs. Key tool-level of data for training. Data-driven variables, or more often the electrostatic chuck wafer operation. The result is a single to various logic sequences to discipline focused on predicting pressure signal is terminated success metrics are: approaches can be further combinations of variables, that lift. If the wafer is lifted too composite health index. the time at which a system or (e.), and the length of the flow determine which components subcategorized into fleet-based can be associated with a given ■■ Increased tool availability a component will no longer quickly, there will be insufficient duration through the foreline is Once critical deviations are linked to a signature of statistics and sensor-based perform its intended function. have been identified, it’s shifts, thereby identifying which ■■ Reduced MTTR conditioning. In addition, data- calculated. This lack of performance is most important to examine how they driven techniques also subsume This technique enables components should be examined ■■ Extended Mean Time often a failure beyond which the Pressure vs. Date cycle-counting techniques that may 350 interact in order to determine first. system can no longer be used to measurement of the lift pin Between Cleans (MTBC) include domain knowledge. 300 which parameters are out Applied Materials expects to meet desired performance. The speed with sufficient granularity The two basic data-driven of spec, to what degree are ■■ Increased wafer outs predicted time then becomes the 250 to determine differences within apply equipment health indexes strategies involve (1) modeling Remaining Useful Life (RUL), they out of spec, and what on both 200mm and 300mm ■■ Extended life of consumables cumulative damage (or, 200 chambers which could cause which is an important concept in Trigger Limit the combinations of these equivalently, health) and then top-priority tools and eventually ■■ 150 helium leakage issues. Figure 4 Improved on-wafer quality decision making for contingency parameters indicate about link this health information extrapolating out to a damage (or Warning Limit is an example of three chambers (defects/yield) mitigation. Prognostics predicts Pressure (mTorr) Pressure 100 health) threshold, or (2) learning the subsystem or component with wafer information. Future the future performance of a which were monitored for this directly from data the remaining 50 Currently these metrics are component by assessing the extent issue. In this instance, Chamber that is not working properly. applications will include a useful life. being tracked at key customer of deviation or degradation of a 0 The interactions can be linked tool health user GUI and will As mentioned, a principal 5/29/11 5/30/11 5/31/11 6/1/11 6/2/11 6/3/11 6/4/11 6/5/11 6/6/11 A was showing signs of sporadic system from its expected normal together using statistical, service sites to determine what bottleneck is the difficulty in Date behavior where the lift pins eventually enable the integration operating conditions. The science impact PHM-enabled tools have obtaining run-to-failure data, in speed was significantly faster engineering and first principles. of tool health conditions with of prognostics is based on the Figure 2: Predictive model of the foreline pressure behavior. Gradual in a production environment. particular for new systems, since In Figure 5, the y-axis WIP dispatch and processing. analysis of failure modes, detection than the fleet. After the lift running systems to failure can be a increase in the pressure was caused by a contaminated Pirani gauge. of early signs of wear and aging, speed was adjusted, the leak indicates a relative value of the lengthy and rather costly process. and fault conditions. These signs degree of deviation for all the Even where data exist, the efficacy was eliminated. Health Index vs. Tool & Category are then correlated with a damage Process Time monitored parameters for this of data-driven approaches is not PdM is advancing rapidly propagation model. Potential (a.) only dependent on the quantity process. Each subgroup on uses for prognostics include He Final Valve from development to real-world but also on the quality of system the bar represents a generic condition-based maintenance. fab applications, with multiple operational data. These data The discipline that links studies PdM strategies currently in subsystem, such as the RF sources may include temperature, (d.) of failure mechanisms to system subsystem, which includes Index Health pressure, oil debris, currents, beta test by Applied customers. Trigger lifecycle management is often (b.) all match and generator voltages, power, vibration and These packages address a referred to as prognostics and Lift Pin Actuator Warning acoustic signal, spectrometric Relative Signal Value Signal Relative parameters, or a flow system health management (PHM), (e.) variety of unscheduled down- data as well as calibration and Foreline Pressure (c.) that includes all flow controllers, sometimes also system health time failure modes related to a B C A D calorimetric data. Features must management (SHM) or - in particular chamber or tool. PdM FRC and throttle valve sensors. Tool be extracted from (more often than Time Category: ESC Flow RF Temperature transportation applications - By summarizing the data in this not) noisy, high-dimensional data. strategies for the following tool vehicle health management manner, a technician can quickly Figure 3: Schematic describing signal timing for evaluating the lift pin sets are currently being tested: Figure 5: Plot of health index vs. tool and category for an etch process by (VHM). Technical approaches to speed in a typical vacuum process chamber. identify that over the period of tool-type and subsystem.

28 Nanochip Nanochip 29 A Customer Story WHEN Disaster HAPPENs A Story of Recovery in Japan

Containing Chaos at Naka Facility

Introduction The catastrophic earthquake on March 11, The March 2011 earthquake disaster in 2011 caused significant damage to the eight Eastern Japan produced devastation on an factories Renesas Electronics operates in the almost unimaginable scale. In the immediate aftermath, the country focused on meeting Kanto and Tohoku regions. The company basic needs: ensuring safety, bringing in food was forced to suspend operations at these and finding shelter for those in need. Then factories, effectively halving its production came the realities of the economic impact. The day following the quake, plan aimed at resuming parts suppliers and project Renesas organized the efforts As a major global supplier of electronic (preprocessing) capacity. The Naka Factory— managerial staff, volunteer production on September 1. managers who would work of engineers from Applied and parts, Japan is a crucial link in the production located in Hitachinaka City, Ibaraki Prefecture fire fighters and employees This involved prioritizing the side-by-side with customers other suppliers into shifts that responsible for utilities gathered recovery of power and water throughout Japan, often around worked 24 hours a day. In all, at lines of the automotive, semiconductor, and (see map)—was seriously damaged and for at the Naka Factory to conduct supply facilities, exhaust the clock, for months to come. the peak of recovery activities in consumer electronics industries. Production an inspection of facility damage. facilities, cleanrooms and other Renesas’ Naka fab was one early April, Renesas estimates lines had to be brought back quickly to a period of time following the earthquake, However, due to the scarcity production infrastructure. of the hardest hit. On March that more than 2,500 engineers of electricity and water, simply Eventually, a total of 9,500 28—the first day Renesas worked on the project. By the stabilize the supply chain. workers there could not even make contact confirming the current status people would work to restore approved the fabs for re- end of April, Applied Materials Renesas Electronics, the world’s top with the Renesas headquarters in Tokyo. presented significant difficulties. the infrastructure at the Naka entry—Applied’s early response alone had assembled a site microcontroller producer and a key supplier to The earthquake shattered the factory, and an estimated team began a site tool audit. Of team of 155 service engineers inside of the Naka Factory. 80,000 people would the hundreds of Applied tools and field personnel; 90% of the automotive sector, worked together with Walls inside cleanrooms fell, participate in some way in the installed in the Naka facility, these were brought in from Applied Materials and many others to quickly and steel beams were exposed. company’s overall recovery more than 90% sustained some outside the normal Naka site overcome tremendous difficulties to return Metal brackets used to firmly activities. form of damage. In the first team (normal staffing pre- secure manufacturing systems week of April, this response earthquake was 15), with 35% their flagship Naka fab to production in under were twisted and deformed. The Journey to team of 15 Applied systems from overseas. three months. We thank Renesas for sharing Semiconductor lithography Recovery engineers devised a recovery In addition to the tool systems were so damaged that plan based on tool priority. recovery teams, Applied their remarkable story of revitalization with As a key supplier to Japan’s they had to be shipped to the Phase 1 recovery efforts, which Materials also assigned site semiconductor industry, our Nanochip readers. It is but one of many manufacturer for repair, and addressed the most critical managers to coordinate the Applied Materials’ response to stories from Applied Materials customers in more than 1,400 reticles lay tools, began immediately, and local and global workforce Sendai the Japan earthquake disaster scattered in the debris. Hideki were completed by April 23rd. and assist with on-site project Japan reflecting the courage and power of was swift and deliberate. Within SEISMIC CENTER Shoji, a Senior Engineering This was just 14 days after full management during Phase 2. Nagoya days of the quake, Applied people working together Manager in Facility Engineering power was restored to the Naka To support Applied teams on Naka (Ibaraki) mobilized a response team Kyoto at the Naka facility said, “…… facility, and only one day after the ground in Japan, Applied To kyo with members from within the damage was beyond key support systems, such as headquarters assigned Yokohama Japan, the U.S. and across Asia imagination. We did not know process gases, were enabled. additional administrative and Osaka to assist customers in getting where to begin.” In the midst of continuing support personnel to ensure their fabs back into production. Ten days after the magnitude five aftershocks, a smooth management of This included field engineers, earthquake, on March 21, rolling blackouts and logistics for service engineers logistics and operations staff, Renesas launched a recovery transportation shutdowns,

30 Nanochip Nanochip 31 When Disaster happens Reporter’s Notebook: ISMI Symposium and A Story of Recovery in Japan David LammerS Semicon Europa

It was good to see familiar faces at two well- there would be a reduction in capital costs resulting from attended recent events: the 2011 International Sematech a need for fewer metrology tools. In all fabs, new and Manufacturing Initiative (ISMI) Symposium in Austin, existing, “we could reduce cycle time and cost, improve reassigned to the Naka Phase 2 tool recovery on Texas, and Semicon Europa, held in Dresden, Germany. process quality and reduce wafer scrap by incorporating recovery. This team handled Applied equipment at Naka At ISMI Manufacturing Week, the buzz was all virtual ‘smart metrology’ data into process control and fab travel, local accommodations, was completed by May 7th, about predictive technologies and techniques, ranging management software.” communications and safety less than two months after the from predictive maintenance (PdM) strategies to yield protocols and training. earthquake. prediction and control. Experts said most of the major Europe Divided on 450 One critical aspect of the George Yi, Vice President semiconductor companies are using a combination of At Semicon Europa, the main topic was the 450mm recovery involved supplying of Field Support Operations external and internal development efforts to move beyond transition and the recently formed Global 450 Consortium, primary and spare parts for for Applied Global Services, run-to-run control and fault detection and classification. or G450C. Georg Kelm, a representative from the damaged equipment. Applied’s noted that although disaster Already, predictive scheduling strategies for more efficient European Commission, asked if (and how) European recovery task force worked is unpredictable, Applied’s tool loading and PdM techniques are finding their way into equipment companies could participate in the Albany, closely with internal spare parts response wasn’t. “We had no the mainstream at some fabs. N.Y.-based consortium remotely, and got a largely positive depots and parts suppliers doubt that our global workforce In a keynote address at ISMI, John Scoville, senior Kizuna answer from Tom Jefferson of the G450C. Europe’s around the world to ensure would be ready and willing to director in Applied Materials’ Automation Products Group, first lot after the disaster, which equipment and materials vendors are eager to develop that the needed parts and support the recovery. In fact, we On April 23, just six said, “Predictive strategies offer huge potential to change were named ‘Kizuna’ (literally 450mm products, Kelm said, but the challenge is to get components were shipped had over three times as many weeks after a disaster of epic the way fabs are run. The shift won’t happen overnight, translated as “bonds among a European device maker to build a 450mm wafer fab. immediately to Japan. This was volunteers as needed for the proportions, Renesas was able but we are already seeing progress in several high impact people”).” Two days later, on Without a European fab customer close by, it will be harder a major effort, not only to get various recovery projects. We to start test runs for 200mm areas like predictive scheduling in lithography, where even April 25th, test runs were also for the EU-based equipment vendors to develop their the parts safely and quickly to had no uncertainties that our manufacturing lines. Kazunori small improvements in tool loading efficiency can have a performed on the Naka 300mm 450mm tools. st word Japan, but to get them moved employees would have the tools Horita, a Supervisor in the significant cost reduction impact.” manufacturing lines. By June 1, Kelm, head of the nanoelectronics sector at the EC, to the Naka fab site through a and training necessary to work Manufacturing Department Scoville said that the end results of full prediction are

the company was able to begin warned that the European emphasis on “More Than a devastated countryside, where safely in a difficult environment.” at the Naka factory noted, “… very attractive, and outlined a step-by-step process to get mass production—three full Moore” (integrating photonics, MEMS, imaging, and other transportation was still difficult. Yi said. we launched test runs for the there. “Technologies to address predictive scheduling months ahead of the planned CMOS-compatible devices) depends on leading-edge fabs and virtual metrology are moving into certain areas of September target. being started for “More Moore” device scaling. multiple fabs now and over the next year,” he said. “Next In a letter of appreciation to “It would be a mistake to separate ‘More Than Moore’ to be adopted is predictive maintenance, which will help Mike Splinter, Applied Materials and ‘More Moore,’” Kelm said, adding that More Than managers schedule maintenance routines when they President, CEO and Chairman, Moore “will not provide a long holiday” for the European are actually needed, save on parts costs and minimize Yasushi Akao, President of semiconductor industry. Much of the effort to link devices unscheduled downs,” he said. “The final step is a fully Renesas Electronics Corporation, with 3D interconnects will end up being done on 450mm

integrated prediction system where simulation is in lock- l The wrote, “I sincerely appreciate wafers, for example. step with reality. This would include yield prediction with your kindness to dispatch your One question is when ASML, based in the feedback to scheduling, control and maintenance for direct staff to our Naka Factory, which Netherlands, will have its first 450mm EUV scanners impact on yield and throughput objectives.” was absolutely indispensable and ready. Managers from Belgium-based Imec said they James Moyne, a standards and technology specialist beneficial for us to accelerate the expect the consortium to get their first 450mm EUV at Applied Materials who also teaches at the University recovery. Under conditions like scanner in 2015, with a faster 450 scanner coming in of Michigan, said virtual metrology (VM) is another big aftershocks, strong winds and 2016. Asked whether EUV would be a gating factor for lever for improving fab performance. “In some cases VM heavy rains, your staff spent big 450mm development, Kirk Hasserjian, vice president of can sharply reduce metrology costs. We have developed efforts to restore our production strategic programs at Applied, said a successful transition deployment best practices that allow customers to David Lammers is systems which are the lifeblood of to 450mm “has more to do with the sharing of risk” than leverage existing infrastructure such as fault detection the editor-in-chief Naka factory.” whether 450-capable EUV tools are available. “The seeds to develop virtual metrology capabilities for specific of Semiconductor This amazing result will are in place in New York for better collaboration. Hopefully, applications in a smooth, cost-effective manner, based on Manufacturing be remembered by all who there will be some sort of cost sharing and risk sharing the processes that lend themselves best to a predictive and Design contributed as a true testament to going forward.” model,” he said. (www.SemiMD.com) the human spirit, to resilience and Hasserjian called for “a synchronized transition to Virtual metrology can result in sizeable cost savings, to the power of collaboration. In a 450mm in terms of high-volume manufacturing,” and “a perhaps $35 million per year for a volume fab. Moyne word, it is really about “Kizuna.” clear, published strategy by the litho suppliers.” said savings come from a number of factors: in new fabs

32 Nanochip Nanochip 33 www.appliedmaterials.com

Printed on Recycled Paper AGS-NFS-1211 12/11 3.2K