Chemical Mechanical Planarization

Process control | Cleanliness | Uptime optimization | Uniformity Chemical Mechanical Planarization

CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive manufacturing environments continues to evolve and gain critical importance as the geometry and spacing of the wafer and substrate designs become more complex. To enable these advancements, Entegris offers a wide range of products that provide solutions for: • In fab delivery of bulk and point- of-use CMP slurries to CMP tools • Removal of particles and gels from CMP slurries prior to contact with the wafer • Conditioning the CMP pad • Removal of residue and waste from the wafer surface post CMP table of contents Section 1: About Entegris Creating a Material Advantage...... 2 Global Presence...... 3 Enabling Innovation...... 4 Close to Our Customers...... 5 CMP Solutions...... 6

Section 2: Product/Solutions PURITY Planargard® Filter Family...... 8 Planarcap® Filter Family...... 10 Solaris® Filter Family...... 12 AMC Tool-Top Filters...... 14 MATERIALS MANAGEMENT Microenvironment Control Solutions...... 16 Planarcore® PVA Brushes...... 18 Planargem® Pad Conditioners...... 20 Tube and Pipe Fittings...... 22 PrimeLock® Fittings...... 24 Flaretek® 90° Sweep Elbows ...... 26 Tubing and Pipe...... 28 CR4, CR8 and CH8 Manual and Pneumatic Valves ...... 30 PROCESS CONTROL NT® Electronic Flowmeters, Model 4400...... 32 NT Integrated Flow Controllers, Models 6510 and 6520..... 34 NT Control Modules...... 36 NT Pressure Transducers...... 38 CR-288® Concentration Monitors...... 40 CMP Cleaning Part Services...... 42

ENTEGRIS INC. «» CMP «» 1 Entegris 2012

Industries Served: Semiconductor, Compound Semi/LED, Data Storage, Flat Panel, PV Solar, Energy Storage, Life Sciences

Sales: $716 million Patents: Holds 287 U.S. patents and 593 patents in other purify, protect and transport global presence countries

Employees: 2,800 worldwide Entegris provides innovative materials science-enabled With 2,800 employees worldwide, Entegris thrives on the Stock Listing: ENTG on NASDAQ solutions for applications across key semiconductor challenge to meet our customers’ expectations through a processes to help chip makers solve manufacturing global network of service, technology, manufacturing and challenges, enhance yield and gain sustainable applications support teams, all built upon a tradition of competitive advantage. product and process innovation.

Beijing Yonezawa Seongnam-si Wonju-si Tokyo Osaka Fukuoka bulk chemical substrate handling Shanghai Taoyuan Minneapolis As wafer sizes continue to increase and Entegris provides wafer handling products Dresden Hsinchu Chaska Billerica Tainan Burlington Limonest Moirans line widths shrink, purity requirements to protect and transport prime wafers, Pleasant Hill Franklin Montpellier Colorado Springs become more stringent and precise wafers being processed, finished wafers, San Diego Decatur chemical blending becomes more critical. bare die and packaged devices. Products Kiryat-Gat Kulim Whether it’s transport or storage, mixing include wafer carriers, wafer shippers, Penang or dispense, Entegris has solutions to mask and reticle carriers, bare die trays, meet bulk chemical handling needs. horizontal wafer shippers, chip trays and film frame shippers. Europe and Asia and North America chemical mechanical planarization photolithography From filtration, liquid and slurry handling, Entegris’ broad product line enables lab capabilities Entegris products enable the CMP process. lithography processing with gas filters Analytical Services Product Testing Material Science and diffusers, purifiers and purification • Airborne molecular contamination • Performance testing • New material development wet etch and clean systems, wafer and reticle handling, • Surface contamination • Particle testing • Material characterization liquid filtration, purification and control. • Applications support • Electrostatic charge • Material selection Ultrapure liquids and gases are purified, • Root-cause analysis • Vibration • Material incoming inspection protected and transported with Entegris • Flow rate optimization • Applications support filters, purifiers, valves, fittings and • Applied statistics • Safety and industry standardization sensing and control products. • DOT and UN regulations • CE marking

2 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 3 Entegris Milestones

1966: Founded as Fluoroware, Inc. 2000: Begins trading under the ENTG symbol on NASDAQ

2005: Merges with Mykrolis Corporation, a leading provider of liquid filtration and gas purification products and close to our customers systems enabling innovation 2006: Expands manufacturing facility in Kulim, The technology roadmaps to produce the next generation of Direct sales and local support gives us the 2007: Acquires Surmet Corporation’s high- semiconductor devices and microelectronics are presenting opportunity to achieve customer intimacy. purity semiconductor coatings business unprecedented technological challenges, as well as ever 2008: Acquires Poco Graphite, an industry increasing pressure to improve yields and productivity. As Customer intimacy helps us better understand leader in high-performance graphite the leading provider of contamination control products and our customers’ needs through direct feedback and silicon carbide services to the global semiconductor and microelectronics and roadmap sharing. 2009: Acquires PureLine Co., a fluid industry, Entegris is using its analytical and materials science handling component manufacturer, in Kangwon-do, Korea expertise to develop comprehensive solutions to address critical By aligning our material science, engineering 2011: Opens manufacturing facility in contamination issues in the fab. and R&D initiatives, we can develop indispensable Hsinchu, contamination control solutions to solve our 2012: Opens the Advanced Technology customers’ roadmap challenges. Center, manufacturing for 450 mm ITRS 2010 2012 2014 2016 and EUV, in Colorado Springs, CO Node 32 22 14 10 Acquires Entegris Precision Technology, DRAM 1/2 Pitch (nm) 45 32 25 20 a HDPE drum blowmolding facility, Critical Particle Size (nm) 20 15 10 5 in Yangmei, Taiwan Critical Metal Ions (ppt) 1000 1000 100 TBD Opens new facility to provide specialized silicon and diamond- Molecular Contaminants Surface Airborne Airborne Airborne like coatings in Lyon, France Expand Applications Electronics that are smaller, faster, cheaper and more functional Excellence 2013: Acquires Jetalon Solutions, a precision chemical sensor and analyzer maker

Entegris Technologies Application and ProcessKnowledge Liquid and Gas Filtration Continuous Improvement Customerviews Customer shares and Purification Filtration products: smaller pore size, higher flow, higher retention Entegris as sensitive product solutions roadmap information Purification products: volatile organics, AMC, moisture provider Wafer Handling Advanced 300 mm Microenvironment Control 450 mm prototypes Relevant, Direct Faster New We Develop Relevant Trusted, Engagement Product We Listen Mask Handling Carriers to prevent reticle haze EUV mask carriers Final Solution by Engineering Development Technology Teams Fluid Handling Components for a wider range of process conditions, precise real-time Partner sensing and control Coatings New coating technologies for components used in next generation Customer Customer enters provides feedback problem-solving semiconductor processing on prototype relationship performance We RespondQuickly Leveraging our materials science expertise with Prototypes

Pilot Capability at Most Sites

4 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 5 Our expertise in material science and contamination CMP solutions control enables our customers to meet the demands of the market by reducing costs, enhancing yields, increasing productivity, and improving process control.

Purity Materials Management Process Control

Challenge Pneumatic Valves & NT® 4400 Electronic Flowmeters Integrated Flow Controllers NT 6510/6520 NT Control Modules NT Pressure Transducers CR-288® Concentration Monitors Service CMP Cleaning Part Customer Challenges Planargard® Filter Family Planarcap® Filter Family Solaris® Filter Family Filters AMC Tool-Top Spectra™ FOUP Planarcore® PVA Brushes Conditioners Planargem® Pad and Pipe Fittings Tube PrimeLock® Fittings Flaretek® 90° Sweep Elbows and Pipe Tubing CR4/CR8/CH8 Manual Improve yield | Defect • • • • • • • • • • • • • reduction Integrated CMP Tool Improve start-up time with • • • • • • •

Process new products Process flexibility • • • • • • • • • • • • • Improve wafer throughput • • • • • Slurry Blending Improve tool uptime • • • • • • • • • • • • • Maintain and control operating conditions and • • • • • • • • • • • process parameters

DI Water Loop Manufacturing Maintain clean wafer • • • • • • • • • environment Reduce calibration of sensors and equipment • • • Bulk Slurry Reduce scheduled and unscheduled maintenance • • • • • • • • • • Equipment Chemicals and gases Consumables • • • • • •

For over 40 years, Entegris has been at the forefront Cost Energy (Electricity / Air) • • • • • of providing comprehensive microcontamination Components • control solutions – with products and services that surround key processes such as wet etch and clean, lithography, CMP and substrate handling. The following pages highlight 18 products and services designed to solve your fab challenges by providing best- in-class purification, materials management and process control solutions.>>>

6 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 7 Planargard® Filter Family PURITY

performance (continued) Entegris’ Planargard® melt-blown graded • Particles results of tests conducted by Planargard CMP Cartridge Filters density slurry filters provide CMP applications Planargard CMP Cartridge Filters Entegris demonstrate that Planargard CMP1 CMP3 CMP7 the critical performance balance between 100 cartridges do not affect the particle size 90 the removal of defect-causing agglomerate 80 distribution of working particles. 70 CMP5 60 gels and the delivery of the necessary slurry CMP11 • Filters tighter than CMP1 can remove 50 solution to the wafer surface. 40 CMP13 Retention (%) 30 desirable working particles, causing CMP9 • Excellent retention of agglomerates 20 CMP16 premature plugging and reduced removal 10 0 • Removal of disruptive particles only rates. 0 5 10 15 20 25 30 Particle Size (µm) • No action on the particle size distribution of working particles Optimized for bulk filtration of CMP slurries Tailored to application needs

Product Planargard NMB Planargard CL Bulk Planargard CMP Planargard CS Filter Filter Filter Bulk Filter Application Ceria and colloidal silica bulk slurry applications Sub-fab CDS filtration Materials Membrane: Polypropylene Membrane, core, sleeve, Filter element: Filter element: Support: Polypropylene endcaps: Polypropylene All-polypropylene All-polypropylene FEATURE ADVANTAGE BENEFIT Cartridge o-ring: EPR, Cartridge o-ring: EPR, construction construction Silicon, 2-222 2-222 Cartridge o-ring: Cartridge o-ring: Graded-density depth filter • Defect-causing agglomerates retention • Reduced potential premature filter EPR EPR, 2-222 design in the four layers of the filter "plugging" • Longer filter lifetime Maximum operating 80°C (176°F) temperature Disruptive particle removal • No particle size distribution change • Consistent slurry delivery to the process Maximum forward 0.48 MPa (4.8 bar, 70 psi) @ 25°C (77°F) of the desired slurry particles after differential pressure filtration Maximum reverse 0.15 MPa (1.5 bar, 22 psi) @ 20°C Compatible with Chemlock® • Bowl and cartridge are removed as a • Easy and rapid changeout pressure housing single unit • Space saving Available sizes 10", 20", 30", 40" 5", 10", 20", 30" 10", 20", 30" 5", 10", 20", 30" Available retention 0.3, 0.5, 0.7, 1.0 μm 0.2, 0.3, 0.5, 0.7, ratings 1.0 µm

performance frequently asked questions Four layer depth filter • Depth filter with four-layer construction How do I choose the appropriate Planargard filter What is the lifetime of a Planargard filter? to trap particles and ensure long filter for my application? The lifetime varies by the process condition. If you life. Critical slurry particles pass through You should consider slurry characteristics, such want to know the lifetime of using Planargard all four layers and are delivered to the as abrasive types, particle distribution and solid filters in your applications, please contact our local polishing tool concentration to determine the appropriate representatives for filter analysis and testing. Planargard filter for your application. For any question, please contact your local representatives.

8 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 9 Planarcap® Filter Family PURITY

performance (continued) Retention efficiency and pressure drop of Entegris POD filters Entegris’ Planarcap® family of CMP slurry Furthermore, tighter filtration is seen to have a filters are designed for point-of-dispense 100 1.0 very significant affect on wafer level defectivity. 90 0.9 (POD) applications. Planarcap filters provide • Specifically, scratch defects are seen to be 80 0.8 the critical performance balance between 70 0.7 reduced by over 50% when switching to a the removal of defect-causing agglomerate 60 0.6 gels and the delivery of the necessary slurry 1.0 μm Planarcap KPX from a 1.5 μm 50 0.5 Planarcap KPX. 40 0.4

solution to the wafer surface. Retention (%) 0.3 30 Pressure Drop (psi) • Given the affect of tighter filtration at POD • Pleated design providing best combination of 20 0.2 on wafer-level defectivity, the benefits surface area and media gradient 10 0.1 of increased filter life and high retention 0 0 • Longer lifetime with improved flow 1.0 µm Planarcap KPX 1.5 µm Planarcap KPX efficiency provided by the Planarcap KPX Filter Type Pressure drop at 1 L/min Retention (%) of 1.0 µm Optimized for copper, STI, ILD and tungsten product line are evident. in DI water SS12 slurry particles applications to capture particles in silica, ceria or alumina slurries. Tailored to application needs

Product Planarcap KPX Filter Planarcap LPX Filter Planarcap TPX Filter Application CMP slurry filtration Copper, ILD, STI and tungsten STI and Cu CMP POD and POU filtration CMP applications FEATURE ADVANTAGE BENEFIT Materials Medium: Polypropylene Medium: Pleated depth Medium: Pleated depth polypropylene polypropylene Pleated depth filter design • Defect-causing particles retention • Superior lifetime Core, cage, shell: Polypropylene • Multi-stage, graded-density design • Superior flow High-retention disposables • Reduction of micro-scratches and total Maximum operating 40°C (104°F) defects temperature Focused application • Designed specially for: • Defect-causing particles removal Maximum forward 0.27 MPa (2.7 bar, 40 psi) @ 25°C differential pressure development - alumina and silica abrasives • Low pressure drop Maximum forward / 0.27 MPa (2.7 bar, 40 psi) @ 20°C (68°F) containing slurries • Higher lifetime reverse pressure - ceria and colloidal slurries Available sizes 2.5", 5" 2.5", 5" Available retention 1.0, 1.5 µm 1.0, 2.0, 3.0, 5.0 µm 0.1, 0.2, 0.3 µm performance Relative lifetime of 1.5 μm Planarcap KPX compared to ratings  Relativea competitor Lifetime 1.5 of μm 1.5 POD µm PODfilter Filters • Planarcap KPX is designed to maintain a long 10 lifetime and a high particle removal efficiency 9 frequently asked questions even in the most hard-to-filter slurries. 8 7 Can Planarcap be used in a bulk chemical system? Is there any special treatment needed for 6 No, Planarcap filter is better used for small volume Planarcap filters? 5 applications. It is not adequate for bulk chemical Normally no special treatment is needed for using 4 Pressure (PSI) systems. Planarcap filters. However, you may need pressure 3 activation for sub 1 µm filters. Please contact our 2 1.5 µm Planarcap KPX local representatives for instructions. 1 1.5 µm competitor filter 0 0410 20 30 0650 0870 090 100 Normalized Time

10 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 11 Solaris® Filter Family PURITY

performance Entegris’ Solaris® graded density CMP slurry Solaris NMB Filters SolarisSolaris NMB NMB Retention Retention Curve filters provide point-of-use (POU) GPMCMP 100 applications the critical0 performance0.1 0.2 balance0.3 0.4 0.1 µm 80 0.2 µm between the removal40 of defect-causing

a) agglomerate gels and the delivery of the 5 60 30 0.1 µm 4 necessary slurry solution to the wafer surface. 40

20 0.2 µm 3 Retention (%) • Designed to meet the needs of next-generation 20 point-of-use (POU) slurries 2 10 0 • Self-venting in vertical installation for 1 0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 Differential Pressure (kP

optimum flow characteristics0 0 Differential Pressure (psid) Particle Size (µm) 0 0.5 1.0 1.5 2.0 Note: Characterize media retention by Entegris proprietary • All-polypropylene constructionFlow Rate with (L/min) melt- — 1 cP @ 20°C (68°F) protocol of PSL beads solution blown wrapped depth filtration media • Retention range from 0.3 µm to 5.0 µm Tailored to application needs Optimized for copper, STI, ILD and tungsten Solaris NMB Solaris CL Solaris CM Solaris SCR applications. Product Disposable Filters Depth Filters Depth Filters Filters Materials Media: Melt-brown Media: Wrapped melt- Media: Polypropylene Media: Melt-blown polypropylene blown polypropylene depth filter polypropylene

O-ring: EPR O-ring: EPR Shell: Polypropylene O-ring: EPR

Maximum Maximum forward Maximum forward Maximum operating Maximum forward FEATURE ADVANTAGE BENEFIT operating differential pressure: differential pressure: temperature: differential pressure: Optimized depth media • Superior agglomerate gel holding • Longer filter lifetime conditions 50 psi @ 20°C (68°F) 50 psi @ 20°C (68°F) 50°C (122°F) 50 psi @ 20°C (68°F) capability Maximum liquid service Self-venting filtration • Eliminates dead space and potential • More efficient startup pressure: for slurry dry-out in the filtration • Less slurry handling 3.5 bar @ 20°C (68°F) media, ensuring longer life Available sizes 5" 5" 5" 5", 10" Disposable, Connectology® • Compact stable design • Minimizes downtime Available 0.1, 0.2 µm 0.3, 0.5, 0.7, 1.0, 3.0 μm 0.3, 0.5, 0.7, 1.0, 3.0, 1.5, 3.0 μm design • Quick installation • Safer installation retention 5.0 μm ratings

frequently asked questions Does the Solaris filter family have a connectology Can the Solaris Filter Family be used for POD option? (Point-of-dispense) process? Yes. All of the Solaris filters can be specified with a Yes, you need to consider the space of dimension to connectology manifold. install Solaris filters in your POD process.

12 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 13 AMC Tool-Top Filters PURITY

performance A unique chemistry removes critical Filter lifetime estimate: actual filter life is contaminants at a low pressure drop determined by measuring the fab’s chemical for production tools. challenge concentration, downstream quality • High removal efficiency >95% and testing of returned filters. • Removes critical acids, bases and organics from the fab ambient air to reduce Typical Lifetime Pressure Loss vs. Velocity contamination risk 100 120

• Long life 95 Acids 100 • Removes a comprehensive array of AMCs in 90 80

a single filter cell a +/- 25%) 85 60 Optimized for process tool Airborne Organics 80 Molecular Contamination control. 40

Removal Efficiency (%) Bases 75 Entegris AMC tool-top filters provide AMC Pressure Loss (P 20

protection for all semiconductor process 70 0 0100,000 200,000 300,000 400,000 500,000 050100 150 200 tools including: wafer metrology, reticle Lifetime (ppb-hrs ±25%) inspection, ALD, EPI, diffusion, CVD, PVD, Velocity (ft/min) wet etch, wafer clean, dry etch and CMP.

FEATURE ADVANTAGE BENEFIT High removal efficiency (>95%) • Removes critical acids, bases and organics • Reduces contamination risk from the fab ambient air Proprietary chemistry developed • Protects optics and process • Qualified by leading fabs with key OEMs High surface area • Low pressure drop • Reduces energy requirements and cost Optimized blend of treated, • Balanced mix to match lifetimes for all • Provides targeted contaminant removal untreated activated carbons and contaminant classes ion-exchange media Data-driven See it. Control it. • Clearly identifies critical AMC levels in • Provides tailored, application-specific methodology customer’s facility filtration solutions

Product backed by Entegris OEM • Product performance windows are clearly • Provides assurance that all certified, ISO 17025 accredited defined performance claims are verified laboratory services with supporting data Available in a range of sizes • Field tested and proven • Easy to implement and install frequently asked questions to satisfy various installation How do I choose the correct filter for How do we determine filter lifetime? requirements, including tool- my application? Entegris provides on site and post mortem top, fan filter unit, tool interface Our recommendation is based on different parameters analysis to optimize filter changeouts. or in-tool housing in all equipment front-end modules such as: AMC targeted, flow rate, maximum pressure (EFEM) and process modules drop acceptance, mechanical dimensions and expected lifetime.

14 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 15 Microenvironment Control Solutions materials mana g ement

performance The Spectra™ FOUP is a 25+1 capacity front Microenvironment control: A system approach Purging with inert gas will remove moisture and opening unified pod (FOUP) that provides oxygen during or between critical process steps. high-performance wafer transport, optimum • Entegris Barrier Material (EBM) to improve purge Etching and deposition steps are prime examples. automation integration and low cost of duration, quicker purge down time and less ownership for your facility. absorption/desorption of outgassing from wafers • Reduces corrosion and hazing issues • High-strength assembly • Snorkel purge option to rapidly purge down to • Improves yield low moisture and oxygen levels while directing • Improves queue processing time • Wafers protected against shock and vibration airflow between the wafers • Meets all applicable SEMI® standards EBM Spectra-S • Helps control environmental • Clarilite® Wafer for contamination due to: • Uses STAT-PRO® 3000 carbon-filled maintaining low moisture PEEK™ material at all wafer contact points or oxygen conditions for -- Outside contamination (atmosphere) -- Outgassing of the wafers • One piece molded shell extensive time frames -- Outgassing of the FOUP (humidity) • Optional configuration available for a conductive shell to increase protection • Microenvironment control Challenges in wafer microenvironment control Relative humidity (H2O) recovery over time Optimized for below 45 nm processing. O2 and H2O control after a FOUP purge 45 Process Acid Base Organic O2 H2O Dopant 40 Standard PC FOUP Gate Oxide • • • 35 EBM FOUP FEATURE ADVANTAGE BENEFIT Ultra Shallow Junction • • • • 30 Copper seed to plate interface • 25 New latch mechanism • Increases FOUP sealing and conductance • Improves yields Exposed Copper Corrosion • • 20 Dual action wafer retainer • Increases ESD protection Reticle Storage • • 15 Humidity (% RH) Wafer contacts are all PEEK • Reduces airborne molecular HSG (hemispherical glass) Poly • • • 10 contamination (AMC) and backside Salicide contact formation • • • • 5 Wafer edge contact only particles SiGe • • • 0 Purge capable EPI/Pre-EPI • • • 0102030405060708090 100110 120 130 140 Time (min) Lithography (photoresist Molded one-piece carbon-filled • Greatly reduced tolerance issues • Increases tool uptime • sensitivity) PEEK wafer supports integrated • Precise wafer plane performance • Improves reliability and with shell interoperability on loadports • Improves tracking through molded-in barcodes and RF tags Simple, reliable pod assembly • Has minimum part count and no • Improves COO frequently asked questions metal parts • Improves cleaning and drying • Snap fit parts cycle time What kind of identification options are offered? Why 25+1 slots instead of 25? • Field replaceable units for FOUP • Various shell colors for segregation One slot can be used for a test or refurbishing • Colored inserts on handles monitor wafer EBM configuration • Allows significant extension of purged • Protects wafers longer from • License plate environment (low moisture) conditions that allow corrosion or • Molded-in bar code • Quicker purge down time hazing due to moisture • Print-on-demand labels Snorkel purge • Higher airflow • More effective purge than traditional • Laser marking • Directs airflow between wafers techniques

16 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 17 Planarcore® PVA Brushes materials mana g ement

performance Designed for use with Applied Materials®, The results of an accelerated tribological Average AIT XP pareto of defects Ebara® and Ontrak® CMP equipment, for two PVA brush types stress evaluation (48-hour marathon Planarcore® PVA brushes feature molded- Roughness/corrosion Scratch Particle/residue run) of PVA brushes show the following: No defect found/unknown Non-CMP through-the-core construction for superior 3500 performance and wafer-to-wafer cleaning • The post-CMP cleaning comparative evaluation of Planarcore in a Cu/ 3000 consistency. t Low-k process was found to be similar un 2500 • Easy installation or better than the fab POR slip-on- Co the-core design brushes in a third- 2000

• Reduce downtime on tool Defect

party characterization of brushes ed 1500

• Decrease defectivity on wafer aliz • The total variation range of dynamic 1000

• Consistent cleaning for the period of brush life Norm coefficient of friction (COF) for 500

Optimized for the needs of next-generation Planarcore seems to be minimum vs. 0 copper and ILD applications. slip-on-the-core PVA sleeve design of POR Total Entegris PVA Total two other suppliers. Brush Type

Molded-through-the-core (MTTC) design PVA brush Brush C (MTTC design) COF results Brush C (MTTC Design) COF Results Close molded PVA and working 1.0 Flow surface with nodules channel Fluid flow e) 0.8

through core ng

l Ra 0.6 ta

d To 0.4 n an Integrally molded 0.2 stay-in-place core/mandrel Mea F ( PVA flow equalization and anchor layer 0 CO

-0.2 284424 36 8 FEATURE ADVANTAGE BENEFIT Scrubbing Time (Hours) Molded-through-the-core • Allow rapid and consistent installation on • Reduce system downtime design tools • Increase system throughout • Eliminate alignment and gapping problems • Keep concentricity during use • Remain dimensionally stable frequently asked questions High-purity PVA • Allow for more efficient cleaning of PVA in • Deliver the most consistent What is the cleanliness of our brush? What are the main differences or advantages vs. manufacture performance We have low impurity with metals, ions and particles. competitors? • Reduce brush break-in and flush-up time • Quicker CMP tool startup The major advantages we have, compared to our • Low extractable and reduced particle counts competitors include ease of use, brush break-in on wafers process, wafer-to-wafer consistency and longer Close molded technology • Very good core flow equalization • No risk of non-repeatable and lifetime. non-predictable performance due to inconsistent flow rates through the length of the brush

18 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 19 Planargem® Pad Conditioners materials mana g ement

performance Designed to maintain constant surface PadPad Conditioner Conditioner - -Cut Cut Rate Rate Uniformity Uniformity morphology and consistent material removal 1.20 Planargem rate, preventing "glazing". 1.00

• Infinite pattern design flexibility 0.80 CVD Competitor • Tuned diamond film properties 0.60 d Cut Rate (µm/hr) Standard Conditioner A • Reduced scratch defects 0.40 Standard Conditioner B • Consistent pad cut rate performance 0.20 • Longer lifetime Normalized Pa 0.00 0246810 12 Conditioning Time (hr) Optimized for harsh environments and chemistries such as in-situ Tungsten, as well as configuration for sub-20 nm technologies requiring gentle conditioning when polishing extremely sensitive surface features.

FEATURE ADVANTAGE BENEFIT frequently asked questions Polycrystalline CVD Diamond • Enable long life • Reduce work per facet What is the pad cut rate drop performance? What are the main differences or advantages vs. Film • Chemically clean and stable in process • No internal defects • CVD Film and engineered substrate contribute competitors? chemistry to stable performance and eliminate conditioner Our key product benefits include: performance • Stronger than natural diamond grit break-in flexibility, lower defectivity, consistency, cleanliness • Provides savings on both pad and conditioner life and extended lifetime. Textured Silicon Carbide • Flexible topography design • Tailored to fit customer process • Tunability of features and coating enable Substrate • No diamonds to fall out or break off into • Provide reduced CMP process throughput maximization polishing process variability • Significantly improved disc to disc repeatability

Segment Design • Assembly flatness • Cost efficient • Keep 'flatness' • High design flexibility

20 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 21 Tube and Pipe Fittings materials mana g ement

Entegris has the broadest range of configurations performance Flow Pattern Comparison and sizes available for Flaretek, FlareLock®II and Maximum Pressure Capabilities @ Room Temperature Standard vs. Sweep Elbow at 5 GPM PureBond® PFA fittings. Values in psig (kPa) • Most complete line of flare and pipe fitting FlareLock II connection applications Size PVDF Nut PFA Nut CPFA Nut PFA Nut 1⁄4" 225 (1551) 225 (1551) 225 (1551) 225 (1551) • Flare fittings provide leak-free performance in 3⁄8" 225 (1551) 225 (1551) 225 (1551 225 (1551) demanding applications 1⁄2" 190 (1310 190 (1310) 190 (1310) 190 (1310)

• PureBond weldable pipe fittings provide a rigid, 3⁄4" 110 (758) 110 (758) 110 (758) 140 (965) The Computational Fluid Dynamics software analysis shows a 56% permanent, leak-free piping system 1" 75 (514) 75 (514) 75 (514) 90 (620) increase in flow and 60% lower pressure drop through the same size • Broad range of sizes and configurations: 11⁄4" 80 (552) — — N/A fitting at 5 GPM. Eliminating the sharp corner also reduces the shear rate of slurries. flare 1⁄4"– 11⁄4", PureBond 1⁄4"– 2" elbows, unions, reducers, caps, tees, crosses and adapters Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

FEATURE ADVANTAGE BENEFIT frequently asked questions PFA wetted surfaces • Provides high purity and • Lower cost of ownership What is Flaretek? What does a X at the end of a part number mean chemical resistance through increased productivity The flaring process provides a permanent expansion (e.g. E8-8FN-1X)? • Long product life and throughput (flare) of the tubing end, allowing insertion of the X means fitting body is made of PFA HP Plus Largest installed customer • Global manufacturing and support • High service levels in all regions Flaretek fitting body. and it is recommended for chemicals containing base of PFA fittings • Assured reliability and performance • Lower cost of ownership through What are the tools required to make a proper fluorinated surfactants. increased manufacturing uptime Flaretek connection? When is FlareLock II recommended? Available in a broad range • Single source supplier for all • Reduces number of suppliers A heating source (air gun), mandrel and tube cutter. For elevated temperatures >100°C or higher of sizes and configurations high-purity, corrosive chemical • Lower design cost What are the requirements to make a PureBond pressure (see chart) and before/after pumps connection needs connection? (pulsation / vibration). • Suitable for a wide variety of A 1‘‘ or 2‘‘ Entegris PureBond tool kit. high-purity applications

22 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 23 PrimeLock® Fittings materials mana g ement

performance The simplest, cleanest and most robust PFA fitting on the market. The unique seal design removes the insert PrimeLock ¼"- 1" fittings are compatible with from the flow stream high-temperature chemical processes: • PrimeLock® fittings ensure leak-free • Reduces entrapment • At temperatures up to 200°C at 40 psi performance in the most demanding chemical applications • Reduces leak points • At room temperatures it is rated to 120 psi • Easy to assemble, heat is not required • Eliminates flow interruptions • The audible and visible indicator allows users to verify the proper makeup of the connection PrimeLockPrimeLock Cross-sectionCross-section Pressure vs. Temperature Rating Three3 Backup backup Seals Seals • Broad range of sizes and configurations: ¼" Backup seal 2: to 1¼" elbows, unions, reducers, caps, tees, Surface between ID of tube and OD of insert minimum tube unions and adapters Primary • Expanded on a wide range of Entegris seal products: Chemlock® filter housings, NT pressure transducers, CR4 ¼" and CR8 ½" series valves. Backup seal 1: Surface Ideal for high purity, corrosive chemical between insert and handling, low surface tension chemistries fitting nose and high-temperature applications. Tube insert, nonwetted

Backup seal 3: Surface between OD of tube and inside of fitting body

FEATURE ADVANTAGE BENEFIT frequently asked questions Ease of assembly • Audible and visual indication of proper • Consistent and repeatable When should I use PrimeLock fittings? How can I find the appropriate fitting? fitting makeup fitting makeup PrimeLock fittings can be used in high-purity, You can generate fitting part numbers based on PFA wetted surfaces • Broad chemical compatibility • Simplifies product selection corrosive chemical handling, semiconductor your specifications and search the extensive online Robust thread design • Three backup seals • Consistent leak-free connection applications, solar, LED, flat panel display and with catalog on: • No cross threading low surface tension chemistries. Also, used in high- • The web at www.entegrisfluidhandling.com temperature applications up to 200°C. • The mobile selection tool at: • Quick makeup Scan QR Code • Increased sealing forces m.entegrisfluidhandling.com Unique seal design • Nonwetted insert eliminates multiple • Improved process entrapment, leak points and flow interruptions Capable of 200°C (392°F) • Simplifies product selection • Lower design cost temperature rating No heat required to flare tubing • Reduces operator variability • Saves time and money Fittings mobile selection tool

24 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 25 Flaretek® 90° Sweep Elbows materials mana g ement

performance Flow Pattern Comparison Flaretek® 90° elbow with optimized radius • 60% lower pressure drop through Standard vs. Sweep Elbow at 5 GPM eliminates sharp corners to dramatically the fitting compared to the standard reduce pressure drop and increase flow. 90° elbow Manufactured from 100% virgin HP PFA material. • Smallest footprint sweep available, making it practical for OEM tools • No dead spots • Reduced footprint design saves • Increases flow rate in same footprint as assembly time standard elbows • Decreases fluid turbulence for CMP chemical Achieve better results than standard Standard vs. Sweep Elbow health 90° elbows • Standard 90° elbow burst pressure: 2 x 2.20" 2 x 2.20" Optimized for high volume bulk chemical 644 psig (average) distribution and CMP slurry applications. • Sweep 90° elbow burst pressure: 825 psig (average)

¾" P/N USE12FN-3

FEATURE ADVANTAGE BENEFIT Optimized 90° radius • Reduces total system pressure drop • Increases overall equipment lifetime frequently asked questions • Increases flow • Improves yield What fitting sizes and accessories are available? How can I find the appropriate fitting? • Reduces fluid shear • Fitting sizes: ½", ¾" and 1" You can generate fitting part numbers based on • Reduces dead volume • Improves yield by reducing large • Spacesaver or PureBond® connections available your specifications and search the extensive online particles on wafers • FlareLock II, PVDF, PFA, CPFA nut available catalog on: • Decreases turbulence • Maintains chemical integrity • The web at www.entegrisfluidhandling.com What are the pressure and temperature ratings? • The mobile selection tool at: Scan QR Code Pressure and temperature ratings are the m.entegrisfluidhandling.com same as a standard 3⁄4" 90° elbow fitting. Visit www.entegrisfluidhandling.com for additional information.

Fittings mobile selection tool

26 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 27 Tubing and Pipe materials mana g ement

Broad range of tubing and pipe made from performance 100% virgin high-purity PFA material for Pressure vs. Temperature highly corrosive, ultrapure applications. Fluid Temperature (°C) • Tube flaring process provides leak-free 0 37.8 65.5 93.3 121.1148.9 176.7204.4 connections and offers design flexibility 300 AT250-047 • PureBond weld process is ideal when 250 1724 AT375-062 permanent connections are required ) 200 1379 AT500-062 • Non-intrusive, non-contaminating and (P SIG re 150 1034 permanent serialized laser-marking allows AT750-062 immediate material identification Pressu 100 690

• Standard wall tubing is available in 1⁄4", 3⁄8", 50 345 AT1000-062, 1⁄2", 3⁄4", 1", 11⁄4", 11⁄2" sizes AT1250-075* 0 0 50 100150 200250 300350 400 • Pipe is available in 1⁄4", 1⁄2", 3⁄4", 1", 2" sizes Fluid Temperature (°F) • Pipe and tubing are available in different *1” and 11/4” ratings vary slightly. Please consult the factory for specific rating information. PFA grades Optimized for bulk distribution, valve manifold boxes mainline, wet etch and clean applications: HF, H2O2, H2SO4, H3PO4, HNO3, MAE and chemical mechanical planarization.

FEATURE ADVANTAGE BENEFIT frequently asked questions PFA material of construction • Broad chemical compatibility • Lower cost of ownership through Can I use the standard 4200 material with Is a convoluted tubing available? • Long product life increased productivity and throughput surfactant? Yes, available in ½", ¾" and 1" sizes. 4200 should not be used with fluorinated surfactants. Long-term performance testing at • Products can be used with • Added safety How can I identify my installed tubing? various media temperatures confidence at maximum • Lower cost of ownership through Are all tubings available as coiled tubing? Laser marking identifies the size, and traceability to a continuous rating increased manufacturing uptime Yes, the standard straight wall tubing can be coiled material lot number and production inspection record customized. (except 0.030"wall). Laser marked tubing • Sure method of traceability • Accurate and easy identification in critical situations Available in different PFA grades: • Customizable in different material • Increased product performance and 4200, 4300 and 4400 (HP plus) quality requirements longer product life • Exact grade of PFA can be selected to best fit the application

28 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 29 CR4, CR8 and CH8 Manual and materials mana g ement Pneumatic Valves performance (continued) CR4, CR8 and CH8 valves are next generation CR4 CR8/CH8 valves specifically designed for corrosive environments. Orifice 1⁄4" 1⁄2" Media temperature range 21°C - 160°C CR8: 21°C - 130°C • Modular design – manifoldable CH8: 21°C - 180°C • Small footprint Inlet/outlet pressure rating at RT CR4: 80 psig / 40 psig 80 psig CR4 HP: 80 psig • High-temperature capability Cv 0.29 - 0.84 2.4 - 3.4 • High-purity corrosive chemical handling Reliability Tested over 2.1 million cycles Tested over 4 million cycles CR4 is ideal for low flow wet etch and clean applications and high temperature corrosive chemical handling. frequently asked questions CR8/CH8 is ideal for mid flow wet etch and What configurations are available? When should I choose CH8 vs. CR8? clean, low volume bulk chemical delivery • Pneumatic, toggle or multi-turn actuators When temperature exceeds 130°C (226°F), CH8 should and high-temperature applications. • ¼"to ¾" port sizes be selected. CH8 can support up to 180°C (365°F). • Flaretek, PureBond, Pillar S300 or PrimeLock Why should I use CR4 instead of SG4? connections • CR4 has no exposed metal hardware and will • Options for position indicators, restricted open be more resistant to corrosive environments. FEATURE ADVANTAGE BENEFIT and close, LOTO etc. • Higher temperature capabilities compared to SG4 series Modular design • Ease of assembly • Improves serviceability Is there a 3-way CR valve available? • Easily configured into custom manifolds • Allows tool manufacturer Yes, it is available ¼"to ¾" pneumatically actuated. • Improve ease of field repairability • Compact footprint to optimize space • CR4 is a direct replacement for SG4 Are all CR valves actuators manifoldable? High-temperature capability • Solves variety of critical issues including • Improves yield Yes, all 2-way and 3-way valve types with all actuator photoresist stripping configurations can be manifolded. Vented actuator design • Able to withstand corrosive environments • Improves reliability • Extends product lifetime Variety of end connections • Versatility and ease in system design • Improves cost of ownership Available in 3-way • Three-ported directional valve design • Enables simplification of configurations system design performance • Sealed actuator with separate actuator vent port • Ability to vent the actuator through a separate vent line to a controlled environment

30 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 31 NT® Electronic Flowmeters, process control Model 4400 performance NT® electronic flowmeters are ideal for Materials- Body: PTFE Pressure drop vs. flow rate capturing critical diagnostic information for wetted parts Sensor interface: PFA or CTFE Pressure Drop (bar) monitoring or controlling process applications. Primary seal: Kalrez® 0 0.15 0.30 0.45 0.60 0.75 0.90 1.05 1.20 • Provides simultaneous measurement of outlet Materials- Polypropylene, polyethylene, PVDF and 100% pressure and flow rate nonwetted PVC, or FEP-jacketed cable parts 80% • No moving parts offers reduced particle Process 10°C to 65°C (50°F to 149°F) Consult generation potential temperature factory for higher temperatures 60% Electrical 24 VDC (12-28 VDC input voltage) Optimized for use in ultra high purity input 40% % Full Scale Flow Rate :1 turndown (T flow range) applications in the semiconductor industry. Electrical Two 4-20 mA electrically isolated (E flow range) 20:1 turndow n 20% 10 output outputs, one for flow and one for outlet

pressure (Standard flowmeter using deionized water) 0% Process drop 3 psid at nominal flow, 10:1 turndown 0 2.0 4.0 6.0 8.0 10.0 12.0 14.0 16.0 18.0 20.0 12 psid at nominal flow, 20:1 turndown Pressure Drop (psid) Operating 0 to 60 psig (0 to 414 kPa) pressure Over-pressure T100 psig (690 kPa) limit

frequently asked questions FEATURE ADVANTAGE BENEFIT What applications can be covered by the NT What types of sensor interfaces are available? No moving parts • No particle generation • Yield improvement electronic flowmeters? CTFE or PFA. • Provides repeatable and reliable • Throughput improvement NT electronic flowmeters are best used for monitoring measurements or controlling process applications, such as: Flow-through design • Minimizes dead volume • Contamination potential reduction • Chemical, DI water and slurry dispense • Precision blending and metering Integral pressure transducer • Additional process information supply • Process control enhancement • Totalized flow for custody transfer • System diagnostics

32 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 33 NT® Integrated Flow Controllers, process control Models 6510 and 6520 performance NT® integrated flow controllers, models 6510 Enhancements to valve motor and diaphragm provide improved flow control, and 6520 are designed for critical dispense added safety and contamination protection. applications, chemical blending and on- demand chemical mixing applications. 6510 6520 • PTFE wetted surface for high-purity applications Sensor interface CTFE, PFA CTFE, PFA Flow range 0-15 mL/min to 0-1250 mL/min 0-2.5 L/min to 0-40 L/min • No moving parts offers reduced Flow measurement ±1% full scale >20-100% of full scale flow range contamination potential accuracy ±2.5% full scale 10-20% of full scale flow range • Nonmetallic sensing technology based Repeatibility ±0.5% full scale >20-100% of full scale flow range on differential pressure provides reliable ±1% full scale 10-20% of full scale flow range measurement Pressure measurement 0-414 Kpa (0-60 psig) • Compact design Pressure accuracy ±1% of full scale Process temperature 10°C – 65°C (50°F -149°F) NT model 6510 is ideal for aggressive Size 852 cm3 1744 cm3 chemical applications where accurate (smallest IFC on the market) dispense or dosing is needed. NT model 6520 is ideal for high-flow applications such as bulk delivery or tool liquid dispense.

FEATURE ADVANTAGE BENEFIT frequently asked questions PTFE and other high-purity • Corrosion resistant • Maintains high purity process What are the NT integrated flow controller How do I choose the right interface for my fluoropolymer wetted surfaces requirements? application? Pressure output included • Eliminates needs for additional • Improves metrology • Line pressure must be at least 10 psig • CTFE should be used for most aqueous chemical instrumentation • Improves process control • Sizing for flow control: target flow (nominal flow) solutions and select solvents • Lowers cost should be within 35 - 90% of a flow controller's • PFA should be used for solvents, select chemicals Compact footprint • Easy for field installs and OEM • Upgrades older equipment flow range and process temperatures above 40°C designs with limited space • Allows tool manufacturers to • Maximum working pressure: 60 psig • CTFE (Hydrofluoric acid compatible) should be used optimize space for HF applications Do bubbles affect pressure/flow measurement? Please refer to chemical compatibility chart for Discrete alarm output • Improved diagnostics • Increases tool uptime by improved No, NT Integrated flow controllers combine a systems troubleshooting sensing and control products: differential pressure-based flowmeter and a leading http://www.entegris.com/Resources/ edge control valve to create a closed loop flow assets/3960-2602-0912.pdf controller. What types of sensor interfaces are available? CTFE, PFA or CTFE (hydrofluoric acid compatible).

34 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 35 NT® Control Modules process control

performance The NT® control module is an integrated Accuracy ±0.2% full scale, plus 1 digit Example Application display and fully programmable process Temperature (operating) 0°C to 50°C (32°F to 122°F) Peristaltic Pump Control controller that allows the user to fine-tune control parameters, display settings and alarm Set point input from process set points Control signal • PID control output NT® Control Module • Fully programmable Flow Signal • Compact design • Output alarms and local display • Deviation indication Flow NT® Electronic Flowmeter • Keypad or external set point input • Programmable alarms and alarm delays Peristaltic Pump Optimized to meet flow control needs for chemical and slurry dispense.

FEATURE ADVANTAGE BENEFIT frequently asked questions Fully programmable • PID control parameters selection • Process optimization What is the function of the NT control module What are the major advantages of an NT control • Settings and alarm set-points display as an alarming display? module? Peristaltic pump controller • Closed-loop flow control system • No peristaltic pump calibrations NT control modules may be used as an alarming NT control module successfully improves process, functionnality when used with a • Fluid flow maintenance at hte desired set • Chemical and Di water usage display for NT integrated flow controllers or similar reduces costs and increases uptime. NT Electronic Flowmeter point reduction set point driven devices. Alarming display functionnality • Alarm on the difference between actual • Constant flow rates maintenance when used with an NT flow and set point Integrated Flow Controller

36 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 37 NT® Pressure Transducers process control

performance Monitoring Differential Pressure To Schedule Filter Replacement NT® pressure transducers provide accurate • Provides reliable pressure Monitor Pre-, Post- and reliable inert pressure measurements, and measurement where and Differential Pressure measure gas or liquid pressure, allowing you manual gauges only provide to monitor process conditions for increased approximate pressure results safety and system performance. • Pressure transducers can NT Dual Channel Display • No moving parts or fill fluids help reduce help determine filter lifetime contamination potential where critical contamination Flow

• Nonmetallic sensing technology provides control is needed NT Model Pressure Transducer NT Model Pressure Transducer (for outlet pressure) reliable measurement (for inlet pressure) • Compact design • Dymension manifold mountable Filter Housing Optimized for aggressive chemical applications.

Differential pressure set-point for filter replacement

frequently asked questions Where can I use NT pressure transducers? How do I choose the right interface material for • System diagnostics my application on pressure transducers, model • System pressure monitoring for tool queuing 4100 and 4210? • CTFE should be used for most aqueous chemical FEATURE ADVANTAGE BENEFIT • Pressure at chemical distribution modules, valve solutions and select solvents All wetted parts are constructed of • Compatible with typical • Improves yields by increasing particle manifold boxes and point-of-use PTFE, sapphire and other high-purity semiconductor process chemistries performance • PFA should be used for solvents, select chemicals • Differential pressure in filtration systems polymers for corrosion resistance and process temperatures above 40°C. • Integrated into custom valve manifolds Provides compatibility and easy • Enables tool interaction with pressure • Increases overall equipment efficiency • For hydrofluoric acid applications, contact Entegris integration with electronic displays transducer and offers increased Can NT pressure transducers, model 4100 be for more information. and monitoring systems accuracy in pressure measurements used in high-temperature applications? Please refer to chemical compatibility chart for Single port and flow through styles • Compact design enables easy • Improves system design flexibility Yes, contact Entegris for more information. sensing and control products: offered with industry standard installation http://www.entegris.com/Resources/ Flaretek and Super 300 Type Pillar® How long can model 4150 and 4250 survive fittings 49% Hydrofluoric acid (HF) at 23°C? assets/3960-2602-0912.pdf No moving parts or fill fluids • Reduces contamination potential • Increases tool uptime Estimated 10 years.

38 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 39 CR-288® Concentration Monitors process control

performance Entegris CR-288® Concentration Monitor and The following performance data is based on operation 288-connect® software package delivers real- within the calibrated range, ±10% of the refractive time information for point-of-use chemical index range. mixing/blending, spiking and dilution without process intrusion or interruption. • Integrated data collection, analysis and Criteria Value field calibration Refractive index accuracy (Refractive Index Units, RIU): ±5 × 10-5 Refractive index repeatability: 2.5 × 10-5 • Improvement on productivity Refractive index resolution: 1.0 × 10-5 • Compliant to SEMI Standard F57-0301 Concentration accuracy: ±0.05 wt%* for cleanliness, testing and calibration Concentration repeatability: ±0.025 wt% Optimized for inline liquid chemical Concentration resolution: Chemical dependent 0.01 wt% or better applications. Temperature accuracy: ±0.2°C (0.4°F) Temperature repeatability: 0.1°C (0.2°F) Temperature resolution: 30.05°C (0.06°F) Response time: 1.2 sec standard, no rolling averaging enabled, rolling averaging is user-configurable * Based on measuring semiconductor-grade chemicals, such as hydrogen peroxide, in slurries at typical environmental parameters of 25-35 psi with a maximum variation of ±0.25 psi, and temperature of 18°C – 26°C (64.4°F – 78.8°F) with maximum variation of ±0.1°C (0.2°F).

FEATURE ADVANTAGE BENEFIT 288-connect software package • Real-time information • Reduce process intrusion or • Integrated data collection and analysis interruption • Real-time concentration and • Provide convenient and scalable data temperature-compensated data interface and acquisition options frequently asked questions • Improve productivity For which applications can I use the CR-288 Can the sensor monitor slurries? SEMI Standard for cleanliness, • Optical sensor system • Provide ultra high-purity fluid system concentration monitor? The sensor uses refractive index technology to testing and calibration • Sensors laser-marked components Process monitoring for inline liquid chemical monitor chemical concentration and is unaffected • Factory leak-tested • Provide traceability applications. by light dispersion through the slurry. It is able to • Sensor calibration table • Meet the allowable leak rate set by What is the interface of the connection? monitor in the presence of particulates and bubbles. SEMI Standard Customers can select Flaretek, Super 300 Type • Optimize performance and improve resolution for most liquids Pillar and PrimeLock end connections; other end connections available upon request.

LCD digital display unit (DDU) • Configurable 5-volt digital I/O channels • Optimize the measurement of and up to for measurement points concentration and temperature

40 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 41 Cleaning Part Services process control

performance The cryogenic cleaning process consists in Cryogenic decontamination experiment on a CMP projecting CO2 pellets. As the CO2 sublimates, equipment blade it mechanically removes contaminates from the surface. • Goal: Remove slurry from a part for immediate use on a machine Cryogenic cleaning acts efficiently for the • Result: The blade is completely cleaned of following applications slurry and all organic residues. • Stripping An additional step of precision cleaning can Before cleaning After cleaning • Remaining burrs be done in order to remove all particles. • Cleaning technology • Benefit: The cleaned part is in perfect shape (no trace, no abrasion, no slurry) and ready for use. • Decontaminating the organic elements • Removing grease on all materials Optimized for CMP part cleaning.

Area contaminated by organic contamination

FEATURE ADVANTAGE BENEFIT frequently asked questions Unique process • Non-abrasive • Longer part life time What materials can be treated by cryogenic • No contamination from the part to cleaning? Sandblasting alternative solution the process Cryogenic cleaning is a dry and non-abrasive process. Detergent, chemical and • Environmentally friendly • No chemical elements on the part All materials can be cleaned without damage. solvent free • Green treatment, no toxic waste • No crosscontamination risks For example, plexiglas and polished aluminum can Dry cleaning • No interaction with process be treated without the surface becoming dull or Equipment parameter hard/soft • Repeatable process • Reliable process scratched. adjustment (pressure, distance, • Parts conformity systematically power, grid size…) maintained

42 «» CMP «» ENTEGRIS INC. ENTEGRIS INC. «» CMP «» 43 Entegris®, the Entegris Rings Design®, creating a material advantage®, Planargard®, Planarcap®, Solaris®, Chemlock®, Connectology®, Planarcore®, Planargem®, PrimeLock®, CR-288®, 288-connect®, Flaretek®, FlareLock®, Spectra™, Clarilite®, STAT-PRO®, PureBond®, NT®, and Mykrolis® are trademarks of Entegris, Inc. | AMAT™ is a trademark of Applied Materials, Inc. | Ebara® is a trademark of Ebara Corporation | Ontrak® is a trademark of Lam Research Corporation | Teflon® is a registered trademark of E. I. du Pont de Nemours and Company | Pillar® is a registered trademark of Nippon Pillar Packing Company, Ltd. | PEEK™ is a trademark of Victrex PLC | Kalrez® is a registered trademark of DuPont Dow Elastomers, L.L.C. | SEMI® is a registered trademark of Semiconductor Equipment and Materials International Corporation. North America EUROPE JAPAN ASIA/PACIFIC Massachusetts France Nihon Entegris K.K. Entegris, Inc. Customer Service Center Regional Headquarters Entegris (Shanghai) Microelec- Corporate Headquarters for Southern, Western and Mita-Kokusai Bldg. tronics Trading Co., Ltd. 129 Concord Road Northern Europe 1-4-28 Mita, Minato-Ku Rm. 878, Poly Plaza Billerica, MA 01821 USA Entegris S.A.S. Tokyo, Japan 108-0073 No.14 Dong Zhi Men South Ave. Tel. +1 978 436 6500 Parc Centr’ Alp Ouest Tel. +81 3 5442 9718 Dongcheng District Fax +1 978 436 6735 196 rue du Rocher de Lorzier Fax +81 3 5442 9738 Beijing 100027 38430 Moirans P.R. of China Minnesota Nihon Entegris K.K. Entegris, Inc. France Tel. +86 21 6552 8840 Shin-Osaka Prime Tower 101 Peavey Road Tel. +33 (0) 4 76 35 73 50 Fax +86 21 6552 8840 6-1-1, Nishinakajima Chaska, MN 55318 USA Fax +33 (0) 4 76 35 73 80 Yodogawa-Ku Entegris (Shanghai) Microelec- Tel. +1 952 556 3131 Germany Osaka, Japan 532-0011 tronics Trading Co., Ltd. Fax +1 952 556 1880 Customer Service Centers for Tel. +81 6 6390 0594 Room 21510, Tower C Eastern and Central Europe Fax +81 6 6390 3110 Shangpin International Customer Service Entegris GmbH Tel. +1 952 556 4181 No.88 Gao Xin Road, Hi-Tech Hugo-Junkers-Ring 5 Nihon Entegris K.K. Tel. 800-394-4083 Area Gebäude 107/W Hakataekihigashi 113 Bldg. (toll-free within Xi'an 710065 Industriegebiet Klotzsche 1-13-9 Hakataekihigashi North America) P.R. of China 01109 Dresden Hakata-Ku Fax +1 952 556 8022 Tel. +86 29 886 00785 Germany Fukuoka, Japan 812-0013 Fax 800 763 5820 Fax +86 29 886 00785 Tel. +49 (0) 351 795 97 0 Tel. +81 92 471 8133 (toll-free within Fax +49 (0) 351 795 97 499 Fax +81 92 471 8134 Korea North America) Entegris Korea, Ltd. Israel Entegris Israel Limited 4F, 6F and 8F, Seongok Bldg. Izmargad Street 12 ASIA/PACIFIC 262 Hwangsaewool-ro Bundang-gu Kiryat-Gat China Seongnam-si, Kyunggi-do Israel Entegris (Shanghai) Microelec- Korea 463825 Tel. +972 (0) 73 221 00 00 tronics Trading Co., Ltd. Tel. +82 31 738 5300 Fax +972 (0) 73 221 00 22 Unit 606-609, Tower 1 Fax +82 31 738 5305 German Centre No. 88, Ke Yuan Road Malaysia Zhangjiang Hi-Tech Park Entegris (Malaysia) Sdn. Bhd. Shanghai 201203 Unit 14 and 15, Lower Level 5 P.R. of China (Executive Wing), Hotel Equatorial Tel. +86 21 2898 6710 No. 1 Jalan Bukit Jambul Fax +86 21 5080 5598 11900 Bayan Lepas, Penang Malaysia Tel. +60 4 427 4200 Fax +60 4 641 3294 Singapore Entegris Singapore Pte Ltd. 31 Kaki Bukit Road 3 Techlink, #06-08/11 Singapore 417818 Tel. +65 6745 2422 Fax +65 6745 4477 Taiwan Entegris regional customer service centers Entegris Asia LLC, Taiwan Branch 14F, No. 120, Sec. 2 Region Telephone Fax Gong Dao Wu Road North America 800 394 4083 800 763 5820 East Dist., Hsinchu City 30072 Germany +49 (0) 351 795 97 0 +49 (0) 351 795 97 499 Taiwan R.O.C. France +33 (0) 4 76 35 73 50 +33 (0) 4 76 35 73 80 Tel. +886 3 571 0178 United Kingdom +33 476 357 354 +33 476 357 380 Fax +886 3 572 9520 Italy +33 476 357 352 +33 476 357 380 Israel +972 (0) 73 221 00 00 +972 (0) 73 221 00 22 Entegris Asia LLC, Taiwan Branch Japan +81 3 5442 9718 +81 3 5442 9738 3F, Rm. 313-314, No.6 Malaysia +60 4 427 4200 +60 4 641 3294 Zhongxin Road, Xinshi Dist. Korea +82 31 738 5300 +82 31 738 5305 Tainan City 74148 Taiwan +886 3 571 0178 +886 3 572 9520 Taiwan (R.O.C.) Singapore +65 6745 2422 +65 6745 4477 Tel. +886 6 589 6008 China +86 21 2898 6710 +86 21 5080 5598 Fax +886 6 501 3799 Chemical Mechanical Planarization

ENTEGRIS, INC. Corporate Headquarters | 129 Concord Road | Billerica, MA 01821 USA Tel. +1 978 436 6500 | Fax +1 978 436 6735 www.entegris.com

©2013 Entegris, Inc. All rights reserved 9000-7433ENT-1113