liquid and gas solutions for data storage

data storage data storage

evolving technology Technology in data storage manufacturing applications is evolving fast. Moving from unpatterned media to discrete track media (DTM) and looking ahead to heat assisted magnetic recording (HAMR) and bit patterned media (BPM), the need for purity and integrity in the process is critical. As patterns become more symmetrical and smaller, the tolerance for contamination in the process is significantly lower. Defect rates of just a few years ago are not acceptable today and in tomorrow’s manufacturing lines. New challenges are emerging that require a comprehensive approach to solve them.

solving challenges Solving these challenges is at the heart of Entegris’ expertise. Removing microscopic and molecular level particles from process streams, and securing the integrity of wafers and repeatability and reliability in process equipment contributes to overall yield success in disk and head manufacturing lines and HDD assembly processes. Entegris is the comprehensive supplier of contamination control and transport products for manufacturing and assembly processes. This focus provides makers of all types of data storage devices the ability to gain a competitive advantage and accelerate new manufacturing technology adoption.

Entegris does. So you can. table of contents Section 1: About Entegris Purify, Protect and Transport...... 2 Global Presence...... 3 Close to Our Customers...... 4 Enabling Innovation...... 5 Entegris Solutions for HDD Processes...... 6

Section 2: Products / Solutions

Liquid Contamination Control Solutions Overview...... 10 Chemical Compatibility Guide ...... 11 ProcessGard® PES Chemical Filters ...... 12 Fluorogard® FP and FP-HP Filters ...... 13 Panelgard™ 334 Chemical Filters...... 14 Panelgard™ EX Filters and Housings...... 15

GAS CONTAMINATION CONTROL SOLUTIONS Overview...... 16 Gas Filter Compatibility Guide...... 16 Wafergard® Bulk In-line Metal Gas Filters...... 18 Chambergard™ Fast Vent Diffusers...... 19 Gas Purification Range Guide...... 20 Aeronex® Gas Purification Systems...... 22 GateKeeper ® Purifiers...... 23

FLUID HANDLING SOLUTIONS Overview...... 24 Chemical Compatibility Guide ...... 25 Valves...... 26 Tube and Pipe Fittings...... 27 Tubing and Pipe...... 28 Dymension® Manifolds...... 29 NT ® 4400 Flowmeters...... 30 NT ® Pressure Transducers...... 31

ENTEGRIS, INC. «» Data Storage «» 1 Entegris Milestones Entegris 2009 1966: Founded as Fluoroware, Inc. Number of Employees 2,200 worldwide 2000: Begins trading under the ENTG symbol on NASDAQ Industries Served Semiconductor and other 2005: Merges with Mykrolis high-technology industries Corporation, a leading provider of liquid filtration Stock Listing and gas purification products ENTG on NASDAQ and systems purify, protect and transport global presence 2006: Expands manufacturing facility in Kulim, Whether you are utilizing unpatterned or patterned media With 2,200 employees worldwide, Entegris thrives on the 2007: Acquires Surmet manufacturing technologies, Entegris has a comprehensive challenge to meet our customers’ expectations through a Corporation’s high-purity portfolio of product and materials technologies to solve global network of service, technology, manufacturing and semiconductor coatings the challenges the data storage industry faces. Through applications support teams, all built upon a tradition of business the development of polymers to suit emerging fabrication product and process innovation. 2008: Acquires Poco Graphite, needs and enhance current products, Entegris is developing an industry leader in high- performance graphite and advanced materials that leverage over four decades of silicon carbide materials science expertise.

Chemical and Polishing / Texture Aqueous Cleaning Pleated, graded-density depth filters offer In aluminum /glass substrate manufacturing, low face velocity and pressure drop, high media manufacturing, hard disk assembly or flow and longer life in slurry applications. slider manufacturing, cleaning processes are Entegris filters help reduce large particle crucial to reduce defects, maintain high agglomerates that cause scratches during yields and improve reliability. polish or texture. Leveraging on our material science expertise, Entegris provides cost-effective and efficient EB or Imprint filtration solutions for chemical, aqueous or Lithography solvent cleaning applications in recirculation The key process of discrete track or bit- baths or spray tools. patterned media manufacture is resist coating. lab capabilities Entegris offers an integrated resist dispense Analytical Services Product Testing Material Science pump and filtration solution that reduces • Airborne molecular contamination • Performance testing • New material development Nickel Plating • Surface contamination • Particle testing • Material characterization bubble, residue and particulate defects. Nickel plating is one of the critical processes • Applications support • Electrostatic charge • Material selection in aluminum substrate manufacture. Made • Root-cause analysis • Vibration • Material incoming inspection • Flow rate optimization • Applications support of fully-fluorinated PTFE/PFA, Fluorogard • Applied statistics AT/ATX filters are chemically inert, clean • Safety and industry standardization and durable. The low extractable and high • DOT and UN regulations • CE marking retention filter helps to reduce bubbles and contaminants that cause pit defects in plating.

2 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 3 Entegris Patents 2005: 39 new U.S. patents

2006: 44 new U.S. patents

2007: 25 new U.S. patents

2008: 35 new U.S. patents close to our customers enabling innovation

Direct sales and local support gives us the opportunity As a leading provider of contamination control products to achieve customer intimacy. and services to the global data storage industry, Entegris is using a wide array of analytical and materials science Customer intimacy helps us better understand our customers’ expertise to develop comprehensive solutions for needs through direct feedback and roadmap sharing. contamination issues in the fab.

By aligning our material science, engineering and R&D Market Drivers 2009 2010 2011 2012 2013 initiatives, we can develop indispensable contamination control Data Storage Device Capacity 450 Gb/in2 630 Gb/in2 875 Gb/in2 1.2 Gb/in2 1.5 Gb/in2 solutions to solve our customers’ roadmap challenges. Process Technology PMR PMR/TMR Head HAMR DTM/BPM (patterned media) Increasing # of processing steps Ultra high-temp sputter & anneal Nano-imprint

Microcontamination Outgassing: 10 µg/g 8 µg/g <5 µg/g Particle contamination Product borne Airborne Ultra low outgassing PP ESD PP Next Gen PP

Entegris Technologies

Liquid Filtration Filtration products: smaller pore size, higher flow, higher retention for various chemicals and Purification Purification products: ion contamination removal from various chemistries Resist dispense and filtration: bubble and defect reduction in accurate dispense system

Gas Filtration Fast vent diffusers: fast vent and particle control in load lock, cooling and transfer and Purification chambers for sputter and pattern etching processes Purification products: clean and pure gases to avoid contamination in magnetic and carbon layer deposition

Fluid Handling Tube, pipe, fittings, valves: safely deliver ultrapure and corrosive chemistries, optimize flow, customizable configurations for design flexibility Flowmeters, pressure transducers: accurate and reliable flow and pressure measurement

4 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 5 Entegris solutions for HDD processes

Our expertise in material science and contamination Liquid Microcontamination Control Liquid Microcontamination Control Fluid Handling Gas Microcontamination Control control enables our customers to meet the demands KPX of the market by reducing costs, enhancing yields, / 600 / D PX / T increasing productivity and improving process control. ransducers ube and Pipe Fittings ubing and Pipe X / AT Fluorogard AT Fluorogard FP Fluorogard FP-HP Fluorogard R S M I mpact 2 M icrogard Plus M icrogard Plus Special Clean O ptimizer D 300 O ptimizer D EV 334 Panelgard EX Panelgard PSF Panelgard Planarcap LPX Customer Challenges Planargard CS ProcessGard CJ ProcessGard C R ProcessGard N P ProcessGard PES ProcessGard PP Protego Plus Valves T T D ymension M anifolds NT 4400 Flowmeters NT Pressure T Bulk Gas Cartridge and Housing Wafergard Bulk Gas Filters Chemical Filter ( AM C Filtration) Chambergard D iffusers Purifiers GateKeeper A eronex Gas Purification Systems III Wafergard M ax Wafergard Grinding – Coolant • • • • • Cleaning – Acid, base, detergent, DI water • • • • • • • • • Ni-P plating Substrate

A luminum • • • • • Manufacturing 1st /2nd polish • • • • • • • • Lapping • • • • • Polishing/Chamfering • • • • Cleaning – Detergent • • • • • • • • Cleaning – Acid • • • • • • • • Cleaning – Base • • • • • • • • Cleaning – HF • • • • • • • DI water • • • • • • • • Glass Substrate Manufacturing IPA • • • • • • Texturing • • • • Cleaning – Acid, base, detergent, DI water • • • • • • • • IPA • • • • • • DI water • • • • • • • • • Sputtering – Source gas • • • • Media O peration CVD • • • • Lubrication • • • • • •

Pre-sputtering clean – H2SO4 • • • • • • • Pre-sputtering clean – Alkali detergent • • • • • • DI water • • • • • • NiFe/Cu/Ta/CoPtCr/NiMn/CoFe/ Al2O3/SiO2 sputtering • • • • NiFe/Cu/Au plating • • • • • • • Photolithography – Photoresist • • • • • Photolithography – Developer • • • • Photolithography – DI water • • • • • Wet etch – FeCl2 • • • • • Wet etch – DI water • • • • • • Slider Front End Manufacturing Stripping – Organic stripper • • • • • Stripping – DI water • • • • • • CMP – Slurry • • Post CMP clean – Alkaline • • • • • Post CMP clean – DI water • • • • •

6 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 7 Entegris solutions for HDD processes (continued)

Liquid Microcontamination Control Liquid Microcontamination Control Fluid Handling Gas Microcontamination Control KPX / 600 / D PX / T ransducers ube and Pipe Fittings ubing and Pipe X / AT Fluorogard AT Fluorogard FP Fluorogard FP-HP Fluorogard R S M I mpact 2 M icrogard Plus M icrogard Plus Special Clean O ptimizer D 300 O ptimizer D EV 334 Panelgard EX Panelgard PSF Panelgard Planarcap LPX Customer Challenges Planargard CS ProcessGard CJ ProcessGard C R ProcessGard N P ProcessGard PES ProcessGard PP Protego Plus Valves T T D ymension M anifolds NT 4400 Flowmeters NT Pressure T Bulk Gas Cartridge and Housing Wafergard Bulk Gas Filters Chemical Filter ( AM C Filtration) Chambergard D iffusers Purifiers GateKeeper A eronex Gas Purification Systems III Wafergard M ax Wafergard Cutting – Coolant • • • • • CMP – Diamond slurry • • Post CMP clean – Alkaline • • • • • Post CMP clean – DI water • • • • • DLC sputtering • • • • Photolithography – Positive resist • • • • • Photolithography – HMDS • • • • • • Photolithography – Thinner • • • • • Developer – Na2CO3 • • • • • • Developer – TMAH • • • • • • Exposure – CDA • • • Dry etching • • • • Stripping – NMP • • • • • Stripping – IPA • • • • • Stripping – DI water • • • • • • Cleaning – NMP • • • • • Slider Back End Manufacturing Cleaning – Acetone • • • • • Cleaning – IPA • • • • • Cleaning – DI water • • • • • • Jig coating – UV resin • • • • • Photoresist coating – i-line • • • • • Photoresist coating – Thinner • • • • • Exposure – CDA • • • Developer • • • • • Developer – DI water • • • • • Dry etching • • • • Cleaning – Detergent, DI water • • • • • • • • • • DI water • • • • • • A ssembly Hard Disk Clean dry air Manufacturing • • • • • Utilities • • • • • • • • • • •

Facilities HVAC •

8 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 9 LIQUID LIQUID liquid contamination control solutions

chemical compatibility guide c o

Developed from Mykrolis® microcontamination processes and ensure higher flow rate, particle nt a

technologies, Entegris liquid contamination retention and longer lifetime, leading to higher min Recommended Limited application Not recommended control solutions are specifically designed for productivity and lower cost of ownership. a

Material ti

filtration of chemicals used in data storage CHEMICAL CHEMICAL FORMULA PTFE PFA UPE HDPE PES PS PP o n

Solvents in Cleaning/Lubricant bath c

Acetone o

Membrane Support/ ntr (Element) Shell O-ring Filter Pore Size Hydrofluoro Ether (HFE)

Entegris FILTER Material Material Size Length (µm) o Isopropyl Alcohol (IPA) l Fluorogard® AT/ATX PTFE PFA 222 10”~30” 0.05~10 NMP Fluorogard FP/FP-HP PTFE PP 222 10”~30” 0.05~10 Dilute* Acids, Bases, Oxidizers in Wet Cleaning Fluorogard RS M PTFE PFA 222 10” 0.05~0.2 Alkali detergent Impact® 2 UPE HDPE — Disposable 0.01~0.2 Ammonium Hydroxide (NH4OH) Microgard™ Plus UPE HDPE 222 4”~30” 0.01~0.2 Citric Acid Hydrochloric Acid (HCl) Optimizer® D300/D600 UPE HDPE — Disposable 0.01~0.05 Hydrofluoric Acid (DHF) Optimizer DEV UPE HDPE/PP — Disposable 0.03~0.2 Hydrogen Peroxide (H2O2) Panelgard™ 334 PTFE PP 334 10” 1~10 Phosphoric Acid (H3PO4) PES PP 334 10” 0.1~1 Potassium Hydroxide (KOH) PP PP 334 10” 1.2~30 Sodium Hydroxide (NaOH) Panelgard EX PTFE PP 233 10” 0.1~10 Sulfuric Acid (H2SO4) PS PP 233 10” 0.1~1 Photolithography Chemicals Developer (Na CO ) PP PP 233 10” 1.2~100 2 3 Developer (TMAH) Panelgard PSF PS PP 222 10”~30” 0.05~1 HMDS Planarcap® LPX / TPX /KPX PP PP — Disposable 0.3~5 Organic Stripper @ 70°C Planargard® CS PP PP 222 2”~30” 0.2~1 Photoresist ProcessGard® CR PP PP 222 2”~40” 0.1~100 Thinner ProcessGard NP PP PP 222 10”~20” 0.5~40 Plating Chemicals ProcessGard PES PES PP 222 5”~40” 0.04~1 Nitric Acid (50% HNO3 @ 90°C) Plating solution @ 90°C ProcessGard PP PP PP 222 5”~40” 0.3~40 Protego® Plus PES PP 222 10” 0.05~0.1 *<30% concentration, at room temperature QuickChange® AT/ATX PTFE PFA — Disposable 0.03~0.2

10 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 11 ProcessGard® PES Chemical Filters Fluorogard® FP and FP-HP Filters LIQUID c o nt

ProcessGard PES cartridge and disposable Developed from the Mykrolis® family a min filters are high-flow, longer-lifetime filters of technologies, Fluorogard FP and

FP-HP filters, manufactured withT eflon® a that are ideally suited for filtration of weak ti

acids, bases and ultrapure DI water for the fluoropolymer TP FE pleated membranes with o n

electronics industry. polypropylene supports, provide unmatched c o • Asymmetric PES structure provides longer durability and cleanliness for filtration of ntr IPA-dry and chemical cleaning processes. lifetime and high flow rate o l • Hydrophilic PES media eliminates prewetting • Available in lengths and retention ratings treatment, reduces filter change-out time and to meet most application needs increases equipment uptime • Available as hydrophobic and hydrophilic • Various retention ratings, fitting sizes and membranes types to meet various requirements • Superior manufacturing in a world-class, ISO 9001 Quality Systems Standard facility

performance performance ProcessGard PES Cartridge Filters ProcessGard PES (5”) Disposable Filters Fluorogard FP 10” Cartridge Filter Fluorogard FP-HP 10” Cartridge Filter GPM 3⁄8” Compression GPM GPM 0 3 6 9 12 15 0.6 0 2 4 6 8 10 0 2 4 6 8 10 0.8 0.30 0.30 0.05 µm 4.0 4.0 )

2 10 ) 2

m 0.25 0.25 0.05 µm 3.5 3.5 0.10 µm 0.6 0.4 0.10 µm (kg/c

0.04 µm 8 (kg/c m 0.1 µm 3.0 3.0 0.05 µm 0.20 0.20 2.5 0.20 µm 2.5 Drop 0.20 µm 0.2 µm 6 0.2 µm Pressure 0.4 0.15 0.15 0.45 µm 0.2 2.0 2.0 1.0 µm 1.0 µm 0.1 µm 4 Pressure 0.10 1.5 0.10 1.5 3.0 µm 3.0 µm

Differential Pressure (bar) 0.50 µm Differential Pressure (bar) 1.0 Differential Pressure (PSID) 1.0 Differential Pressure (PSID) Differential 0.2 0.45 µm 0.50 µm 2 1.2 µm 0.05 0.05 0 0.5 10.0 µm 0.5 10.0 µm 1.0 µm 0 2 4 6 8 10 0 0 0 0 0 0 Flow Rate (L/min) @ 22°C 0 10 20 30 40 0 10 20 30 40 0 10 20 30 40 50 60 Typical Flow Rate (L/min) – 1 cP @ 20°C Typical Flow Rate (L/min) – 1 cP @ 20°C Typical Flow Rate (L/min) – 1 cP @ 20°C

12 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 13 Panelgard™ 334 Chemical Filters Panelgard™ EX Filters and Housings LIQUID

D eveloped from Entegris’ microcontamination c control technologies, Panelgard 334 cartridge o nt

filters are ideally suited for filtration of chemical a solutions used in data storage fabrication. The min

Panelgard 334 filter features a new cartridge a ti

design for high flow filtration performance. o n

• High flow rate and long product life c o

contribute to better cost performance ntr

• High-flow, lower pressure drop performance o l increases equipment uptime and process yield • Compatible with solvent, detergent and DI water in high-flow requirement applications • High flow and efficient particle removal – ensures rapid particle removal in one-pass and recirculating applications The Panelgard family of filters is a platform The Panelgard EX PP housing is specifically • Provides excellent chemical compatibility, of high-flow liquid filters available in a variety designed for use with the high-flow rate long lifetime and reduced changeout and of membranes with retention ratings from Panelgard EX cartridges for large scale system startup times 0.1 µm to 100 µm and flow rates up to 600 chemical supply to cleaning tools. L/min, with minimal pressure drop in a single, • Used for cleaning applications with performance user-friendly 10” cartridge. acids, bases, detergents and DI water Panelgard 334 PES Cartridge Filters Panelgard 334 PTFE Cartridge Filters • Easy filter replacement

60 30 • Improved cleanliness and safety

50 0.1 µm 40 20 FEATURE ADVANTAGE BENEFIT 0.2 µm 3 µm a 30 a 1 µm Robust polypropylene (PP) and • Provides clean and durable • Minimizes particle-related defects kP kP polyethersulfone membrane performance 20 10 • Lower cost of ownership through 1 µm 10 µm Strong polypropylene supports • Excellent chemical compatibility extended filter lifetime 10 0.45 µm • Long product life 0 0 0 100 200 300 400 0 100 200 300 400 Available in a variety of • Superior particle retention ensures • Lower cost of ownership by matching Flow Rate (L/min) Flow Rate (L/min) retention ratings low particle counts in your processes the right filter to the application

t e r Cartrid ge • Wide variety of applications Fi l Panelgard 334 PP Cartridge Filters Surface area optimized for • Suitable for data storage processes • Increases productivity and throughput GPM 0 20 40 60 80 100 high flow • Lower flow resistance 25 3.5 Special notch to ensure • Allows quick installation • Increases productivity and equipment

a) 3.0 cartridge locks into the uptime 20 • Reduces procedural errors 10 µm 2 µm 2.5 bowl securely 15 4.5 µm 2.0 Special housing handle design • Reduces contamination • Improves yield • Improves safety • Promotes healthy workplace 10 1.5 Hou s in g 1.2 µm 1.0 Available in various • Full chemical compatibility • Lower cost of ownership 5 material options Differential Pressure (kP 0.5 • Increased reliability 20, 30, 40 µm Differential Pressure (PSID) 0 0 0 100 200 300 400 Typical Flow Rate (L/min) – 1 cP @ 20°C

14 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 15 gas contamination control solutions: gas filtration

gas filter compatibility guide (continued) G A

Entegris offers an extensive selection of high When consulting the gas filter compatibility Contro Contamination S quality gas filtration solutions to enable ultra- guide, please note that variations in gas Compatible Compatible with limitation Not compatible ChemicaL Membrane high purity gas processes. temperature, pressure, concentrations and Gas Category Formula Nickel PTFE/PFA Stainless system conditions can affect filter lifetime Halocarbon 318 Hydrocarbons/ C4 F8 Our gas filters include nickel, stainless steel Octafluorocyclobutane Halogenated Hydrocarbons compatibility. Compati-bility of gas filters and Teflon® product families. They can remove Helium Inerts He is based on temperature less than 60°C and Hexafluoro-2-butyne Hydrocarbons/ C4 F6 particles greater than 0.003 μm from incoming Halogenated Hydrocarbons less than 1 ppm moisture. gas. Chambergard™ fast vent diffusers provide Hexafluorobutadiene Hydrocarbons/ C4 F6 Halogenated Hydrocarbons

rapid venting to atmosphere without disturbing Hydrogen Hydrogen/Hydrides H2 l or adding particles in the chamber. Hydrogen Bromide Halogen/Halides HBr Hydrogen Chloride Halogen/Halides HCl Hydrogen Fluoride Halogen/Halides HF gas filter compatibility guide Hydrogen Selenide Hydrogen/Hydrides H2Se Hydrogen Sulfide Hydrogen/Hydrides H2S Compatible Compatible with limitation Not compatible Krypton Inerts Kr Methane Hydrocarbons/ CH ChemicaL Membrane 4 Gas Category Formula Nickel PTFE/PFA Stainless Halogenated Hydrocarbons Ammonia Hydrogen/Hydrides NH Methyl Fluoride Hydrocarbons/ CH3F 3 Halogenated Hydrocarbons Argon Inerts Ar3 Methylsilane Hydrogen/Hydrides SiH3(CH3) Arsine Hydrogen/Hydrides AsH 3 Neon Inerts Ne Boron 11 Trifluoride Halogen/Halides B11F 3 Nitric Oxide Oxygen/Oxides NO Boron Trichloride Halogen/Halides BCl3 Nitrogen Inerts N2 Boron Trifluoride Halogen/Halides BF3 Nitrogen Dioxide Oxygen/Oxides NO2 Bromine Halogen/Halides Br2 Nitrogen Trifuoride Halogen/Halides NF3 Carbon Dioxide Oxygen/Oxides CO2 Nitrous Oxide Oxygen/Oxides N2O Carbon Monoxide Oxygen/Oxides CO Octafluorocyclopentene Hydrocarbons/ C5 F8 Carbon Tetrachloride Hydrocarbons/ CCl4 Halogenated Hydrocarbons Halogenated Hydrocarbons Oxygen Oxygen/Oxides O2 Chlorine Halogen/Halides Cl2 Ozone Oxygen/Oxides O3 Chlorine Trifluoride Halogen/Halides ClF3 Pentaborane Hydrogen/Hydrides B5H9 2 Diborane Hydrogen/Hydrides B2H6 2 Phosphine Hydrogen/Hydrides PH3 Dichlorodifluoromethane Hydrocarbons/ CCl2F2 Halogenated Hydrocarbons Phosphorous Trifluoride Halogen/Halides PF3 1 Silane Hydrogen/Hydrides SiH4 Dichloromethane Hydrocarbons/ CH2Cl2 Halogenated Hydrocarbons Silicon Tetrachloride Halogen/Halides SiCl4

Dichlorosilane Halogen/Halides SiH2Cl2 Silicon Tetrafluoride Halogen/Halides SiF4

Difluoromethane Hydrocarbons/ CH2F2 Sulfur Dioxide Oxygen/Oxides SO2 Halogenated Hydrocarbons Sulfur Hexafluoride Halogen/Halides SF6 Ethane Hydrocarbons/ C2H6 Trichlorofluoromethane Hydrocarbons/ CCl3F Halogenated Hydrocarbons Halogenated Hydrocarbons Fluorine Halogen/Halides F2 Trichloromethane Hydrocarbons/ CHCl3 Germane Hydrogen/Hydrides GeH4 Halogenated Hydrocarbons

Halocarbon 115 Hydrocarbons/ C2ClF5 Trichlorosilane Halogen/Halides SiHCl3

Halocarbon 116 Hydrocarbons/ C2F6 Trichlorotrifluoroethane Hydrocarbons/ C2Cl3F3 Hexafluoroethane Halogenated Hydrocarbons Halogenated Hydrocarbons

Halocarbon 13 Hydrocarbons/ CClF3 Trimethylamine Hydrocarbons/ (CH3)3N Halogenated Hydrocarbons Halogenated Hydrocarbons

Halocarbon 14 Hydrocarbons/ CF4 Trimethylsilane Hydrogen/Hydrides SiH(CH3)3 Tetrafluoromethane Halogenated Hydrocarbons Tungsten Hexafluoride Halogen/Halides WF6 Halocarbon 218 Hydrocarbons/ C3F8 Xenon Inerts Xe Perfluoropropane Halogenated Hydrocarbons

Halocarbon 23 Hydrocarbons/ CHF3 1 Compatible to 150°C. Trifluoromethane Halogenated Hydrocarbons 2 Not compatible above room temperature and less than 1000 ppm. Early periodic replacement is recommended. Please consult your Application Specialist or Technical Service for available test data from Entegris’ Specialty Gas Research Center for dopant concentrations under 1000 ppm.

16 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 17 Wafergard® Bulk In-line Metal Gas Filters Chambergard™ Fast Vent Diffusers G A S Contamination Contro Contamination S

Wafergard bulk in-line metal gas filters are Designed to reliably increase throughput by minimizing designed to provide particle free process gas load lock vent cycle time. Chambergard diffusers for bulk gas applications. provide rapid venting without disturbing or adding particles to disks in the chamber. • All metal construction • Patented sintered nickel filter element diffuses the • Mykrolis 0.003 µm filtration technology flow of incoming gas quickly, allowing the loadlock

• 316L stainless steel and nickel membranes and/or transfer chamber to fill at a higher rate l are available • Highly retentive nickel element removes submicron particle contamination from incoming gas We offer other form factors and configurations. Please contact Entegris for more information.

FEATURE Advantage FEATURE Advantage BENEFIT All metal construction • Strong sintered porous metal membrane and electropolished VAR Entegris’ patented, sintered • Rapid vent, gentle flow to atmosphere • Decreases cycle time, increases stainless steel housings are best suited to handle pressure spikes nickel technology in a compact without particle disturbance or excessive throughput and overall equipment and temperature fluctuations diffuser unit turbulence efficiency Mykrolis 0.003 µm filtration technology • Delivers ultra-clean particle free gas at the point of delivery 0.003 µm filtration technology • Removal of particles greater than • Delivers ultra-clean, diffused 316L stainless steel and nickel membranes • Recommended for use in process gases in an integrated diffuser/filter 0.003 µm from incoming gas gas to the process chamber to minimize defects Available in a variety of • Easy installation, retrofit into existing • Minimizes downtime performance standard flange and connector tools including loadlock chambers, configurations cooling chambers, transfer chambers Nickel Filter Stainless Steel Filter Nickel Filter and process chambers (20,000 SLPM) (50, 100 and 200 CFM) (50, 100 and 200 CFM) Rated at 100,000 cycles before • Long product life • Lowers cost of ownership and 1.4 0.7 1.4 changeout reduces downtime 18 9 18 1.2 0.6 1.2 16 8 16 1.0 14 0.5 7 1.0 14 30 PSIG inlet performance 0.8 12 0.4 6 0.8 12 60 PSIG 30 PSIG inlet inlet 10 30 PSIG inlet 5 10 FV-16ST, FV-25ST, FV-25LT, FV-25LTHF, 0.6 0.3 60 PSIG 0.6 8 PSID inlet 4 PSID 8 PSID FV-NB Series, FV-40T Flow Rates 60 PSIG 0.4 6 0.2 3 0.4 6 3.5 Differential Pressur e Differential Pressur e Differential Pressur e inlet 4 2 4 0.2 0.1 0.2 3.0 2 1 2 90 PSIG inlet 90 PSIG inlet 90 PSIG inlet bar 0 0 bar 0 0 bar 0 0 2.5 0 5000 10000 15000 20000 0 0 FV-16ST, FV-25ST 2.0 50 CFM 10 20 30 40 50 50 CFM 10 20 30 40 50 100 CFM 20 40 60 80 100 100 CFM 20 40 60 80 100 1.5 FV-25LT, FV-25LT, FV-25LTHF, 200 CFM 40 80 120 160 200 200 CFM 40 80 120 160 200 FV-NB Series, FV-40T 1.0 Vent-Up Time (sec/L) Time Vent-Up

0.5

0 0 5 10 15 20 25 30 Supply Pressure (PSIG)

18 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 19 gas contamination control solutions: gas purification G A gas purification range guide Contro Contamination S Entegris provides gas purification solutions to

remove impurities in gases used in sputtering GateKeeper® purifiers Aeronex® Systems of magnetic or carbon layers which may lead to loss of signal-to-noise ratio or reliability.

Our technology incorporates an inorganic Gas Symbol I nert Corrosive N on- reactive Hydrogen O ptics Carbon M onoxide Hydride N itrogen O ptics A mmonia Hydrogen regenerable media which purifies gases at Ammonia NH3 Argon Ar

ambient temperatures to parts-per-trillion Arsine AsH3 l (ppt) levels. Regenerable purifiers are cost- Boron Trichloride BCl3 effective, environmentally friendly alternatives Bromine Br2 Carbon Dioxide CO2 to nonregenerable getter, organometallic resin Carbon Monoxide CO and palladium-based technologies. Chlorine Cl2 Clean Dry Air CDA

Diborane B2H6 gas purification series overview Dichlorosilane SiH2Cl2 Ethane C2H6

Fluorine F2 Gases Purified Gas Series Contaminants Removed Outlet Purity Germane GeH4

XCDA® purge gas Z Inorganic SO2, SOX, NOX, H2S, H2O, CO2, <1 ppb H2O Hexafluoroethane C2F6 siloxanes, ammonia, amines, acid <10 ppt volatile bases gasses, alcohols and nonmethane <1 ppt all other Tetrafluoromethane CF4 hydrocarbons (NMHCs) contaminants Octafluoropropane C3F8 Helium He N2, He, Ne, Kr, Xe, Ar, Halocarbons I Inert O2, H2, CO, CO2, H2O, NMHCs <1 ppb and light Hydrocarbons Hydrogen H2 Hydrogen Bromide HBr H2 and H2/Inert gas mixtures H Hydrogen O2, CO, CO2, H2O, NMHCs <1 ppb Hydrogen Chloride HCl SF , NF , O , NO, N O, CO , N Nonreactive H O, NMHCs <1 ppb 6 3 2 2 2 2 Krypton Kr O2/Inert gas mixtures Methane CH4 CDA, N2 O Optics and Z H2O, volatile acid, volatile base, <1 ppb H2O Methylsilane SiH (CH ) condensable organics, dopants <1 ppt 3 3 (SOX, NOX, H2S, NH3, CO2, siloxane) Neon Ne Nitrogen N2 NH3, AsH3, PH3, GeH4, SiH4, SK Hydride O2, CO, CO2, H2O, NMHCs and <1 ppb Nitrous Oxide N O B2H6, Si2H6 oxidation by-products 2 Oxygen O2 HCl, Cl2, HF, F2, HBr, BCl3, C Corrosive H2O <100 ppb Phosphine PH SiCl4, SiF4 (<1 ppb in N2) 3 Silane SiH4 CO L Carbon Monoxide H2O <1 ppb Silicon Tetrachloride SiCl4

Silicon Tetrafluoride SiF4

Sulfur Hexafluoride SF6

Trichlorosilane SiHCl3

Trimethylsilane SiH(CH3)3 Xenon Xe

Note: If a gas is not listed, please contact your regional Customer Service Center for additional information.

20 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 21 Aeronex® Gas Purification Systems GateKeeper® Purifiers G A S Contamination Contro Contamination S Aeronex Gas Purification Systems are stand- alone systems offering in-situ regeneration with advanced controls. The multi-bed design GateKeeper purifiers remove gaseous contaminants guarantees a continuous supply of pure gas so down to parts-per-trillion levels with inorganic there is no need to send a purifier back for regen- media. Applications include gas box installation, eration or to install a replacement purifier.T he single tool purification, multi-tool purification, pre-programmed controller knows when to switch facility purification, back-pad purifiers, Aeronex to the fresh purifier and when to regenerate Gas Purification System backups and other the depleted purifier.A pplications include single applications. l tool purification, multi-tool purification, facility • A cost-effective way to prevent contamination purification and other applications. of the process or damage to the tool • Offers maximum flow rates ranging from 120 SLM • Designed for ambient operation and requiring to 20,000 SLM no power • Available in an array of optional configurations • Maximum flow rates ranging from 1 SLM to meet specific application requirements to 20,000 SLM • Options include manual or automatic bypass valves and online moisture indicators

FEATURE Advantage FEATURE Advantage Ambient temperature purification • Lower energy costs High efficiency • Impurity removal to <1 ppb or lower limit of detectability • Resource conservation High capacity (>200 m2/g of media) • Extended lifetime Self-regenerating purifiers • Lower cost of ownership Low pressure drop • Maintains consistent flow performance • Reduced downtime Low cost of ownership • Regenerable media — done at one half to two thirds of the price of new unit Complete automatic operation • Saves time - minimal user interface • Minimal installation costs • Increased reliability • No power requirements minimizes operating costs • Lower cost of ownership No hydrocarbons released under • Reduced environmental impact Breakthrough detection capability • No production yield or tool downtime loss from premature any conditions (for CDA, Inert, O and H ) breakthrough. BTI will alarm user and allow a bed swap 2 2 Regenerable media • No hazardous waste Continuous flow of purified gas • No tool downtime associated with purifier change-out procedure Process protection • Consistently delivers ultrapure gas • Guards against bulk gas purifier breakthrough, impurity spikes from liquid storage tanks, cylinder changeouts and unexpected contaminants in the process • Does not release hydrocarbons • Low pressure drop across purifiers Cost-effective • Improves process consistency, increases product yields and lengthens tool life • Transforms low-purity gas into UHP grade, reducing process costs Safe and simple to use • Easy to install • Uses ambient temperature purification technology – no power or heat required • No moving parts

22 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 23 FLUID H FLUID reliable, high-purity, high-precision fluid handling products chemical compatibility guide

fluid handling solutions A NDLING

Wetted Parts Nonwetted Entegris offers the broadest range Kalrez® Kalrez kalrez parts of high-purity, corrosion-resistant Chemical Type Chemical PFA** PTFE 4079 1050 6375UP PVDF PP PE CTFE** Acids Acetic / fluid handling products to maximize Hydrochloric productivity, improve yields and reduce Hydrofluoric cost of ownership throughout chemical Nitric / / distribution and point-of-use. Phosphoric Sulfuric Bases Ammonium Fluoride / Ammonium Hydroxide / Potassium Hydroxide Oxidants Hydrogen Peroxide Dissolved Ozone / Dissolved Chlorine / Organic Solvents Acetone n-Butyl Acetate Ethylene Glycol Isopropyl Alcohol Methanol Methyl Ethyl Ketone n-Methyl Pyrrolidone Tetra Methyl Ammonium Hydroxide (TMAH) Organic Solvent Acetates Categories Alcohols Amines Hydrocarbons, Aromatic Hydrocarbons, Alkane / Ketones High Temperature >122°F (50°C) /

Preferred, suitable for all Acceptable, suitable for Not recommended for Information not available high-purity applications nonwetted parts in most wetted parts in high-purity applications. May not be applications. May be suit- suitable for wetted parts in able for nonwetted parts in high-purity applications some applications

* The compatibility chart is compiled from information published by: Entegris, DuPont Dow Elastomers, Welch Fluorocarbon, Little Giant Pump Company, the PDL Handbook and Compass Corrosion Guide. ** The suitability of CTFE and PFA is based on both chemical resistance and permeability. Entegris neither represents nor warrants the accuracy or sufficiency of the information set forth in this chart for specific end-user applications. Ultimate responsibility for material selection remains with the end user. Nothing in this chart constitutes a change to the terms and conditions under which the Entegris product was sold.

24 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 25 Valves Tube and Pipe Fittings H FLUID

Entegris valve solutions safely handle critical Entegris has the broadest range of fitting A

fluids while maintaining purity, high-flow configurations and sizes available to meet NDLING and corrosion resistance. the needs of the data storage market. • PFA or PTFE wetted surfaces to maintain • Most complete line of flare fitting process integrity in the harshest environments connections available

• Broad size range: 1/4” to 1” orifice sizes • Flare fittings provide leak-free performance • Multiple configurations: sampling, 3-way in demanding applications manual or 2-way pneumatic actuators • PureBond® weldable pipe fittings provide • High-volume flow capability with media a rigid, permanent, leak-free piping system pressures up to 827 kPa (120 PSIG). that is adaptable to other connection types, including NPT, flare, ANSI and JIS flanges • Broad range of sizes and configurations: 1/4” to 11/4” elbows, unions, reducers, caps, tees, crosses and adapters FEATURE ADVANTAGE BENEFIT • Up to 1551 kPa (225 PSIG) media All wetted material in PFA • Excellent chemical resistance • Lower cost of ownership through pressure capability and PTFE • For ultrapure materials increased valve and tool uptime • Up to 200˚C media temperature capability • Minimize chemical contamination Available in broad range • Suitable for a wide variety of applications • Lower cost of ownership by of sizes matching the correct valve to the application Multiple port connection • Enables design flexibility through easy • Lower design cost FEATURE ADVANTAGE BENEFIT configurations integration of other process components PFA wetted surfaces • Provides high purity and chemical resistance • Lower cost of ownership Innovative diaphragm designs • Enable very high flow rates in relatively • Move large volumes of chemicals • Long product life through increased productivity and materials small footprint without multiple lines and throughput • Optimized valve design provides • Increases productivity and Largest installed customer • Global manufacturing and support • High service levels in all regions high reliability throughput base of PFA fittings • Assured reliability and performance • Lower cost of ownership through increased manufacturing uptime Available in a broad range • Single source supplier for all high-purity, • Reduces number of suppliers of sizes and configurations corrosive chemical connection needs • Lower design cost • Suitable for a wide variety of data storage applications Sweep elbow configurations • Reduced pressure loss with higher flow rates • Increased chemical throughput

26 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 27 Tubing and Pipe Dymension® Manifolds H FLUID

Entegris offers a broad range of tubing and Customized fluid control that optimizes A

pipe made from 100% virgin high-purity space inside equipment, provides low cost NDLING PFA material for highly corrosive, ultrapure of ownership and allows a wide range of applications. valve types. • Multiple tube and pipe materials available • Customized distribution manifold to best match material to application • Modular method of mounting valves • Tube flaring process provides leak-free to a manifold block connections and offers design flexibility • Optimized flow path • PureBond weld process is ideal when • Replaceable manifold parts including fitting permanent connections are required connections and valve operators • Nonintrusive and noncontaminating • Interchangeable valves permanent serialized laser marking allows immediate material, size and • Available in orifice sizes up to 1” with wide manufacturing lot identification range of valve operators and styles • Up to 80 PSIG media pressure capability • Standard wall tubing is available in 1/4” to 11/4” nominal sizes in reel lengths up to 1525 meters (5000 feet) depending on tube size FEATURES ADVANTAGE BENEFIT 1/ • Schedule 40 pipe ranging from 4” to 2” Surface mounted valves • Repairable • Increased equipment uptime nominal pipe size is available in straight • Interchangeable valves lengths of 8,’ 10’ and 3 meters Low dead volume designs • Reduces internal volume • Improved process control • Reduces cross contamination • Reduced cycle time FEATURES ADVANTAGE BENEFIT • Efficient chemical flush out Complex flow path and control • Smaller footprint • Lower cost of ownership PFA material of construction • Broad chemical compatibility • Lower cost of ownership through in a single component • Fewer connecting points • Long product life increased productivity and throughput Integrated mixing • Reduces flow volume to mix point • Faster chemical mix response time Long-term performance testing • Products can be used with confidence • Added safety PFA and PTFE wetted surfaces • Broad chemical compatibility • Lower cost of ownership through at various media temperatures at maximum continuous ratings • Lower cost of ownership through • Long product life increased productivity and throughput increased manufacturing uptime Manifold designs can include • Increased manifold functionality, • Lower capital costs a broad range of fluid control reducing the need for multiple • Smaller footprint solutions discrete components

Reduced Footprint

53 cm 17 cm

Discrete component assembly (53 cm) Manifold solution (17 cm)

28 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 29 NT® 4400 Flowmeters NT® Pressure Transducers H FLUID

NT 4400 flowmeters utilize differential Provides accurate and reliable inert pressure A

pressure to provide an accurate and reliable measurements using an inert sapphire pressure NDLING flow and pressure measurement in highly sensor. Measures gas or liquid pressure, allowing corrosive processes. you to monitor process conditions for increased • No moving parts or fill fluids offers reduced safety and system performance. contamination potential • No moving parts or fill fluids help reduce • Nonmetallic sensing technology provides contamination potential reliable measurement • Nonmetallic sensing technology provides • Easy installation in any orientation reliable measurement • Flowmeters are factory calibrated, 100% verified and require no field calibration • Compact design • Integral pressure transducer provides additional • Kalrez, PTFE and sapphire process information for alarming conditions and Optimized for aggressive chemical applications process follow-up where accurate dispense/dosing is needed.

FEATURE ADVANTAGE BENEFIT PTFE and other high-purity • Compatible with highly corrosive FPD processes • Improves yields FEATURE ADVANTAGE BENEFIT fluoropolymer wetted surfaces • Resistant to harsh chemical environments and • Lower cost of ownership through All wetted parts are constructed of • Compatible with all semiconductor • Improves yields by increasing particle external spraydowns increased tool uptime PTFE, sapphire and other high-purity process chemistries performance Differential pressure technology • Ability to measure in many types of fluids • Increases overall equipment polymers for corrosion resistance • Capable of measuring flow in the presence efficiency and tool uptime Provides compatibility and easy • Enables tool interaction with pressure • Increases overall equipment efficiency of trapped vapor and bubbles integration with electronic displays transducer and offers increased • Provides repeatable measurements and reliable and monitoring systems accuracy in pressure measurements performance Industry standard Flaretek® flared • Compact design enables easy • Improves system design flexibility No moving parts • Does not generate particles or bubbles • Improves process yields port connections installation and throughput • Provides repeatable and reliable measurements No moving parts or fill fluids • Reduces contamination potential • Increases tool uptime Flow-through design • Minimizes dead volume • Lower cost of ownership through • Reduces the possibility of process increased process efficiency contamination • Improves yields performance performance Monitoring Differential Pressure To Schedule Filter Replacement Pressure Drop vs. Flow Rate Pressure Drop (bar) 0 0.15 0.30 0.45 0.60 0.75 0.90 1.05 1.20 Differential pressure setpoint for filter replacement 100%

80%

60%

40% % Full Scale Flow Rate (T flow range ) (E flow range ) :1 turndown 10 20% 20:1 turndown

(Standard flowmeter using deionized water) 0% 0 2.0 4.0 6.0 8.0 10.0 12.0 14.0 16.0 18.0 20.0 Pressure Drop (PSID)

30 «» Data Storage «» ENTEGRIS, INC. ENTEGRIS, INC. «» Data Storage «» 31 Entegris®, Aeronex®, Chambergard™, Dymension®, Flaretek®, Fluorogard®, GateKeeper®, Impact®, Microgard™, Mykrolis®, NT®, Optimizer®, Panelgard™, Planarcap®, Planargard®, ProcessGard®, Protego®, PureBond®, QuickChange®, Wafergard® and XCDA® are trademarks of Entegris, Inc.; Teflon® is a registered trademark of E. I. du Pont de Nemours and Company; Kalrez® is a registered trademark of DuPont Dow Elastomers, L.L.C. Entegris regional customer service centers

Region Telephone Fax North America 800-394-4083 800-763-5820 Germany +49 (0) 351 795 97-0 +49 (0) 351 795 97-499 France +33 (0) 4 76 35 73 50 +33 (0) 4 76 35 73 80 United Kingdom +33 476 357 354 +33 476 357 380 Italy +33 476 357 352 +33 476 357 380 +972 (0) 73 221 00 00 +972 (0) 73 221 00 22 +81 3-5442-9718 +81 3-5442-9738 Malaysia +60-4-427-4200 +60-4-641-3294 Korea +82-31 738-5300 +82-31 738-5302 +886-3-571-0178 +886-3-572-9520 +65 6745-2422 +65 6745-4477 +86 21 2898 6710 +86 21 5080 5598

North America EUROPE JAPAN ASIA/PACIFIC Massachusetts France Nihon Entegris K.K. Korea Entegris, Inc. Customer Service Center Regional Headquarters Entegris Korea, Ltd. Corporate Headquarters for Southern, Western and Mita-Kokusai Bldg. 8F, Seongok B/D 129 Concord Road Northern Europe 4-28, 1-Chome Mita Minato-Ku 4-1, Sunae-dong Billerica, MA 01821 USA Entegris S.A.S. Tokyo, Japan 108-0073 Bundang-gu, Seongnam-city Tel. +1 978-436-6500 Parc Centr’ Alp Ouest Tel. +81 3-5442-9718 Kyunggi-do, Korea 463-825 Fax +1 978-436-6735 196 rue du Rocher de Lorzier Fax +81 3-5442-9738 Tel. +82 31 738-5300 38430 Moirans Fax +82 31 738-5301 Minnesota France Nihon Entegris K.K. Entegris, Inc. Tel. +33 (0) 4 76 35 73 50 Shin-Osaka Prime Tower Bldg. Malaysia 101 Peavey Road Fax +33 (0) 4 76 35 73 80 1-1, Nishinakajima Entegris (Malaysia) Sdn Bhd Chaska, MN 55318 USA 6-Chome Yodogawa-Ku Unit 14 & 15, Lower Level 5 Tel. +1 952-556-3131 Germany Osaka, Japan 532-0011 (Executive Wing), Hotel Equatorial Fax +1 952-556-1880 Customer Service Centers for Tel. +81 6-6390-0594 No. 1 Jalan Bukit Jambul Eastern and Central Europe Fax +81 6-6390-3110 11900 Bayan Lepas, Penang Customer Service Entegris GmbH Malaysia Tel. +1 952-556-4181 Hugo-Junkers-ring 5 Nihon Entegris K.K. Tel. +60-4-427-4200 Tel. 800-394-4083 Gebaude 107 Hakataekihigashi 113 Bldg. Fax +60-4-641-3294 (toll-free within Industriegebiet Klotzsche 13-9 Hakataekihigashi North America) 01109 Dresden 1-Chome Hakata-Ku Singapore Fax +1 952-556-8022 Germany Fukuoka, Japan 812-0013 Entegris Singapore Pte Ltd. Fax 800-763-5820 Tel. +49 (0) 351-79597-0 Tel. +81 92-471-8133 31 Kaki Bukit Road 3 (toll-free within Fax +49 (0) 351-79597-499 Fax +81 92-471-8134 Techlink, #06-08/11 North America) Singapore 417818 Israel Tel. +65 6745-2422 Entegris Israel Limited ASIA/PACIFIC Fax +65 6745-4477  Izmargad Street 12 Kiryat-Gat China Taiwan Israel Entegris (Shanghai) Entegris Asia LLC, Taiwan Branch Tel. +972 (0) 73 221 00 00 Microelectronics Trading Co., Ltd. 14F, No. 120, Sec. 2, Fax +972 (0) 73 221 00 22 Unit 606-609, Tower 1 Gong Dao Wu Road German Centre Hsinchu City 30072 No. 88, Ke Yuan Road Taiwan R.O.C. Zhangjiang Hi-Tech Park Tel. +886-3-571-0178 Shanghai 201203 Fax +886-3-572-9520 P.R. of China Tel. +86 21 2898 6710 Entegris Asia LLC, Taiwan Branch Fax +86 21 5080 5598 14F-6, No. 126, Yung-Fu Road Taichung 40762 Entegris (Beijing) Taiwan R.O.C. Microelectronics Trading Co., Ltd. Tel. +886-4-2463-9939 Room 1105, Zhaolin Mansion Fax +886-4-2463-9025 No. 15 Ronghua Middle Road BDA, Beijing 100176 Entegris Asia LLC, Taiwan Branch P.R. of China 3F, No. 322, Chung-Cheng Road Tel. +86 10 5107 8379 Hsinshih Township Tel. +86 10 5107 8300 Tainan County 74447 Fax +86 10 5107 8326 Taiwan R.O.C. Tel. +886-6-589-6008 Fax +886-6-501-3799 ENTEGRIS, INC. Corporate Headquarters 129 Concord Road Billerica, MA 01821 USA Tel. +1 978-436-6500 Fax +1 978-436-6735 www.entegris.com

©2010 Entegris, Inc. All rights reserved. 9000-5800ENT-0310