FACULTYOFELECTRICALENGINEERING UNIVERSITYOFBANJALUKA

ELECTRONICS

VOLUME1 6,NUMBER1, J UNE20 1 2 FACULTY OF ELECTRICAL ENGINEERING UNIVERSITY OF BANJA LUKA Address: Patre 5, 78000 Banja Luka, Bosnia and Herzegovina Phone: +387 51 211824 Fax: +387 51 211408

ELECTRONICS Web: www.electronics.etfbl.net E-mail: [email protected]

Editor-in-Chief: Branko L. Doki ć, Ph. D. Faculty of Electrical Engineering University of Banja Luka, Bosnia and Herzegovina E-mail: [email protected]

International Editorial Board: • Goce Arsov, St. Cyril and Methodius University, , Republic of Macedonia • Petar Biljanovi ć, University of Zagreb, Croatia • Milorad Boži ć, University of Banja Luka, Bosnia and Herzegovina • Đemal Koloni ć, University of Banja Luka, Bosnia and Herzegovina • Vladimir Kati ć, University of Novi Sad, Serbia • Van čo Litovski, University of Niš, Serbia • Danilo Mandi ć, Imperial College, London, United Kingdom • Vojin Oklobdžija, University of Texas at Austin, USA • Zorica Panti ć, Wentworth Institute of Technology, Boston, USA • Aleksandra Smiljani ć, University of , Serbia • Slobodan Vukosavi ć, University of Belgrade, Serbia • Volker Zerbe, Technical University of Ilmenau, Germany • Mark Zwoli ński, University of Southampton, United Kingdom • Deška Markova, Technical University of Gabrovo • Chakresh Kumar, Galgotias College of Engineering and Technology, Greater Noida, India

Secretary: Mladen Knežić, M.Sc. E-mail: [email protected] Željko Ivanovi ć, M.Sc. E-mail: [email protected]

Publisher: Faculty of Electrical Engineering University of Banja Luka, Bosnia and Herzegovina Address: Patre 5, 78000 Banja Luka, Bosnia and Herzegovina Phone: + 387 51 211824 Fax: + 387 51 211408 Web: www.etfbl.net

Number of printed copies: 100 Guest Editorial

HE International journal "Electronics", published by Iran, Italy, Japan, Republic of Macedonia, , T University of Banja Luka, is devoting its special issue to Poland, Romania, Serbia, Switzerland, Turkey, United the 16th International Symposium on Power Electronics - Kingdom and USA and gathered more then 200 participants. Ee2011. The editor of the journal Prof. Dr. Branko Dokić has All papers were published in electronic form (USB), which invited me, as a guest-editor, to present the most interesting also contains multimedia presentations of the organizers and papers of the symposium. The Symposium was held in Novi commercial sponsors, facts about Power Electronics Society, Sad from October 26-28, 2011 (http://www.dee.uns.ac.rs). It as well as complete bibliography from all symposiums on was co-organized by Power Electronic Society (Serbia) sited Power Electronics (1973-2011). in Novi Sad, Faculty of Technical Sciences from Novi Sad, The symposium highlighted the problems and practical or Institute "Nikola Tesla" from Belgrade and Novi Sad Fair. virtual solution in many fields. Six topics were put forward: Ministry of Science of Republic of Serbia, Provincial Power Converters, Electrical Drives, Electrical Machines, Secretariat for Science and Technological Development of AP Control & Measurement in Power Engineering, Power Vojvodina, Serbian Academy of Science and Art (SANU), Electronics in Telecommunications and Power Quality. The IEEE Serbia & Montenegro Section and Engineering most interesting contribution were in the areas of power Chamber of Serbia co-sponsored it. electronics application, industrial motor drives, vector control, Symposium “Power Electronics”, widely known as Ee (this distributed power generation, new or improved power shortcut comes from title in Serbian - “Energetska electronic converters, power quality, etc. elektronika”), is now in its 40-ties. Still it is a young, full of The selection of the papers presented in this issue is the new ideas, excellent meeting place for researchers, university guest editor’s choice and only one of several possible professors, engineers, manufacturers, students and other selections. All papers passed additional reviews and represent experts in the field of Power Electronics. This significant the state-of-the-art in wide field of Power Electronics. We event shows constant interest of researchers, university would like to emphasize our thanks to the authors who have professors, engineers, manufacturers, students and other accepted our request for prompt respond and fast adaptation of experts in the field of Power Electronics, which more widely the papers to journal requirements.. comprise power electronics switches, power electronics We would also like to invite all readers of the “Electronics” converters, electrical machines, electric drives, control & journal to take active participation by submitting the papers or measurement in power engineering, power electronics in attending the next the 17th International Symposium on Power communications, electric power quality and renewable energy Electronics - Ee 2013, when we will celebrate 40 years and sources. which will be organized in NOVI SAD, SERBIA in October The first gathering Ee was held in Belgrade in 1973 with 47 2013. presented papers from all over ex–Yugoslavia, while the current Ee 2011 symposium, a as fully international, was host Prof. Vladimir Katić, Ph.D. of 102 papers. Papers came from research institutes, industry Guest Editor and university centers of 19 countries: Austria, Bosnia & Herzegovina, Bulgaria, Canada, Croatia, Germany, Hungary,

Prof. dr Vladimir Katić Biography

Vladimir Katić is a Professor of Prof. Katić published research results in more than 350 the Faculty of Technical scientific papers as author or co-author in international and Sciences at the University of national journals and conferences proceedings. He has Novi Sad, Novi Sad, Serbia. He participated or was the main researcher in 54 national and received B.Sc. degree from international R&D projects. University of Novi Sad in 1978, He is in the Editorial Boards of International Journal on and M.Sc. and Ph.D. degrees Electrical Engineering Education (U.K.), International from University of Belgrade in Journal of Power and Energy Systems (USA-Canada-CH), 1981 and 1991, respectively, all Advances in Electrical and Computer Engineering (Romania), in electrical engineering. From Electronics Journal (BIH), Engineering-Electrical 1978 he is with Department for Engineering (Serbia) and was a Member of Program or Power, Electronics & Steering Committees of more than 75 International Communication Engineering of Conferences around the World. He chaired numerous the Faculty of Technical international and national conferences, on which biannual Sciences, University of Novi Sad, where he is currently International Symposium on Power Electronics – Ee and EPE- Professor, Vice-Dean of the Faculty of Technical Sciences PEMC 2012 ECCE Europe are the most known. He is also and Head of Power Electronics and Converters Group. reviewer, member of international programme committees and The main fields of scientific and research interest of Prof. session chairman of many international or national journals Katić are power quality, renewable energy sources, power and conferences. electronics converters and standardization in electrical Prof. Katić is a Senior member of the IEEE and currently engineering. serving as IEEE PELS Chapter Development Chair, IEEE R8 He is the author or co-author of several scientific Technical Committee Liaison, Past-chair of IEEE Serbia and monograph and text books: “Real/Time Modelling of Power Montenegro Section and Chairman of the IEEE Joint Chapter Electronics Converters” (2011), “Power Quality” (2007), on Industrial Electronics/Power Electronics/Industry “Renewable Sources of Electrical Energy” (2007), Application Society of IEEE Serbia and Montenegro Section. “Microprocessor Applications in Power Engineering” (2006), He is also Founder and the President of the Power “Control of Power Converters” (2006), "Electric Power Electronic Society of Serbia, a Member of the Presidency of Quality - Harmonics" (2002), “Power Electronics – National Society of ETRAN, a Member of CIGRE (Paris), an Laboratory Practice” (2000), "Power Electronics - Worked Observer Member in the SC C4 of CIGRE (Paris), a Member Problems" (1998), and the editor of the book "Modern of National Commitee of CIGRE (Serbia), and a Founder and Aspects of Power Engineering" (1995). a Member of the Executive Board of National Committee of CIRED.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 3

Bidirectional AC-DC Converter for Regenerative Braking

Predrag Pejovic, Johann W. Kolar, and Yasuyuki Nishida

i Abstract—In this paper, a bidirectional AC DC converter is CH analyzed. The converter applies a half bridge thyristor rectifier L v1X L v and a recuperating thyristor bridge instead of a braking resistor. L 2 X i1 i2 i3 v + Recuperating mode of the converter is focused in the analysis, 3X + + + vOUT and it is shown that the converter exposes two operating modes v v v within the recuperating mode, one characterized by negligible, 1 2 3 and the other one characterized by significant distortion of the input voltages. Theoretical results are experimentally verified on a 10 kW converter. Fig. 1. The converter.

Index Terms—About four key words or phrases in the converter control and operating modes subjected to alphabetical order, separated by commas. detailed analysis.

II. NOTATION AND NORMALIZATION I. INTRODUCTION Let us assume that the converter is supplied by an N this paper, a half-bridge three-phase thyristor rectifier undistorted symmetrical three-phase voltage system intended for application in machine drives, presented in I ⎛ 2π ⎞ Fig. 1, is analyzed. In many applications, like crane [1] or = cos⎜ω ()ktVv −− 1 ⎟ (1) mk 3 elevator drives, a machine drive that presents the load operates ⎝ ⎠ in generating mode, and the generated energy requires to be for k ∈{ 3,2,1 }. The supply lines are assumed to have leakage taken care of. One method to do this is to dissipate the inductance L per phase, connected in series to the ideal generated power on a pulse width modulated braking resistor voltage sources v1 , v2 , and v3 . To simplify the notation, let ([2], page 421). This method is reliable, simple, and robust, us introduce two waveforms, one of them being the waveform but requires additional cooling effort, significantly reduces the of the voltage equal to the maximum of the phase voltages, converter power density, and increases the energy cost. To = ( ,,max vvvv ) (2) avoid these drawbacks, bidirectional power converters are of A 321 while the other one being the waveform of the voltage equal interest. Full-bridge thyristor rectifiers are simple and robust, to the minimum of the phase voltages, and provide bidirectional power flow, but in the inverting = ( ,,min vvvv ) (3) mode the output voltage has to be reversed [3], [4]. B 321 Refinements of this approach can be found in [5], [6], and [7]. Since the phase voltages add up to zero, To keep the voltage polarity in the inverter mode, auxiliary + + vvv 321 = 0 (4) thyristor bridge accompanied by a free-wheeling diode (Fig. waveform of the voltage defined to be equal to the phase 1) is proposed to replace the braking resistor voltage by the voltage that is neither minimal nor maximal at a considered highest of the line voltages available at given time instant [8], time point can be obtained as

[9]. Results presented in this paper are an extension of the = − − vvv BAC (5) results presented in [8] and [9], in theoretical direction, with According to the definitions of vA , vB , and vC , phase angle segments over the line period could be identified where

indices of the phase voltages that represent vAB, v , and vC do Manuscript received 30 May 2012. Accepted for publication 10 June 2012. not change. There are six such segments, and they are given in Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. Table 1. Table 1 also contains values of the index variables A, P. Pejović is with the Faculty of Electrical Engineering, University of B, and C. For example, consider maximum of the line voltages Belgrade, Belgrade, Serbia (phone: +381-11-3218-313; fax: +381-11-324- = − vvv on the segment π 3 < ωt < 2π 3 ; in that 8681; e-mail: [email protected]). BAAB J. W. Kolar is with Swiss Federal Institute of Technology, Zürich, segment, vAB = − vv 32 , since A = 2 and B = 3 over the Switzerland (e-mail: [email protected]). Y. Nishida is with the Chiba Institute of Technology, Japan (e-mail: segment. Besides the values of the phase voltage index [email protected]). variables, Table I also contains values of semiconductor index

DOI: 10.7251/ELS1216003P 4 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE I i DEFINITIONS OF INDEX VARIABLES, A, B, C, a , AND b CH range A B C a b L + + t << πω 30 1 3 2 1 6 VOUT vAB t << πωπ 323 2 3 1 3 6 S 32 t << πωπ 2 1 3 3 2 L 3 1 2 5 2 t << πωπ 34 Fig. 2. Equivalent circuit of the converter during the recuperation interval. t << πωπ 3534 3 2 1 5 4 t << 235 πωπ 1 2 3 1 4 recuperating thyristor bridge provides connection to two L inductors that represent the line inductance, connected in variables a and b, where a is the index of the semiconductor series to vAB , as depicted in Fig. 2. In the analysis, it is component connected to the highest of the phase voltages, assumed that the output voltage is constant. Thus, the output while b is the index of the of the semiconductor component of the converter, including the output capacitor, is replaced by connected to the lowest of the phase voltages. a constant voltage source V in the circuit diagram of Since numerical methods were extensively used to provide OUT Fig. 1, being supplied by the charging current i , as depicted the results presented in this paper, to generalize the results CH normalization of variables is introduced. The voltages are in Fig. 2. normalized taking amplitude of the phase voltage as a basis, According to the phase voltages (1), on the phase angle segment 0 < ωt < π 3 maximum of the line voltages is given providing normalized value mX of the voltage vX as by its normalized value vX mX = (6) ⎛ π ⎞ Vm ()ωtm = sin3 ⎜ωt + ⎟ (12) AB 3 The currents are normalized taking amplitude of the ⎝ ⎠ short-circuit phase current as a base current for normalization reaching the minimum of AB ()0 = mm AB ()π = .1 53 . Now, let ωL us assume that in the phase angle interval 0 < ωt < α the j = i (7) X X breaking switch of Fig. 1 is on, and that M > m , which Vm OUT AB The base current for normalization is high, thus all the results in the recuperation interval. During the interval, currents in the analysis would be characterized by relatively normalized equation that characterizes the charging current is jd 1 low per unit values. For example, the RMS value of the phase CH (−= Mm ) (13) short-circuit current is ωtd 2 AB OUT 1 V m Assuming that jCH ( ) = 00 , the waveform of jCH is given by I RMSSC ≈= p.u.707.0 (8) 2 ωL 1 ⎛ ⎛ π ⎞ 3 ⎞ A consequence is that the powers in the system would also be ()ωtj −= ⎜ cos3 ⎜ωt + ⎟ + M ωt − ⎟ (14) CH 2 ⎜ 3 OUT 2 ⎟ characterized by low per unit quantities, thus the short circuit ⎝ ⎝ ⎠ ⎠ apparent power is which for M OUT > 3 reaches maximum of its magnitude for 2 3 Vm S == p.u.5.1 (9) ωt = α , MAX = − jj CH (α ). SC 2 ωL Average of the charging current is computed performing Applying normalization defined by (6) and (7), the equation averaging over the phase angle of π 3 , which is the phase that characterizes the line inductance angle interval that corresponds to the fundamental period of id L L = Lv (10) the charging current, td α 3 in normalized terms becomes = ()ωω tdtjJ (15) CH π ∫ CH jd 0 m = L (11) L ωtd resulting in In analyses that follow, time variable t will be frequently 3 ⎛ ⎛ π ⎞ ⎞ ⎜ 2 ⎟ J CH = ⎜ sin32 ⎜α + ⎟ + M OUT − 3αα − 3⎟ (16) represented by the phase angle variable ωt , like it has already 4π ⎝ ⎝ 3 ⎠ ⎠ been done in (11). In steady state, the converter output current is equal to the average of the charging current, J = J , according to the III. ANALYSIS OF THE CONVERTER OPERATION OUT CH charge balance over the filtering capacitor connected in Fundamental idea discussed in this paper is how to replace parallel to the load. This is not shown in Fig. 1, but being the voltage across the braking resistor with the maximum of assumed. the line voltages, vAB , obtained applying the recuperating The charging current (16) is negative, which corresponds to thyristor bridge of Fig. 1? Besides the voltage vAB , the the converter operation in the inverting mode. Normalized ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 5

900 0.00 k =1 800 -0.05 2 700 3 600 -0.10 4 500 POUT 5 THDi -0.15 6 []p.u. []% 400 7 -0.20 300 8 k =1 200 -0.25 9 k =10 k =10 100 -0.30 0 0 102030405060 0 102030405060 α ° α []° [] (a) Fig. 3. Dependence of POUT on α for M OUT += 05.07.1 k . 80 power in the inverting mode is given by = JMP , OUT CHOUT 70 and for various values of M OUT and α it is depicted in Fig. 3. 60 From the curves of Fig. 3 it can be observed that relatively k =10 high power could be recuperated, and that the process could 50 be controlled varying the switch on-angle α , being also THDv 40 dependent on the converter output voltage. []% Averaged equation over the output capacitor voltage 30 governs the converter output voltage, and in normalized form 20 it is given by k =1 10 md OUT = CH ()OUT ,α − jmj OUT (17) ωtd 0 Thus, dynamics of the converter in the recuperation mode is 0 102030405060 given by the first order nonlinear differential equation. α []° The analysis performed this far covers only the recuperating (b) interval. However, at the end of the interval substantial Fig. 5. Dependence of the input current (a) and the coupling point voltage amount of energy might remain stored in the inductors of (b) THD on α for M OUT += 05.07.1 k .

0.06 Fig. 2. For example, for M OUT > 3 the maximum of iCH 0.04 flows through the inductors at the time instant when S is being 0.02 turned off. This energy will be discharged through the free-

0.00 wheeling diode (DFW in Fig. 1) during the discharge interval j1 (a)

-0.02 that follows the recuperating interval. Equivalent circuit for this interval corresponds to the circuit diagram of Fig. 2 with -0.04 VOUT replaced by a short circuit, and the governing equation -0.06 0 30 60 90 120 150 180 210 240 270 300 330 360 for the current of the inductors iL is ωt []° jd L 1 = mAB (18) 1.5 ωtd 2

1.0 where iL is oriented in the same direction as iCH . This

0.5 interval lasts while iL < 0 . The discharge interval does not 0.0 m1X (b) contribute to the recuperated power, since the voltage source

-0.5 that represents the load, is open during the interval. Typical

-1.0 waveforms of j1 and m1X in the recuperating mode are

-1.5 shown in Fig. 4. 0 30 60 90 120 150 180 210 240 270 300 330 360 Dependence of the input current THD and the THD of the ωt []° voltages at the converter coupling points, just after the Fig. 4. Waveforms of j and m for M = .1 8 and α 45°= . 1 1X OUT inductors that represent leakage inductances of the line, are 6 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 presented in Fig. 5. The converter is characterized by high bridge to turn off, without any need for hard switched turn off distortions of the currents and voltages, which might not be a of S. This operating mode is named soft discharge mode. fact of relevance in isolated local networks. According to (13), at low output voltages, lower than p.u.3 , recuperating current grows in magnitude as long as IV. CONTROL OF THE CONVERTER < Mm , while it starts to decrease when > Mm . In the analysis presented this far, it was shown that the AB OUT AB OUT For the output voltages lower than about 1.67 p.u., the output voltage could be controlled adjusting the switch on recuperating current reaches to zero during the recuperating angle α , and that the governing equation is a nonlinear first interval, and the thyristors Qa and Qb turn off spontaneously. order differential equation (17). In this section, the analysis is Exact limit of this operating mode is M OUT < M SDM , where extended to the output voltage bellow M OUT = 3 , down to M SDM is obtained as a solution of M OUT = 1 5. , which is the bottom limit to turn the thyristors M SDM 2 2π 3 Q1 to Q6 on. In this case, the charging current i may reach M SDM arcsin 3 M SDM −−+ M SDM =+ 0 (19) CH 3 3 2 zero spontaneously, causing the thyristors of the recuperating Numerical solution of (19) is M SDM ≈ 673436.1 . In the case

0.015 OUT < MM SDM , the line inductance discharge is spontaneously

0.010 performed. In this manner, the voltage spikes related to the

0.005 discharge process after the hard turn off of S are avoided, and the THD of the input voltages remains moderate. In the first j 0.000 (a) 1 two diagrams of Fig. 6, the input current and the input voltage -0.005 are presented for the converter operating in this mode, at -0.010 M OUT = 65.1 and α = 45° , corresponding to JOUT = − 023.0 . -0.015 0 30 60 90 120 150 180 210 240 270 300 330 360 The THD of the input current, presented in Fig. 6(a), was ωt []° expectedly high, equal to 127%. However, the THD of the 1.5 input voltage waveform, presented in Fig. 6(b), was only

1.0 2.73%, which is a consequence of the absence of the voltage

0.5 spikes that characterize the discharge process caused by hard

0.0 m1X (b) 0.000

-0.5

-0.005 -1.0

-1.5 0 30 60 90 120 150 180 210 240 270 300 330 360 -0.010 ωt []° JOUT

0.015 -0.015

0.010

-0.020 0.005

j 0.000 (c) 1 -0.025 1.50 1.55 1.60 1.65 1.70 1.75 1.80 -0.005 M OUT -0.010 (a) 25 -0.015 0 30 60 90 120 150 180 210 240 270 300 330 360 ωt []° 20

1.5

1.0 15 THDv 0.5 []% 10 0.0 m1X (d)

-0.5 5

-1.0

-1.5 0 0 30 60 90 120 150 180 210 240 270 300 330 360 1.50 1.55 1.60 1.65 1.70 1.75 1.80

ωt []° M OUT

Fig. 6. Waveforms of the input current and the input voltage for α = 45° : (b)

Fig. 7. (a) Dependence of JOUT on M OUT for α 45°= ; (b) dependence of (a) and (b) soft discharge mode at M OUT = 65.1 ; (c) and (d) hard discharge

the input voltage THD on M OUT for α = 45° . mode at M OUT = .1 7 . ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 7

converter with a constant on-angle of S. In that case, at higher recuperating power the converter would operate in the hard discharge mode, while at lower recuperating power the converter would operate in the soft discharge mode.

V. EXPERIMENTAL RESULTS In order to verify feasibility of the proposed concept, a laboratory model of the converter with the rated power of 10 kW was built applying IXYS VVZB 120 module for the half controlled thyristor bridge and the controlled switch, and six discrete thyristors IXYS CS 30-12oi, for the recuperating thyristor bridge. The converter is intended to operate at the

Fig. 8. Waveforms of the input current for the output voltages of 590 V, three-phase voltage system with the phase voltage RMS value 580 V, 570 V, and 550 V. Scales: 20 A/div, 2 ms/div. of 230 V, at 50 Hz. The line inductance was 1 mH. The output voltage of the converter was impressed by an external DC turn off of S. Applying numerically obtained value for M SDM , source, which is applied to provide the power to be maximum of the recuperated current absolute value in the soft recuperated to the mains. To analyze the effects caused by the supplying three-phase voltage system unbalance, voltage at discharge mode is obtained as JOUT −= 004291.0 , which one of the phases is increased for about 4% by an adjustable corresponds to the recuperated power of transformer. P −= p.u.007181.0 , or in the terms of the short circuit OUT To illustrate the converter operation at different output apparent power at the point of coupling voltages, while keeping the switch on-angle constant, a set of P OUT −= %4787.0 (20) measurements is performed, and obtained waveforms of the SSC input currents are presented in Fig. 8. The measurements are Output current of recuperating mode increased above the performed for four values of the output voltage: 590 V, soft discharge limit forces the converter to operate in the hard 580 V, 570 V, and 550 V. These output voltages correspond discharge mode. The input current and the input voltage to the recuperating power of 9.3 kW, 7.2 kW, 5.4 kW, and waveforms that correspond to this operating mode at 1.6 kW, respectively. Operation of the converter in the soft discharge mode at the lowest of the power is clearly M OUT = 7.1 and α 45°= , corresponding to JOUT = − 0081.0 , observable. are presented in the last two diagrams of Fig. 6, labeled (c) and (d). The THD of the input current is reduced to 69.44%, VI. CONCLUSIONS while the THD of the input voltage is increased to 11.77%. In order to compare the converter behavior in the soft In this paper, a bidirectional AC-DC converter intended for discharge mode to the operation in the hard discharge mode, a application in machine drives to provide regenerative braking set of numerical simulations is performed. In Fig. 7(a) is analyzed. The converter is derived replacing the braking resistor by a thyristor bridge that provides the maximum of the dependence of the recuperated current JOUT on the output line voltages at its output in order to recuperate the braking voltage M is presented. It can be observed that for OUT energy. Appropriate normalization is introduced to generalize normalized output voltages lower than M SDM absolute value the results. Operation of the converter is analyzed in detail, of the recuperated output current is relatively low in and it is shown that the converter in the recuperating mode magnitude, lower than the limit of 0.00429 p.u. Increased can operate in two sub-modes: soft discharge mode and the output voltage causes the converter to operate in the hard hard discharge mode. The soft discharge mode is shown to discharge mode, resulting in increased absolute value of the provide recuperation with negligible distortion of the input recuperated current. In Fig. 7(b), dependence of the input voltages. Limits for this operating mode are derived. Constant voltage THD on the output voltage is presented. Point where on angle control strategy for the recuperating switch is the converter switches from soft discharge mode to the hard analyzed, and it is shown to be applicable for the loads that discharge mode is readily observable by sudden increase of tolerate 20% variation of the supply voltage. The analyses are the input voltage THD. experimentally verified on a 10 kW converter laboratory It can be concluded that the converter at low output model. voltages and relatively low recuperating power could be operated in the soft discharge mode, which is characterized by REFERENCES absence of the input voltage spikes and moderate distortions [1] R. Belmans, F. Busschots, R. Timmer, “Practical design considerations of the input voltages. Besides, hard switched turn off of S is for braking problems in overhead crane drives,” in IEEE Industry avoided in this mode. In the case the load tolerates the output Applications Society Annual Meeting, 1993, pp. 473-479. voltage variations of about 20%, it is possible to operate the 8 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

[2] N. Mohan, T. M. Undeland, W. P. Robbins, Power Electronics: [7] K. Matsui, U. Mizuno, Y. Murai, “Improved power regenerative controls Converters, Applications and Design, 2nd Edition. New York: John by using thyristor rectifier bridge of voltage source inverter and a Wiley & Sons, 1995. switching transistor,” IEEE Transactions on Industry Applications, vol. [3] R. M. Davis, “A bidirectional AC DC power converter for fixed polarity 28, pp. 1010-1016, Sep./Oct. 1992. DC loads,” in 3rd International Conference on Power Electronics and [8] J. W. Kolar, H. Ertl, F. C. Zach, “A novel concept for regenerative Variable Speed Drives, London, UK, 1988, pp. 142-145. braking of PWM-VSI drives employing a loss-free braking resistor,” in [4] D. Milly, “An AC to DC converter with output reversation,” in Record 12th Annual Applied Power Electronics Conference and Exposition of the 3rd European Conference on Power Electronics and Applications, APEC '97 Conference Proceedings, 1997, pp. 297-305. Aachen, Germany, 1989, pp. 813-817. [9] J. W. Kolar, H. Ertl, F. C. Zach, “Design and experimental evaluation of [5] J. C. Clare, P. R. Mayes, W.F. Ray, “Bidirectional power convertor for the loss-free braking resistor concept for applications in integrated voltage fed inverter machine drives,” in 23rd Annual IEEE Power converter machine systems,” in Proceedings of the 24th Annual Electronics Specialists Conference Record, 1992, pp. 189-194. Conference of the IEEE Industrial Electronics Society, IECON '98, [6] K. Matsui, K. Tsuboi, S. Muto, “Power regenerative controls by utilizing 1998, pp. 626-629. thyristor rectifier of voltage source inverter,” IEEE Transactions on Industry Applications, vol. 28, pp. 816-823, July/Aug. 1992.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 9

Analysis of Single Coil PM-BLDC Motor

Boguslaw Grzesik and Mariusz Stepien

Abstract—The aim of the paper is FEM analysis of single coil II. IDEA OF SINGLE COIL BLDC MOTOR BLDC motor operated as drive of small piston pump. The paper The rotor of PM-BLDC contains sectioned permanent contains analysis of design, distribution of magnetic field, forces and torque under static and dynamic conditions. Voltages, magnet (Fig. 1) where number of section is equal to number of currents, signals waveforms are also given as the results of poles in the stator. Stator is made of even number of coils analysis. The cogging torque and total torque are discussed in the connected in series and wound, each in opposite direction in paper. respect of neighbor one. The idea of considered rotor is shown in Fig. 1. The most important is direction of coil winding. It is Index Terms—ANSYS, BLDC motor, FEM analysis, single coil marked in Fig. 2 with arrows. Also direction of coil current is motor. marked by signs (“+” and “-“) and proper color. Control of

the stator current is based on the signals of Hall sensors H located in the stator (Fig. 1). They detect magnetic field I. INTRODUCTION generated by rotor magnets. ERMANENT magnet DC brushless motors are broadly used Pin small drives. They have many advantages, such as high +DC D1 efficiency, reliability, low production costs and simple D2 C3 T1 control. T2 C 4 Permanent magnet BLDC motors are use in mechatronic, C2

T11 S N C1 N S T12 electronic devices like CD-rooms in range of power from tens C2 of miliwatts to hundred watts and range of rotational speed W from hundred to several thousand rpm. The motor presented in C5

N S

the paper is dedicated to drive small liquid piston pump. H N S Usually brushless DC motors are made of three or more R11 C5 C 1 R12 windings (coils) supplied by electronic full bridge K1 C6 K2 commutator. PM single coil motor is supplied by only single H1 H 2 coil, sectioned and distributed along stator circumference, where each subsequent section is wound in opposite direction. Rotor of the motor is made of sectioned permanent magnet. T3 T4 The idea of design of this type of motor and method of its control is known [1]. It is also described in details in the next -DC Fig. 1. Schematic diagram of control circuit of PM BLDC single coil motor. section. For proposed application it needs detailed analysis of magnetic field, torques and power properties. The main work The control circuit of the system with PM-BLDC is in this paper is analysis of magnetic field in the motor and its depicted in fig. 1. The bridge T1- T4 is switched in pairs (T1- properties at given dimensions and power using ANSYS T4) and (T2-T3). Due to the state of the bridge the each half software (based on FEM method) [2]. Obtained results of of the stator coils change its polarity. For the state (T1-T4) the FEM analysis are compared with results of measurement. It is coils C1, C3, C5 attract relevant permanent magnet of the necessary to underline that analyzed motor is characterized by rotor while the coils C2, C4, C6 are repulse their counterpart its extremely simple power electronic control system of four permanent magnets. The direction of the motion is determined transistor bridge with special symmetry of stator. The control is based on Hall sensors that senses the position of the rotor. The rotational speed is proportional to the DC supply voltage that is Manuscript received 30 May 2012. Accepted for publication 10 June 2012. delivered between +DC and –DC terminals. It is necessary to Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. notice that control circuit having limited number of electronic B. Grzesik is with the Faculty of Electrical Engineering, Silesian parts is cheaper than the circuit designed for instance for three University of Technology, Gliwice, Poland (e-mail phase solution. [email protected]). M. Stepien is with Faculty of Electrical Engineering, Silesian University of Technology, Gliwice, Poland (corresponding author to provide phone: +48- 32-237-1247; fax: +48-32-237-1304; e-mail:[email protected]).

DOI: 10.7251/ELS1216009G 10 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Fig. 4. 2D flux lines in PM BLDC single coil motor (α=0 deg).

Fig. 2. Stator windings in single coil PM- BLDC motor; opposite marked direction of coil winding and resulted current in coil.

III. COMPUTATIONAL MODEL Analysis of magnetic field in described motor is calculated using ANSYS software which is based on FEM. Calculations were carried out using 2D planar magnetic model. It is assumed that stator magnetic material operates with linear H- B characteristic. The part of the geometry of 2D ANSYS model with mesh displayed is shown in Fig. 3.

Fig. 5. 2D flux lines in PM BLDC single coil motor (α=0 deg). at load current 4 A/turn is shown in Fig. 6. Presented distribution of magnetic field produces no torque at 0 deg position at any current flowing in stator coils.

Fig. 3. Stator windings in single coil PM- BLDC motor; opposite marked direction of coil winding and resulted current in coil.

IV. FEM ANALYSIS OF MAGNETIC FIELD The analysis of magnetic field allows to optimize design in respect of the motor performance and calculate magnetic forces existing between stator and rotor. Magnetic flux lines in motor with no coil current at 0 deg and 20 deg of rotor position are shown in Fig. 4 and Fig. 5 respectively. One can observe symmetrical flux lines distribution at 0 deg rotor position and closed loops of flux inside of stator pole at 20 deg. Flux distribution influences on cogging torque of motor. Fig. 6. Magnetic flux density distribution and current distribution at 0 deg of rotor position and 4 A/turn of coil current. Magnetic flux distribution and current distribution in coils ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 11

V. FEM ANALYSIS OF TORQUE distribution. The dent location and magnetic field distribution Analysis of magnetic field at each position allows to is shown in Fig. 9. Characteristics of torque vs. rotor position calculate characteristics of torque vs. rotor position at given in vicinity of 0 deg is shown in Fig. 10. Two cases are load current. Both cogging torque and total torque were compared, with dent and without dent. One can observe that calculated. zero cogging torque is at 1 deg, while torque at load current at The characteristic of cogging torque vs. rotor position is this position is non-zero. It means torque produced by current shown in Fig 7. One can observe high value near 0 deg at hold position is non-zero and it allows to start rotation of position and at every 60 deg rotation. Cogging torque hold motor in clockwise direction. rotor in proper location in case of no load current. Characteristic of total torque at two different values of load current is shown in Fig. 8 (cogging torque shown for the reference). One can observe no torque at 0 deg and each 60 deg multiple. Because of no load current hold position is the same as for zero torque position at given current. It means that The dent there is no starting torque in the motor.

Fig. 9. Magnetic flux density and current density – motor with dent and angle 0 deg and 4 A/turn (4A/mm2).

Fig. 7. Cogging torque vs. position of rotor.

Fig. 10. A torque vs. position of rotor in vicinity of 0 deg position, comparison of motor poles with dents and without dents at 4 A/turn.

VII. CONCLUSIONS • Permanent magnet brushless single coil DC motor described in [1] is analyzed. • Features of the analyzed single coil DC motor are slightly different comparing with standard three phase motor. Fig. 8. Total torque vs. position of rotor at different value of load current • Future works will be concentrated on analysis of circuit (16 A/turn, 4 A/turn and 0 A/turn – only cogging torque); TAVG – average value of total torque at 16 A/turn. model based on FEM.

REFERENCES VI. THE DENT INFLUENCE ON STARTING TORQUE [1] Gerfast S. R.: “Single coil, direct current permanent magnet brushless In order to obtain non-zero torque at 0 position under non- motor with voltage boost”, US Patent 6940238, September 2005 zero load current modification of stator pole was applied. It [2] ANSYS Software Manual consists in inserting of dent for unsymmetrical field

12 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

IPMSM Model Including Magnetic Saturation and Cross-Coupling

Darko P. Marčetić, Roberto M. Varga, and Mile B. Bozić

Abstract—This paper presents a modified IPMSM model BEMF limitations by injecting a HF voltage signal into the suitable for use with carrier-signal-injection-based sensorless phase windings and by measuring the machine response methods. The suggested model includes magnet saturation of detecting corresponding HF current. The HF signal can be both d- and q- axis and cross-coupling which all result in more injected as rotating carrier in the stationary reference frame accurate description of high frequency test signal propagation. [2], [3] or as pulsating signal into the estimated d-axis [4], [5]. The model is verified by comparing experimental results of a sensorless method based on HF test signal with simulation results Usage of test signal injection helps but does not fully cancel from standard and modified model. the methods dependence on motor parameters variation. It has been reported that both saturation and cross-coupling effects Index Terms—IPMSM, model, HF test signal sensorless. introduce load dependent rotor position error [6] – [10]. This paper presents improved IPMSM model that helps NOMENCLATURE explaining motor load dependence of HF test signal based vd ,vq – stator d- and q- axis voltages methods. The model includes magnet saturation of both d- and id, iq – stator d- and q- axis currents q- axis and cross-coupling magnetic saturation. Experimental vdh ,vqh – stator d- and q- axis high frequency voltage results show that only suggested model modifications bring components model results close to experimental results under the heavy idh, iqh – stator d- and q- axis high frequency current loading conditions. components ψ , ψ – stator d- and q- axis fluxes d q II. MATHEMATICAL MODEL OF IPMSM WITH AND ψ – permanent magnet flux f WITHOUT SATURATION AND CROSS-COUPLING Rs – stator phase resistance Ld, Lq – stator d- and q- axis self inductances Electrical subsystem of IPMSM can be modeled using Ldh,Lqh – stator d- and q- axis incremental self voltage balance equations (1), flux linkage equations (2) and inductances electromagnetic torque formula (3). Ldqh,Lqdh – stator d- and q- axis incremental mutual inductances ⎡v ⎤ R 0 ⎡i ⎤ p − ω ⎡ψ ⎤ e d ⎡ s ⎤ d ⎡ r ⎤ d θr,θr – actual and estimated rotor electrical position ⎢ ⎥ = ⎢ ⎥⎢ ⎥ + ⎢ ⎥⎢ ⎥ (1) vq 0 Rs iq ωr p ψ q ωr – actual rotor electrical angular frequency ⎣ ⎦ ⎣ ⎦⎣ ⎦ ⎣ ⎦⎣ ⎦ Te – electromagnetic torque ⎡ψ d ⎤ ⎡Ld 0 ⎤⎡id ⎤ ⎡ψ f ⎤ P – number of pole pairs ⎢ ⎥ = ⎢ ⎥⎢ ⎥ + (2) ψ 0 L i ⎢ 0 ⎥ ⎣ q ⎦ ⎣ q ⎦⎣ q ⎦ ⎣ ⎦ I. INTRODUCTION 3 (3) e = [ψ ( −+ ) iiLLiPT qddqqf ] NTERIOR Permanent Magnet Synchronous Machine 2 I (IPMSM) is used in many motor drive applications mostly The state space model of IPMSM is given in (4) due to its cost, high efficiency and high torque-to-inertia ratio ⎡ vd ⎤ [1]. Further drive system cost reduction is only possible if ⎡id ⎤ ⎡id ⎤ ⎢ ⎥ (4) p⎢ ⎥ = []A ⎢ ⎥ + []B ⎢ vq ⎥ shaft position sensor is eliminated. The most popular methods iq iq ⎣ ⎦ ⎣ ⎦ ⎢ ψω ⎥ for IPMSM rotor position estimation are a carrier-signal- ⎣ fr ⎦ injection-based methods. Those methods bypass fundamental where

⎡ Rs ω Lqr ⎤ ⎡ 1 ⎤ Manuscript received 30 May 2012. Accepted for publication 10 June 2012. ⎢ − ⎥ 00 L L ⎢ L ⎥ Some results of this paper were presented at the 16th International []A = ⎢ d d ⎥ []B = ⎢ d ⎥ ω L R 11 Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. ⎢ dr s ⎥ ⎢ 0 − ⎥ This work was supported by Ministry of Education and Science of the ⎢ −− ⎥ L L ⎢ LL qq ⎥ Republic Serbia within project III 42004. ⎣ q q ⎦ ⎣ ⎦ D. Marčetić. is with the Faculty of Faculty of Technical Sciences, This is basic model that does not include d- and q-axis University of Novi Sad, , Serbia:(phone: +381-21-485-2504; e-mail: [email protected]). magnetic saturation nor cross-coupling saturation. The magnet R. Varga is with Neotech Ltd, Novi Sad, Serbia (e-mail: saturation can be included by altering Ld and Lq parameter [email protected]). with current level using following functions. M. Božić is with Nidec Motor Corporation, Chicago, USA (e-mail: [email protected]).

DOI: 10.7251/ELS1216012M ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 13

= iiLL ),( qddd L versus Iq and Id Id = 0 Id = -1 Id = -2 Id = -3 Id = -4 (5) dq = iiLL qdqq ),( 4.5 4.0 Ld and Lq variation can be mapped for different current levels 3.5 using finite-element analysis or experimental results. 3.0 2.5 Experimental results for tested motor for Ld(id, iq) and Lq(id, iq) are given on the Fig 1 and Fig 2. [mH] 2.0 1.5 1.0 Lq versus Iq and Id Id=-3A Id=-2A Id=-1A Id=0 Id=1A Id=2A 90 0.5 Iq [A] 0.0 80 123456 70 Fig. 4. Measured Ldq =Ldq (id, iq).

60 [mH]

50 III. IPMSM MODEL EXCITED WITH INJECTED HF TEST 40 SIGNAL AND UNDER DIFFERENT LOAD CONDITIONS Iq [A] 30 HF test signal based methods inject high frequency voltage -7.8 -6.2 -4.6 -3.2 -1.6 1.6 3.2 4.6 6.2 7.8 Fig. 1. Measured Lq =Lq (id, iq). signal into the phase windings and measure the machine response by detecting corresponding HF current signal. The Ld versus Iq and Id Id=-3A Id=-2A Id=-1A Id=1A Id=2A 40 HF q-axis current signal is compared to d-axis HF current

35 signal and rotor position error signal is created, Fig 5. ~ 30 HF θ )( ωHF err r HF iq iq 25 est ωr [mH] 20 1 15 est ωHF θr i HF s 10 d id Iq [A] 5 -7.8 -6.2 -4.6 -3.2 -1.6 1.6 3.2 4.6 6.2 7.8 Fig. 2. Measured Ld =Ld (id, iq). Fig. 5 The rotor position and speed estimation using demodulated HF current signal. The cross coupling magnet saturation can be also built-in in IPMSM model using Ldq inductance parameter If only HF signals are considered, the model (1) and (6) reduces to ⎡ψ d ⎤ ⎡ LL dqd ⎤⎡id ⎤ ⎡ψ f ⎤ ⎢ ⎥ = ⎢ ⎥⎢ ⎥ + ⎢ ⎥ (6) ⎡vdh ⎤ ⎡ dh LL dqh ⎤ ⎡idh ⎤ ⎣ψ q ⎦ ⎣ LL qdq ⎦⎣iq ⎦ ⎣ 0 ⎦ ⎢ ⎥ = ⎢ ⎥ ⋅ p⎢ ⎥ (8) vqh qdh LL qh iqh ⎣ ⎦ ⎣ ⎦ ⎣ ⎦ One way to include Ldq is to alter the state space IPMSM Equation (8) is valid in rotor position reference frame θr . model as shown in (7) and on Fig 3. However, the DSP can see only the quantities in used e ⎡ Ldq ⎤ (estimated) rotor position reference frame , θr . ⎛ ⎡ v ⎤⎞ 0 − i ⎜ i d ⎟ ⎢ ⎥ i ⎡ d ⎤ 1 ⎡ d ⎤ ⎢ ⎥ ⎢ Ld ⎥⎡ d ⎤ e e = ⎜[]A + []B v ⎟ + −1 ⎡vdh ⎤ ⎡ dh LL dqh ⎤ −1 ⎡idh ⎤ ⎢ ⎥ ⎢ ⎥ ⎢ q ⎥ ⎢ L ⎥⎢ ⎥ (7) T = ⋅ Tp (9) ⎣iq ⎦ p ⎜ ⎣iq ⎦ ⎟ dq ⎣iq ⎦ [] ⎢ e ⎥ ⎢ ⎥ [] ⎢ e ⎥ ⎜ ⎢ ψω ⎥⎟ ⎢− 0 ⎥ v qdh LL qh i ⎝ ⎣ fr ⎦⎠ L ⎣⎢ qh ⎦⎥ ⎣ ⎦ ⎣⎢ qh ⎦⎥ ⎣⎢ q ⎦⎥ ⎡ cos(θerr )(sin θerr )⎤ e where []T = ⎢ ⎥ transforms from θr to θr ⎡ dq /0 LL d ⎤ ⎣− sin()err cos ()θθ err ⎦ ⎢ ⎥ ⎣ dq LL q 0/ ⎦ reference frame (Fig. 6). ⎡ vd ⎤ ⎢ ⎥ + 1 - ⎡i ⎤ e ⎢ vq ⎥ B d q + p + ⎢ ⎥ ⎢ ψω ⎥ ⎣iq ⎦ ωr ⎣ fr ⎦ q d e A d θ Fig. 3. Modified IPMSM model with build in cross-coupling saturation – err suitable for MATLAB/ Simulink. θ e Cross coupling also changes with saturation and therefore L θ r dq r a parameter also has to vary with d- and q-axis current level. e Measured Ldq =Ldq (id, iq) is given on the Fig 4. The data was Fig. 6. Actual dq and estimated dq (DSP) rotating reference frames. collected experimentally, using approach suggested in [10].

14 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

e e Therefore, if HF test signal vsig is injected in d –axis only (vdh HF error as function of angle error - full load e 1.5 =vsig , vqh =0), in the estimated rotor position reference frame is valid (10) 1 ⎡ ˆ ˆ ⎤ ⎡ e ⎤ ⎡vsig ⎤ − LL diffavg +θθ merr )2cos( Ldiff +θθ merr )2sin( idh ⎢ ⎥ = ⎢ ⎥ p⎢ ⎥ 0 Lˆ +θθ )2sin( + LL ˆ +θθ )2cos( ie 0.5 ⎣ ⎦ ⎣⎢ diff merr diffavg merr ⎦⎥ ⎣⎢ qh ⎦⎥ where 0 ˆ 22 Lavg =(Lqh+Ldh)/2, Ldiff = (Lqh-Ldh)/2, diff diff += LLL dqh -0.5

θ m = arctan( LL diffdqh )/ -1 Solution of (10) for current de- and qe-axis components available in DSP (estimated position reference frame) are -1.5 v 0 0.2 0.4 0.6 0.8 1 1.2 e sig ˆ model without (dashed) and with (solid) Ld, Lq and Ldq change used idh = ()avg + LL diff +θθ merr )2cos( ˆ22 Fig. 8. Demodulated HF error signal as function of rotor position error for full ()avg − LLp diff load condition – model with (solid) and without (dashed) variable parameters. v (11) e sig ˆ iqh −= (Ldiff +θθ merr )2sin( ) Demodulated HF error signal holds position error information − LLp ˆ22 ()avg diff and drives sensorless position regulator. Standard IPMSM Most of sensorless algorithms have estimated rotor position model predicts almost unchanged error signal trajectory for regulators that ultimately force iqh signal to zero. If that is the full circle of position error and therefore similar behavior of case, according to (11) sensorless algorithm ends up with rotor sensorless algorithm for different load conditions. On the other position error equal to: hand, modified IPMSM model predicts completely different θ nature of position error signal when load condition changes. m (12) θerr −= Modified IPMSM model holds the reason for error signal 2 deviation, the change is driven by significant L , L and L Because of high dependence of relevant parameters (Ld, Lq and d q dq inductance parameter variation with position error, as shown Ldq) of dc current levels in rotor position reference frame DSP DSP (Figures 1, 2, and 4) it is not easy to predict what will be the on Figure 9 for full load condition. While Id and Iq for finally position error for giving load conditions. The only way given load condition stay the same in DSP reference frame, to exam the nature of position error is to use modified the actual Id and Iq vary with actual position error signal (in simulation model which uses all inductance parameters as this case full circuit) and entirely change the model behavior variables. Simulation results are given for no load Id= 0A, Iq= and resulting error signal. 0A (Fig. 7) and full load conditions Id = -4.5A, Iq = 5.5A (Fig. Ld , Lq and Ldq with angle - full load 8). The later pair is calculated using maximum torque per 1 ampere approach. Both figures show trajectories of 0.8 demodulated HF error signal (Fig 5.) for standard (dashed red) and for modified (solid blue) IPMSM model. Figures also 0.6 show sawtooth signal of estimated rotor position which was artificially moved full circle around rotor position at stand 0.4 still. 0.2 HF error as function of angle error - no load 1 0 0.8

0.6 -0.2

0.4 -0.4 0 0.2 0.4 0.6 0.8 1 1.2 0.2

0 Fig. 9. Trajectories of Ld, Lq and Ldq inductances for full circle of rotor position errors and maximum current. -0.2

-0.4 IV. EXPERIMENTAL RESULTS

-0.6 The proposed IPMSM model was verified by using an experimental setup consisting vector controlled 1kW IPMSM -0.8 machine, Fig. 10. The IPMSM parameters are – rated power

-1 0 0.2 0.4 0.6 0.8 1 1.2 Pn = 750W, with 36.5 VL-L peak BEMF at 1000 rpm, star model without (dashed) and with (solid) Ld, Lq and Ldq change used connected stator, P = 4, Rs = 3.8Ω, and inductance parameters Fig. 7. Demodulated HF error signal as function of rotor position error for no as given in figures 1, 2 and 4. load condition – model with (solid) and without (dashed) variable parameters. Motor was kept at stand still with lock rotor bracket. The magnet and encoder position was preset to zero. HF test

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 15

signal, amplitude 0.2 A and frequency 250 Hz, was injected in model development was HF test signal based sensorless slowly rotating estimated rotor position, DSP d-axis. Shown instability at ultra high load condition. The modified IPMSM demodulated rotor position error signal is calculated using model results suggest the reason for that unwanted sensorless model at Figure 5. The data from DSP was transferred into PC behavior. It shows large distortion of demodulated position via fast GUI interface. error signal which can lead to 1. significant estimated position error (the zero crossing of error signal and actual zero position error differ) or 2. regulator instability, especially if error signal offsets from zero and losses zero-crossing. In that case regulator runs through actual zero position and runs to next available zero crossing where it settles erroneously.

REFERENCES

[1] Aengus Murray, Marco Palma and Ali Husain, “Performance Comparison of Permanent Magnet Synchronous Motors and Controlled Induction Motors in Washing Machine Applications using Sensorless Field Oriented Control”, Energy Saving Products Division International Rectifier El Segundo, CA 90245. Fig.10. Experimental setup. [2] Y.-S. Jeong, R. D. Lorenz, T. M. Jahns and S.-K. Sul, “Initial Rotor Position Estimation of an Interior Permanent Magnet Synchronous Fig. 11. shows the demodulated error signal relatively to Machine using Carrier-Frequency Injection Methods,” IEEE Intern. sawtooth estimated position signal. With real magnet position Electric Machines a. Drives Conf. IEMDC, Madison, WI, June 1-4, kept at zero that sawtooth is also position error signal. Dashed 2003, pp. 1218–1223; later in IEEE Trans. Industry Appl., Vol. 41, No. red line shows data collected for zero current condition I =0A, 1, Jan./Feb. 2005, pp. 38-45. d [3] M. J. Corley and R. D. Lorenz, “Rotor position and velocity estimation Iq=0A and shows very close match to both IPMSM models. for a salient-pole permanent magnet synchronous machine at standstill Solid blue line for full load condition (Id = -4.5A, Iq = 5.5A) and high speed,” IEEE Trans. Ind. Appl., vol. 34, no. 4, pp. 784–789, shows complex nature of demodulated error signal. While Jul./Aug. 1998. [4] G. Foo and M. F. Rahman, “Sensorless sliding-mode MTPA control of originaal IPMSM model show no change with load (Fig 7.) an IPM synchronous motor drive using a sliding-mode observer and HF modified IPMSM model (Fig 8.) shows similar output HF signal injection,” IEEE Trans. Ind. Electron., vol. 57, no. 4, pp. 1270– error distortion. 1278, Apr. 2010. [5] Chan-Hee Choi and Jul-Ki Seok, “Pulsating Signal Injection-Based Axis Switching Sensorless Control of PMSM for Minimal Zero Current HF error as function of angle error - experimental results No Load Load Clamping Effects”,School of Electrical Engineering, Yeungnam 1,50 University DaeDong, Kyungsan, Kyunbuk, Korea (ZIP : 712-749) [6] Y. Li, Z. Q. Zhu, D. Howe, and C. M. Bingham, “Modeling of Cross- 1,00 Coupling Magnetic Saturation in Signal-Injection-Based Sensorless Control of Permanent-Magnet Brushless AC Motors”, IEEE 0,50 Transactions on Magnetics, vol. 43, no. 6, June 2007. [7] H. W. De Kock, M. J. Kamper, and R. M. Kennel, “Anisotropy

0,00 comparison of reluctance and PM synchronous machines for position sensorless control using HF carrier injection,” IEEE Trans. Power

-0,50 Electron., vol. 24, no. 8, pp. 1905–1913, Aug. 2009. [8] P. Guglielmi, M. Pastorelli, and A. Vagati, “Impact of cross-saturation in sensorless control of transverse-laminated synchronous reluctance -1,00 motors,” IEEE Trans. Ind. Electron., vol. 53, no. 2, pp. 429–439, Apr. 2006. -1,50 [9] N. Bianchi and S. Bolognani, “Influence of rotor geometry of an interior Fig. 11. Demodulated HF error signal as function of rotor position error for no PM motor on sensorless control feasibility,” IEEE Trans. Ind. Appl., vol. load (dashed) and full load (solid) –experimental results. 43, no. 1, pp. 87 –96 , Jan./Feb. 2007. [10] Y. Li, Z. Q. Zhu, D. Howe, C.M. Bingham, and D. Stone, “Improved rotor position estimation by signal injection in brushless AC motors, V. CONCLUSION accounting for cross-coupling magnetic saturation,” IEEE Trans. Ind. This paper describes a modified IPMSM model that Appl., vol. 45, no. 5, pp. 1843–1849, Sep./Oct. 2009. includes all know saturation effects. The motivation for this

16 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Comparison of Methods for On-Line Harmonic Estimation

Jovan M. Knežević and Vladimir A. Katić

Abstract—The aim of this paper is to present a comparison of The method of [3]-[5] makes a fundamental assumption that some popular methods for online harmonic estimation. The well- the existing frequency components in the signal are in the known methods Descrete Fourier Ttransform (DFT), Enhanced form of harmonics [2]. The Adaptive Notch Filter (ANF) Phase Locked Loop (EPLL), Adaptive Notch Filter (ANF) and presented in [6], on the other hand, relaxes that assumption method based on Extended Kalman Filter (EKF) are simulated and presents a major improvement of the methods presented in and compared. The methods are compared in critical phases, [7] and [8] which enables direct detection and extraction of such as the fast change of harmonic amplitudes and the change of the system frequency. arbitrary frequency components. Such methods are very accurate in a non-stationary environment but they have slower Index Terms—Power Electronics/Harmonic estimation dynamic responce than the DFT [6]. /Simulation To achieve a higher resolution and better estimation accuracy and still to preserve adaptive feature, the Kalman Filter (KF) have been suggested [10]. The KF is a I. INTRODUCTION mathematical model that uses noisy and inaccurate measurement data and provides an efficient computational HE number of sensitive devices that require high power (recursive) means to estimate the past, present or future values Tquality, i.e. high quality of power supply is constantly in a way that minimizes the mean of the squared error. In incre asing [1]. On the other hand the use of applications of order to achieve higher accuracy an accurate model is nonlinear loads, mostly consisting of power electronics required. For nonlinear model, the extended KF is used [9]. devices, is growing even at faster pace. Such loads and devices In this paper several methods for online harmonic estimation are distorting supply waveforms and therefore poluting power are simulated and compared. The most common tool - system grid. The development of tools for accurate harmonic theDFT, methods based on gradient descent method EPLL and estimation enables a proper operation of the devices for ANF and ECKF are tested in the typical environment with fast mitigation of power quality imperfections. dynamical changes of system parameters. The Fourier Transform (FT) and its descrete form the Discrete FT (DFT) have been the most common tool used by II. METHODS researchers and practitioners over last several decades [2]. The DFT decomposes signals into fundamental and higher order A. Discrete Fourier Transform harmonics. Many problems of the DFT such as spectral leakage, picket fence effects and sensitivity to the variations of The FT is one of the most frequently used techniques of the system frequency are limiting its accuracy. To preserve signal analysis [1], [2]. The FT fX )( of the continuous signal time information in the signal the windowed DFT known also tx )( is defined in (1). Considering the periodical nature of the as Short Time Fourier Transform has been developed [2]. electrical current and voltage as well as the use of the digital In redcent years, in order to overcome above mention signal processing, the DFT is used as a conventional tool. problems, the researchers have been developing methods that ∞ can adoptively track changes in the system. The methods = )()( − 2πftj dtetxfX based on the Enhanced Phase Locked Loop have been ∫ (1) ∞− presented [3]-[5]. They use one EPLL block to adoptively The DFT kX ][ of the sampled signal nx ][ is defined as: track an amplitude and the phase of the particular harmonic. 2πkn N −1 − j = ][][ enxkX N Manuscript received 30 May 2012. Accepted for publication 10 June 2012. ∑ (2) n=0 Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. nx ][ is the sampled value of the continuous signal tx )( at This paper is supported by the Ministry of Education and Science of time instant nT Republic of Serbia, through project No. III 42004, Smart Electricity (3) Distribution Grids Based on Distribution Management System and Distributed = nTxnx )(][ n = N −1,...,2,1,0 Generation (2011-2014). , J. M. Knežević is with BMW Peugeot Citroen GmbH, Munich, Germany The recursive algorithm of the DFT can be easily represented (e-mail: [email protected]). by equations (4) and (5) assuming that the DFT is V. A. Katić is with Faculty of Technical Sciences, University of Novi Sad, Novi Sad, Serbia. (e-mail: [email protected]).

DOI: 10.7251/ELS1216016K ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 17 implemented as the windowed DFT with the square window minimized by the method of gradient descent [5]. The cost of the width equal to the period of the fundamental harmonic. function is defined as: 2 ⎛ 2πni ⎞ 1 2 ii nana ]1[][ ()−−+−= Nnxnx cos][][ ⎜ ⎟ (4) φii ))(),(,( []−= φiii ttAtxtxttAtJ ))(),(,()( = N ⎝ N ⎠ 2 (11) 2 ⎛ 2πni ⎞ 1 2 = φii ttAte ))(),(,( ii nbnb ]1[][ ()−−+−= Nnxnx sin][][ ⎜ ⎟ (5) N ⎝ N ⎠ 2 N where N is the number of samples per period of the If it is assumed that ∈Θ R is the vector of parameters fundamental component of the signal, i is the order of the φii ttA )(),( the gradient descent method can be written as: harmonic and ai and bi are the real and imaginary coefficients ∂ ∂ t)( −=Θ μi Θ ttJ ))(,( (12) of the DFT. Fig. 1 presents MATLAB-Simulink model of the ∂t Θ∂ DFT. It shows how many calculations are necessary to obtain The gradient descent method provides a method of adjusting ai and bi coefficients of the DFT. the parameter Θ so that the cost function J converges to its minimum point. The EPLL is developed as an adaptive notch 1 sin 1/z filter and is described by the following differential equations: Theta cos 1 i tdA )( ai = 1 ii φμ i tte )(sin)( (13) 2 2/400 Ai dt Signal -400 Bi Z ω td )( 2 i = μ φ tte )(cos)( (14) bi dt 2 ii i 1/z φi td )( = μμ 32 iii i tte )(cos)( + ωφ 0i (15) Fig. 1. MATLAB-Simulink model of the DFT. dt (16) It is obvious that it is very easy to calculate these Fourier = φiii ttAty )(sin)()( coefficients, but if it is necessary to have the amplitude and the (17) phase of a certain harmonic, such calculations require i = − i tytxte )()()( additional computational effort of the digital processor as (18) given in (6) and (7). φi )( = ω0 tt + δ ii 22 (6) Detailed derivations and stability analysis can be found in [5]. += baA iii Fig. 2 shows a very simple implementation of the ⎛ b ⎞ computational unit in the MATLAB/Simulink of the EPLL. δ = arctan⎜ i ⎟ i ⎜ a ⎟ (7) 3 2 ⎝ i ⎠ 1 e(t) A(t) x(t) u1 1/s After the amplitude and the phase are obtained, reconstruction 1 u(t) of the particular harmonic is given as: w0_estimated H1_phase w0 (8) 5 4 = ii sin()( ω1tiAth + δi ) u2*u3 1/s sin Some sources of errors in the DFT can be recognized as u2 1/s aliasing, spectral leakage, picket fence, etc. [2]. These errors cos are particularly enhanced in cases of fast varying signals of transients. In those cases the signal frequency is changing even Fig. 2. MATLAB-Simulink model of the EPLL. with the pace faster then one period of the fundamental harmonic (20ms in 50Hz systems) [2]. A proper setting of the parameters μ1i , μ2i and μ3i ensures the convergence of the solution to differential equations. The B. The Enhanced Phase Locked Loop parameter μ1i controls the amplitude and the others control Continuous signal can be represented as: N the phase and frequency tracking properties. Larger μ1i guarantees a faster step response of the amplitude, but also )( = ∑ xtx i (9) i=1 introduces a higher steady state error. It is a trade-off between (10) accuracy and speed. In order to reduce ripple in the response = sin()( ω tAtx + δ iiii ) of the EPLL, it is possible to introduce filters for the where i is the order of the harmonic so that ω1 represents the amplitude and frequency, but this further decreases the time fundamental frequency component (the first harmonic) and response. higher harmonic components are defined by i>1. C. Adaptive Notch Filter Usuallyωi = iω1 , but this is not necessarily true in all cases. An ANF has been recently proposed in [6]-[8]. The dynamic The task of the EPLL is to extract individual harmonic behaviour of the ANF is defined by following set of diferential components of the signal tx )( . The least squares error between equations: 2 (19) the input of the EPLL tx )( and the estimated signal i tx )( is  θ yty =+ θζ kkkkk tet )()(2)( 18 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

 (20) ⎛ x ⎞ k −= θγθ kk = ,...,1),()()( nktett ⎜ k ⎟ φk = arctan⎜ ⎟ (25) n θ x −=  tytxte )()()( (21) ⎝ kk ⎠ ∑k=1 k D. The Extended Complex Kalman Filter where x(t) is the input signal, θk is the estimated frequency of the kth component, and γk and ζk are both real and positive An observed signal at time k can be described in a power numbers which determine the behaviour of the kth subfilter of system as a sum of N of xi sinusoids (9) with white noise vi: the ANF in terms of accuracy and convergence speed. N It can be found that for the input signal x(t) the dynamical k ∑()+= vxx ii system (19)-(21) has a unique quasy orbit given by: i=1 (26) ≈ RNv ),0( T T T (22) i i = ()1 n tPtPtP )()...()( The observation noise vi is a Gaussian white noise with zero where P (t) is given by 2 k mean and variance σ v. The covariance of measured errors is *T ⎛ Ak ⎞ = vvER . For the sake of simplicity, let us consider ⎜− φk t)(cos ⎟ [ kkk ] ⎛ yk ⎞ ω ⎜ ⎟ ⎜ k ⎟ only single complex sinusoid x with the angular frequency ω1 tP )( = y = ⎜ φ ⎟ = ,...,1,)(sin nktA k ⎜ k ⎟ kk (23) and the amplitude a1. Complex type state variables are defined ⎜ ⎟ ⎜ ⎟ as [10]: ⎝θk ⎠ ⎜ ωk ⎟ ⎜ ⎟ = ex ω1Tj S ⎝ ⎠ k )1( Tkj S +φω 11 )( (27) This means that the kth constituting component of the input k = 1)2( eax signal as well as its frequency are directly provided by the kth = eax Tkj S +− φω 11 )( set of the differential equations (19)-(21), and hence, a full k 1)3( decomposition is achieved if a sufficient number of filters are The state – space model is written as: employed. = xfx )( k+1 k (28) Detailed derivations and stability analysis can be found in += vHxy [6]. Fig. 3 shows a very simple implementation of the subfilter kkk unit of the ANF in the MATLAB/Simulink and its full where: (29) decomposition of the parallel structure in fig.4.. = [ xxxx ]T kkkk )3()2()1( 1 2*ksi_k T e(t) 1/s 1 theta_k x_1'(t) ⎡ xk )3( ⎤ ()= ⎢ xxxxf ⎥ (30) kkkk )2()1()1( x ⎣⎢ k )2( ⎦⎥ ( )^2 T (31) H [ −= i 5.05.00 ] 1/s -gamma_k 1/s x_k(t) The recursive process of the ECKF is then:

Fig. 3. MATLAB-Simulink model of one Subfilter of the ABF. State Prediction

~ = (xfx ˆ ) (32) +1 kk kk e(t) x_n'(t) the symbols ~ and ^ stand for the estimated and predicted Subfilter_n values, respectively. . . . = *T + QFPFP (33) +1 kk k kk k k ∂ xf )( e(t) x_2'(t) F = k k ∂x (34) Subfilter_2 k e(t) x_1'(t) ⎡ 001 ⎤ ⎢ ⎥ Subfilter_1 (35) e(t) k = ⎢ k )2( xxF k )1( 0 ⎥ 2 x(t) ⎢− xx 10 x ⎥ ⎣ kk )1()3( k )1( ⎦

Fig. 4. Full Decomposition of the parallel structure of the ANF. State Filter ~ ~ (36) ˆ = + ( kk − xHyKxx ) Similar to the DFT, the amplitude and phase shift of kkkk −1 kk −1 particular harmonic can be calculated as: and the Kalman filter gain is calculated as: −1 222 (24) T T (37) θ += xxA  k = [ + RHHPHPK k ] kkkk kk −1 kk −1

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 19

(38) kkkk −1 −= k HPKPP kk −1 1.5 The parameters of the frequency fk, and amplitude ak at time k )| 1 can be obtained as: 1.25

1 |DFT(x f k = []()lnIm ()xˆk )1( (39) 2πT 1 s = xa ˆ (40) 0.06 0.08 0.1 0.12 0.14 0.16 0.18 kk )2( time [s] More details about the ECKF can be found in [9] and [10]. Fig. 7. Amplitude of the signal x1 using DFT.

IMULATION III. S 1.5 All of the mentioned methods have been simulated in the )| 1 MATLAB/Simulink environment. In this paper these methods 1.25 have been tested in the case of fast changes of system |EPLL(x paramaters, amplitude and the frequency. In order to do this, 1 two test signals have been defined: (41) 0.06 0.08 0.1 0.12 0.14 0.16 0.18 time [s] = 11 ω1ttAtx )sin()()( Fig. 8. Amplitude of the signal x1 using EPLL. ⎧ ≤ 1001 mst 1 tA )( = ⎨ > 1002.1 mst (42) ⎩ 1.5 1 πω ⋅⋅= 502

)| 1 1.25

2 |ANF(x

1 1

0.06 0.08 0.1 0.12 0.14 0.16 0.18

1 0 x time [s] Fig. 9. Amplitude of the signal x1 using ANF. -1

-2 0 0.05 0.1 0.15 0.2 0.25 0.3 1.5 time [s]

Fig. 5. Test signal x1. )| 1 1.25 and the second. |EKF(x (43) 1 2 = ω22 ttAtx ))(sin()( 0.06 0.08 0.1 0.12 0.14 0.16 0.18 ⎧ π ≤⋅⋅ 100502 mst time [s] ω2 t)( = ⎨ (44) Fig. 10. Amplitude of the signal x1 using ECKF. ⎩ π >⋅⋅ 100602 mst A =1 2 B. Change of the frequency

1 In fig.11 to fig.14 analysis of the signal x2 is shown. In the

0.5 signal x2 a sudden change of the frequency can be seen. A known problem of the DFT can be seen in fig.11. The DFT

2 0 x doesn't work properly in the case of variable frequency. The -0.5 EPLL and ANF nedeed some time to converge to the new -1 value of the signal frequency while the ECKF is obviously 0 0.05 0.1 0.15 0.2 0.25 0.3 faster. time [s] Fig. 6. Test signal x2. 1 )| 2

A. Change of the amplitude 0.8 |DFT(x In fig.7 to fig.10 analysis of the signal x1 is shown. A sudden step of the signal amplitude is analysed. As it is well 0.6 known the DFT and ECKF have a faster step response while 0.06 0.08 0.1 0.12 0.14 0.16 0.18 the EPLL and ANF are significantly slower. time [s] Fig. 11. Amplitude of the signal x2 using DFT.

20 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

even faster if the parameters of the noise are known. Methods based on the gradient descent method need more time to 1

)| converge to the steady state values. 2

0.8 1.5 |EPLL(x A 1 0.6 )| 1

3 A 5 A 0.06 0.08 0.1 0.12 0.14 0.16 0.18 7 time [s] |DFT(x 0.5 Fig. 12. Amplitude of the signal x2 using EPLL.

0 0.06 0.08 0.1 0.12 0.14 0.16 0.18 time [s] 1 Fig. 16. DFT analysis of the signal x3.

)| 2

0.8 1.5 |ANF(x

A 1 0.6 )|

3 1 0.06 0.08 0.1 0.12 0.14 0.16 0.18 A A 7 time [s] 5

|EPLL(x 0.5 Fig. 13. Amplitude of the signal x2 using ANF.

0 0.06 0.08 0.1 0.12 0.14 0.16 0.18 time [s] 1 Fig. 17. EPLL analysis of the signal x3. )| 2 0.8

|EKF(x 1.5

0.6 A 1

)| 1

3 A 5 0.06 0.08 0.1 0.12 0.14 0.16 0.18 A 7 time [s]

|ANF(x 0.5 Fig. 14. Amplitude of the signal x2 using ECKF.

0 C. Example 0.06 0.08 0.1 0.12 0.14 0.16 0.18 time [s] In fig.15 the test signal x is shown. It has a main harmonic 3 Fig. 18. ANF analysis of the signal x3. as well as the 5th and 7th harmonic and white noise n(t).

ω01 tA )sin( + 1.5 A tx )( = A ω t)*5sin( + 1 3 5 0 (45) )| 1 3 A A 5 7 A7 ω0 + tnt )()*7sin(

|EKF(x 0.5 ⎧ t ≤ 1.01 A1 = ⎨ 0 ⎩ t > 1.05.1 0.06 0.08 0.1 0.12 0.14 0.16 0.18 time [s] ⎧ t ≤ 1.00 Fig. 19. ECKF analysis of the signal x3. A5 = ⎨ ⎩ t > 1.05.0 (46) ⎧ t ≤ 1.00 IV. CONCLUSION A7 = ⎨ t > 1.03.0 ⎩ In this paper several methods for harmonic analysis are 2 compared. The DFT, methods based on the gradient descent method the EPLL and ANF and ECKF are simulated in the 1 typical environment with fast dynamical changes of system

3 0 parameters. The DFT and ECKF are fast and accurate. In the x case of the variable system frequency the DFT can produce -1 significant errors. In the case of slow changes the EPLL and ANF can adoptively and accurately track the parameters of the -2 0 0.05 0.1 0.15 0.2 0.25 0.3 system. The EPLL and ANF are simple and easy to implement time [s] while the ECKF is very complex and requires powerful Fig. 15. Test signal x3. processor. The analysis of the signal x3 is shown in fig.16 to fig.19. In the case of several harmonics and constant system frequency the DFT is very accurate with the delay of 20ms. The ECKF is ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 21

REFERENCES [6] M. Mojiri, M. Karimi-Ghartemani and A. Bakhshai “Processing of harmonics and interharmonics using an adaptive notch filter,” IEEE [1] D. Sabin and A. Sandaram, “Quality Enhances Reliability,” IEEE Trans. on Delivery, vol. 25, pp. 534–542, 2010. Spectrum, vol.33, no.2, pp.34-41, Feb.1996. [7] M. Mojiri, M. Karimi-Ghartemani and A. Bakhshai “Time-domain signal [2] Sachin K. Jain and S.N. Singh, “Harmonics estimation in emerging power analysis using adaptive notch filter,” IEEE Trans. on Signal Process, vol. system: Key issues and challenges,” Electric Power Systems Research, 55, pp. 85–93, Jan. 2007. vol.81, pp.1754-1766, June 2011. [8] M. Mojiri and A. Bakhshai “Estimation of n frequencies usign adaptive [3] A.K. Ziarani and A. Konrad, “A method of extraction of non-stationary notch filter,” IEEE Trans. Circuits Syst. II, vol. 54, pp. 338–342, Apr. sinusoids,” Signal Processing, vol. 84, no. 8, pp. 1323–1346, 2004. 2007 [4] M. Karimi-Ghartemani and M.R. Iravani, “Measurement of [9] C.I. Chen, G.W. Chang, R.C. Hong and H.M. Li, “Extended Real Model harmonics/inter-harmonics of time-varying frequencies,” IEEE Trans. on of Kalman Filter for Time Varying Harmonic Estimation,” IEEE Trans. Power Delivery, vol. 20, no. 1, pp. 23–31, Jan. 2005. on Power Delivery, vol. 25, pp. 17–28, Jan. 2010. [5] M. Karimi-Ghartemani and A.K. Ziarani, “Performance characterization [10] C.H. Huang, C.H Lee, K.J. Shih and Y.J. Wang, “Frequency Estimation of a nonlinear system as both an adaptive notch filter and a phase-locked of Distorted Power System Signals Using a Robust Algorithm,” IEEE loop,” Int. Journal on Adaptive Control Signal Processing, vol. 18, pp. Trans. on Power Delivery, vol. 23, pp. 41–52, Jan. 2008. 23–53, 2004.

22 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

PWM Algorithms for Multilevel Inverter Supplied Multiphase Variable-Speed Drives

Martin Jones, Obrad Dordevic, Nandor Bodo, and Emil Levi

Abstract—Multiphase variable speed drives are nowadays Three-phase modulation methods are well established in regarded as serious contenders for various applications, due to terms of both carrier-based and space vector techniques. With the certain advantages that they possess when compared to three- properly chosen zero sequence that is added to the reference, phase drives. On the other hand, the multilevel (predominantly the same voltage waveforms are achievable with both types of three-level) voltage source inverter (VSI) has become an industrially accepted technology for medium-voltage three-phase the modulation strategies [1]. The space vector techniques use drives. The logical next step is to integrate multilevel VSI and the three nearest space vectors to the reference, which are the multiphase drive technologies, since benefits of each can then be vertices of the triangle in which the reference is positioned. In combined. This paper will review recent advances in this area. the two-level case this means that two active and zero space Two different topologies of the multiphase supply will be vectors are used to achieve the reference during each considered and the emphasis will be placed on appropriate pulse switching period. width modulation (PWM) techniques that can be used in conjunction with the supply structure. The first topology utilises The advantages of multiphase variable speed drives over the multilevel (three-level) VSI and the machine’s stator multiphase three-phase ones are numerous [2]. An increase of fault winding is star-connected with an isolated neutral point. In the tolerance is achieved, since the rotating field inside the stator second topology the winding is left open-ended and each side of winding can be obtained with only three phases. Thus, the the winding is connected to an isolated two-level VSI. This machine can continue its operation with several faulted topology can also achieve three-level operation. Carrier-based phases, as long as there are at least three healthy ones left [3]. and space vector based PWM strategies are considered and the obtainable performance is illustrated using experimental results. Multiphase machines can be built with concentrated or distributed windings. With the distributed winding machines Index Terms—Multiphase drives, multilevel voltage source only the first harmonic of the supply contributes to torque inverters, open-end winding topology. production. However, there is a possibility to have several multiphase machines connected in series and drive them independently with a single VSI [3]-[4]. When the machine is I. INTRODUCTION equipped with a concentrated winding, other, higher HE requirements that a VSI modulation algorithm should harmonics can also be used for torque production. Therefore, a Tsatisfy are numerous. Most importantly, the output phase higher amount of torque is producible with a better iron voltages must match the commanded references on average utilisation than with its three-phase counterpart [2]. and the undesired harmonics should appear only around the Three-phase multilevel topologies have been drawing multiples of the switching frequency. The resulting voltage increased attention in the past two decades [5]. The numerous total harmonic distortion (THD) should be as low as possible advantages of multilevel supply include [6]: good power and the switching sequence should be arranged symmetrically, quality (low voltage distortion and dv/dt), good in a way that gives each inverter leg equal and constant electromagnetic compatibility, operation with a lower switching frequency. The resulting voltage waveforms should switching frequency (lower switching losses), high voltage have maximal dc-link utilisation. Some requirements are in capability, smaller common mode (CM) voltage (reducing the contradiction to each other. For instance, switching losses can stress in the motor bearings), and the possibility for fault be minimised by lowering the switching frequency, however tolerant operation in some, modular configurations. There are this impacts negatively on the THD and electro-magnetic various topologies of multilevel converters. The main ones are interference (EMI). the neutral point clamped (NPC), the flying capacitor (FC) and Manuscript received 30 May 2012. Accepted for publication 10 June 2012. the cascaded converters [5]-[6]. Some results of this paper were presented at the 16th International Among the cascaded converters, the dual two-level inverter Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. configuration supplying an open-end winding machine has This paper was made possible by NPRP grant 4-152-02-053 from the Qatar National Research Fund (a member of Qatar Foundation). The statements received growing attention due to its simple structure [7]. made herein are solely the responsibility of the authors. Typically, three-phase VSIs are utilised. Application of such a M. Jones, O. Dordevic, N. Bodo and E. Levi are with School of dual-inverter supply enables drive operation with voltage Engineering, Technology and Maritime Operations, Liverpool John Moores University, Liverpool, United Kingdom (e- waveform equivalent to the one obtainable with a three-level mails: [email protected], [email protected], [email protected] VSI in single-sided supply mode. Three-phase open-end u.ac.uk, [email protected]). DOI: 10.7251/ELS1216022J ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 23 winding drive systems are currently considered as possible discussed in detail in this paper and their performance alternative supply solutions in EVs/HEVs [8]-[9], propulsion examined using two experimental set-ups. [10] and rolling mills [11]. Over the years many modulation algorithms have been II. TWO-LEVEL MULTIPHASE SPACE VECTOR MODULATION presented for the three-phase multilevel converter. A general Before considering multilevel multiphase modulation space vector based approach is given in [12] which, with some techniques it is beneficial to review the two-level SVM modifications, can be made applicable to any single-sided method [25]. This method serves as a benchmark for other three-phase converter topology. modulation methods and it comprises the basic ideas that are Although combination of a multilevel converter and a used further in the development of other modulation multiphase machine appears advantageous, there are relatively techniques. Similarly to the three-phase inverters, the ones few examples of the multiphase multilevel drives [13]-[24]. with more phases also consider space vectors to calculate the The focus is on the three-level five-phase NPC drive [13]- switching states and their application times. [15]. The initial space vector modulation (SVM) methods for Common for all n-phase (where n is an odd number) multiphase VSIs were based on the simple extension of the sinusoidal SVM schemes is the need to use n-1 active space three-phase multilevel SVM approaches, so that only the three vectors per switching period. Once when the set of n-1 active vectors were utilised. Such an extension from the three-phase space vectors is identified and the reference space vector to five-phase system, that divides each sector into four equal magnitude and position are known, it is necessary to solve an triangles, is given for three-level inverter in [14]. An optimal algebraic set of n-1 equations, in order to obtain duty cycles of SVM switching strategy, based on a discrete particle swarm each active space vector. These equations are generated optimisation (PSO) algorithm, is presented in [13]. In considering positions and magnitudes of the selected active principle, the number of applied vectors must equal the space vectors in all of the (n-1)/2 2-D planes. The aim is to number of phases [3]. Utilisation of three instead of five space generate the fundamental in the first plane, while zero average vectors during the switching period disregards this basic rule; value is imposed as the restriction in all the other planes. consequently, only the first plane of the multiphase system is Fig. 1 shows the two-level VSI five-phase space vector controlled. Hence numerous low-order harmonics are pattern, which is the same in both of the planes of the generated, which map into the second plane. Another similar topology. Space vectors are labeled with decimal numbers, concept, again based on the three-phase principles, is also used which, when converted into binary, reveal the values of the in [14]. switching functions of each of the inverter legs. Active (non- A SVM method, which controls both planes, has been zero) space vectors belong to three groups in accordance with developed in [15] for the three-level NPC five-phase drive and their magnitudes - small, medium and large space vector is extended to the seven-phase case in [16]. Further details of groups. The magnitudes are identified with indices s, m, and l this SVM technique will be presented later on in the paper. A and are given as |vs| = 4/5cos(2π/5)Vdc, |vm| = 2/5Vdc, and different approach to the SVM of multilevel multiphase |vl| = 4/5cos(π/5)Vdc, respectively. The switching states that system is given for a general case of an m-level, n-phase VSI produce large vectors in the α-β plane map into small vectors in [17]. The algorithm is based on the considerations of the in the x1-y2 plane and vice-versa. The space vectors clearly multidimensional (n-dimensional) space and therefore does divide the plane in ten sectors, each occupying a 36˚ angle not include decomposition of the n-dimensional space into 2- around the origin. The next step in the multiphase SVM is to D planes. A somewhat similar method, in the sense that choose the space vectors to be applied. The aim is to apply the decomposition into 2-D planes is not utilised, is the one in space vectors with the largest magnitudes in the first plane, [18], where a multiphase multilevel PWM is developed using and the smallest magnitudes in the other plane(s) [25]. The n single-leg modulators. solution for five-phase case is depicted in Fig. 2 with the Initial interest in the multiphase drive systems in open-end mapping of the space vectors in the first and the second plane. winding configuration was limited to an asymmetrical six- The projections of the reference signal to the axis of the phase induction motor drive. In [19] the supply is provided by applied space vectors in both planes enable the derivation of means of two isolated two-level six-phase VSIs. The goal was, their dwell times according to: in essence, low-order harmonic reduction rather than the π )52(sin2 * multilevel operation. As a consequence, the converter is not tbl = −− πϑ ||]5)1([sin tvs s operated in multilevel mode. The scheme considered in [20] Vdc (1) employs four three-phase two-level inverters, with four π )5(sin2 t = −− πϑ ]5)1([sin *|| tvs isolated dc sources to prevent circulation of zero sequence bm V s currents. The SVM control is performed in the same way as dc for a standard three-level three-phase converter, using the π )52(sin2 * tal = −ϑπ )5(sin || tvs s nearest three vector (NTV) approach in conjunction with Vdc three-level NPC inverter. The work is focused towards (2) π )5(sin2 * controlling the power sharing between the four converters. tam = −ϑπ )5(sin || tvs s Recently, modulation techniques have been proposed for the Vdc five-phase [21]-[23], seven-phase and nine-phase [24] open- where ts is the switching period, ϑ is the reference position and end winding topologies. These modulation algorithms will be indices a and b are defined in Fig. 2. Total time of application

24 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 of the zero space vector ( +++−= tttttt ) is equally 0 bmblamals 500 shared between zero space vectors v 0 and v 31 . The vectors are then arranged in a switching sequence that is symmetrical 0 and gives equal switching frequency in each inverter leg. (V) As an example of the performance of this method, an -500 experimental result is presented in Fig. 3. The switching 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 frequency is 2kHz, the modulation index is M = 1 and the dc- Time (s) link voltage is 600V. Inverter dead time is 6μs and the load is 300 5 a five-phase R-L type. Clearly the algorithm generates 200 practically no low order harmonics with the dominant 0 0 100 200 300 harmonics positioned around multiples of the switching (V rms) 100 frequency. Furthermore, the target fundamental frequency 0 (50Hz) and amplitude are met. 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Frequency (Hz)

Fig. 3. Experimental result: phase voltage for five-phase two-level SVM with ULTIPHASE ULTILEVEL OPOLOGIES III. M M T R-L load (M = 1). Multiphase machines can be built using two different concepts for the torque production. In the one considered throughout this paper, the winding is wound in such a way that the magneto-motive force distribution can be regarded as near- sinusoidal. As a consequence, the supply is required to provide only fundamental harmonic, without any low-order harmonics in the motor voltage waveform. Thus, the reference phase voltages are sinusoidal. In the first considered topology three-level NPC VSI is used to supply the machine with, in principle, n-phase stator winding and single isolated neutral point. The topology is

Fig.4. Multiphase (n-phase) three-level NPC inverter supplying a star connected load.

Fig. 5. Open-end winding supply structure.

shown in Fig. 4. This paper considers predominantly five- Fig. 1. Two-level five-phase VSI space vector pattern. phase (and, to some extent seven-phase) topology, although the same principles of PWM control can be extended to symmetrical multiphase machines with a composite phase number and machines with an asymmetrical multiphase winding (e.g., two three-phase windings mutually shifted by 30 degrees). The second discussed topology, with an open-end winding structure and dual-inverter supply, is shown in Fig. 5. Two dc sources are isolated, so that the circulating current does not appear. When the dc sources are of the same voltage, as it is assumed throughout this paper, the supply configuration of Fig. 5 becomes analogous to the three-level inverter supply of Fig. 2. Reference and applied space vectors in the first sector of the five-phase two-level VSI supply in (a) the first and (b) the second plane. Fig. 4 with doubled input dc-link voltage.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 25

The control of multiphase multilevel converters increases in TABLE I complexity with the increase of phase and level numbers of NUMBER OF SWITCHING STATES AND VOLTAGE SPACE VECTORS (IN BRACKETS) IN SINGLE-SIDED CONFIGURATION the topology. To emphasise the complexity of the problem, an illustration of the relationships between numbers of levels m m\n 3 5 7 9 8 32 128 512 and phases n, in conjunction with the number of inverter 2 (7) (31) (127) (511) switching states and voltage space vectors, is given in Table I 27 243 2,187 19,683 3 for the NPC VSI topology. It can be seen from Table I that an (19) (211) (2,059) (19,171) 64 1024 16384 262,144 increase in the number of phases dramatically increases the 4 number of switching states, the number of space vectors and (37) (781) (14,197) (242,461) 125 3,125 78,125 1,953,125 5 the number of redundant switching states (the difference (61) (2,101) (61,741) (1,690,981) between the total numbers of switching states and space 729 59,049 4,782,969 ~3.9e+8 9 vectors). (217) (26,281) (2,685,817) (~2.5e+8) Table II shows the number of space vectors and switching states for the open-end topology for odd phase numbers. It is TABLE II considered, for the sake of simplicity, that the open-end NUMBER OF SWITCHING STATES AND VOLTAGE SPACE VECTORS (IN BRACKETS) IN OPEN-END WINDING CONFIGURATION topology comprises two inverters with m levels each. The increase in the space vector and switching state number is far m\n 3 5 7 9 64 1024 16,384 262,144 more evident in the open-end topology, for the given phase 2 (19) (211) (2059) (19,171) number and the number of levels, when compared to the 729 59,049 4,782,969 ~3.9e+8 3 single-sided supply (Table I). Simultaneous increase of both (61) (2101) (61,741) (1,690,981) 4096 1,048,576 ~2.7e+8 ~6.9e+10 leads to practically exponential rise in the number of switching 4 (127) (9031) (543,607) (~3.0e+7) states and voltage space vectors. 15,625 9,765,625 ~6.1e+9 ~3.8e+12 5 Comparison of Table I and Table II shows that the number (217) (26,281) (2,685,817) (~2.5e+8) of switching states in the open-end winding topology, when two-level inverters are applied at both sides, coincides with the number of switching states of the four-level single-sided inverter. On the other hand, the number of space vectors equals the number obtained with the three-level inverter. This can be explained using Table III (discussed later), which shows that, although there are four possible switching states to apply, the two-level open-end supply gives inverter states equivalent to the three-level inverter. The same can be observed in conjunction with the three-level open-end topology and the nine- and five-level single-sided inverters. Distribution of the phase voltage space vectors in the α−β plane is depicted in Figs. 6 and 7 for the five-phase and seven- phase three-level topologies, respectively. It is evident that designing a suitable modulation method represents a significant challenge. Fig. 6. Five-phase three-level VSI space vector distribution in the α-β plane. IV. SINGLE-SIDED MULTILEVEL MULTIPHASE MODULATION TECHNIQUES In what follows the modulation techniques, suitable for multiphase three-level converters, will be discussed and their performances analysed using an experimental set-up illustrated in Fig. 8. The level-shifted phase-disposition PWM (PD-PWM) method will be reviewed since it has been proven to produce the best results in terms of voltage THD. A space vector modulation, introduced in [15], will be discussed next. A. Carrier-Based Modulation Fig. 9 shows three carrier-based PWM techniques, as utilised in the single-sided supply mode in conjunction with three-level VSIs. The phase-shifted PWM (PS-PWM) and the level-shifted PWM (LS-PWM) have appeared as a natural extension of the traditional carrier-based PWM for two-level inverters. The PS-PWM is well suited for the FC multilevel Fig. 7. Seven-phase three-level VSI space vector distribution in the α-β plane.

26 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

a two-level VSI. For a multilevel inverter, there are (m-1) carriers, where m is the number of voltage levels. They are now vertically shifted rather than phase-shifted (Figs. 9a and 9b). Since each carrier determines a border between two voltage levels, the notion of ‘level-shifted’ is used. The control signals have to be sent to the appropriate switches in order to generate gating signals that correspond to the desired voltage levels and this depends on the actual inverter topology that is used. There are several forms of the LS-PWM method. When all carriers are in phase with each other, the method is called phase disposition (PD-PWM, Fig. 9a). when all the positive carriers are in phase with each other and in phase opposition Fig. 8. Single-sided three-level VSI experimental set-up. with the negative carriers, the method is known as phase opposition disposition (POD-PWM). Finally, the alternate phase opposition disposition method (APOD-PWM) is obtained by having opposite phase for each two adjacent carriers [28]. In the three-level case, POD-PWM and APOD- PWM are identical, Fig. 9b. Because of the very good characteristics and its dominance in industrial applications, PD-PWM is the method that is normally denoted as the LS- PWM in literature. LS-PWM leads to less distorted voltages, compared to PS-PWM. However, PD-PWM cannot achieve capacitor voltage balancing in the FC inverters and therefore it has to be applied to NPC inverters.

Experimental results for described five-phase PD-PWM three-level algorithm (with offset injection) are shown in Fig. 10. The data are: modulation index M = 1, fundamental frequency f = 50Hz, dc-link voltage Vdc = 600V, switching frequency fs = 2kHz, and inverter dead-time 6μs. Comparison with Fig. 3 shows that multilevel operation is obtained. The Fig. 9. Carrier and modulating signals for: a) PD-PWM, b) APOD-PWM and zero space vector is not applied in each switching period, c) PS-PWM modulation methods. which enables closer approximation of the reference by the applied space vectors. The number of phase voltage levels is topology because of its natural capacitor voltage balancing difficult to determine from the figure but is verified as 15. property. In the PS-PWM a phase shift is introduced between the carrier signals of the cells belonging to the same inverter 500 leg, causing them to switch at different instants. A stepped multilevel waveform is created in this way [26]. The three- 0 level structure consists of two such cells. The lowest THD is (V) achieved when the carriers are in anti-phase. Since all the cells -500 are effectively controlled with the same carrier that is altered 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 only in terms of phase, i.e. the carriers occupy the same Time (s) vertical position (Fig. 9c), the switch utilisation and the 300 5 average power handled are evenly distributed among the cells 200 0 at all times [26]. When applied to the FC topology, the 0 100 200 300 capacitors are charged at the start-up. Because of the even (V rms) 100 power distribution, there will be no further unbalance 0 produced [27]. With a topology with k cells, the output phase 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Frequency (Hz) voltage has a switching pattern with k times the frequency of the pattern of each cell. Thus, the first higher frequency Fig. 10. Experimental result: PD-PWM carrier-based five-phase three-level harmonics will appear at the frequencies around k (here the case with R-L load (M = 1). value is k = 2) times the carrier frequency [26]. Level-shifted PWM is also a natural extension of bipolar B. Space Vector Approach PWM. The use of a carrier signal comparison with the This sub-section reviews the only known SVM algorithm reference decides between the upper and lower voltage levels, suitable for five-phase single-sided three-level NPC drives which are the positive and negative dc-link rails in the case of [15], which considers simultaneously both planes. Extension

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 27 of this algorithm to seven-phase three-level system is given in that provide cancelation in one plane only, and patterns that [16]. The algorithm requires a significant number of offline provide cancellation in both planes but the dwell-time solution calculations that makes generalisation difficult. However, the does not coincide. Therefore, the method of graphical final modulator structure is quite easy to implement. elimination of some patterns is not enough, and the dwell time The main concepts of the algorithm are briefly reviewed determination matrix has to be solved. For that purpose here. As with all space vector methods the starting point is numerical calculations were used in [16]. After elimination of vector space decomposition (VSD). Sinusoidal output is the unusable patterns, one gets that in seven-phase three-level desired so that the reference projection into the α-β plane is case there are 18 patterns that divide the first sector into 18 circular, while projection into other planes is zero. Number of sub-sectors. In both the five- and seven-phase case, final space vector projections in each plane is large (see Table I) but switching sequence per pattern is chosen by optimisation, some of them can be omitted from further analysis. One which is simply based on the minimisation of the transitions simple rule for reduction of the number of switching states of when the reference changes sub-sector. It is preferable that all interest is introduced in [15] and is termed the order-per-sector switching sequences have the same starting switching state, if law [16]. Namely, the order of leg voltages must be the same it is possible. Another rule for final sequence determination is as the order of the reference phase voltages in every instant of related to the inverter topology. For the best capacitor voltage time. The order of the reference phase voltages is constant for balancing, those switching sequences with the maximum each sector. For example, in five-phase case, order of the number of ‘ones’ (state when the output is determined by the reference phase voltages in the first sector is mid-point of the inverter) are desirable. ***** To determine the sub-sector in which the reference lies, it is ≥≥≥≥ vvvvv dceba . The projection of the switching state enough to calculate the projection of the reference to a few 22011 belongs to the first sector in the α-β plane but it does specified axes. These axes are determined by the borders not follow the reference order since output leg voltage v is 3 between sub-sectors. In both five-phase and seven-phase lower than v (0 < 1). Thus, according to the order-per-sector 4 three-level cases there are five different directions of the law, this switching state can be omitted from the further borders, so five projection axes are used in both cases. analysis. The number of switching states of interest in five- Comparing projections of the reference to these axes with the phase three-level case is reduced in this way from 243 to 113, projection of the sub-sector borders, simple rules for sub- while reduction in seven-phase three-level case is from 2187 sector determination can be obtained. to 297. Despite the complicated nature of the algorithm the final For determination of the switching sequences one method modulator realisation is quite straightforward, with many of with graphical interpretation is introduced in [16]. The one- the calculations performed off-line. For each sub-sector level transition in the Ith leg maps into a 2/n scaled unit vector switching sequence is stored in memory. Also, dwell times inclined for (I-1)·2π/n radians with respect to α-axis. For calculation that requires inversion of the matrices can be instance, all transitions in the first sector between space vector calculated in advance for each switching sequence and stored projections after the order-per-sector law application, for five- in memory. phase three-level case, are shown in Fig. 11. Transitions in Experimental results for described five-phase three-level different legs are shown in different colours. Since during the SVM algorithm are shown in Fig. 12. The data are: switching period a transition appears in each leg, it is enough modulation index value M = 1, fundamental frequency f = to find all closed n-angle contours (patterns) that contain each 50Hz, dc-link voltage V = 600V, and switching frequency f colour shown in Fig. 11. One such n-angle contour is dc s = 2kHz. Dead-time of the inverter is 6μs. highlighted in Fig. 11. The process of switching sequence The strong resemblance of the phase voltage waveform, determination is not finished at this stage, since sequence of obtained using the described SVM and carrier-based PD- the highlighted five transitions can start from three different switching states (10000, 11000 and 11001). In five-phase three-level case the number of patterns is 16 and there are 32 α-β plane - Sector 1 2 2 0 0 0 Transition for corresponding redundant switching sequences. In seven-phase one level up in the: 2 2 1 0 1 three-level case these values are 64 and 128, respectively. 1st leg (A) ()0° 2 2 2 0 2 The space vector dwell times are calculated by solving a nd 1 1 0 0 0 2 leg (B) ()72° matrix similar to equations (1) and (2). Application of the 2 2 1 1 1 2 1 0 0 0 2 2 0 0 1 3rd leg (C) (144° ) simple rule [15], that dwell time of each switching state must 1 1 1 0 1 2 2 2 1 2 2 1 1 0 1 2 2 1 0 2 th be in the range from 0 to ts, enables identification of region 4 leg (D) ()216° where the switching pattern can be applied. In other words, a 5th leg (E) ()288° number of sub-sectors are determined within each sector. In 0 0 0 0 0 1 0 0 0 0 1 1 0 0 1 2 0 0 0 0 2 1 0 0 1 2 2 0 0 2 the five-phase case only 10 patterns are considered since the 1 1 1 1 1 2 1 1 1 1 2 2 1 1 2 2 2 2 2 2 other six patterns have projections in x1-y1 plane that cannot be 0 0.2 0.3236 0.4 0.5236 0.6472 × V cancelled in a manner similar to that shown in Fig. 2b. In dc seven-phase case one more non-flux/torque producing plane is Fig. 11: All switching state transitions in the first sector after application of present, so the situation is more difficult. There are patterns order-per-sector law (n = 5, m = 3).

28 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE III 500 INVERTER STATES AND RELATED GATING SIGNALS Switching FC VSI gating Dual-inverter

0 function signals gating signals (V) 2 Sxa=1, Sxb=1 Sxa=1, Sxb=0 1a Sxa=1, Sxb=0 Sxa=1, Sxb=1 -500 1 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 1b Sxa=0, Sxb=1 Sxa=0, Sxb=0 Time (s) 0 Sxa=0, Sxb=0 Sxa=0, Sxb=1 300 5 200 examined using the five-phase experimental set-up shown in 0 0 100 200 300 Fig. A1. The equivalent total dc-link voltage is 600V, meaning (V rms) 100 300V per inverter.

0 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 A. Space Vector Approach – URS Modulation Frequency (Hz) Due to the large number of switching states and space Fig. 12. Experimental result: five-phase three-level SVM with R-L load vectors generated by the open-end winding topology, it is (M = 1). prudent to try to reduce the complexity of the problem by

PWM methods, is evident from Figs. 10 and 12. An additional considering the modulation in terms of two independent two- comparison, related to the phase voltage THD, is given in level modulators. Therefore, the two-level space vector section 6. However, differences between the algorithms are modulation, described in section 2, can be applied to the two present in the leg voltages and the CM voltage. inverters separately. To accommodate the fact that the inverters are located at opposite sides of the stator windings, the references applied to V. OPEN-END WINDING MULTILEVEL MULTIPHASE MODULATION TECHNIQUES them are in counter-phase. This method is termed unequal reference sharing (URS) modulation since the voltage A different approach to achieve a multilevel supply is to reference applied to the modulators is apportioned according connect two separate inverters to the ends of the stator to the modulation index M [21]. Initially, only VSIa is windings, as shown in Fig. 5. There are additional benefits operated up to its maximum modulation index (M1 = Mmax = gained from this topology, compared to the multilevel 1.0515 for five-phase topology), while VSIb is kept in zero multiphase ones. An additional degree of fault tolerance is state. Hence the converter operates in two-level mode, since available since the supply is realised with two independent VSIb output is zero (it is kept in a zero state at all times). inverters. In case one of them is faulted, the other can continue When M > Mmax/2, VSIa is held at M1 = Mmax and VSIb output to provide power to the machine [29]. The same phase is modulated as well. The constraints of the modulation index voltages can be achieved with half the dc-link voltages on the sharing can be expressed as: two inverter inputs compared to the single-sided supply, which ⎧ 1 = 2MM can be useful in applications such as electric and hybrid 0 ≤≤ MM 2/ ⎨ max M = 0 electric vehicles and medium sized ships, where the dc voltage ⎩ 2 (3) levels are limited. These applications are able to generate ⎧ 1 = MM max isolated power supplies from two sets of batteries or M 2/ ≤≤ MM ⎨ max max 2 −= MMM generators. Further, due to the construction of the topology, it ⎩ 2 max can be noticed that the structure does not require additional At lower modulation indices the second inverter is clamped diodes and capacitors like the NPC and FC topologies. The to a zero vector, while the first inverter works in classical two- latter results in a further advantage: the absence of need for level modulation. Since it switches half the dc-link voltage of capacitor balancing techniques. an equivalent single-sided two-level inverter, it is expected to The easiest way to understand how the open-end topology give superior THD performance. In the upper modulation achieves three-level operation is to compare the switching region the open-end topology works in multilevel mode and states to those of the FC converter as described in Table III. thus it gives better performance than the single two-level The notation for the switches for the FC topology is such that inverter. states of the upper-most and the second upper-most switch are An example of the obtained experimental results is identified with indices a and b, respectively. The notation of presented in Fig. 13 where M = 1 and switching frequency is the switches of the open-end topology corresponds to Fig. 5, 1kHz. It is clear that, despite the low switching frequency, with indices a and b denoting the left and the right inverter. It there are no low order harmonics and the target fundamental can be seen that the difference between the two sets of gating has been met. signals is that those for the switches Sxb (x = leg number) are inverted in the open-end topology, compared to the FC. As a B. SVM Approach – Decomposition Method consequence of the topology, the load voltages are governed The complexity of selecting the proper switching states for by the difference of voltages applied by the two inverters. In a given command voltage can be significantly reduced if the what follows three different modulation approaches will be three-level space vector decagon is decomposed into a number

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 29

and that the scheme is able to completely compensate 500 unwanted low order harmonics created by the ten-step operation of one inverter. 0 (V) C. Carrier-Based Approach – PD-PWM The carrier-based methods described in sub-section 4.1 are -500 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 all applicable to the open-end winding topology. The gating Time (s) signals for the two inverters are easily obtainable by 300 10 associating each of the carrier signals to one inverter. Due to 5 200 the differences in the inverter switching functions and their 0 0 100 200 300 400 (V rms) 100 Phase voltage α-β vectors

0 0.6 0 500 1000 1500 2000 2500 Frequency (Hz) 0.4 Fig. 13. Experimental result: five-phase SVM, URS method with pure R load (M = 1). 0.2 of two-level decagons, as illustrated in Fig. 14. The centre β 0 decagon comprises vectors which can be activated if one V inverter is used up to half of the achievable maximum voltage -0.2 with the other one in zero state. As a consequence, the converter is in two-level mode of operation based on four -0.4 active and zero vector application, as discussed in section 2. The origins of the outer decagons are located on the outer -0.6 vectors of the inner decagon, denoted by the larger dots in Fig. -0.6 -0.4 -0.2 0 0.2 0.4 0.6 14, which correspond to the outermost vectors and switching V α states given in Fig. 1. However, once when the magnitude of the reference voltage exceeds the maximum value obtainable Fig. 14. Decomposed SVM method: space vector distribution in the α-β plane. with one inverter, one inverter is operated in ten-step mode + mA while the second inverter is space vector modulated [22]. 4 3 2 ∑ ∑ It is well known that operation of a five-phase inverter in 5 1 − 6 10 + mB ten-step mode without a controllable dc-link leads to 9 ∑ ∑ 7 8 uncontrollable, constant fundamental output voltage − + mC magnitude and unwanted low order harmonics. This leads to ∑ ∑ − the requirement that the second inverter must be able to not 3 + m 4 2 ∑ ∑ D only control the fundamental but also eliminate the unwanted 5 1 6 10 − low order harmonics, which are produced by applying only the 9 + mE 7 8 ∑ ∑ large vector in α−β plane from the first inverter. This causes − unwanted harmonics in both planes since a large vector has a corresponding non-zero value in the second, x1-y1 plane. In order to achieve this objective, the second inverter modulation Fig. 15. Signal flow of the five-phase multi-frequency space vector modulator. scheme will need to operate in both the α-β and the x1-y1 500 planes, since references for the second inverter can be given as: *** *** 0 (V) α ( −−= vvv i αα )( ) β ( −−= vvv i ββ )( ) (4) ** ** x = vv xi )( y = vv yi )( -500 1 1 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 Here i = 1...10 stands for the large vector of the first VSI. A Time (s) space vector modulator, which achieves simultaneous control 300 10 in both the α-β and x1-y1 planes, was developed in [30] in 5 200 order to control multiphase multi-motor drive systems. A 0 0 100 200 300 400 schematic illustration of the SVM process is shown in Fig. 15. (V rms) 100 This SVM method utilises two two-level five-phase space 0 vector modulators, as discussed previously. Each SVM 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Frequency (Hz) operates in a separate plane.

Fig. 16 shows the performance of the method when M = 1 Fig. 16. Experimental result: five-phase SVM, decomposition method with and fs = 2kHz. It is clear that a multilevel output is achieved R-L load (M = 1).

30 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 associated gating signals (Table III), one of the gating signals has to be inverted. As it was already stated, the PD-PWM gives the best results in terms of voltage THD, but it cannot be applied to the FC topology due to capacitor balancing issues. However, since there are no capacitor voltages to balance in the open-end topology, there is no restriction towards the implementation of this method. The experimental results in Fig. 17 are obtained by applying the carrier-based PD-PWM method to the open-end winding machine. The two isolated dc-bus voltages are set to 300V. The modulation index is set to M = 1 and switching frequency is 2kHz. The resulting phase-voltage waveform and its harmonic spectrum are similar to the ones obtained in the previous section with the single-sided three-level inverter. These results validate the theoretical consideration that the open-end topology can work as a three-level inverter although Fig. 18. Voltage THD comparison across the modulation range for a five- it comprises two, more common, two-level inverters. phase system.

ONCLUSION 500 VII. C The combined advantages offered, by multiphase and multilevel topologies, make them an attractive proposition for 0 (V) high power and fault tolerant applications. However, the control of these topologies represents a considerable challenge -500 0 0.005 0.01 0.015 0.02 0.025 0.03 0.035 0.04 due to the exponential increase in the number of switching Time (s) states and large number of active space vectors. This paper has 300 presented a review of some of the recently published solutions 5 to the modulation of multiphase multilevel converters for both 200 0 carrier-based modulation and SVM methods. The performance 0 100 200 300 (V rms) 100 of these techniques has been examined using experimental rigs, with the THD comparison obtained by means of 0 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 simulations. Frequency (Hz)

Fig. 17. Experimental result: five-phase PD-PWM method with R-L load REFERENCES (M = 1). [1] D. G. Holmes, “The general relationship between regular-sampled pulse- width-modulation and space vector modulation for hard switched VI. COMPARISON OF SIMULATION AND EXPERIMENTAL converters,” in Proc. IEEE Industry Applications Society Annual Meeting IAS, Houston, TX, pp. 1002-1009, 1992. RESULTS [2] E. Levi, “Multiphase electric machines for variable-speed applications,” Experimental results have been used throughout this paper IEEE Transactions on Ind. Electronics, vol. 55, no. 5, pp. 1893-1909, 2008. to support the theory. Extensive simulation studies have, [3] E. Levi, R. Bojoi, F. Profumo, H. A. Toliyat, and S. Williamson, however, been also conducted and there is a very good “Multiphase induction motor drives - A technology status review,” IET agreement between the results obtained experimentally and via Electric Power Applications, vol. 1, no. 4, pp. 489-516, 2007. [4] S. Gataric, “A polyphase cartesian vector approach to control of simulation. Therefore the simulation results of Fig. 18 enable a polyphase AC machines,” in Proc. IEEE Industry Applications Society THD performance comparison between the modulation Annual Meeting IAS, Rome, Italy, pp. 1648-1654, 2000. methods, investigated in the paper. As expected, the two-level [5] S. Kouro, M. Malinowski, K. Gopakumar, G. Franquelo, J. Pou, J. VSI modulation has shown the worst performance of all the Rodriguez, B. Wu, and A. Perez, “Recent advances and industrial applications of multilevel converters,” IEEE Transactions on Industrial methods considered. The three-level PD-PWM and the Electronics, vol. 57, no. 8, pp. 2553-2580, 2010. method introduced in [15] have identical voltage THD [6] J. Rodriguez, S. Bernet, B.Wu, J. O. Pontt, and S. Kouro, “Multilevel throughout the modulation index range (the difference voltage-source-converter topologies for industrial medium-voltage drives,” IEEE Transactions on Industrial Electronics, vol. 54, no. 6, pp. between them can be noticed only in the leg and CM-voltage 2930-2945, 2007. waveforms). The URS method, developed for the open-end [7] K. A. Corzine, S. D. Sudhoff, and C. A. Whitcomb, “Performance winding machines, has the superior performance in the low characteristics of a cascaded two-level converter,” IEEE Transactions on Energy Conversion, vol. 14, no. 3, pp. 433-439, 1999. modulation region, which is the same as with the PD-PWM. [8] B. A. Welchko and J. M. Nagashima, “A comparative evaluation of However, in the upper modulation region it gradually motor drive topologies for low-voltage, high-power EV/HEV propulsion approaches the performance of the two-level modulation. This systems,” in Proc. IEEE Int. Symp. on Ind. Elec. ISIE, Rio de Janerio, Brazil, pp. 379-384, 2003. drawback is however counter-balanced by the power-sharing [9] C. Rossi, G. Grandi, P. Corbelli, and D. Casadei, “Generation system for capability of this method, which is important in certain series hybrid powertrain based on dual two-level inverter,” in Proc. Eur. applications.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 31

Power Elec. and Appl. Conf. EPE, Barcelona, Spain, CD-ROM paper [20] G. Grandi, A. Tani, P. Sanjeevikumar, and D. Ostojic, “Multi-phase 0978, 2009. multi-level AC motor drive based on four three-phase two-level [10] G. Grandi, C. Rossi, A. Lega, and D. Casadei, “Multilevel operation of a inverters,” in Proc. Int. Symp. on Power Elec., Electrical Drives, dual two-level inverter with power balancing capability,” in Proc. IEEE Automation and Motion SPEEDAM, Pisa, Italy, pp. 1768-1775, 2010. Industry Applications Society Annual Meeting IAS, Tampa, FL, pp. 603- [21] M. Jones, W. Satiawan, and E. Levi, “A five-phase multilevel space- 610, 2006. vector PWM algorithm for a dual-inverter supplied drive,” in Proc. [11] Y. Kawabata, M. Nasu, T. Nomoto, E. C. Ejiogu and T. Kawabata, IEEE Industrial Electronics Society Annual Meeting IECON, Glendale, “High-efficiency and low acoustic noise drive system using open- AZ, pp. 2461-2466, 2010. winding AC motor and two space-vector-modulated inverters,” IEEE [22] M. Jones, W. Satiawan, and E. Levi, “A three-level five-phase space- Transactions on Industrial Electronics, vol. 49, no. 4, pp. 783-789, vector modulation algorithm based on the decomposition principle,” in 2002. Proc. IEEE Int. Elec. Machines and Drives Conf. IEMDC, Niagara [12] N. Celanovic and D. Boroyevich, “A fast space-vector modulation Falls, Canada, pp. 1235-1240, 2011. algorithm for multilevel three-phase converters,” IEEE Transactions on [23] N. Bodo, E. Levi, and M. Jones, “Carrier based modulation techniques Industry Applications, vol. 37, no. 2, pp. 637-641, 2001. for five-phase open-end winding topology,” in Proc. IEEE Industrial [13] C. M. Hutson, G. K. Venayagamoorthy, and K. A. Corzine, “Optimal Electronics Society Annual Meeting IECON, Melbourne, Australia, SVM switching for a multilevel multi-phase machine using modified 2011. discrete PSO,” in Proc. IEEE Swarm Intelligence Symposium, St. Louis, [24] N. Bodo, M. Jones, and E. Levi, “A PWM method for seven and nine MO, CD-ROM, 2008. phase open-end winding drives,” in Proc. Int. Conf. on Modelling and [14] Q. Song, X. Zhang, F. Yu, and C. Zhang, “Research on PWM Simulation of Elec. Mach., Converters and Systems ELECTRImacs, techniques of five-phase three-level inverter,” in Proc. Int. Symp. on Paris, France, CD-ROM paper 0038, 2011. Power Elec., Electrical Drives, Automation and Motion SPEEDAM, [25] D. Dujic, M. Jones, and E. Levi, “Generalized space vector PWM for Taormina, Italy, pp. 561-565, 2006. sinusoidal output voltage generation with multiphase voltage source [15] L. Gao and J. Fletcher, “A space vector switching strategy for three- inverters,” Int. J. Ind. Elec. and Drives, vol. 1, no. 1, pp. 1-13, 2009. level five-phase inverter drives,” IEEE Transactions on Industrial [26] J. Rodriguez, L. G. Franquelo, S. Kouro, J. I. Leon, R. C. Portillo, M. A. Electronics, vol. 57, no. 7, pp. 2332-2343, 2010. M. Prats, and M. A. Perez, “Multilevel converters: An enabling [16] O. Dordevic, M. Jones, and E. Levi, “A space-vector PWM algorithm technology for high-power applications,” Proceedings of the IEEE, vol. for a three-level seven-phase voltage source inverter,” in Proc. Eur. 97, no. 11, pp. 1786-1817, 2009. Power Elec. and Appl. Conf. EPE, Birmingham, UK, CD-ROM paper [27] R. H. Wilkinson, T. A. Meynard, and H. du Toit Mouton, “Natural 0123, 2011. balance of multicell converters: The general case,” IEEE Transactions [17] O. Lopez, J. Alvarez, J. Doval-Gandoy, and F. D. Freijedo, “Multilevel on Power Electronics, vol. 21, no. 6, pp. 1658-1666, 2006. multiphase space vector PWM algorithm with switching state [28] G. Carrara, S. Gardella, M. Marchesoni, R. Salutari, and G. Sciutto, “A redundancy,” IEEE Transactions on Industrial Electronics, vol. 56, no. new multilevel PWM method: A theoretical analysis,” IEEE 3, pp. 792-804, 2009. Transactions on Power Electronics, vol. 7, no. 3, pp. 497-505, 1992. [18] J. I. Leon, S. Vaquez, J. A. Sanchez, R. Portillo, L. G. Franquelo, J. M. [29] E. C. de Santos and M. Pacas, “Fault-tolerant open-end winding motor Carrasco, and E. Dominguez, “Conventional space-vector modulation drive systems,” in Proc. IEEE Industrial Electronics Society Annual techniques versus the single-phase modulator for multilevel converters,” Meeting IECON, Melbourne, Australia, 2011. IEEE Transactions on Industrial Electronics, vol. 57, no. 7, pp. 2473- [30] D. Dujic, G. Grandi, M. Jones, and E. Levi, “A space vector PWM 2482, 2010. scheme for multi-frequency output voltage generation with multiphase [19] K. K. Mohapatra and K. Gopakumar, “A novel split phase induction voltage-source inverters,” IEEE Transactions on Industrial Electronics, motor drive without harmonic filters and with linear voltage control for vol. 55, pp. 1943-1955, 2008. the full modulation range,” EPE Journal, vol. 16, no. 4, pp. 20-28, 2006.

APPENDIX

Mains supply

Variac 1 Variac 2

Isolation transformer 1 Isolation transformer 2 dSpace ds1006

Five-phase R or R-L load 1 2

5 Inverter 1 (with rectifier) Inverter 2 (with rectifier)

Fig. A1. Experimental set-up for the open-end winding configuration.

32 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Some Examples that Use the FEM in PM Generators Analysis

Marian Greconici

Abstract—The present paper refers to some examples that use A very rough figure can be drawn in saying that the the finite element method (FEM) in the process of analysis, development of numerical methods for electrical machines design and optimization of the permanent magnet (PM) started with the finite difference method (FDM) which quickly generators used in wind applications. The 2D-FEM program was followed and further overtaken from the finite element Opera 13 of Vector Fields is used in the analyzed examples. These examples refer to two types of radial flux low speed PM method (FEM). generators of 5 KW for wind applications. Some possibilities of With the first numerical models of electrical machines, in electrical machine optimization, using the FEM-programming the 70s, electromagnetic fields considering imposed current packages available today, are pointed out during the present sources could be simulated by applying first order triangular paper. elements. Further developments of the finite element method lead via the definition of external circuits to problem Index Terms—PM generator, finite element method, formulations with imposed voltage sources, which were more optimization, wind applications. accurate models with respect to the realistic machine that is

operated by a voltage. Today’s developments are directed to all aspects of coupled fields. There are the thermal/magnetic I. INTRODUCTION problems or structure dynamic field problems coupled to the In the last forty years, ongoing and fast developments of electromagnetic field. numerical techniques for the particular questions concerning Parallel with the developments of the finite element method electrical machines can be noticed. This development can be first attempts to numerically optimize the finite element seen in parallel with the efforts and success of the software models were made. Optimizations of realistic machine models and hardware computer industry in producing powerful tools. where first performed in the late 80s and early 90s. Computers as well as commercial software are now ready to However, research directed to accelerate the numerical be applied to solve realistic numerical models of technical solver is going on as well. Extension of the overall machine relevance. Of course, there are enough complicated problems models can be made by applying more realistic material and questions, which are not yet answered to a satisfactory models. Hysteresis effects and material anisotropy are still a extended. lack in many software packages. Special winding models At the time, when not too many computers where available allow reducing the size of a numerical model significantly and yet, the design of electrical machines was performed in the therefore the computation time as well. To avoid long lasting classical way, by using one-dimensional model (1D). transient simulations single-phase machines can be modeled Particular electromagnetic parts of the machine are considered by a time-harmonic approach by modeling separate rotor to form a homogenous element in a magnetic circuit approach. models for the rotary fields in reverse respectively rotation In this approach the knowledge of particular “design” factors direction of the rotor. is assumed. Such models enabled the calculation of specific However, with the developments of numerical techniques stationary working point of the machine. Laplace around the electrical machine analysis, it must be noticed that transformations applied to such models made it possible to the classical approach cannot be replaced by the numerical analyze the machine for dynamic operations. The refinements models. Enormous expertise and knowledge to model the of 1D models yield the technique of magnetic equivalent various electromagnetic effects appropriate and accurately are circuit models. still required. The modeling/solving/post-processing of numerical motor models still requires substantial engineering time and cannot replace the quick and correct answer of an exercised motor design engineer. Both experts, probably in Manuscript received 30 May 2012. Accepted for publication 10 June 2012. Some results of this paper were presented at the 16th International Symposium one person, numerical modeling and electromagnetic motor Power Electronics, Novi Sad, Serbia, October 26-28, 2012. design engineer, are required for successful and novel M. Greconici is with the Faculty of Electrical Engineering, developments in the engineering discipline. POLITEHNICA University of Timisoara, Timisoara, Romania (corresponding author to provide phone: +40-722-690328; fax: +40-256-403392; e-mail: [email protected]).

DOI: 10.7251/ELS1216032G ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 33

II. FEM ANALYSIS OF PM ELECTRICAL MACHINES made, performing some optimizations in the geometrical In general, a power system consists of a mechanical turbine, design of the generators. an electrical generator and control block. Because of the mechanical constrains, in most of the cases the rotational speed of turbines is low. Usual generators however have high nominal rotational speed (1000 rpm or higher) incompatible with the turbine’s rotational speed. One of the solutions is to introduce a gearbox between the turbine and the electrical generator, with all the drawbacks of such a mechanical device. An alternative is to have the electrical generator directly coupled with the shaft of the turbine. In this case, the design of the generator must solve the problem of good performances of electrical machines at low values of rotational speed imposed by the primary source of the energy mover. Nowadays there is a trend to use electrical generators directly coupled to the wind turbine, due to the advantages in comparison with the high speed generators coupled to the turbine through mechanical gearbox. a) The direct drive PM generators are preferred in the water and wind low speed turbines. They have some advantages like: no gear-box between mechanical turbine and the generator (no losses, no maintenance, no gear noise, etc.); are more efficient, more compact, than other generators; cheaper than the direct drive synchronous generator with electrical winding excitation; smaller dimensions than those of the normal speed asynchronous generator with gear-box, or of the multi-pole asynchronous generator. The paper analysis numerically some aspects concerning two radial flux low speed PM generators of 5KW for wind applications. After the preliminary classical design of the generators, a numerical analysis using the Opera 2D of Vector Fields has been performed. The classical design process generates the geometrical quantities, the shape and the geometrical dimension of the slot, the air gap form, the number of turns in the coils, the permanent magnets pattern, b) etc. Using the numerical analysis by FEM programs during Fig. 1. The numerical models for FEM simulation. the design process, we can analyze and improve the performances of the PM generators. In most of cases it III. MAGNETIC FIELD AND BACK EMF ANALYSIS represents a great advantage because the project could be Doe to the plan-parallel symmetry of the most electrical changed during the design process and even some machines, a cross section of the machine is used for the performances of the generator could be optimized. numerical analyses that involve 2D-FEM programs. The cross-section models of the two radial flux low speed The solution of the magnetic field generated by the FEM PM generators that have been simulated using the Opera 2D- program allows to be analyzed some aspects concerning with FEM program of the Vector Fields, are presented in Fig. 1. the design process respectively the functioning of the electric The purpose was to obtain PM generators with a simple machine, such as: identification of the possible saturated structure, good performances and very low cogging torque. ferromagnetic area for which a reconsidered design process is The inner rotor has 32 permanent magnets (16 magnetic pair necessary; the calculus of the iron losses in the main magnetic of poles) of alternatively opposite radial magnetization. The circuit or in different adjacent regions. permanent magnets used are NdFeB, N35SH. Two types of In order to have information about the magnetic field stators have been used in the design process: one with 33 generated by the permanent magnets, a static analysis has stator slots (Fig. 1a) and the other one with 63 stator slots been performed. Fig. 2 refers to the magnetic field generates (Fig. 1b). by the permanent magnets of the generator with 33 stator Considering some quantities of high importance in the poles. The field lines distribution are sketched in Fig. 2a while design process (such as the cogging torque or the back EMF the Fig. 2b draw the radial component of the magnetic flux waveform shape), some analysis of these quantities has been density versus the mechanical angle in the machine air-gap. 34 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

The dynamic analysis using FEM has been applied to draw the voltage shape of back EMF induced in stator windings. This analysis can take into account the non-linear characteristics of iron cores (the saturation effects), the opening of the stator slots, and the load current effects. Finally, the voltage shape, with Fourier series expansion can be analyzed. In this way, some parts of the generator could be optimized so as to achieve an acceptable waveform.

a)

b) Fig. 2. The magnetic field for the generator with 33 stator slots a) field Fig. 4. The dependence back EMF versus time for different rotor speeds of the lines distributions; b) the radial component of the flux density in the air PM generator with 33 stator slots. gap. The back emf voltage shape for the generator with 33 stator The same quantities are representing in Fig. 3 for the slots is drawn in Fig. 4, for three different rotor speeds: 120 generator with 63 stator slots. rpm (the rated speed), 90 rpm and 50 rpm. As we may expect,

the magnitude and the frequency of the back emf are depending on the rotor speed. The numerical simulated shapes prove a frequency of f=32 Hz for a 120 rpm speed, f=24 Hz for 90 rpm and f=13.33 Hz for 50 rpm. The FFT analysis of the voltage waveform, point out the large value of the third harmonic. The back emf voltage shape for the generator with 63 stator slots, using the same rotor speeds, is drawn in Fig. 5.

a)

b) Fig. 5. The dependence back EMF versus time for different rotor speeds of Fig. 3. The magnetic field for the generator with 63 stator slots a) field the PM generator with 63 stator slots. lines distributions; b) the radial component of the flux density in the air gap. The influence of the pole coverage ratio over the back emf ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 35 waveform for the generator with 33 stator slots is presented in poles to generate a low cogging torque. Fig. 6. There has been analyzed the back emf waveform for The dependence cogging torque versus rotor position four different widths of magnets. This type of analyze allows (mechanical angle) for the generator with 33 stator slots has an optimal value of the magnet width to be set so as to achieve been drawn in Fig. 7 for different magnet widths. Due to a the desired form of the back emf waveform. favorable rate between the number of the stator slots (33 slots) and the number of the rotor poles (32 permanent magnets), the generator has been design with a very low cogging torque. Even for wide values of the magnetic width, the cogging torque is less than one percent of the rated torque of the generator. Similarly for the generator with 63 stator slots, the dependence cogging torque versus mechanical angle drawn in Fig. 8 shows low values comparing with the rated torque of the generator.

Fig. 6. The dependence back EMF versus time for different magnet widths of the PM generator with 33 stator slots.

IV. COGGING TORQUE ANALYSIS Cogging torque is one of the most important quantities that have to be controlled during the design process of the PM generators. Due to the magnetic field generated by the permanent magnets, on the rotor acts a torque (cogging torque) even without current flows in the stator coils. The cogging torque depends on the ratio between the number of Fig. 8. The dependence cogging torque versus mechanical angle for the PM the statoric slots and the poles, the generator geometry and generator with 63 stator slots. also on the pole coverage of the magnets. Large values of the The optimization of the number of the stator slots or the cogging torque are unacceptable in the generator behavior. number of the rotor poles, or the ratio between the two values From this reason, the value of the cogging torque should be can be done by performing a numerical analysis using a FEM reduced during the design process of the generator by using program. The minimization of the cogging torque values the FEM analysis. performed by FEM analysis generates optimal dimensions in the design process of the generator.

V. CONCLUSIONS The present paper emphasizes the great advantage of the FEM analysis used during the design process of the electrical machines, in particular PM generators. Using the numerical analysis of the PM generators during the design process avoids some errors that could be encountered and allows optimizing some performances of the machine by some corrections of the design. Such adjustments during the design process could have considerable economical benefits. The prototype of the radial flux low speed PM generators with 33 stator slot has been realized following the classical design combined with the numerical analysis using FEM

program, Fig. 9. Fig. 7. The dependence cogging torque versus mechanical angle for different magnets widths of the PM generator with 33 stator slots. The two types of generators have been designed with such a ratio between the number of the statoric slots and the magnetic 36 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE I . SPECIFICATIONS AND DIMENSIONS OF THE GENERATOR Rated output power (W) 5000 Rated rotational speed (rpm) 120 Rated output phase voltage (V) 145 Number of poles 32 Number of stator slots 33 Inner stator diameter (mm) 370

Stator winding factor ξ1 0,954 Air-gap (mm) 1,4 Length of stator core (mm) 120 Magnet radial thickness (mm) 7 Magnet width (mm) 32 The stator winding resistance R (Ω) 0,98

The magnetizing reactance Xm (Ω) 1,05

The leakage reactance Xσ (Ω) 4,7 Permanent magnet NdFeB N35SH

Fig. 9. Photo of the 5 KW PM generator. In Fig. 10 is presented the variation of output voltage The specification and main dimensions of the three-phase estimated during the design process, curve 1. The curve 2 is generator are presented in Table I. obtained using the FEM. The measured values of the output The prototype was tested and analyzed on no-load and load voltage at resistive load are marked on the figure with few conditions. points. As a conclusion, there are good agreements between the analytical results, FEM results and the measured values.

180

160 REFERENCES [1] T.W. Preston, A.B.J. Reece, The contribution of the finite-element 140 method to the design of electrical machines: An industrial viewpoint. IEEE Trans. on Magnetics, Vol.19, No.6, 1983, pp. 2375-2380. 120 [2] M. Greconici, G. Madescu, C. Koch, Advanteges of FEM analysis in 100 electrical machines optimization used in wind energy conversion systems. Proceedings of the 3th International Symposium on 80 Exploatation of Renewable Energy Sources, EXPRES 2011, Subotica, 1 - Analytical Serbia, 2011. 60 2 - FEM [3] M. Biriescu, G. Madescu, M. Greconici, M. Mot, Low speed PM Output voltage (V) voltage Output 3 - Test generator for direct-drive wind applications Proceedings of the 40 International Conference on Computer as a Tool, EUROCON 2011, Lisbon, Portugal, 2011. 20 [4] N. Bianchi, Electrical Machines Analysis Using Finite Elements, CRC Press, Taylor and Francis Group, 2005. 0 0 2 4 6 8 10 12 14 [5] W. Hua, M. Cheng, Z.Q.Zhu, D. Howe, Analysis and Optimization of Back EMF Waveform of a Flux Switching Permanent Magnet Motor, Load current (A) IEEE Trans. on Energy Conversion, Vol.23, No.3, 2008, pp. 727-733. [6] Vector Fields, Reference manual for Opera 13, 2009. Fig. 10. The measured and estimated output voltage as function of load current for the PM generator with 33 stator slots; 1-analytical method; 2-FEM analysis; 3-measured values.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 37

Influence of a Thin Copper Shield on a BLDC Motor Parameters

Nándor Burány, Dejana Herceg, and Neda Pekarić-Nađ

Abstract—A simplified model of a brushless DC motor segment is studied in this work. Shielding of the ferromagnetic structure with a high conductivity layer is explored. The shield is supposed to reduce the ohmic losses of the magnets and of the entire structure, particularly at the higher frequencies. In order to verify that, both calculations and measurements of the power loss are accomplished for the model first. In conclusion, locked rotor measurements are performed on a real BLDC motor in order to validate the results.

Index Terms—Eddy current loss, BLDC rotor shielding.

I. INTRODUCTION AGNETIC field in a brushless DC (BLDC) motor plays Mthe most important role not only in the torque formation, but also in the loss generation. Besides the fundamental components of the magnetic flux generated by the stator currents, there are high frequency components too, due to both the space harmonics and the time harmonics generated by chopping activity in a modern motor supply. High speed rotor losses due to the space harmonics are well documented in the literature [1-6]. The losses are mostly Fig. 1. The explored 300W outer rotor BLDC motor. controlled by lamination and magnet segmentation [7]. High conductivity rotor shield is an option for the loss reduction in loss on a simple model and next to extrapolate the the case of a machine with a solid-rotor [6]. Using conductive conclusions to the real BLDC motor. In the end, some of the shield is expected to reduce both losses caused by the time results for the model are verified by measurements on the and space harmonics. The ohmic losses, caused by the PWM available motor. voltage supplying the stator, are not fully explained in the literature and need more attention. In this paper we study both II. MATERIALS AND METHODS fundamental frequency and the higher frequencies BLDC motor losses, due to the chopping nature of the supply A. The model voltage. The available motor is presented in Fig. 1. The goal A simplified BLDC motor segment model from Fig. 2 is of the work is first to evaluate the supposed to recreate the magnetic field similar to the one inside the motor. This flat model is studied both by numerical

modeling and measurements on a physical model. Manuscript received 30 May 2012. Accepted for publication 10 June 2012. Some results of this paper were presented at the 16th International Symposium Commercially available software is used for the calculations. Power Electronics, Novi Sad, Serbia, October 26-28, 2012. The 2D FEM analysis results for the inductance, resistance This work was partially supported by Project TR32019 with Serbian and power loss were not satisfactory. Consequently the 3D Ministry of Science and Technology. analysis is employed. Magnetic forces in the segment model N. Burány is with the College of Dunaújváros, Táncsics Mihály u. 1/A, 2400 Dunaújváros, Hungary, (e-mail: [email protected]). are calculated using magnetostatic analysis. In order to D. Herceg is with the Faculty of Technical Sciences, University of Novi explore the copper layer influence, winding impedance and Sad, Novi Sad, Serbia (e-mail: [email protected]). the ohmic losses are calculated using time harmonic analysis. N. Pekaric Nad is with the Faculty of Technical Sciences, University of The 3D model for the motor segment from Fig. 2 is explored. Novi Sad, Novi Sad, Serbia, +381214852583 (e-mail: [email protected]). The copper layer thickness varies from 0.1 mm to 0.5 mm. For

DOI: 10.7251/ELS1216037B 38 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

III. CALCULATION RESULTS Numerical solution to the problem is based on the magnetic vector potential, A. The current of the stator coil from Fig. 2 is circumferential. Thus, magnetic vector potential has only horizontal (“x” and “y”) components. Numerical results are obtained for the quasi-static case with “x” and “y” oriented induced currents. For the problem of interest, the governing equation for the magnetic vector potential is G ⎛⎞1 G G jAωσ + ∇×⎜⎟ ∇×A = J. (1) ⎝⎠μμ0 r Magnetic flux density is calculated first for the different frequencies. Fig. 3 represents the flux density distribution at Fig. 2. Simplified model of the BLDC motor segment. 50 Hz. As can be observed, magnetic flux density has the the calculations, the coil is taken to be supplied by the time greatest magnitude in the E-laminates of the stator and much harmonic current of NI=240 A-turns. lower in the rotor. At the higher frequencies the magnetic field The model from Fig. 2 consists of the parts resembling the is more and more situated in the air, outside the ferromagnetic stator and rotor of the motor. Influence of the different copper material. layer thicknesses is studied on the model. The part equivalent Fig. 4 represents calculated inductances of the segment to the “Rotor” in Fig. 2 is built from a 7 mm thick solid iron. model with (w) or without (w/o) the copper layer of the Neodymium magnets, 5 mm thick, are combined into a flat different thicknesses of 0.1 mm, 0.35 mm and 0.5 mm. The layer and protected by a 0.1 mm thick plastic sheet. The results for the ohmic loss in respect to the different copper examined layer is 0.1 mm, 0.35 mm or 0.5 mm thick copper, or alternatively plastic, covered by a 0.1 mm thick plastic protection. The “Stator” to “Rotor” separation was kept constant, but filled with appropriate varying thickness copper or plastic foil. The part representing “Stator” in Fig. 2 is built out of 24 (36x24 mm) E shaped, isolated silicon steel sheets. The individual sheets are 0.5 mm thick including the protective coating. Bulk iron conductivity assumed σ=10.3·106 S/m. Copper coil is formed by twisting together seven individually insulated conductors of 0.45 mm diameter, in order to reduce skin effect. The number of turns in the coil is 24. The winding is placed around the central leg of the E core, having the cross section in the form of a 12 mm x 12 mm square. Plastic coil former around the wire package is 1 mm thick. The winding area is 16 mmx4 mm. Linearity of iron is Fig. 3. Calculated values of the magnetic flux density in the model at 50Hz. assumed, with μr = 550 . The stator to rotor gap has immense influence on the magnetic field distribution inside the motor. In the segment considered, the corresponding separation was kept constant and filled with copper foils of different thicknesses, which was not possible for the real motor. For this reason, the calculations are verified by measurements on the physical model of the segment. B. The motor The available 300 W motor from Fig. 1 is an outer rotor BLDC motor. The spacing between the stator and the rotor of the motor from Fig. 1 is measured to be about 0.4 mm. Consequently, only a very thin copper foil, 0.1 mm thick, together with two insulation layers of 0.1 mm, can be inserted. At the PWM frequency of f=22 kHz, the copper thickness of

0.1 mm represents less than 25% of the skin depth, Fig. 4. Calculated values for the inductance of the model. d=0.44 mm, at the observed frequency. ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 39 layer thicknesses are presented in Fig. 5. Some values of the calculated magnetic force are listed in Table I. The force depends on the relative position of the magnets. For the sake of comparison we present only the results for the central position of the “Stator” between the “Rotor” magnets. Please notice from Fig. 5 that a thicker layer of the copper shield enables better power loss reduction at high frequencies. In the same time, as observed from Table I, it does not significantly alter the tangential (“y”) component of the magnetic force. The vertical (“z”) component of the force for the segment is visibly reduced. As the BLDC motor torque is controlled by the tangential component only, inserting a thin layer of copper between the stator and rotor should have a little consequence on the torque in a real motor. Fig. 6. Measurements on the model using HP 4194A Impedance Analyzer.

IV. MEASUREMENT RESULTS TABLE II MEASURED POWER LOSS IN THE PHYSICAL MODEL AT 22 KHZ A. The model measurements Copper layer: Plastic layer: Thickness (mm) The inductance and resistance measurements on the model Power loss (W) Power loss (W) from Fig. 6 are performed on the HP 4194A Impedance 0.10 35 31 0.35 28 30 Analyzer. The Figs. 7 and 8 present some of the results for the 0.50 26 29 copper shield thickness of 0.35 mm. Measured power loss of the model, for the same copper shield thickness of 0.35 mm is presented in Fig. 9. Some results for the different thicknesses are given in Table II. The equipment used for the measurement is the same as used for the motor, described below. B. The motor measurements Locked rotor measurements of the power loss and torque produced by the available BLDC motor are performed

TABLE I CALCULATED FORCES FOR THE MODEL WITH THE MAGNETS IN THE CENTRAL POSITION Thickness (mm) Fy (N) Fz (N) 0.10 4.8 109 0.35 4.6 98 0.50 4.5 93 Fig. 7. Measured inductance of the physical model using HP 4194A.

according to the block diagrams from Figs. 10a and 10b. The H-bridge supplies two phases of the motor at time, as is typical with the BLDC drives. The motor terminal voltage is rectangular, with amplitude up to V=40 Vp-p and switching frequency f=22 kHz. The duty cycle varies between 35-65%, to enable the desired average current and the current ripple of approximately 20 Ap-p at the maximum supply voltage. The bridge is supplied by a DC source, signified by Vd in the Figs. 10a and 10b. Total losses of the bridge-motor cascade are measured by an electro-dynamic wattmeter, W, type EL 0120, manufactured by Iskra Co. As the loss of the H-bridge from Fig. 10b is only a small portion of the motor losses, the total losses are attributed to the motor losses. The H-bridge is built of four MOSFETs, with low channel

resistance, RDSon, denoted by Q1-Q4 in Fig.10b. The drive Fig. 5. Calculated values for the power loss in the model. circuits are half bridge drivers of the bootstrap type. The upper and the lower drive channels are controlled by the direct 40 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

(a)

Fig. 8. Measured resistance of the physical model using HP 4194A.

(b) Fig. 10. (a) Diagram of the setup for the BLDC motor measurements, (b) The MOSFET H-bridge with drive circuits and control signals.

Fig. 9. Measured power loss of the physical model. and the inverted PWM signals. The bipolar PWM bridge control is achieved by diagonal switching of the transistors, as illustrated in Fig. 10b. The measurement results of the motor Fig. 11. Measured power loss depending on the average current in the BLDC loss, with and without the copper layer inserted, are presented motor, V=40 Vp-p, f=22 kHz. in Fig. 11. current. For the larger average current, the power loss is Measurements of the physical model power loss are slightly reduced in comparison to the no layer situation. performed with the same equipment from Fig. 10, used for the Overall, insertion of the copper layer significantly thinner than motor power loss measurements. Some of the results at the skin depth can not be considered beneficial for the motor. f=22 kHz, for the voltage V=30 Vp-p, 50% duty cycle and the When using ticker copper shield the ohmic losses are current ripple about I=20 Ap-p, are summarized in Table II visibly reduced. Unfortunately, substantial increase in the and in Fig. 9. copper layer thickness would increase the air gap between the stator and the rotor of a real BLDC motor and would V. DISCUSSION inevitably affect the torque. This effect could not be measured As can be seen from Figs. 4 and 7, the results of the on the model, but is possible to evaluate numerically. Some inductance calculations and measurements are in reasonably results are listed in Table I. good agreement. The numerical results for the segment’s inductance from Fig. 4 suggest that the inductance decays VI. CONCLUSION much steeper with the copper layer inserted than without. This The BLDC motor flat segment model is studied in order to can be a desirable feature to enable a fast response BLDC explore the influence of the copper thickness on the power motor current control. Calculated power loss from Fig. 5 is in loss. 2D numerical analysis of the model was not applicable. acceptable agreement with the physical model power Only 3D numerical model gives the results in acceptable measurements from Table II. agreement with the measurements. Lower ohmic loss is As seen from Fig. 11, insertion of the thin copper layer is obtained for the copper shied thicknesses comparable to at found to increase the power loss for the smaller average ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 41 least one third of the skin depth. As the consequence of the REFERENCES thicker layer inclusion, the air gap between the stator and the [1] Z. Fengzheng, S. Jianxin, F. Weizhong, L. Ruiguang: “Study of rotor must increase. Increasing the gap between the stator and retaining sleeve and conductive shield and their influence on rotor loss the rotor by a fraction of a millimeter in a real motor, may not in high-speed PM BLDC motors”, IEEE Trans. Mag. Vol. 42, No. 10, reduce the motor torque dramatically, but it is a designer's Oct. 2006, pp. 3398-3400. [2] A. Borisavljevic, H. Polinder, J. A. Ferreira: “Minimising rotor losses in decision to determine how much torque reduction can be high-speed high-power permanent magnet synchronous generators with tolerated in return for somewhat lower power loss at the rectifier load”, Proc. of PEMD 2010, April 2010, Brighton, UK, doi higher frequencies of the motor current. 10.1049/ cp.2010.0141. The BLDC motor losses caused by the supply voltage [3] A. Mansouri and H. Trabelsi: “On the iron losses computation of a three phase PWM inverter-fed SMPM by using VPM and transient FEA", chopping may be reduced by a highly conductive shield of the PIERS Proceedings, Marrakesh, MOROCCO, March 20-23, 2011, pp appropriate thickness, placed between the stator and the rotor. 866-869. The shield may be especially effective for the components [4] J.L.F. van der Veen, L.J.J. Offringa: “Rotor losses in a high speed over 10 kHz, but must have appropriate thickness related to synchronous generator with permanent magnet excitation and rectifier load”, EUT Report 96-E-301 ISBN 90-6144-301-6 December 1996. the material skin depth. In the case of the available real BLDC [5] Yu Tang, Yong Xiang Xu, Wei Yan Liang: “Influence of permanent motor measurements, we were limited to evaluate only one, magnet thickness on loss of permanent magnet brushless dc motor”, very small thickness for the copper layer. As expected from Advanced Materials Research Vol 204 – 210, pp 1797-1800. the calculations and confirmed by the measurements, such [6] [Polinder, H. and Hoeijmakers, M.J: “Effect of a shielding cylinder on the rotor losses in a rectifier-loaded PM machine”, Proceedings of the layer increases overall ohmic loss for the smaller current IEEE Conf. on Industry Application. Vol. 1, 2000, pp. 163–170. magnitudes which is not desirable. [7] Y. Chen, Z. Q. Zhu, and D. Howe, J. H. Gliemann: “Rotor Eddy Current Loss in Single-Phase Permanent Magnet Brushless DC Motor”, Conf. Record of the 42nd IEEE IAS Annual Meeting. 10/2007; DOI: 10.1109/IAS.2007.87. W.-K. Chen, Linear Networks and Systems (Book style). Belmont, CA: Wadsworth, 1993, pp. 123–135.

42 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

High frequency model of EMI filter

Szymon Pasko and Boguslaw Grzesik

Abstract—The EMI filters are usually used for suppression of electromagnetic conducted interference of range 9 kHz-30 MHz. The efficiency of electromagnetic interference filter is described by attenuation characteristics. The value of attenuation of EMI filter depends on main parameters of filter’s elements and its parasitic parameters. Therefore the high frequency model of electromagnetic interference filter is analyzed in this paper.

Index Terms—Electromagnetic compatibility, EMI filter.

I. INTRODUCTION HE EMI filters are usually used to suppress electromagnetic conducted interference of range 9 kHz - T 30 MHz. Fig. 1. Propagation of CM, DM interferences in EMI filter. The EMI filter is inserted between main supply and devices which generates interferences (Fig. 1). The conducted where V1 is the voltage of source of interferences (VDM, VCM), interferences embrace common mode (CM) and differential while V2 is the voltage at the output of the filter that is mode (DM) interferences. The common mode (CM) connected to the Noise’ source [2], [3]. It is given in dB. The interferences are propagated in both phases in the same measured attenuation characteristic of FN2020 filter is direction and come back to the ground (Fig. 1). The depicted in Fig. 2. differential mode (DM) interferences are propagated in one phase in one direction and come back via the second phase in II. HIGH FREQUENCY MODEL OF FILTER’S ELEMENTS opposite direction (Fig. 1). The direction of the interference is The EMI filters consist of coupled coils L0 and capacitors like the direction of respective current – iCM, iDM [1]. CX and CY (Fig. 1). The value of attenuation of EMI filter The structure of filter is selected so the impedance depends also on parasitic parameters of EMI filter elements. mismatch occurs. If impedance of main supply VAC is big then The value of parasitic parameters causes frequency resonances the impedance of filter, seen from L, N terminals has to be which reduces the attenuation of EMI filters. low, and the same from devices side [1]. The equivalent circuit of capacitors of EMI filters, e.g. CX1,

The CM interferences are suppressed by coupled coils L0, (Fig. 3b) is the series connections of: resistance RX1, which and the capacitor CY1, CY2. The impedance of coupled coils is represents the losses of the capacitor, inductance LX1, which higher than impedance of capacitors CY1, CY2 so that the CM interferences flow via capacitors CY1, CY2 and PE conductor (Fig. 1). The DM interferences flow by capacitors C and 100 X1 CM CX2, because the impedance of AC supply is higher than the impedance of the filter, seen from L, N terminals. It results DM 80 from mismatch impedance conditions [1]. The efficiency of

EMI filter suppression is determined by its attenuation AdB . 60 ⎛ V ⎞ A = log20 ⎜ 1 ⎟ (1) dB ⎜V ⎟ ⎝ 2 ⎠ 40

Manuscript received 30 May 2012. Accepted for publication 10 June 2012. 20 Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. f S. Pasko and B. Grzesik are with the Faculty of Electrical Engineering, 0 Silesian University of Technology, ul Boleslawa Krzywoustego 2, 44-100 Hz 10k 100k 1M 10M 30M Gliwice, Poland, (corresponding author to provide phone: +48 32-237 13 04; Fig. 2. The measured attenuation characteristics of FN2020 EMI filter. fax: +48 32 237 19 77 (e-mail: {szymon.pasko, boguslaw.grzesik}@polsl.pl).

DOI: 10.7251/ELS1216042P ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 43

used as C capacitors. However, the metalized capacitors are a) b) X characterized by larger volume and higher price in comparison RX1 LX1 CX1 with ceramic ones. [6] The most important elements of EMI filter are coupled coils. These coupled coils are wound on toroidal core. The a b a b equivalent circuit (Fig. 4) of coupled coils consists of: L0 ZC(f) inductances, leakage inductance Lr, (Lr<

10k

1k

100

10 =3,37 MHz c f 1

0.1 |Zc|=47,4 m f Fig. 4. The equivalent circuits of EMI filter coupled coils. 0.01 Hz 100 1k 10k 100k 1M 10M 100M Fig. 3. The capacitor CX (0.15 μF) polyester capacitor WXE 154K: a) The C1, C2 represents parasitic capacitances of primary and photograph, b) equivalent circuits, c) measured module impedance secondary winding of the coupled choke. The C3, C4 characteristic. represents the parasitic capacitances between primary and represents inductance of the capacitor and the actual secondary winding. capacitance CX1 of the capacitor CX1 Fig. 3a). The parameters of equivalent circuit of coupled coils are The parameters of filter’s capacitors CX, CY equivalent calculated basing on the modulus of impedance circuits are calculated basing on the modulus of impedance characteristics, which were measured by impedance analyzer characteristics, which were measured by impedance analyzer Agilent 4294A. The calculation of parameters of equivalent Agilent 4294A [4], [5]. The measured impedance circuit of coupled coils is more complicated than those of characteristic of capacitors CX, is given in Figure 3c. The capacitor’s one. The method is presented in details in [7]. impedance |ZC(f)| of the capacitor decreases with increasing The parasitic parameters filter elements have influence on frequency, up to serial resonance frequency fC. The value of attenuation characteristic of EMI filter. The value of choke CX is proportional to XC(ω) up to serial resonance frequency parasitic parameters depends on design of coupled coils. The fC. For the resonant frequency the impedance |ZC| is equal to value of L0 depends on core materials and number of turns. the RX, the series resistance capacitor. The impedance |ZC| The core made of Mn-Zn ferrite is common practice in EMI increases above resonant frequency fC. The capacitor filter. The initial permeability of Mn-Zn core is small in equivalent circuit above the resonance frequency changes its comparison with initial permeability of, e.g., nanocrystaline character from capacitive to inductive. The parasitic core. Therefore nanocrystaline materials offer significant inductance is calculated according equation (2). advantage in attenuation performance. The high permeability 1 permits to achieve the inductance L0 5 times higher than for fc = (2) Mn-Zn for common mode case when the geometry and 2π LC XX number of turns are similar [8]. It should be noted that The parasitic parameters of capacitors depend on their inductance L0 and resistance Rp are strongly depend on construction. The majority of EMI filters are based on frequency. The inductance increases and resistance Rp metalized polypropylene, polyester, paper and ceramic decreases vs, frequency. The value of resistance also depends capacitors. on type of core. The ceramic capacitors have the lowest parasitic The value of parasitic capacitances depends on the way the inductance. The parasitic inductance of metalized capacitors is winding is wounded and number of turns. The lowest value of also small. The greatest parasitic resistance has ceramic parasitic capacitance is obtained in single layer windings (Fig. capacitors, while among the metalized capacitors the greatest 5a) and in “bifilar” windings (Fig. 5b). The “bifilar” coupled value of the parasitic resistance has paper capacitors. coils however must be wounded with well-insulated insulated Metalized capacitors have self-healing properties while wires due to safety requirements. Moreover, the leakage ceramic ones are not. Therefore ceramic capacitors are not inductance of Lr bifilar wound coils is minimal. 44 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

The single layer coils a larger core to achieve the larger one phase in one direction and come back via the second inductance. Double layer windings increases the parasitic phase in opposite direction. Therefore the equivalent circuits capacitances but can be decreases the dimension of the core of EMI filter for DM take the form shown in Fig. 8b. The [6], [8], [9]. attenuation characteristic of DM noise depends on value of

The Actown Electrocoil Company has brought into market leakage inductance Lr, resistance Rp, parasitic capacitance C1, TIGHTpak toroidal coupled coils (Fig. 6). These are a single C2, C3, C4 and also parameters of equivalent circuits of CY1, layer coils with wires flattened on the inner part of the core. CY2, CX1, CX2. This manufacturing technique makes the higher number of turns in winding having the same length. This increases inductance L0 without increasing core size [10], [11].

R R Y1 Y2 C C Y1 Y2 L L Y1 Y2

Fig. 5. EMI coupled coils: a) single layer, b) “bifilar” wound coupled coils.

a) b) a c

b d R R X1 X2 C C X1 X2 L L X2 X1 Fig. 6. TIGHTpak toroidal coupled coils.

III. HIGH FREQUENCY MODEL OF EMI FILTER Basing equivalent circuits of filter elements its high frequency model is created – Fig. 7. The equivalent circuits of Fig. 8. The high frequency model of EMI filter for: a) CM conducted EMI filter for CM interferences takes the form shown in interference, b) DM conducted interference. Fig. 8a. IV. EXAMPLES OF FILTER’S ELEMENT INFLUENCE ON EMI L 0 C1 FILTER’S ATTENUATION

Rp The parasitic parameters of filter’s elements have CX2 CX1 CY1

R Lr predominated influence on attenuation characteristic of EMI w L0 L N’ RY1 filter. The parasitic parameters of filter’s elements depend on R R CY1 X2 X1 its construction. As an example the value of L0 depends on C C LY1 X2 C3 C4 X1 PE core materials, winding construction and number of turns. L L RY2 X2 X1 CY2 Therefore nanaocrystaline materials offer significant LY2 N L’ advantage in attenuation performance. The high permeability L0 of nanocrystaline materials permits to achieve the inductance CY2 Rp L0 5 times higher than for Mn – Zn for common mode in case when the geometry and number of turns are similar. The C2 Fig. 7. The high frequency model of EMI filter. comparison of EMI filter attenuation characteristic for common mode with nanaocrystaline (NC) and Mn-Zn (MnZn) The attenuation characteristic of CM noise depends on materials is depicted in Fig. 9. value of inductance L0, resistance 0.5 Rp, and sum of winding The value of L0, also depends on number of turns. parasitic capacitance C1+C2 and also parameters of equivalent The number of turns yields to decrease value of inductance L0 circuits of CY1, CY2. and also parasitic capacitance which reduce attenuation. The parameters of CX1, CX2 have no impact on attenuation Therefore the method of winding of coupled coils is most characteristic for CM noise. important. The “bifilar” wound coupled coils has the lowest

The differential mode (DM) interferences are propagated in parasitic capacitance and also leakage inductance Lr as ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 45

opposed to the double layer coupled coils. Therefore the a) CM mode attenuation for filter with “bifilar” wound coupled coils is 80 higher for CM noise and lower for DM in comparison on filter DCc with double layer coupled coils. The comparison of BCc attenuation characteristic for EMI filter with “bifilar” (BCc) 60 wound coupled coils and double layer (DCc) one is depicted in Fig. 10. 40 a) CM mode 80

NC Attenuation dB 20 MnZn

60 f 0 Hz 10k 100k 1M 10M 30M 40 b) DM mode 100 DCc

20 BCc 80

f 0 Hz 60 10k 100k 1M 10M 30M b) DM mode 100 40 NC Attenuation dB Attenuation MnZn 80 20

60 f 0 Hz 10k 100k 1M 10M 30M 40 Fig. 10. Comparison of EMI filter attenuation characteristic for “bifilar”(BCc) and double layer (DCc) coupled coils: a) attenuation characteristic for CM noise, b) attenuation characteristic for DM noise. 20

REFERENCES f 0 Hz [1] T.Williams, “EMC for product Designers”, Newnes (2001). 10k 100k 1M 10M 30M [2] L. R. Ozenbaugh, EMI filter Design, Headquarters, 2001. Fig. 9. Comparison of EMI filter attenuation characteristic of coupled coils [3] H.W Ott, “Electromagnetic compatibility Engineering”, John Wiley & with nanaocrystaline (NC) and Mn-Zn (MnZn) materials: a) attenuation Sons, 2009. characteristic for CM noise, b) attenuation characteristic for DM noise. [4] Agilent Technology: 4294A Precision Impedance Analyzer Operation Manual Application Note, 2003. V. CONCLUSION [5] L Tihanyi, “Electromagnetic compatibility in Power Electronics”, Butterworth-Heinemann, 2004. The parameters of EMI filter have influence on its [6] S. Pasko, “Analysis of the impact on construction of filters of power attenuation performance for different frequency as was shown converters’ conducted interferences”, Phd Thesis Silesian University of in Fig. 9, Fig. 10. The power electronics converters generate Technology, Gliwice 2010. the conducted electromagnetic interference in different range [7] S. Pasko, F. Beck, B. Grzesik, “Parameters calculation of coupled coils equivalent circuit of EMI filter”, Electrical Review, Aug. 2009. of frequency. It results from its construction. Therefore to [8] S. Pasko, B. Grzesik, F. Beck, “Attenuation of nanocrystalline and effective suppress electromagnetic conducted interference and ferrite common mode chokes for EMI filters”, 15th International EMI filter construction the high frequency model is Symposium POWER ELECTRONICS Ee2009, 28th-30th October 2009, Novi Sad, Serbia. indispensable. [9] S. Pasko, F. Beck, B. Grzesik, “Property comparisons of TIGHTpak The future work will based on creating FEM (finite toroidal and double layer common choke”, Electrical Review, Feb.2010, elements method) model of EMI filter and check the proposed [10] M.Seitz, M. Roeber “Squeeze more performance out of toroidal inductors, Power Electronics Technology Magazine, pp. 302–33, Aug one. 2005. [11] Actown Electrocoil Inc, “Magnetic core winding method, apparatus and produced there form”, Patent no. US 7.154.368 B2, Dec. 2006.

46 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Energy Efficiency in Electric Drives

Slobodan Mirchevski

Abstract —In this paper a look at energy efficiency in electric What energy efficiency practice for? The answer is simple - drives is taken strongly through energy parameters - efficiency η because of getting high quality and cheaper products, lower and power factor (in special case cos ). Considering electric k φ production costs and reducing of global pollution. drive as system of working mashine, motor and power converter, the influence of components is evaluated. Induction motor, as the How to realize energy efficiency in electric drives? The most used in industry, has been treated. The necessary of variable right way is with usage of better working machines, power speed drives in dynamic states for reducing electrical losses by convertors for getting variable speed to reduce power losses help of power converters is presented. The importance of energy and energy efficient motors. efficiency in electric drives on improving technological process, This paper strongly deals with energy efficiency in electric consumed and paid electric energy and global problem of η enviroment pollution is elaborated. drives through energy parameters – efficiency and power factor k (in special case with ideal supply without harmonics Index Terms —Adjustable Speed Electric Drives, Energy cos ϕ) . In fact, efficiency and power factor are in close relation Efficiency, Induction Motor, Power Factor. and therefore their product is also used as energy parameter. The working machine as a part of an electric drive is not treated, although it is (comprising its whole distribution I. INTRODUCTION ducting) with the greatest savings potential (125 billion NERGY efficiency is the basis of technical systems kWh/year for EU-25, [4]). It is worth mentioning that pumps, Eworking. The first great question of this field was DC compressors and fans are the most used loads (>60% of all (T. Edison’s practice) or AC system (N. Tesla’s invention). installed working machines), fortunately with mainly And normally, the system with greater possibilities (bigger centrifugal mechanical characteristics n(M) and the greatest power, longer distances) and evidently lower losses won. savings potential by using power converters with simple and Energy efficiency is always closely related with energy crisis cheap control system. In this paper, the attention is focused on in the world. In the past it has been temporary event, but these motor speed control with saving potential of 50 billion days it has become continuous and global problem because of kWh/year for EU-25 and motor losses expressed through its environment pollution. The name has varied from energy efficiency and power factor k with saving potential of 27 saving in early 80-ties, through rational use of energy in 90- billion kWh/year for EU-25, [4]. ties, to (till) energy efficiency now [16], [17], [18], [19], [20]. Low voltage squirrel cage induction motor is considered as Electric drives account for approximately 65% of the “work horse” in industry. Because of its wide, dominant usage, electricity consumed by EU industry. Therefore electric drives over 65% in all installed drives [1], [8], [9], [20], [27] is taken have the great energy saving potential, technical (higher) and into consideration. economic (lower) [1], [2], [3], [4], [5], [6]. The efficiency of an electric drive depends on more factors, II. VARIABLE SPEED DRIVE including: motor efficiency, motor speed control, proper sizing, power supply quality, distribution losses, mechanical Variable speed drives with help of power electronics transmission, maintenance practices, end-use mechanical converters are more effective way of improving drive energy efficiency (pump, compressor, fan etc.). The energy efficiency efficiency with nearly double savings potential than HEM [4]. has influence on the work of electric drive, its consumption In Fig. 1 the losses during starting of induction motor with one and paying of electric energy (active, reactive), the working speed winding (a), Dahlander's winding for two speeds in ratio life etc. [21], [22], [23], [24], [25], [26]. 1:2 (b) and continuous changing of speed (c), are presented [9]. It is evident utility of speed control during drive starting, braking, reversing and speed changing. Losses during starting and counter current braking Manuscript received 30 May 2012. Accepted for publication 10 June 2012. Some results of this paper were presented at the 16th International (plugging) in no load regime (ML=0) are expressed with Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. eq. (1) and eq. (2) Slobodan Mirchevski is with the Faculty of Electrical Engineering and ω 2 Information Technologies, University of Ss. Cyril and Methodius, Skopje, = ACu J (1) Republic of Macedonia (tel: +389-2-3099-149; fax: +387-2-3064-262; 2st e-mail: [email protected]). 2

DOI: 10.7251/ELS1216046M ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 47

evident energy saving possibilities [18], [19], [20], [21], [22], [23], [24], [25,], [26], [30].

III. INDUCTION MOTOR The low voltage induction squirrel cage motor is superior to other types of motors because of its good technical Fig. 1. Losses during starting (M L=0) of IM with one s peed winding (a) characteristics (torque, current, overloading), smaller η=0,5; Dahlander's winding for two speeds in ratio 1:2 (b) η=0,75 and continuous changing of speed with ideal power converter neglecting losses dimensions and mass, so as lower price. In the power range up (c) η=1. [9]. to a 100 kW it is a serial product and standards already define

the categories of motors with respect to their efficiency [7], ω 2 [8], [27], [28], [31]. = ACu 3 J (2) A. Efficiency η 2br 2 2 The concept for increasing the efficiency of the motor is to where ACu2 [Ws] are copper losses in the rotor, J [kgm ] is the moment of inertia and ω [rad/s] is the angular speed. With load reduce power losses and at the same time achieve all other the situation becomes worse. It is clear that speed changing is required characteristics of the machine. The tendencies are the right solution for reducing losses in dynamic states. directed towards standardization of the values of efficiency Variable speed is obtained with frequency and voltage required in advance. The efficiency of electric motors can be power converters, usually of indirect type with DC circuit, improved by: a) Reducing the losses in the windings, which is called voltage source inverters (VSI). Power electronics done by increasing the cross-sectional area of the conductor or converters are also consumers of reactive energy, because of by improving the winding technique to reduce the length; b) switches nonlinearity. So, in indirect frequency converters the Using better magnetic steel; c) Improving the aerodynamics of rectifier consumes reactive power from the grid and reactive the motor; d) Improving manufacturing tolerances. Assuming power is generated in the circuit of the inverter and the motor, that we have applied all the knowledge in machine design to because DC circuit does not cross reactive power. The solution reduce power losses in an induction motor (sizing of the of these problems is in using condenser banks and filters, air-gap, shape and number of slots, magnetic wedges, optimal passive or active. However, consumption of reactive and choice of the cooling fan, squirrel-cage made of copper instead disturbance energy (according to DIN 40110) in power of aluminium etc.), the possibility of further reduction of converters is neglected. Objectively this consumption exists losses is by increasing the motor size within reasonable limits and it is approximately a few percentage of the active energy (motor frame size, shaft height). consumption, without costs for condenser banks and filters. Using the scaling laws for design of electric machines [8], η In Fig. 2 [4] conventional pumping system (a) and energy [9], the equation for efficiency can be obtained efficient pumping system (b) are presented with their total P η = (3) efficiency 31% and 72%. +()()2n -5 + 3-2 n ++ PkaCu1 ka Fe 1 P meh P dod The greater initial investment is covered in short period with where P is the rated mechanical shaft power and Pg are the total losses in the motor which consist of the stator and rotor

copper losses PCu , the iron losses PFe , the friction and windage losses Pmeh and the additional losses Pdod = 0,005 P1 (P1 is the electric power input from the grid) which are the four addends in the denominator of eq. 3. It is assumed that mechanical losses due to friction and windage will not change significantly due to increased dimensions. The variation of efficiency is a function of changes of linear dimensions a (max 2) and of the exponent n (0-2), according to scaling laws for specific magnetic load B=(0,4-1,2) [T] and specific electric load Γ<3 10 6 [A/mm 2]. Let assume that we have induction motor with following ratings 22 kW, 380 V, 50

Hz, 2 p = 2, PFe = 597 W, PCu =1098 W, Pmeh = 870W, Pdod =110 W. Using eq. (3) we can obtain the curves in Fig. 3 which showing efficiency η as a function of changes of linear dimensions a and of the parameter n for the motor. The electric energy saved due to increased efficiency of an

Fig. 2. Conventional pumping system 31% (a); Energy-efficient pumping electric motor can be calculated from system 72% (b), [4].

48 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

In [32] possibilities for enhancing reliability and efficiency are considered. B. Power factor k Reactive power is necessary for induction motor because of magnetization and maintaining the alternating magnetic field. Power factor is the ratio k = P[kW]/ S [kVA] and it is different from cos ϕ because of harmonics. The equality is only for ideal sinusoidal supply or cos ϕ relates to basic harmonic. In Fig. 5 a) and b) cos ϕ (P) for 2 p = 2, 4, 6 and P = (0-90) [kW] for induction motors according [11] and [15] are presented. In Table I the values of cos ϕ for different powers Fig. 3. Family of curves showing efficiency η as a function of changes of -1 -1 linear dimensions a and of the parameter n for the motor. of catalogue and speeds of 3000 [min ], 1500 [min ] and 1000 [min -1] are shown. Power factor k depends on ratio 1 1  µ = Q [kVAr]/ P[kW], which is better to be lower [10]. ∆W = P t - kWh (4) yearr r η η  n v  µ=Q/P=gp/D (5) ∆ where Wyear is the annual energy savings in kWh, Pr is the actual power load in kW, tr is the number of operating hours where g [m] is air gap between stator and rotor, p is number of per year, ηn is the lower efficiency of the motor and ηv is the pair poles and D [m] is diameter of the stator. It is clear that higher efficiency. machines with greater power and greater speed have greater Let us consider a realistic case with presented motor. If power factor (Fig. 5). dimensions are increased by 25% ( a = 1,25), in Fig. 3 is shown It should be note that power factor is mainly less than 0,9. that the efficiency can be increased at maximum from 0,89159 It means that condenser banks are needed or reactive energy to 0,90611, i.e. by 1,452%. The annual energy savings thus must be paid. In any case, there are certainly costs for reactive obtained is 2016,6 kWh. If the exploitation period of the motor energy. is 20 years, the total energy savings equals 40332 kWh. If the average price of electric energy is 0,05 EUR/kWh, the annual IV. CONCLUSION savings amounts to 100,83 EUR, and during exploitation period of 20 years it equals 2016,6 EUR. Motors with improved efficiency are called "High Efficiency Motors" or HEM. Three energy efficiency classes are proposed, Fig. 4, [1], [3], [7], [8], [11], [12], [13], [27], [28], [31]. The fourth class IE4 - Super Premium as informative is also proposed. It is clear that better efficiency is result of greater dimensions (eq. 3) and therefore the price of HEM is greater. The range of payback on individual motors is between several months and several years [4]. In [29] the impact of different lubrication oils on electric motor energy consumption is given.

100 (a)

95

90 EFF 1

85 4 poles 2 poles 2 and 4 poles

Efficiency, % Efficiency, EFF 2 80

EFF 3 75

70 1 10 100 Power, kW (b) Fig. 4. Efficiency of induction motors according to EuroDEEM Fig. 5. Power factor ( cos φ) of fully loaded IM in function o f power for classification. different speeds: (a) – ABB (cos φ1), (b) – SIEMENS (cos φ2).

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 49

[8] Drago Ban, Damir Zarko, Slobodan Mircevski, State of the Art and TABLE I Tendency for Increased Power Efficiency of Electric Machines and VALUES OF COS Φ IN FUNCTION OF POWER FOR DIFFERENT SPEEDS Drives , 6-th Conference of Mako CIGRE, Ohrid, Macedonia, 4-6 (1 – ABB; 2 – SIEMENS) October, 2009. [9] Berislav Jurkovic, Electric Drives , School Book, Zagreb, 1987 (3-rd ed. in Croatian). [10] Leonid Geiler, Basic Electric Drives , Minsk, 1972 (in Russian). [11] Catalogue ABB, BU/Process performance motors, GB 09-2003. [12] http://www.abb.com/motors&drives [13] http://online.abb.com/motors&drives [14] Katalog M 11 SIEMENS, Drehstrom-Niederspannungsmotoren Kafiglaufermotoren, 1994/95. [15] http://www.siemens.com/motors The cost of electric energy during the exploitation period of [16] T. D. Jakimov, S. Mircevski, The influence of voltage reducing on the the motor can be from 100 to 200 larger than the price of the on the work of drives with induction motors, II conference Electric Drives, Trogir, 24-26 april, 1984 (pp. 331-340, in Serbian). motor [8]. [17] S. Mircevski, R. Ackovski, Determination of satisfactory power factor Reactive and disturbance energy cannot be neglected in for under loaded electric drives with induction motors, III conference considerations in energy efficiency, as it is usually done. Electric Drives, Dubrovnik, 22-24 april, 1986 (pp. 419-425, in Serbian). Electric drives have great saving potential with total 202 [18] S. Mircevski, G. Arsov, D. Manov, M. Sarevski, Z. Andonov, G Rafajlovski, D. Andonov, Rational use of electric energy in AC drives, billion kWh/year, equvalent to a reduction of 10 billion EUR (invited paper), 8-th Ee'95 (pp. 45-53), Novi Sad, Yugoslavia, per year in operating costs for industry. It would also create September 27-29, 1995. the following additional benefits: [19] S. Mircevski, Z. Kostic, Energy saving possibilities with pump's AC adjustable speed drives, Proceedings of the IASTED international • a saving of 5-10 billion EUR per year in operation conference High technology in the power industry, Banff, Canada, 6-8 costs for industry through reduced maintenance and June, 1996 (pp. 164-167). improved operations (EU-25), [20] S. Mircevski, D. Manov, G. Arsov, M. Sarevski, D. Andonov, G. • Rafajlovski, Z. Andonov, Rational use of electric energy in AC drives a saving of 6 billion EUR per year in reduced (3 years scientific investigation project financed of the R. Macedonia environmental costs (EU-25), Ministry for education and science), 1994-96. • [21] F. Saracevic, D. Miceski, A. Buckovski, Z. Andonov, S. Mircevski, Z. a reduction of 100 million tonne of CO 2 equivalent Kostic, Rational usage of electrical energy in pump drives, 6-th emissions, or approximately 30% of the EU's Kyoto International Cultural and Academic Meeting of Engineering Students (ICAMES) Proceedings, Bogazici University, Istanbul, Turkey, 13-20 target of 336 million CO 2 eq. (EU-25), may, 2000 (pp.178-185). • a 45 GW reduction in the need for new power plant [22] The European Motor Challenge Programme, Drives Module, Brussels, over the next 20 years (EU-25), 2003. [23] The European Motor Challenge Programme, Pumping Systems Module, • a 6% reductions in EU's energy imports (EU-25), [4]. Brussels, 2003. [24] The European Motor Challenge Programme, Fan Systems Module, To achieve this a 4 year (2008-2012) package of measures Brussels, 2003. is suggested, investing 400 million EUR in the electric drives [25] The European Motor Challenge Programme, Compressed Air Systems Module, Brussels, 2003. market [4]. [26] The European Motor Challenge Programme, Active Systems for The package of measures should include stimulation of Refrigeration and Cooling Module, Brussels, 2003. industry through national governments solutions and financial [27] Bonnet, Ch. Yung, Increased Efficiency Versus Increased Reliability, IEEE Industry Applications Magazine, January/February 2008, Vol. support for wide education and training possibilities in 14, No. 1 (pp. 29-36). universities and industry. [28] J. F. Fuchsloch, W. R. Finley, R. W. Walter, The Next Generation Motor, IEEE Industry Applications Magazine, January/February 2008, Vol. 14, No. 1 (pp. 37-46). REFERENCES [29] D. Evans, J. Crissman, J. Gobert, Test Results for Energy Savings, [1] Anibal de Almeida, Paolo Bertoldi, Werner Leonhard (Editors), Energy IEEE Industry Applications Magazine, January/February 2008, Vol. Efficiency Improvements in Electric Motors and Drives , Springer- 14, No. 1 (pp. 44-49). Verlag Berlin, Heidelberg, 1997. [30] Lockley, B. Wood, R. Paes, F. De Winter, Standard 1566 for [2] Energy Efficiency, ABB Review, 2/2007. (Un)Familiar Hands, IEEE Industry Applications Magazine, [3] http://energyefficiency.jrc.cec.eu.int/eurodeem/index.htm January/February 2008, Vol. 14, No. 1 (pp. 21-28). [4] http://re.jrc.ec.europa.eu/energyefficiency/motorchallenge/index.htm [31] W.R. Finley, B. Veerkamp, D. Gehring, Ph. Hanna, Improving Motor [5] http://www.gefweb.org Efficiancy Levels Globally, IEEE Industry Applications Magazine, [6] http://www.cda.org.uk/megab2/elecapps/casestud/index.htm January/February 2009, Vol. 15, No. 1 (pp. 39-49). [7] Miloje M. Kostic, Efficiency Classes Induction Motors and Saving [32] Ch. Yung, Tips for Improving Motor Efficiency, IEEE Industry Energy , (invited paper IP4-1), 14-th International Symposium on Power Applications Magazine, November/December 2007, Vol. 13, No. 6 (pp. Electronics Ee 2007, Novi Sad, Serbia, 7-9 November, 2007. 12-20).

50 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Power Electronic Transformer Technology for Traction Applications – An Overview

Dražen Dujić, Frederick Kieferndorf, and Francisco Canales

Abstract—Combining modern high-power semiconductor limited to railway applications (thus, single phase). devices with constantly improving magnetic materials opens up Traction applications are recognized as one of the likely the possibility to replace bulky low-frequency transformers with early adopters of this emerging technology. Typical single a new medium voltage medium frequency conversion structure. phase railway AC lines found in Europe are 15kV, 16⅔Hz While there are still challenges to be addressed related to these so called power electronic transformers, a steadily increasing (originating from the use of cycloconvertors in the past and development effort is evident and considered in various contexts. thus being one third of 50Hz) and 25kV, 50Hz. A Traction applications seem to be the first ones where conventional (state-of-the-art) approach to provide DC proliferation of these galvanically isolated power electronic voltage to the variable speed drive on the locomotive is converters is expected. In this application field, substantial illustrated in a simplified manner in Fig.1. The primary weight and volume reduction can be achieved while providing winding of the LFT is connected directly to the AC catenary additional functionality at the same time. In this paper a survey of recent R&D efforts in this field is presented. and active rectifier(s) are connected to the secondary winding(s) of the transformer where the voltage is stepped Index Terms—Power Electronic Transformer, Railway, down. One or more inverter and motor units are further Medium Frequency Transformer, Multilevel Converter. connected to the provided DC link (not illustrated in Fig.1). Since traction LFTs are usually optimized for minimum weight (2-4kg/kVA) and are heavily loaded, the resulting I. INTRODUCTION efficiency is rather poor and somewhere in the range of 90%- OWADAYS, conventional line frequency transformers 92%. Oil is customarily used for cooling and insulation, N(LFT) are widely spread in electrical systems providing adding to the total weight and potential environmental issues basic functionalities such as voltage isolation and voltage (i.e. in case of leakage). The power of the system may vary adaptation. However, to deal with power quality problems from below 1MVA up to 10MVA for large cargo locomotives. (e.g., sags, swells, flicker and harmonics) at medium voltage In a classical train arrangement, where the propulsion (MV) levels, there is a need for the installation of additional system is concentrated in the locomotive, the weight of a LFT equipment (usually some kind of power electronics converter is not necessary a problem, since a certain weight is required operating at higher switching frequencies). This leads to a in any case in order to provide sufficient traction without further increase of the installation volume, which in certain slipping. However, in the case of electric multiple units applications may not be feasible (traction, marine, wind, (EMUs), where the propulsion system is distributed offshore). Recent trends in MV high power applications are throughout the train, weight becomes an issue. replicating something that has already been achieved and put into practice in low voltage applications. There, line frequency operated transformers have mostly been replaced by medium frequency transformers (MFTs) where high frequency waveforms are applied directly to the transformer terminals, so that the overall magnetic volume is reduced and more compact converter designs are reached. Some of the results from this field are presented here, with the scope of this paper Fig. 1 AC-DC conversion with a line frequency transformer (LFT). being

Manuscript received 30 May 2012. Accepted for publication 10 June 2012. Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. D.Dujic, F.Kieferndorf and F.Canales are with the ABB Switzerland Ltd, Corporate Research, Segelhofstrasse 1K, Baden-Daettwil, 5405, Switzerland (+41 58 586 75 40; fax: +41 58 586 40 06; e-mail: [email protected], [email protected], [email protected]). Fig. 2. AC-DC conversion with medium frequency transformer (MFT).

DOI: 10.7251/ELS1216050D ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 51

An alternative to the state-of-the-art solution is the use of a available IGBTs is only 6.5kV. so called power electronic transformer (PET), consisting of a Thus, a modular structure consisting of a number of power converter in conjunction with MFT(s), as illustrated in cascaded cells (which are of the same nature as those in Fig.3, Fig.2. Here, some sort of power electronic converter is but realized with IGBTs) has emerged, as shown in Fig.4. In connected directly to the AC catenary, while the MFT is this arrangement, thanks to the voltage clamping of the providing voltage insulation and adaptation. secondary side DC voltage through the MFT to the primary Present semiconductor devices are unable to work directly side, voltage sharing among the different cells is achieved. At with these medium voltages and thus usually some of kind of the same time, a multilevel voltage waveform at the input of series connection of a number of cells or modules is required the PET helps to reduce the line harmonics and the resulting in order to meet the voltage level of the MV line, resulting in a filter requirements. In contrast to Fig.3, instead of having a multilevel converter structure. The MFT from Fig.2 is usually single MFT, there is a need for a number of MFTs, each realized not as one single transformer (although in some connected to an associated cell and rated for a fraction of the implementations it is), but rather as a number of transformers full power. However, insulation requirements are not relaxed rated for a fraction of the total power and operating at a higher and each MFT must be designed for the same dielectric stress switching frequency (several kHz). Finally, rectification is as before. required on the secondary side of the MFT in order to provide A PET prototype based on the topology from Fig.4 has a DC link to the inverter(s). been presented in [5], targeting 15kV, 16⅔Hz railway This type of MV technology (although still under network and with 1.2MVA ratings (continuous operation). development) is becoming a reality with the advances in The implementation had a total of 16 cells each consisting of a semiconductor technology (faster switching actions, higher cycloconverter, MFT and VSI (rectifier). 3.3kV IGBTs were blocking voltages and higher power densities) and the used on both primary and secondary sides, while the MFT was development of new magnetic materials with low loss operated with 400Hz (see Fig.5). densities at higher operating frequencies. Even though early Catenary considerations regarding PET can be traced back to the seventies [1], at the present time there are still no products of this kind offered by any of the key players in the traction market.

II. STATE-OF-THE-ART: PET ARCHITECTURES Various architectures/topologies have been considered for the realization of a PET for tractions applications. Early works considered the use of thyristor based solutions [1], [2], as illustrated in Fig.3. The primary side of the MFT consists of two thyristor H-bridges connected in anti-parallel while the secondary side has a single phase forced commutated H- bridge. Thus, there is a cycloconverter at the input (HV side) Rail and voltage source inverter (VSI) at the output (LV side). Fig. 3. PET topology with source commutated primary converter. In this implementation, the MFT is excited from the secondary side by the VSI and the MFT voltage is used to commutate the cycloconverter on the primary side. Use of thyristors limits the MFT frequency to a few hundreds of Hertz. In addition to low frequency, the circuit also generates fairly high line harmonics and improvements in this direction are reported in [3]. To mitigate some of the issues with the thyristor based approach and further increase the operating frequency of the MFT, the use of fully controllable devices such as IGBTs has been proposed [4], [5]. In [4], the cycloconverter from Fig.3 has been realized using IGBTs (series connection of two IGBTs with common emitter as a replacement for two anti- parallel thyristors) using at the same zero voltage switching (ZVS), while the VSI is realized as a standard IGBT H-bridge converter. However, to achieve the line voltage, a series connection of a number of IGBTs is required considering that, Fig. 4. PET topology with cascaded source commutated primary converters. at present, the highest blocking voltage of commercially 52 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

as well. In particular, standard IGBTs were irradiated with electrons in order to move the device properties on the technology curve towards the area of lower turn off energies (reduction of carrier lifetime) but at the expense of higher on- state voltage drop and thus higher static (conduction) losses. Reported results emphasized the possible increase of the switching frequency in the range of 40-50% (at rated power). However, these kinds of devices are not commercially available yet, except for limited engineering samples. A slightly different approach has been presented in [13]- [15] where multi-winding MFTs are used. The primary side is again realized with a series connection of H-bridges providing intermediate HV side DC links from which the primary windings of the multi-winding MFT are excited by half-bridge Fig. 5. ABB PET prototype (2006) using topology from Fig.4 [5]. series resonant converters operating at 5kHz. The secondary side is realized using a single H-bridge converter with To avoid the difficulties with cycloconverters on the bidirectional power flow. A full scale 1.5MW PET prototype primary side of the MFT and considering the fact that a was realized featuring 8 cells in total (7 without redundancy). number of cells is required anyhow, cascaded H-bridges (four The mass of the PET prototype was reported as 3.1T, in quadrant converters) have been proposed by various research comparison to 6.8T for a conventional LFT, however, at the groups [6-10 all references]. In this way, a pure IGBT solution expense of 50% higher cost and jeopardized reliability due to is achieved and the number of required cells is primarily the use of 48 6.5kV IGBTs on the primary side of the multi- related to the selected voltage class of the semiconductors. winding MFT. The authors advertised upcoming field trials In [6], a topology similar to the one shown in Fig.6, was using the developed PET prototype, but no further results proposed (the main difference is a lack of capacitors were reported. connected to the MFT, thus being a non-resonant converter). The input stages are realized with four quadrant converters and provide the intermediate DC links as well as a high resolution multilevel waveform at the input of the converter. DC/DC converters are connected to each of the floating DC links and provide galvanic isolation and voltage level adaptation to the secondary side (as before all converters are connected in parallel at the output). Power flow control is achieved through manipulation of the phase shift between the rectangular waveforms of the two sides of the DC/DC converter. Various reconfiguration possibilities of this kind of topology are discussed by the same group of authors in [7]. A topology identical to the one shown in Fig. 6 has been presented in [8], [9]. A series resonant DC/DC converter is proposed in order to reduce switching losses of the Fig. 6. PET topology with cascaded H-bridges and resonant/non-resonant semiconductors (zero voltage switching (ZVS) and zero DC-DC stages. current switching (ZCS)) and allow for further increase of the MFT operating frequency. For a 15kV railway network using 6.5kV IGBTs, it was calculated that 7 cells would be required for nominal operation (although adding an extra cell for redundancy reasons is proposed) and the MFT frequency was expected to be in the range of 8-10 kHz. Some details about the control of this kind of PET can be found in [10]. More considerations regarding the topology of Fig.6 can be found in [11], [12]. Various arrangements have been analyzed and 6.5kV devices were selected as the most suitable ones, considering failure-in-time (FIT) rates and the number of devices needed. To increase the switching frequency, a soft switching series resonant DC/DC converter with half bridges on both sides of the MFT is considered. In addition to standard IGBTs, modified semiconductor devices were tested Fig. 7. PET topology with cascaded H-bridges and multi-winding MFT. ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 53

Catenary

Cell 1 Cell 2

Cell N Rail Fig. 9. PET topology with cascaded H-bridges and resonant (LLC) DC-DC Fig. 8. PET topology using M2LC converter. stages. Use of a modular multilevel converter (M2LC) for traction applications has been presented in [16], [17]. A simplified layout is shown in Fig.8 (in the original publication there are multiple secondary windings of the MFT with dedicated active rectifiers, inverters and motors). M2LC is a highly modular topology and the basic building blocks (cells) for traction applications are H-bridges connected in series, each with its own DC capacitor bank, finally resembling a sort of large composite H-bridge, as shown in Fig.8. M2LCs are associated with large amounts of stored energy in each cell but with low energy density per cell, adding to the weight and volume of the whole system. So far, in real world applications M2LC has been successfully commissioned only for HVDC installations. The most recent reports concerning PETs in traction Fig. 10. ABB low voltage PET prototype (2011) [19]. application are reported in [18]-[20]. The topology is shown in Fig.9 and similar to the previous example it consists of cascaded H-bridges at the input and resonant DC-DC converters with the power stage realized using a half-bridge configuration. Also, in contrast to the LC series resonant tank employed in [8], [9] and [13]-[15], a LLC resonant tank is used. Thus, both the leakage inductance and the magnetizing inductance of the transformer are participating in the resonance. In this way ZVS is achieved during turn-on of the IGBTs while near ZCS is achieved during turn-off of the IGBTs. Some results regarding the design and preliminary testing of a DC/DC LLC resonant converter are presented in [18]. In [19] the development of a low voltage PET prototype is presented, focusing primarily on the control features required for characteristic operating regimes in traction applications. Fig. 11. ABB PET prototype (2011) [20]. The LV PET, shown in Fig.10, was used as an analogue A full set of experimental results is reported in the paper, hardware simulator since the implemented control HW was illustrating various operating conditions in steady state and identical to that used later in the full size PET reported in [20]. during transients as well. The PET prototype was developed The full sized MV PET prototype, shown in Fig.11, is for a field trial with Swiss Federal Railways and during the designed for a 15kV, 16⅔Hz railway network with a 1.2MVA preparation of this paper it was already installed on the power rating (1.5kV output voltage) and is realized with 8 locomotive and electrically commissioned. Since February cells (+1 for redundancy) and a MFT operating at 1.8kHz. 2012, the PET prototype is in service for a one year field trial. 54 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

III. MEDIUM FREQUENCY TRANSFORMER steel is used in [5], nanocrystalline in [20,24], ferrites in [13] Details related to the MFT implementation were while amorphous iron is used in [23]. Regarding the cooling deliberately omitted from the previous section, since this topic and insulation, oil is used in both [5] and [20], de-ionized is difficult enough on its own, and due to the space limitations water for cooling of windings is used in [23,24], while it is discussed here on a rather general level. Therefore, the insulation is also provided by oil in [24]. Two particular basic problems that are encountered in the design phase of an examples are illustrated in Fig.12 and 13. MFT are highlighted and some examples are illustrated. The MFT shown in Fig.12 is realized using amorphous iron The size of a transformer can typically be related to the area core material with a coaxial winding structure and active de- product, Ap, defined as [22]: ionized water cooling through the space available between the primary and secondary windings. The prototype was designed Pt Ap = (1) for 350kW and 10kHz switching frequency. The respective JfBKK muf insulation voltage testing is performed at 38kV and 50Hz for When designing a MFT, all of the parameters present in (1) 60 seconds, while the rated impulse voltage is 95kV. The use must be carefully considered. The main idea behind the PET is of coaxial type windings limits the turns ratio to 1:1, which to replace the bulky LFT with an overall more compact MFT was acceptable in the case analyzed in [23]. Special attention or MFTs operating at a higher frequency (f), normally in the was paid to properly assess the dielectric stress across the range of several kHz. While increasing the operating MFT in order to provide a design that could guarantee a long frequency (f) leads to a reduction of transformer size (Ap), lifetime. high insulation requirements have a negative effect on the A different design is shown in Fig.13 [20]. It features an window utilization factor (Ku), resulting in a low filling factor assembly with three MFTs, each rated for 150kW (with the of the window area due to the required amount of insulating ability to withstand 225kW overload for 60 seconds) and an material. This is especially true in the case of the MFT for operating frequency of 1.8kHz. The insulation test voltage and PET, where due to the lack of applicable standards, the MFT rated impulse voltage are similar to the previous case. The is usually designed to meet the same requirements as the direct material used for the core is nanocrystalline and the oil direct AC line connected LFT. Therefore, the required level of air forced (ODAF) cooling method is applied (the whole insulation is nearly independent of all the other parameters in structure being immersed into the oil), which solves the (1) since it is purely related to the system requirements. problem of insulation at the same time. This type of MFT was Considering that the MFT is driven by rectangular rather than installed in the 1.2MVA PET prototype shown in Fig.11, in sinusoidal waveforms, the Kf factor which relates to the the oil filled tank visible at the bottom of the structure in waveform shape is different relative to a LFT. At the same Fig.11. The inductive elements required for proper resonant time, the Steinmetz parameters and associated core losses for operation are realized as the leakage and magnetizing the selected material at a particular frequency are determined inductance of the MFT. assuming sinusoidal excitation, which makes preliminary loss estimations rather inaccurate, and experimental characterization is often required. Materials usually considered for the MFT are: nanocrystalline, amorphous iron and/or ferrites. The winding current density (J) is directly linked to the required cooling effort to remove generated heat from the winding, thus having a huge impact on the selection of the cooling method. On the other hand, selection of different core materials leads to different maximum operating flux density (Bm) and has an impact on the MFT size as well. Finally, combining the requirements for high power (Pt), high insulation (Ku), simple cooling (J) and lower flux densities Fig. 12. ABB MFT prototype (2002) [23]. (Bm) of suitable materials for the frequencies (f) of interest the design of a high insulation, high power, MFT is not a straightforward task. On top of that, since it is desirable to integrate elements of the resonant tank into the MFT there is a need to precisely control transformer inductances (leakage and magnetizing) for proper resonant operation, which introduces further complications into the design. The need to operate at higher switching frequencies requires low leakage inductance which is at odds with the high insulation requirements which typically results in higher leakage.

Considering selection of different core materials, silicon Fig. 13. ABB MFT prototype (3 pieces) (2011) [20]. ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 55

IV. GENERAL REMARKS it depends on many factors. In [14] a cost increase of around Something that is not shown in any of the figures in section 50% compared to the conventional technology, was reported. 2 and should be mentioned, since it is always considered in This is not the whole story though, because a PET can provide tractions applications, is the presence of the 2nd harmonic more efficient energy conversion compared to the ripple in the DC link, which is an inherent property of single conventional solution, and this is in the range of 2-4%. phase systems. In the original publications, referenced Additionally the harmonic performance is improved when throughout section 2, the 2nd harmonic filter (LC) is usually compared with the low frequency transformer approach. If found on the low voltage secondary side of the MFT. As an this is provided in a smaller installed volume and with less example, in [13], the weight of the 2nd harmonic filter is weight than the conventional solutions, it creates further 385kg, which compared to the weight of the rest of a system energy savings potential besides an improvement in passenger (around 3085kg) is more than 12%. The amount of the 2nd comfort, thus giving PET technology a strong potential in harmonic ripple is directly related to the power consumed railway applications. The potential savings due to improved during operation, and it can be partly suppressed by over- efficiency can also partly offset the higher cost of installation sizing of the DC link capacitors and increasing the amount of and for a clear understanding the total cost of ownership energy stored locally. (TCO) must be considered. This issue is not simple and At the input of the PET, there is a need for a line inductor, should be studied in more detail and will be addressed by the since the input stage is a four quadrant converter with power authors in the future. factor capability, in essence a boost type converter. Taking into account the frequency cancellation due to phase-shifted V. CONCLUSION operation of the power modules, the resulting size of the Power electronic transformers, providing a reduction in inductor is minimized. However two additional considerations weight and volume accompanied by additional functionalities, must be taken into account. The first one is related to the use are considered a viable solution for the replacement of bulky of this inductor to also filter the line harmonics, for which a low-frequency transformers. This is especially true for those higher value is usually needed than that required for boost operating from a 16⅔Hz railway grid. Designing such a operation. In addition, if the line inductor is used to limit short converter system is not a straightforward task, and some of the circuit in case of a fault, the required value increases even challenges that are reported in the literature are presented here further (e.g. in [20] 180mH air core inductor is used at the in this paper. PET offers certain advantages over the input of the PET prototype). conventional solution, such as a reduction of weight and On a more general note, the main concerns preventing PET volume, improved efficiency and more control flexibility proliferation into the market are the reliability and cost of the towards grid disturbances. Functional properties of this kind technology. The reliability question comes simply from the of technology have been demonstrated previously by many fact that in order to realize a medium voltage multilevel authors but still more integration work aiming at cost converter, a high number of semiconductors is needed. Even if reduction is required before a first implementation will be their FIT rates are reasonably low, there are other electronics seen on the market. In meantime, a great milestone has been boards (e.g. gate drivers, controls hardware, etc.) which have achieved recently by successfully commissioning and putting higher FIT rates (more prone to failure). One way to tackle into operation a world’s first ever power electronic this issue is to provide redundancy inside the converter and transformer on a locomotive that is in regular service [25]. thus effectively increase the availability of the system. Since availability is driven by time lost (see (2)) and, if due to the high part count, the mean time between failure (MTBF) is low REFERENCES (reliability is low or FIT rates are high) then by making the [1] H.Meniken: “Stromrichtersystem mit wechsel-spannungs-zwischenkreis mean time to repair (MTTR) as short as possible, one can still und seine anwendung in der traktionstechnik” PhD thesis, Fakultät für Elektrotechnik, RWTH Aachen, Aachen, Germany, 1978. maintain a high availability. [2] S.Östlund: “A primary switched converter system for traction MTBF A = (2) applications” PhD thesis, Royal Institute of Technology, KTH, MTBF + MTTR Stockholm, Sweden, 1992. [3] S.Östlund: “Reduction of transformer rated power and line current This implies that the converter should be as modular as harmonics in a primary switched converter system for traction possible so that the faulty part can be exchanged quickly. The applications”, The 5th European Conf. on Power Electronics and philosophy behind the operation of a modular PET is that with Applications – EPE, Brighton, UK, 1993, pp. 112-119. all cells installed in the system, (minimum number +1), in the [4] P.C.Kjaer, S.Norrga, S.Östlund: “A primary-switched line-side converter using zero-voltage switching”, IEEE Trans. on Industry Applications, case of failure of any one cell, the faulty cell will be removed Vol. 37, No. 6, 2001, pp. 1824-1831. (bypassed) from the system. In this way, the system will [5] N.Hugo, P.Stefanutti, M.Pellerin, A.Akdag: “Power electronics traction th continue operation with the remaining healthy cells. Note that transformer”, The 12 European Conf. on Power Electronics and Applications – EPE, Aalborg, Denmark, 2007, CD-ROM paper: 0715. while in operation with the minimum number of cells, the PET [6] A.-C.Rufer, N.Schibli, V.Briguet: “A direct coupled 4-quadrant system can still deliver the full power to the output. multilevel converter for 16 2/3 Hz traction systems”, The 6th The cost issue is difficult to describe in simple terms since International Conference on Power Electronics and Variable Speed Drives, Nottingham, UK, 1996, pp.448-453. 56 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

[7] A.Rufer, N.Schibli, C.Chabert, C.Zimmermann: “Configurable front-end [17] M.Glinka, R.Marquardt: “A new single phase AC-AC-multilevel converters for multicurrent locomotives operated on 16 2/3 Hz AC and converter for traction vehicles operating on AC line voltage”, The 10th 3kV DC systems”, IEEE Trans. on Power Electronics, Vol. 18, No. 5, European Conf. on Power Electronics and Applications – EPE, pp. 2003, 1186-1193. Toulouse, France, 2003, CD-ROM paper: 0132. [8] M.Steiner: “Seriegeschaltete Gleichspannungs- zwischenkreis-umrichter [18] D.Dujic, S.Lewdeni-Schmid, A.Mester, C.Zhao, M.Weiss, J.Steinke, in Traktionsanwendungen am Wechselspannungs-fahrdraht, Phd thesis, M.Pellerin, T.Chaudhuri: “Experimental characterization of LLC ETH, Zürich, Switzerland, 2000. resonant DC/DC converter for medium voltage applications”; [9] M.Steiner, H.Reinold: “Medium frequency topology in railway International Power Conversion and Intelligent Motion Conference – applications”, The 12th European Conf. on Power Electronics and PCIM, Nürnberg, Germany, 2011, CD-ROM paper: 043. Applications – EPE, Aalborg, Denmark, 2007, CD-ROM paper: 0585. [19] D.Dujic, A.Mester, T.Chaudhuri, A.Coccia, F.Canales, J.Steinke: [10] H.Iman-Eini, Sh.Fahrangi, J.L.Schanen, M.Khakbazan-Fard: “A “Laboratory scale prototype of a power electronic transformer for modular power electronic transformer based on a cascaded H-bridge traction applications”; The 14th European Conference on Power multilevel converter”, Electric Power System Research, vol. 79, no. 12, Electronics and Applications – EPE, Birmingham, UK, 2011, CD-ROM 2009, pp. 1625-1637. paper: no. 0023. [11] J.Weigel, A.Nagel, H.Hoffmann: “High voltage IGBTs in medium [20] C.Zhao, S.Lewdeni-Schmid, J.K.Steinke, M.Weiss, T.Chaudhuri, frequency traction power supply”, The 13th European Conf. on Power M.Pellerin, J.Duron, P.Stefanutti: “Design, implementation and Electronics and Applications – EPE, Barcelona, Spain, 2009, CD-ROM performance of a modular power electronic transformer (PET) for paper: 0804. railway application”, The 14th European Conf. on Power Electronics and [12] H.Hoffmann, B.Piepenbreier: “High voltage IGBTs and medium Applications – EPE, Birmingham, UK, 2011, CD-ROM paper. 0214. frequency transformer in DC-DC converters for railway applications”, [21] A.Coccia, F.Canales, H.R.Riniker, G.Knapp, M.Kalbermatten, International Symposium on Power Electronics, Electrical Drives, M.Baldinger, P.Barbosa: “Very high performance AC/DC/DC converter Automation and Motion – SPEEDAM, Pisa, Italy, 2010, pp. 744-749. architecture for traction power supplies”, The 13th European Conf. on [13] B.Engel, M.Victor, G.Bachmann, A.Falk: “15kV/16.7Hz energy supply Power Electronics and Applications – EPE, Barcelona, Spain, 2009, system with medium frequency transformer and 6.5kV IGBTs in CD-ROM paper: 0384. resonant operation”, The 10th European Conf. on Power Electronics and [22] C.Wm.T.McLyman: “Transformer and inductor design handbook”, Applications – EPE, Toulouse, France, 2003, CD-ROM paper: 1192. Marcel Dekker Inc, 2004. [14] J.Taufiq: “Power electronics technologies for railway vehicles”, [23] L.Heinemann: “An actively cooled high power, high frequency International Power Conversion Conference – PCC, Nagoya, Japan, transformer with high insulation capability”, The 7th Applied Power 2007, pp. 1388-1393. Electronics Conf. and Exposition-APEC, Dallas, TX, 2002, pp. 352-357. [15] M.Mermet-Guyennet: “New power technologies for traction drives”, [24] H.Hoffmann, B.Piepenbreier: “Medium frequency transformer in International Symposium on Power Electronics, Electrical Drives, resonant switching dc/dc-converters for railway applications”, The 14th Automation and Motion – SPEEDAM, Pisa, Italy, 2010, pp. 719-723. European Conf. on Power Electronics and Applications – EPE, [16] M.Glinka, R.Marquardt: “A new AC/AC-multilevel converter family Birmingham, UK, 2011, CD-ROM paper. 0541. applied to single-phase converter”, The 5th International Conf. on Power [25] M.Claessens, D.Dujic, F.Canales, J.K.Steinke, P.Stefanutti, C.Vetterli: Electronics and Drive Systems, PEDS, vol. 1, Singapore, 2003, pp. 16- “Traction transformation – A power electronic traction transformer 23. (PETT)”, ABB Review, 1/12, 2012, pp. 11-17.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 57

Modeling and Design of Passive Components for Flexible Electronics

Nikola Jeranče, Nataša Samardžić, Dragana Vasiljević, and Goran Stojanović

Abstract—In this paper, electromagnetic modeling of the simulations in two dimensions (2D), which is faster and capacitors and inductors for printed electronic circuits is studied. more convenient for electromagnetic design. Capacitors are successfully modeled by two-dimensional finite element software. Capacitive sensor for chemical detection is A. Selecting the Capacitor Structure proposed and tested in water. Inductors are modeled by the First simulated structures are capacitors in the shape of tube integrating through the currents and obtained results have been with electrode polarity shown on a cross section in Fig. 1. compared with 3D finite element method. A capacitive sensor and an inductor on flexible substrate were fabricated. Simulation This figure presents the potential scale going from 0 (blue) to results have been validated through measurements. 1 V (red), whereas Fig.1(b)-Fig.1(d) show tube capacitors with different potential distributions obtained by electrodes on Index Terms—Sensors, Capacitors, Inductors, Printed the outside wall. The results for capacitance for 20 mm length electronics. in third dimension (l) at εr = 1, and the change for εr = 10 in the tube, are given in Table I. Another type of structures has been proposed, to fully I. INTRODUCTION exploit new possibilities with flexible substrate: spirally LECTRONICS on flexible substrate is rapidly rolled capacitors. The electrodes were printed on a substrate Edeveloping, promising new applications and low-cost components and circuits [1]. Although new applications with TABLE I SIMULATION RESULTS FOR FLEXIBLE CAPACITORS active components such as thin film transistors and organic Design Outside C0 (pF) for ∆ C Uniform light emitting diodes are very promising, the development of shown in diameter εr = 1 field for εr = 10 passive components is also important. Design of capacitors figure (mm) l = 20 mm C 0 and inductors described in this paper is done for two purposes: 1.(b) 3.2 1.08 128% no circuit components needed to achieve desired response in frequency domain and variable capacitors and inductors for 1.(c) 3.2 3.78 40% no sensors application. For example, capacitive sensors can be 1.(d) 3.2 4.08 29% yes used as chemical sensors in food intelligent packages [2]. 2.(b) 7 58 900% yes Inductive position sensors are widely used in industrial and 2.(c) 9.5 24.2 900% yes automotive applications. Accurate modeling of components in printed flexible circuits is the basis of their efficient design and development. The electromagnetic modeling methods, taking into account the specific properties of printed flexible circuits, are explored.

II. CAPACITORS ON A FLEXIBLE SUBSTRATE In this paper, capacitors have been modeled in Comsol Multiphysics finite element software package as a classical electrostatics problem. Considered geometries allow us to do

Manuscript received 30 May 2012. Accepted for publication 10 June 2012. Some results of this paper were presented at the 16th International Symposium Power Electronics, Novi Sad, Serbia, October 26-28, 2012. This work was financially supported by the Ministry of Science and Education of Republic of Serbia within the project no. TR32016, and equipment was provided within the FP7 REGPOT project APOSTILLE, grant no. 256615.

N. Jeranče, N. Samardžić, D. Vasiljević, and G. Stojanović are with the Fig. 1. Tube-shaped capacitors. Faculty of Technical Sciences, University of Novi Sad, Novi Sad, Serbia.

DOI: 10.7251/ELS1216057J 58 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

which is wound in a spiral shape so that positive and negative electrodes always come in front of each other. The shape of substrate cross section is shown in Fig. 2(a) and first designs are shown in Fig. 2(b) and 2(c), whereas capacitance and its relative change are given in Table I. These results are very encouraging: easily increased

capacitance, very high sensitivity (following the change of εr) and very uniform electric field in the structure. B. Spiral Capacitive Sensor – Simulations

To get a spiral shape of structure, at the beginning, an Fig. 3. Cross section of a spirally-rolled capacitor with 8 straight lines per approximation with 8 straight lines per turn has been used. turn. Cross section of that structure is shown in Fig. 3 and the TABLE II results for capacitance for 10 mm length in third dimension SIMULATION RESULTS FOR CAPACITORS ON A FLEXIBLE SUBSTRATE DUE TO (l), 0.5 mm distance between electrodes, inner diameter 3 mm THE CHANGE OF εr ε W [N] C [pF] and external diameter 5 mm at εr = 1, and the change for εr = r 10 in the tube, are given in Table II. 1 1.932751e-9 38.65502 10 1.932751e-8 386.5502 W is electric energy in 2D (per length), and C is capacitance calculated as: taken as 1 V, to make calculation easier. 2 ⋅⋅Wl After several simulations, for different number of straight C = (1) U 2 lines per turn, it has been found that for more than 12 lines per U is voltage applied to the electrodes and its value was turn, results do not change significantly, which it can be seen in Table III, therefore this number of straight lines was adopted as final. The flexible substrate for manufacturing this capacitive

sensor has εr=3. For practical reasons, to prevent short circuit of the electrodes, gap between electrodes is left, which is shown in Fig. 4. Cross section of this structure and the results with the influence of the dielectric constant of substrate are shown in Fig. 4 and Table IV, respectively. To make a constant distance between the electrodes we

used a paper, which has a dielectric constant εr=3. The paper (a) would also allow water to enter between the electrodes and to

change εr which will be measured. Simulation results for εr=3 (εr of the paper between the electrodes) and variation for εr=80

TABLE III SIMULATION RESULTS FOR DIFFERENT NUMBER OF STRAIGHT LINES PER TURN (N), FOR εr = 1 n W [N] C [pF] 8 7.021128e-10 14.04226 10 6.891024e-10 13.78205 12 6.836755e-10 13.67351

(b)

Fig. 4. Cross section of a spirally rolled capacitor with 12 straight lines per (c) turn. Fig. 2. Spirally-shaped capacitors.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 59

TABLE IV TABLE V SIMULATION RESULTS FOR CAPACITORS ON A FLEXIBLE SUBSTRATE WITH SIMULATION RESULTS FOR INFLUENCE OF ENVIRONMENT ε W [N] C [pF] INFLUENCE OF εr = 1 r 3 3.996441e-9 79.92883 ε W [N] C [pF] r 80 1.133231e-9 226.6462 1 1.725202e-9 34.50404 10 7.461256e-9 149.2251 TABLE VI MEASUREMENT RESULTS FOR ε = 3 , AND ITS CHANGE FOR ε = 80 (εr of water, where sensor would be placed) are given in Table r r V, for substrate thickness of 50 µm, paper thickness of 100 εr C [pF] µm, and inner radius of 2.5 mm. 3 79.5573 80 (immediately) 217.196 C. Spiral Capacitive Sensor – Measurements 80 (after 24 h) 268.010 Simulation results given in Table V have been verified by paper between the electrodes. In the Table VII, measured measuring the structure shown in Fig. 5 using the capacitance results are given, when Kapton film is dry or Impedance/Gain-Phase Analyzer HP4194A. exposed to the water for 24 h. The first set of measurements presents capacitance variation Bearing in mind that dielectric constant of water is much at different frequencies. The capacitance was measured at five greater than dielectric constant of Kapton film, influence of different frequencies and these results are shown in Fig. 6. the water layer (absorbed by paper) can be neglected. This can Fig. 6 also shows that changes in capacitance as a function be seen in Table VI and VII. The presented results show that of the frequency are very small so they can be neglected (the the sensor works properly, allowing measurement of changes average value is considered). The next step was to verify how in dielectric constant of the environment. the dielectric constant affects the capacitance. Measurements were performed for two values of dielectric constants between III. INDUCTORS ON A FLEXIBLE SUBSTRATE electrodes, for εr=3 (the dielectric constant of paper) and for Inductors can be modelled in finite element software, as εr=80 (the dielectric constant of water). Those results are given in Table VI. three-dimensional (3D) magnetostatic problem. However, the As it can be seen from Table V and VI the results of absence of ferromagnetic materials in modelled circuits has measurements are in very good agreement with the results allowed us to simplify the inductance calculation by obtained through the simulations. The next step was to test integrating vector potentials in the circuit. In this manner, how putting Kapton film in water affects the capacitance. This there is no need for time and memory consuming 3D finite test has been performed using the capacitor with the same element computation as well as the problem of domain design and dimensions as the previous one, but without the truncation (which can affect finite element results) is avoided. The in-house developed program for inductance calculation has been validated through comparison with analytical expressions and also with finite element method. A. Simulations by the Finite Element Method Inductance calculations were firstly performed in COMSOL

(a) (b) Multiphysics finite element software package. Simple Fig. 5. Fabricated capacitive sensor (a) unwrapped and (b) wrapped. conductive segments with rectangular shape were simulated as 3D magnetostatic problem using the method where the model

100 is solved for magnetic potential and calculation has been performed through magnetic energy Wm: 95 2W L = m (2) 90 I 2 85 where: L – inductance, I – total current. Current density is set as input parameter and adjusted so 80 that total current through the structure is equal to 1 A. 75 The mesh for this type of problem (electronic circuits on Capacitance [pF] 70 flexible substrate) can contain many elements. This is due to

65 TABLE VII THE EFFECT OF WATER ABSORBED IN KAPTON FILM ON MEASURED 60 0.0 5.0M 10.0M 15.0M 20.0M 25.0M 30.0M 35.0M 40.0M CAPACITANCE

Frequency [Hz] εrKapton C [pF] dry 207.199 wet ( after 24 h) 236.912 Fig. 6. Measured capacitance at different frequencies.

60 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

thin conductors and a lot of empty space around them which TABLE VIII must be included in the model in order to represent the field RESULTS OF SIMULATION FOR RECTANGLE INDUCTANCE Rectangle Analytical COMSOL’s correctly. In our models, we use thicker conductors, limiting C++ inductance dimension inductance inductance (nH) the task to find the correct inductances in such cases, so that (mm) value (nH) (nH) we can test our program. 20 x 4 x 1 10.653 10.642 10.875 Simulations were run for rectangle structures of different 10 x 1 x 1 5.744 5.249 5.768 dimensions: 20 mm x 4 mm x 1mm, 10 mm x 1 mm x 1 mm 10 x 2 x 0.5 5.326 5.317 5.438 and 10 mm x 2 mm x 0.5 mm (length x width x thickness). TABLE IX Modeling was improved by using infinite elements: the SIMULATED ROLLED INDUCTANCE RESULTS studied domain is divided into two boxes – the inner box Software tool Inductance, L (nH) represents local domain and the external box represents COMSOL 3.847 C++ 4.03 infinity domain, thus avoiding huge number of elements, JG saving memory and time of computation. Default parameters where: R – distance to the point where A is computed; µ – for mapped infinite elements of Cartesian, cylindrical or magnetic permeability. spherical geometries are available in our software as an The end point coordinates of the straight segments are option, for the user to optimize calculations problem. entered in u-v plane, thus giving the initial coordinates and Simulations were run for different distances between box direction of (assumed to be parallel with the segment: a and the structure in Cartesian system and calculations of correct approximation if the segment is long enough) and inductance were performed with energy density. Results were vector normal to the surface for each element. These compared with those from analytical expression [4]: coordinates and vector directions are transformed into x-y-z ⎧⎫⎡⎤2lw ⎛+ t ⎞ Cartesian coordinate system by using appropriate L =+0.002⎨ ln⎢⎥ 0.5 +⎜⎟⎬ (3) mathematical expression to correspond to the shape of the ⎩⎭⎣⎦wt+ ⎝3 l ⎠ twisted flexible substrate. In this manner, we avoid drawing where w and t are dimensions of cross section, l stands for complicated geometries in three dimensions and the length of conductive segment in centimeters. component is described in the same way as it is fabricated The best agreement has been obtained for inner box of 15 afterwards. All self inductances and mutual inductances of mm distance of every structure edge and 20 mm distance for individual segments and conductors are available in an output larger box. file, in order to make verification and circuit design easier. The same structures were calculated using our in-house In-house developed program has been tested for straight developed program written in C++. The obtained values of line segments (no twisting), circular conductors and spirally inductances by analytical expressions, COMSOL and C++ rolled conductors, which corresponds to the existing separate simulations are given in the Table VIII. parts of the program code. After that, COMSOL Multiphysics was used for simulating The results have been validated in three ways: through spiral shaped inductor structure with initial radius of 3 mm comparison with analytical expressions for self and mutual which is increased for 0.5 mm at each full turn. Simulated inductances where this was possible, through comparison with structure corresponds to a half of one turn. Cylinders were finite element results and, finally, through measurements. used as boundaries for defining infinite elements. The A meander-shaped inductor shown in Fig. 7(a), were simulation results for spirally rolled conductor obtained in printed on a flexible substrate which is then wound into spiral COMSOL and by our program are given in Table IX. shape to obtain the conductor geometry shown in Fig. 7(b). B. Simulations by the Integrating The spiral starts at radius 5 mm and 0.075 mm is added at A computer program is written in C++ which calculates all each full turn, the vertical line segments are 10 mm long, all inductances in a circuit. An inductor consists of straight straight segments are 2 mm wide, 10 μm thick. For the conductive segments which can be printed on flexible inductor in the plane we obtain the total inductance of 202 nH. substrate. Each straight segment is divided into small volume For an illustration, self inductance of a straight 10 mm long elements. segment obtained by the in-house developed program is 5.75 The inductance is calculated by integrating over the nH, while the value obtained by analytical expression (3) is elements using the formula [3]: 5.74 nH. 1 →→ Total inductance of spirally rolled inductor calculated by L = JAdv (4) I 2 ∫ our program is 514 nH. JG JG We can simply test the part of the code describing circular where: J – current density vector in the element; A – vector conductors by calculating the inductance of a solenoid made potential at the center of element; dv – volume of the element. of straight conductor printed on a flexible substrate and then The vector potential at a point is computed by the formula [3]: wound around an axis. Line width is now the height of the → μ J solenoid and its length is equal to solenoid's circumference. A = dv (5) 4π ∫ R For height equal to 100 mm and radius of 10 mm, the program calculates 4.06 nH inductance, compared to 3.95 nH obtained ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 61

(a)

Fig. 9. The rolled meander inductor structure. 700 Unrolled inductor Rolled inductor 600

500

(b) Fig. 7. (a) Meander inductor and (b) the spirally rolled inductor obtained by 400 winding. 300

by well-known formula [4] for long solenoid. Inductance (nH)

Comparison with COMSOL finite element package has 200 been done for straight rectangular segments (see Table VIII) and for spiral inductor for which the results are given in Table 100 IX. Good agreement between finite element method and in- 0 house developed program allows us to apply the program for 0.00 2.50M 5.00M 7.50M 10.00M 12.50M 15.00M more complex simulations and to adjust it to the needs of Frequency (Hz) flexible circuit simulations. Fig. 10. Measured results of meander inductance for straight segments (red down line) and for wrapped structure (blue upper line). C. Spirally Rolled Inductor – Measurements inductor structure. Average value of total inductance in the In order to validate our simulation results, meander inductor first case is 190 nH and for wrapped case is 490 nH. As it can in the plane and rolled were fabricated using ink-jet printing be seen, graphs showed a good agreement with simulated technology. The printed meander structure is the one results. presented in Fig. 7, with straight segments 2 mm wide, 10 mm length of vertical linear segments, while the rolled structure IV. CONCLUSION has 5 mm inner radius. The printing were done on Kapton film Modeling and design of capacitors and inductors printed on substrate with silver nanoparticle ink, using Dimatix DMP- flexible substrates has been studied. Simulations of capacitive 3000 inkjet printer [5]. Thickness of the used substrate is 75 structures were done by 2D finite element software. Spiral µm. Fig. 8 shows fabricated structure. sensor structures have been successfully simulated and a Measurements were performed with Impedance Analyzer chemical sensor with high sensitivity has been designed. The HP4194A both for unwrapped and wrapped inductor. Inner sensor design has been validated through measurements. The radius of wrapping was 5 mm. The fabricated rolled meander time-consuming 3D finite element simulations of inductive inductor is shown in Fig. 9. structures were avoided by integrating vector potentials due to The simulation by the C++ program has given 202 nH for currents. The accuracy of the in-house developed program unwrapped and 514 nH as the inductance for the wrapped has been validated by comparison with analytical results, structure. It is known from simulation results that inductance finite element results and measurements. The agreement of the value was around few hundreds of nH, thus we could obtained results is very good. conclude that copper wires’ inductance used to connect testing component with Analyzer cannot be neglected. For that reason REFERENCES we measured wires’ influence first and then subtracted those [1] Frances Gardiner, Eleanor Carter, “Polymer electronics – a flexible values from the total measured inductance to obtain the technology”, iSmithers, 2009. accurate inductance of the rolled structure. [2] Mohd Syaifudin Bin Abdul Rahman, Subhas C. Mukhopadhyay, Pak Graphs from Fig. 10 represent changes of inductance as a Lam Yu, “Novel Sensors for Food Inspections”, Sensors & Transducers Journal, Vol. 114, Issue 3, March 2010, pp. 1-40. function of frequency for unwrapped and wrapped meander [3] W.R. Smythe, “Static and dynamic electricity”, 1950, McGraw-Hill Book Company. [4] H. Greenhouse, “Design of planar rectangular microelectronic inductors”, IEEE Trans. Parts Hybrids, Packaging, Vol. PHP-10, pp.101- 109. [5] www.dimatix.com.

Fig. 8. Meander inductor on flexible Kapton substrate.

Guest Editorial

T is my pleasure now, after seven years, to play again the Simulation Society, became a traditional specialized event I role of a guest editor of the “Electronics”. We are working giving the oportunity to the researchers of any field to in a fast changing world and that will be recognized by the represent their scholar results in simulation methods or in content of the papers selected for this issue. These will, we methods based on simulation. We may say now that SSSS hope, express the advance of the research community that is became the main event of the kind in the area of South East converging towards the journal “Electronics”. Europe. Participants to the symposium were from seven When accepting the role of a guest editor of this issue we countries. The subjects of the reported papers were really were aware of the importance of the moment for the spread over many filed of science and technology and it was a promotion of „Electronics“. Here we try to support the efforts challange to make a good selection. We are especially pleased made by the Editorial board to improve the journal to the level to use this oportunity to promote international collaboration that will bring it to the Thomson Reuters SCI list. We among research teams. sincerely hope that „Electronics“ will become the first Serbian Here, while thanking to Prof. Branko Dokić, The Editor in scientific journal of the field of electronics at that list. Chief of “Electronics”, for the opportunity to express our Similarly to the situation we had in the year 2005 we have views trough the choice of papers, we want to invite the here an issue that is devoted to two distinguished international scholar community to support his efforts to bring the journal conferences that took place in the very near past. Those are to as high the level as possible. the 4th Small Systems Simulation Symposium (SSSS) 2012 that took place on February 12-14, in Niš, and the 16th Sincerely, International Symposium on Power Electronics (EE) 2011, that took place on October 26-28, 2011. It is my pleasant duty to introduce you to the first one. As it is well known now The SSSS, organized by the Prof. Vančo Litovski, Ph.D. Faculty of Electronic Engineering in Niš, and the Yugoslav Guest Editor

Prof. dr Vančo Litovski Biography

Vančo Litovski was born in Rakita, founder and President of the Yugoslav Simulation South Macedonia, Greece, in 1947. Society. He is member of The Academy of He graduated at the Faculty of Engineering Sciences of Serbia and Montenegro, Electronic Engineering, University of and is author of about 400 publications. He received Niš, Serbia in 1970. He received M. several awards for his scientific and educational S. and Ph.D. degree in 1974 and achievements. 1977, respectively, from the same faculty. He is

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 65

Energy Efficient Sensor Nodes Powered by Kinetic Energy Harvesters – Design for Optimum Performance

Tom J. Ka źmierski, Leran Wang, and Mansour Aloufi

Abstract —In an energy harvester powered wireless sensor node wireless sensor nodes are easy to deploy, the lack of physical system, as the energy harvester is the only energy source, it is connection means they must have their own energy supply. crucial to configure the microcontroller and the sensor node so Because batteries have limited lifetime and are that the harvested energy is used efficiently. This paper outlines modelling, performance optimisation and design exploration of environmentally hazardous, it has become widely agreed that the complete, complex system which includes the analogue energy harvesters are needed for long-lasting sensor nodes mechanical model of a tunable kinetic microgenerator, its [4]–[6]. The idea is to use energy harvester to capture small magnetic coupling with the electrical blocks, electrical power amounts of energy from the environment and use the generated storage and processing parts, the digital control of the energy to power the nodes in wireless sensor networks. microgenerator tuning system, as well as the power consumption Vibration-based energy harvesters are used in many models of sensor node. Therefore not only the energy harvester design parameters but also the sensor node operation parameters commercial applications since mechanical vibrations are can be optimised in order to achieve the best system performance. widely present. Most of the reported vibration energy harvester The power consumption models of the microcontroller and the designs are based on a spring-mass-damper system with a sensor node are built based on their operation scenarios so that characteristic resonant frequency. These devices normally the parameters of the digital algorithms can be optimised to have a high Q-factor and generate maximum power when their achieve the best energy efficiency. In the proposed approach, two resonant frequency matches the dominant frequency of the Hardware Description Languages, VHDL-AMS and SystemC-A is used to model the system's analogue components as well as the input ambient vibration [7]. Consequently, the output power digital control algorithms which are implemented in the generated by the microgenerator drops dramatically when microcontroller and the sensor node. Simulation and performance there is a difference between the dominant ambient frequency optimisation results are verified experimentally. In the and the microgenerator's resonant frequency. Tunable development of the fast design exploration tool based on the microgenerators, which can adjust their own resonant response surface technique, the response surface model (RSM) is constructed by carrying out a series of simulations. The RSM is frequency through mechanical or electrical methods to match then optimised using MATLAB's optimisation toolbox and the the input frequency, are therefore more desirable than the fixed optimisation results are presented. frequency microgenerators [8]. A wireless sensor node powered by tunable energy harvester typically has the Index Terms —Wireless sensor node, Energy harvesting, following key components (Fig. 1) [9]: a microgenerator which Performance optimisation, Simulation. converts ambient environment vibration into electrical energy, a power processing circuit which regulates and stores the generated energy, an actuator used for the frequency tuning

I. INTRODUCTION mechanism, a digital controller that monitors and retunes the IRELESS sensor networks (WSNs) have attracted a tunable energy harvesting system based on vibration Wgreat research interest in recent years. Since wireless sensor nodes can provide information from previously inaccessible locations and from previously unachievable number of locations, many new application areas are emerging, such as environmental sensing [1], structural monitoring [2] and human body monitoring [3]. Although

Manuscript received 1 May 2012. Accepted for publication 30 May 2012. Some results of this paper were presented at the 4th Small Systems Simulation Symposium, Niš, Serbia, February 12-14, 2012. The authors are with the Faculty of Physical and Applied Sciences, University of Southampton, Southampton SO17 1BJ, UK, email: {tjk,lw04r,ma08r}@ecs.soton.ac.uk}. Fig. 1. Components of a energy harvester powered sensor node system [9].

DOI: 10.7251/ELS1216065K 66 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 measurements from an accelerometer, and the wireless transceiver or transmitter. Hardware description languages, such as VHDL-AMS and SystemC-A, have been used to model energy harvesters in recent years [10], [11]. HDLs with mixed signal and multi- domain capabilities are suitable for energy harvester modelling because an energy harvester is naturally a mixed-physical- domain system. The technique outlined below models the complete system including the analogue mechanical, magnetic and electrical power storage and processing parts, the digital control of the microgenerator tuning system, as well as the power consumption models of sensor node. Additionally, the paper proposes a response surface based design space exploration and optimisation technique so that not only the energy harvester design parameters but also the sensor node operation parameters can be optimised in order to achieve the best system performance

II. PERFORMANCE OPTIMISATION An automated energy harvester design flow must be implemented holistically and based on a single software platform that can be used to model, simulate, configure and optimise an entire energy harvester systems. Such a design flow is outlined in the pseudo-code of Algorithm 1 and also Fig. 2. Energy harvester design flow. shown in Fig. 2. Naturally, the process starts with initial design electrical and non-electrical parameters of the design's mixed- specification, such as the available energy source (light, heat, technology HDL model. The parametric optimisation of the vibration, etc), environmental energy density, device size, generated structure will further improve the energy harvester minimum voltage level/power output. According to these efficiency by employing suitable optimisation algorithms. The specifications, HDL models are constructed from component design flow ends with the best performing design subject to cells available in the component library. The component user-defined performance characteristics. library contains parameterised models of different kind of Requirements for energy harvester component models are: micro-generator structures (solar cell, electromagnetic, 1) models need to be computationally efficient for fast piezoelectric, etc), various booster circuit topologies and performance optimisation when used in complete storage elements. The outer loop in the algorithm represents energy-harvester systems and yet accurate; these are this structure configuration process, which involves examining conflicting requirements, 2) models need to capture both and comparing those HDL models from the library with the theoretical equations and practical non-idealities required for aim of identifying a set of components that meet specific user accurate performance estimation. The models should support requirements. The inner design flow loop will then find the different mechanical-electrical structures and will be expressed best performance of each candidate design by adjusting in terms of HDL descriptions. They will be able to predict the behaviour of the actual device accurately while remaining reconfigurable. A small HDL model library of energy harvester components has been built. It contains two types of micro-generator, each of which can be configured with different coils (wire diameter of 12/16/25 µm), and two types of voltage multipliers that have three to six stages. The voltage transformer has not been included because it cannot be made and tested with available resources. But the simulation based optimisation of energy harvester with voltage transformer has been performed and will be discussed in Section II-A2. The configuration target has been set to find the set of components that can charge the 0.047F super capacitor to 2V in shortest time. These values were chosen because there has been reported energy harvester systems that use 0.047F storage capacitor and 2V working voltage [12]. Simulations of every available energy harvester ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 67 configuration were carried out simultaneously and a process has been developed to automatically track the best model. SystemVision VHDL-AMS simulator [13] has been used as the single software platform. The outcome design is listed in Table I. It is no surprise that the micro-generator II has been chosen because it is larger and stores more kinetic energy. However, it is quite interesting that the coil with the largest wire diameter, which leads to the fewest number of turns, and the VM with the fewest stages have been chosen. To further investigate this result, more simulations have been done and an important trade-off between the electromagnetic micro-generator and the VM voltage booster has been found as explained below. Fig. 4. Simulation of Type II micro-generator with different VMs.

Fig. 3 shows the charging waveforms of Type I whole system less efficient than expected. This observation is micro-generator connected to the same 5-stage VM but very useful for the development of future, more complicated configured with different coils. At the beginning, the energy systems and model libraries. Below we evaluate the harvester with 25 µm wire diameter charges the quickest and performance loss due to the close mechanical-electrical the 12 µm configuration charges the slowest while the 16 µm interaction (micro-generator and voltage booster) that takes one is in between. But the 25 µm configuration also saturates place in the energy harvester system. quickly and reaches the 2V mark slower than the 16 µm energy harvester. Due to simulation time limitation, the figure does A. Performance Optimisation not show how the other two waveforms end. But it could be The loss expressed in terms of energy harvesting efficiency foreseen that the 16 µm configuration will also saturate at is: some point while the 12 µm one reaches highest voltage. − η = EHarvested E Delivered Similar results have been obtained from the voltage booster Loss (1) EHarvested end. Fig. 4 shows the charging waveforms of Type II In the proposed design flow, the generated energy harvester micro-generator with 25 µm coil connecting with 3, 4 and 5 design should be parameterised such that automated stages Dickson VMs. It can be seen that the energy harvester performance optimisation will be able to further improve the with the 3-stage VM charges the super capacitor to 2V first energy harvester efficiency by employing suitable optimisation and the one with the 5-stage VM can reach the highest voltage. algorithms. The optimisation objective investigated in the case The above results prove that when different components of study below is to maximise the charging rate of the super an energy harvester are combined, the gain at one part may capacitor. come at the price of efficiency loss elsewhere, rending the 1) Exhaustive search: The micro-generator parameters TABLE I PARAMETERS OF THE CONFIGURATION RESULT that can be optimised are related to the coil size, i.e the thickness ( t) and the outer radius ( R). Other components such as the magnets and cantilever determine the resonant frequency of the micro-generator and thus should be determined from the application requirements. The optimised parameters of the voltage booster are the capacitor values of each VM stage. The entire energy harvester is optimised as an integrated model and the parameter search space is summarised in Table II. The optimisation is based on the concurrent simulations of design instances from uniformly sample the search space and track the best result (Fig. 5). Other optimisation algorithms may also be employed and we show in Section II-A2 how a VHDL-AMS based genetic optimisation was successfully applied to the integrated optimisation of an energy harvester system. To validate the effectiveness of the proposed approach, the

TABLE II OPTIMISATION SEARCH SPACE

Fig. 3. Simulation of Type I micro-generator with different coils.

68 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 following simulations and experimental measurements have TABLE III been carried out. PARAMETERS OF ORIGINAL ENERGY HARVESTER Original design: combines Type II micro-generator with a 5 stage Dickson VM. This VM has been reported in literature as the optimal configuration [14]. However, in the original design these two parts are optimised separately, which is quite common in existing energy harvester design approaches. Parameters of the original design are listed in Table III. Optimised design: has been obtained using the proposed TABLE IV design flow (Fig. 5). Table IV gives the new micro-generator PARAMETERS OF OPTIMISED ENERGY HARVESTER and voltage booster parameters. The impact of these values on improving the energy harvester performance has been validated in both simulation and experimental measurements. According to the optimisation result, a new coil has been manufactured by Recoil Ltd, UK [15] which replaced the original one in the validation (see Fig. 6). 2) Genetic optimization: This section demonstrates Simulation and experimental waveforms of the original and another possible optimisation method to improve the energy optimised design are shown in Fig. 7. As can be seen from the harvester efficiency. Fig. 8 shows that in the proposed figure, there is good a correlation between the simulation and approach, not only the energy harvester model but also the experimental waveforms in both of the energy harvester optimisation algorithm is implemented in a single designs, which validates the effectiveness and accuracy of the VHDL-AMS testbench. The parameters used for the proposed design flow. The energy harvester from original optimisation are from both the micro generator and the voltage design can charge the super capacitor to 2V in 6000 seconds booster. The optimisation object is to increase the charging while the optimised design only uses 1500 seconds, which rate of the super capacitor. The optimisation algorithm represents a 75% improvement. generates design parameters to the model and obtains the charging rate through simulation. The optimisation loop runs continuously until the design parameters reach an optimum. A super capacitor of 0.22F has been used in the performance optimisation experiment. The micro-generator parameters that can be optimised are the number of coil turns

(N), the internal resistance ( Rc) and the outer radius ( R). The voltage booster circuit here is a voltage transformer. The optimisation parameters are the number of turns and the resistance of the transformer's primary and secondary windings. For proof of concept, a genetic algorithm (GA) [16] has been employed to optimise the energy harvester with a voltage transformer booster. The implemented GA has a population size of 100 chromosomes. Each chromosome has 7 parameters (3 from the micro-generator and 4 from the voltage booster). The crossover and mutation rate are 0.8 and 0.02 Fig. 5. Implementation of the proposed energy harvester design flow in respectively. Other optimisation algorithms may also be VHDL-AMS. applied based on the proposed integrated model. The “un-optimised” model parameters are given in Table V. Applying the proposed modelling and performance optimisation, Table XIV gives the new micro-generator and voltage booster parameters which are referred to as the “optimized” design. The impact of these values on improving the charging of the super is shown in Fig. 9. As can be seen from the simulation results, in 150 minutes the un-optimised energy harvester charges the super capacitor to 1.5V and the optimised energy harvester reaches 1.95V, which represents a 30% improvement. Fig. 6. New coil according to optimisation result ( R=2.0mm, r=0.5mm, Performance of the developed GA has been further t=1.3mm, d=25 µm). investigated by comparing the power transfer efficiency before

and after optimisation. The maximum average power that can ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 69

TABLE V PARAMETERS OF UN-OPTIMIZED ENERGY HARVESTER

TABLE VI PARAMETERS OF GA OPTIMIZED ENERGY HARVESTER

Fig. 7. Simulation and experimental waveforms of orig inal and optimized energy harvesters.

TABLE VII ENERGY HARVESTER POWER EFFICIENCY

Section III-A3. The microcontroller also provides energy for the accelerometer, the operational amplifier and the actuator so that these devices can be turned off when not in use. Table VIII lists the type and make of the system components.

Fig. 8. Integrated performance optimisation in VHDL-AMS testbench. A. System Component Models

be delivered to the electrical domain is about 144 µW. 1) Tunable microgenerator: Fig. 11(a) shows a diagram Table VII lists the average electrical power output from the of the electromagnetic microgenerator together with its tuning micro generator and the voltage transformer. It can be seen mechanism. The microgenerator is based on a cantilever structure. The coil is fixed to the base, and four magnets that the optimisation improves the efficiency of both the micro (which are located on both sides of the coil) form the proof generator and voltage booster, which validates the mass. The tuning mechanism uses magnetic force to change effectiveness of the developed genetic optimisation. the effective stiffness of the cantilever which leads to a change of resonant frequency. One tuning magnet is attached to the III. COMPLETE WIRELESS SENSOR NODE end of the cantilever beam and the other tuning magnet is Fig. 10 shows the diagram of the wireless sensor node connected to a linear actuator. The linear actuator moves the system powered by tunable energy harvester. The wireless magnet to the calculated desired position so that the resonant sensor node has a temperature sensor and a 2.4GHz radio frequency of the microgenerator matches the frequency of the transceiver. Once activated, the measured data are transmitted ambient vibration. The control algorithm is modelled as a to another transceiver which is connected to a PC’s USB port. SystemC digital process described in Section III-A3. The microgenerator converts the input vibration into electrical Fig. 11(b) shows a photo of the microgenerator which is used energy. The generated AC voltage is rectified by a diode to validate the proposed technique [17]. bridge and stored in a 0.55F supercapacitor. The The dynamic model of the microgenerator is [18]: 2 supercapacitor acts as the energy source for the d z() t+ dz () t + ++= m cp kztFF s( ) emtza_ F (2) microcontroller that controls the frequency tuning of the dt 2 dt microgenerator and for the sensor node. In order to tune the where m is the proof mass, z(t) is the relative displacement resonant frequency of the microgenerator to match the between the mass and the base, cp is the parasitic damping frequency of the vibration source, the microcontroller uses two factor, ks is the effective spring stiffness, Fem is the input signals, one from the microgenerator and one from the electromagnetic force, Ft_z is the z component of tuning force accelerometer. The operational amplifier acts as a comparator Ft and Fa is the input acceleration force. The z component of to generate square waves from the microgenerator output so tuning force is: that it is easy for the microcontroller to calculate the = z( t ) frequency. The detailed tuning algorithms are presented in Ft_ z F t (3) lc 70 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE VIII SYSTEM COMPONENTS POWERED BY THE ENERGY HARVESTER

2) Energy-aware sensor node behavior and power consumption model: The eZ430-RF2500 wireless sensor node from Texas Instruments has been used in the system. The on- board controller is the MSP430F2274 and is paired with the CC2500 multi-channel RF transceiver, both of which are based on low-power design. The sensor node (Fig. 12) monitors the environment temperature as well as the supercapacitor voltage. Once activated, it transmits the temperature and voltage values

Fig. 9. Simulation waveforms of super capacitor charging by different through the radio link. Transmissions do not involve receiving energy harvester models. acknowledgements. A program has been developed for the

sensor control module to configure the sensor node in an energy-aware manner, namely that its transmission interval should depend on the available energy on the supercapacitor. The sensor node behaviour is summarised in Table IX. The transmission interval when the supercapacitor voltage is above 2.8V, i.e more energy stored, has been chosen as one parameter for optimisation. Although it is desirable to have as many transmissions as possible during a fixed time period, it may not always be the case that the transmission interval Fig. 10. System diagram of a tunable energy harvester powered wireless should be set as small as possible. This is because if the sensor node. transmission is so frequency that the sensor node uses more energy than the harvester can generate, the supercapacitor where lc is the length of the cantilever. The resonant frequency ω0 and damping coefficient ζ are: k ω = s (4) 0 m

cp ζ = . (5) 2 mk s

The resonant frequency of the tuned microgenerator ( fr’ ) is: ' = + Ft fr f r 1 (6) Fb (a) Mechanical part where fr is the un-tuned resonant frequency, Ft is the tuning force between two magnets and Fb is the buckling load of the cantilever. The electromagnetic voltage generated in the coil is: dz( t ) V = −Φ (7) em dt where Φ=NBl is the transformation factor and N is the number of coil turns, B is the magnetic flux density and l is the effective length. The output voltage is: di( t ) Vt()= V − Rit () − L L (8) m em c c c dt where Rc and Lc are the resistance and inductance of the coil respectively and ic(t) is the current through the coil. The electromagnetic force is calculated as: = Φ Fem i c ( t ) . (9) (b) Photo of tunable microgenerator Fig. 11. Tunable electromagnetic microgenerator.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 71

Fig. 12. Block diagram of the sensor node.

TABLE IX SENSOR NODE BEHAVIOR BASED ON SUPERCAPACITOR VOLTAGE

voltage will drop below 2.8V and the transmission interval will increase in order for the energy storage to recover. Other factors such as frequency tuning also uses stored energy and therefore will affect how much energy is available for the sensor node. In order to characterise the power consumption model of the sensor node, the current draw of the sensor node has been measured during each transmission. The results are listed in Table X. The supply voltage was kept at 2.9V. So during each transmission lasting 4.5 ms, the sensor node consumes 227 µJ of energy and the equivalent resistance of its energy consumption model is:  167 Ω when in transmission = Rnode  (10) 5.8 MΩ when in sleep 3) Tuning algorithms and power consumption models: In order for a energy harvester powered wireless sensor node (Fig. 1) to work autonomously, all the system components retrieves the new desired position of the tuning magnet from a need to be powered by the harvested energy. The pseudo code look-up table and begins a tuning process by controlling the of the tuning algorithm is shown in Algorithm 2. Standard actuator to move the tuning magnet to the new position SystemC modules were used to model the digital control (Fig. 11(a)). The watchdog timer and the microcontroller's process and in the experimental verification the control clock frequency have been chosen as parameters for algorithm was implemented in a PIC16F884 microcontroller. optimisation. Because these two parameters determine how As can be seen in Algorithm 2, a watchdog timer wakes the much energy the microcontroller consumes and how quickly microcontroller periodically and the microcontroller first the system can response to the input vibration frequency detects if there is enough energy stored in the supercapacitor. change. If there is not enough energy, the microcontroller goes back to Algorithm 2 contains two subroutines: rough tuning sleep and waits for the watchdog timer again. If there is (Algorithm 3) and fine tuning (Algorithm 4). The rough tuning enough energy, the microcontroller will then compare the measures the frequency of the microgenerator output and frequency of the microgenerator signal, which is close to the moves the actuator to the optimum position according to a input vibration frequency, to the microgenerator's resonant predefined lookup table. However, the rough tuning alone frequency. When a difference is detected between the vibration cannot generate the best performance and a fine tuning frequency and the resonant frequency, the microcontroller algorithm is needed. This is because the measurement of the TABLE X frequency of the microgenerator signal does not represent the CURRENT DRAW OF THE SENSOR NODE input vibration frequency accurately enough and, in addition, there may also be a phase difference between the input vibration and the microgenerator motion that prevents the microgenerator from working at the resonance. The fine tuning takes another input, the raw vibration data from the accelerometer and moves the actuator to minimize the phase 72 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE XI POWER CONSUMPTION MODELS OF THE SYSTEM COMPONENTS

build method is provided to support the automatic equation formulation of the user-defined system models. It is a virtual method in the abstract component base class and inherited by all derived components. It consists of two functions, BuildM() and BuildRhs() . SystemC-A uses the BuildM() method to add the Jacobian entries to the analogue equation set and BuildRhs() method to build the equations, i.e. the right hand side of the Newton-Raphson linearized equation set. The microgenerator equations and corresponding Jacobian matrix entries to be included in the SystemC-A model are listed in Table XII. The SystemC-A code of the tunable microgenerator model, which is according to Table XII, is listed below: difference between the microgenerator signal and the generator::generator(){} //constructor generator::generator(char nameC[5],TerminalVariable accelerometer signal so that the microgenerator is working as *node_a,TerminalVariable *node_b,double value,double resonance. It can be seen that the fine tuning algorithm Freq): //node_a is Vm, node_b is Im, value is the tuning force, Freq is the input frequency requires more calculation (thus more energy) than the rough component(nameC,node_a,node_b,value){ tuning and additional energy is consumed by the accelerometer ztQ = new Quantity("ztQ"); //quantity zt is relative displacement (see Table XI). Therefore it is not so energy efficient to use ytQ = new Quantity("ytQ"); only the fine tuning algorithm as the proposed two-subroutine //quantity yt is velocity itQ = new Quantity("itQ"); method. In the two-subroutine method, the rough tuning moves //quantity it is inductor current the actuator to the approximate resonant position and the fine Fin=value; //tuning force omega=Freq*2*3.14159;} tuning finds the exact resonance. To tune the resonant frequency of the microgenerator void generator::build(){ //model equations effectively, the system incorporates a microcontroller, a linear t=TS->get_time(); //current time point S=TS->get_S(); actuator and an accelerometer. These three components need //time derivative, S=2/h for trapezoidal integration to be powered by the energy harvester in order to make an mpytdotdot=-Mp*Yam*omega*omega*sin(omega*t); //input acceleration force autonomous system. To characterise the power consumption models of these components, current measurements have been zt=X(ztQ); yt=X(ytQ); //X() return previous value taken and power/energy consumptions have been calculated it=X(itQ); (Table XI). According to the current and voltage values ztdot=Xdot(ztQ); //Xdot() return previous time together with their operational times, the equivalent resistances derivative for the power consumption models of these devices have been ytdot=Xdot(ytQ); obtained. itdot=Xdot(itQ);

BuildM(ztQ,ztQ,-Ks); //Jacobian of equation (2) IV. HDL IMPLEMENTATION BuildM(ztQ,ytQ,-Cp-Mp*S); BuildM(ztQ,itQ,-Phi); BuildRhs(ztQ,mpytdotdot+Mp*ytdot+Cp*yt+Ks*zt+Phi*it) A. Analogue Part ; //Right hand side of equation (2) The SystemC-A language [19] is used to build the system models. It is an extension to the SystemC language with BuildM(ytQ,ztQ,S); analogue and mixed-signal (AMS) capabilities. The digital BuildM(ytQ,ytQ,-1); BuildM(ytQ,itQ,0); part is modeled using standard SystemC modules. The BuildRhs(ytQ,yt-ztdot); analogue part, consisting of non-linear differential and BuildM(itQ,ztQ,0); //Jacobian of equation (8) algebraic equations, is handled using the extended syntax BuildM(itQ,ytQ,-Phi); where the user defines the behaviour of each analogue BuildM(itQ,itQ,Rc); BuildRhs(itQ,-Rc*it-Lc*itdot-vt+Phi*yt); component by specifying the build methods that contribute to //Right hand side of equation (8) the analogue equation set of whole system. In Systemc-A, the } ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 73

TABLE XII is listed below. The system components include the EQUATION FORMULATION OF THE MICROGENERATOR MODEL microgenerator, the diode bridge, the supercapacitor and the equivalent variable resistances of the actuator, the accelerometer, the microcontroller and the sensor node. void testbench::system(){

ACT=new actuator; ACM=new accelerometer; uC=new control; NODE=new sensor; B. Digital Part n0 = new Node("0");//don’t write n0 n1 = new Node("n1"); The pseudo code of the tuning algorithm is shown in n2 = new Node("n2"); Algorithm 2. Standard SystemC modules were used to model n3 = new Node("n3"); the digital control process and in the experimental verification n4 = new Node("n4"); n5 = new Node("n5"); the control algorithm was implemented in a PIC16F884 n6 = new Node("n6"); microcontroller. As can be seen in Algorithm 2, a watchdog //microgenerator generator *G1 =new generator("G1",n1,n2,0.3192,64); timer wakes the microcontroller periodically and the //diode bridge microcontroller first detects if there is enough energy stored in diode *D1 =new diode("D1",n0,n1,2.117e-7,1.015); diode *D2 =new diode("D2",n0,n2,2.117e-7,1.015); the supercapacitor. If there is not enough energy, the diode *D3 =new diode("D3",n2,n3,2.117e-7,1.015); microcontroller goes back to sleep and waits for the watchdog diode *D4 =new diode("D4",n1,n3,2.117e-7,1.015); resistor *R1 =new resistor("R1",n1,n0,10e6); timer again. If there is enough energy, the microcontroller will resistor *R2 =new resistor("R2",n2,n0,10e6); then compare the frequency of the microgenerator signal, //super capacitor model resistor *Ri =new resistor("Ri",n3,n4,0.204); which is close to the input vibration frequency, to the resistor *Rd =new resistor("Rd",n3,n5,84.0); microgenerator's resonant frequency. When a difference is resistor *Rl =new resistor("Rl",n3,n6,4375.0); detected between the vibration frequency and the resonant cap_ini *Ci0 =new cap_ini("Ci0",n4,n0,0.35,1.65); cap_vary *Ci1 =new cap_vary("Ci1",n4,n0,0.21,1.65); frequency, the microcontroller retrieves the new desired cap_ini *Cd =new cap_ini("Cd",n5,n0,0.21,1.65); position of the tuning magnet from a look-up table and begins cap_ini *Cl =new cap_ini("Cl",n6,n0,0.06,1.65); //power consumption models for actuator, a tuning process by controlling the actuator to move the tuning accelerometer, microcontroller and sensor node magnet to the new position (Fig. 11(a)). res_vary *RAct =new res_vary("RAct",n3,n0,1.0e9); res_vary *RAcc =new res_vary("RAcc",n3,n0,1.0e9); Algorithm 2 contains two subroutines: rough tuning res_vary *RuC =new res_vary("RuC",n3,n0,1.0e9); (Algorithm 3) and fine tuning (Algorithm 4). The rough tuning res_vary *RNode =new res_vary("RNode",n3,n0,1.0e9); } measures the frequency of the microgenerator output and moves the actuator to the optimum position according to a The test scenario has been divided into two parts. During predefined lookup table. However, the rough tuning alone the first half of the test, the input vibration frequency changes cannot generate the best performance and a fine tuning by 5Hz every 25 minutes (Fig. 13(a)). The main objective of algorithm is needed. This is because the measurement of the this part of the test is to demonstrate the frequency tuning frequency of the microgenerator signal does not represent the capability of the microgenerator. It can be seen that after the input vibration frequency accurately enough and, in addition, input frequency changes, the supercapacitor voltage drops there may also be a phase difference between the input because the generated voltage is not high enough to charge the vibration and the microgenerator motion that prevents the supercapacitor. Then the microcontroller wakes up and tunes microgenerator from working at the resonance. The fine tuning the resonant frequency of the microgenerator, which uses takes another input, the raw vibration data from the much of the energy stored on supercapacitor but the retuned accelerometer and moves the actuator to minimize the phase microgenerator starts to charge the supercapacitor again. difference between the microgenerator signal and the During the second half, the input frequency is fixed and the accelerometer signal so that the microgenerator is working as performance of the sensor node is being tested (Fig. 13(b)). resonance. It can be seen that the fine tuning algorithm The sensor node transmits at different time intervals according requires more calculation (thus more energy) than the rough to the different voltage levels on the supercapacitor tuning and additional energy is consumed by the accelerometer (Table IX). The transmission interval is reflected on the (see Table XI). Therefore it is not so energy efficient to use supercapacitor charging slope. The shorter transmission only the fine tuning algorithm as the proposed two-subroutine interval is, the more gradual charging slope gets. Experimental method. In the two-subroutine method, the rough tuning moves measurements have been carried out and the waveforms are the actuator to the approximate resonant position and the fine also shown in Fig. 13. The comparison between the simulation tuning finds the exact resonance. and experimental waveforms of the supercapacitor voltage represents both the energy generation and consumption of the V. SIMULATION RESULTS AND EXPERIMENTAL VERIFICATION system. In both figures the simulation results correlate well with the experimental measurements which validate the A SystemC-A model of the complete system has been built presented technique. and simulated. The SystemC-A code of the top-level testbench 74 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

where ε represents the model errors, a1, a2, ... , ak are independent variables and f() is called system function that relates dependant variable to independent variables. In most cases, the exact behavior of the system function is unknown especially in engineering problems, so the system function f() may be approximated by an empirical model as: = + ε y yaaˆ(1 , 2 ,..., a k ) (12) where ŷ are a low order polynomials or a multi-dimensional splines, and this is called the response surface model. The independent variables or design parameters in equation (12)

(i.e a1, a2, ... , ak) are expressed in their corresponding physical units and must be converted to a dimensionless quantities with zero mean and the same standard deviation before proceeding with further RSM analysis such as regression. These new quantities are called coded variables (i.e x1, x2, ... , xk) of (a) Frequency timing original design variables (parameters). The transformation process between natural representations and coded representations is achieved via equation (13): a− a + a / 2  x = max min (13) + amax a min / 2 

where amax and amin are the maximum and minimum value in the range of that specific design parameter. Now the approximated function ŷ is expressed in term of coded

variables ( x1, x2, ... , xk) and how to choose such a model ŷ determines the success of applying RSM methodology. Typically, most engineering problems ŷ can be approximated by a quadratic multi-variable polynomials as follows: k k =+ββ + β2 + β yˆ 0 ∑ii x ∑ iii x ∑∑ ijij xx (14) = = < (b) Node beahvior i1 i 1 ij Fig. 13. Simulations and experimental measurements of the supercapacitor where β0, βi, βii , βij are the coefficients of the intercept, linear, voltages. quadratic and interaction in the regression model respectively, x , x are the design parameters in their coded format. The i j VI. FAST DESIGN EXPLORATION USING A RESPONSE SURFACE coefficients of the polynomial in equation (14) are determined MODEL through n simulation runs for the SystemC-A energy harvester Response surface models are constructed from a data set model. The design points of the $n$ runs are determined using extracted from either physical experiments or computer DOE technique based on D-Optimal criteria. Using matrix experiments (simulations) [20]. Due to space limitations, only notation, equation (14) can be written as: two major steps of the methodology are given below, namely yˆ = X β (15) the formation of an approximated mathematical model by where Xn×p is n×p design matrix, p is the number of fitting the response under study in terms of design parameters coefficients in the approximated polynomial, n is the number using regression analysis (Section VI-A) and the design of a of simulation runs. βp×1 are the unknowns parameters need to series of experiments or simulations based on design of be solved. The difference between the observed values y and experiments (DOE) methodology (Section VI-B). Discussions fitted values ŷ for the ith observation εi=yi–ŷi is called the of the statistical assessment of the goodness of fit and the fitted residual for that specific observation. The sum of the squares model reliability are omitted in this paper. of the residuals (SSE) is defined as: A. Response Surface Mathematical Model n n SSE=ε 2 =( y − yˆ ) 2 . (16) Suppose there is a dependant variable(s) ( yϵRn) where n is ∑ ∑ i i i=1 i = 1 the number of observations, believed to be affected by a vector Combining equations (15) and (16) and differentiating with of independent variables ( aϵRk) where k is the number of respect to β lead to: independent variables, then the relationship between the ∂SSE n  ∂  dependent variable(s) and independent variables can be =(y − X β ) 2  . (17) ∂β∑ ∂ β i expressed as: i=1i  = + ε y faa(1 , 2 ,..., a k ) (11) Solving equation (17) for each βi using least square method ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 75

TABLE XIII acceleration level of the input vibration is fixed as 60 mg and SYSTEM PARAMETERS FOR OPTIMISATION the input frequency changes by 5 Hz every 25 minutes. The optimisation aim has been chosen as to maximise the number of transmissions during one hour. The MATLAB response surface toolbox has been used to generate the quadratic equation and the response surface model is: = − − − yxxxˆ(123 , , ) 469.167 108.833 x 1 18.833 x 2 209.5 x 3

+2 + 2 − 2 71.833x1 90.5 x 2 39.0 x 3 (18) − − + 32.333xx12 71.333 xx 13 43.333 xx 23 The fitted model in equation (18) reflects the effects of each design parameters as well as the interactions effects between design parameters. Fig. 14 plots each single design parameter against the total number of transmissions while holding other design parameters constant. Two algorithms from the MATLAB optimisation toolbox have been used to maximise the number of transmission, i.e maximise equation (18). The chosen algorithms are Simulated Annealing and Genetic Algorithm, both of which are capable of global searching. The optimisation results, together with the Fig. 14. The effect of each design parameter on system performance (total original design, are listed in Table XIV. It can be seen that number of transmissions during one hour). both of the optimised design improved the system performance massively. The total number of transmissions doubled with the (LSM) will lead to an accurate model ŷ that satisfy the optimised design, which validates our proposed technique. condition of minimum residuals (i.e best fit).

B. D-Optimal Experimental Design VII. CONCLUSION

In the design matrix Xn×p , each specific run is represented Wireless sensor networks are fast developing and energy by a single row and each column contains a specific design harvester powered sensor nodes have attracted great research parameter that varies in each row based on predefined interest. In order to design energy efficient wireless sensor designed points. How to choose the predefined design points nodes, it is crucial to consider all the components in the efficiently is called design of experiments (DOE) context of energy consumption in a complete, autonomous methodology. There are different types of design of wireless system. This paper presents such an HDL based experiments, such as full factorial, central composite design modeling approach that links the system's energy generation (CCD), Box Behnken designs (BBD) and computer generated and consumption with its analogue parts as well as digital designs, such as D-optimal design [20]. Because D-optimal processes. Simulation and optimisation results of the DOE explores design parameters space efficiently with developed HDL models match well with the experimental minimum number of run that enable model construction with measurements and correctly reflect the changing energy flow good accuracy [21], it has be used for the study in this paper. when the digital processes are carrying out different The algorithm of D-optimal criterion optimise the feasible operations. Future work will focus on the optimisation of both potential design points to form a subset of D-optimal points the energy harvester and digital control algorithms so that the that will be used in simulation runs. This optimisation is based system's overall energy efficiency can be improved. This paper on maximizing the determinant of XX’ , where XX’ is called also presents an approach to fast design space exploration information matrix [21]. based on a response surface model. The RSM has been used to optimise a complete wireless sensor node syste using C. RSM Optimisation Results SystemC-A and MATLAB. SystemC-A has been used to As described in Section III-A, three parameters which affect the energy generation and consumption of the wireless sensor TABLE XIV node system have been chosen for optimisation. Their value OPTIMISATION RESULTS ranges and coded variable symbols are listed in Table XIII. Each of the three coded variables has three values [-1 0 1] which is the minimum number required to generate a quadratic approximation [20]. The full factorial design requires 27 (33) simulations while the D-optimal design only requires 10 simulations. As explained in Section VI-B, the D-optimal design points are obtained and 10 simulations have been carried out with the corresponding parameters. The 76 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

model the system's analogue components as well as the digital [9] Energy Harvesting Systems: A Block Diagram (2010, July 16), “Holistic processes and MATLAB to generate and optimise the response energy harvesting,” September, 2011. [Online]. Available: http://www.holistic.ecs.soton.ac.uk/res/ehsystem.php surface model. As demonstrated by the optimisation results, [10] H. Boussetta, M. Marzencki, S. Basrour, and A. Soudani, “Efficient the proposed technique leads to an efficient optimisation physical modeling of mems energy harvesting devices with vhdl-ams,” process by combining the power of SystemC-A in modelling Sensors Journal, IEEE, vol. 10, no. 9, pp. 1427–1437, 2010. [11] L.Wang, T. Kazmierski, B. Al-Hashimi, A.Weddell, G. Merrett, and I. multi-domain systems and the power of MATLAB in Ayala-Garcia, “Accelerated simulation of tunable vibration energy computation. harvesting systems using a linearised state-space technique,” in Design, Test and Automation in Europe (DATE 2011), March 14-18, 2011, pp. 1267–1272. REFERENCES [12] R. Torah, P. Glynne-Jones, J. Tudor, T. O’Donnell, S. Roy, and S. [1] C. Alippi, R. Camplani, C. Galperti, and M. Roveri, “A robust, Beeby, “Self-powered autonomous wireless sensor node using vibration adaptive, solar-powered wsn framework for aquatic environmental energy harvesting,” Measurement Science and Technology, vol. 19, no. monitoring,” Sensors Journal, IEEE, vol. 11, no. 1, pp. 45–55, 2011. 12, pp. ISSN 1361–6501, 2008. [2] Q. Ling, Z. Tian, Y. Yin, and Y. Li, “Localized structural health [13] M. G. Corporation, SystemVision User’s Manual, ser. Version 3.2, monitoring using energy-efficient wireless sensor networks,” Sensors Release 2004.3, July 2004. Journal, IEEE, vol. 9, no. 11, pp. 1596–1604, 2009. [14] R. Torah, M. Tudor, K. Patel, I. Garcia, and S. Beeby, “Autonomous [3] A. Sapio and G. Tsouri, “Low-power body sensor network for wireless low power microsystem powered by vibration energy harvesting,” ecg based on relaying of creeping waves at 2.4ghz,” in Body Sensor Sensors, IEEE, pp. 264–267, 28-31 Oct. 2007. Networks (BSN), 2010 International Conference on, 2010, pp. 167–173. [15] Recoil Ltd, UK, http://www.recoilltd.com/index.htm, Sept. 2008. [4] S. Roundy, P. K. Wright, and J. M. Rabaey, Energy scavenging for [16] M. Mitchell, An Introduction to Genetic Algorithms. Cambridge, wireless sensor networks: with special focus on vibrations. Springer, Massachusetts: the MIT Press, 1996. 2004. [17] I. A. Garcia, D. Zhu, J. Tudor, and S. Beeby, “Autonomous tunable [5] M. P. Buric, G. Kusic, W. Clark, and T. Johnson, “Piezo-electric energy energy harvester,” in PowerMEMS 2009, 1-4 December 2009, pp. 49– harvesting for wireless sensor networks,” in Wireless and Microwave 52. Technology Conference, 2006. WAMICON ’06. IEEE Annual, 2006, [18] D. Zhu, S. Roberts, J. Tudor, and S. Beeby, “Design and experimental pp. 1–5. characterization of a tunable vibration-based electromagnetic micro- [6] S. Ergen, A. Sangiovanni-Vincentelli, X. Sun, R. Tebano, S. Alalusi, G. generator,” Sensors and Actuators A: Physical, vol. 158, no. 2, pp. 284– Audisio, and M. Sabatini, “The tire as an intelligent sensor,” Computer- 293, 2010. Aided Design of Integrated Circuits and Systems, IEEE Transactions on, [19] H. Al-Junaid and T. Kazmierski, “Analogue and mixed-signal extension vol. 28, no. 7, pp. 941–955, 2009. to SystemC,” IEE proc. Circuit Devices Systems, vol. 152, no. 6, pp. [7] P. Mitcheson, T. Green, E. Yeatman, and A. Holmes, “Architectures for 682–690, Dec. 2005. vibration-driven micropower generators,” Journal of [20] J. Jacquez, “Design of experiments,” Journal of the Franklin Institute, Microelectromechanical Systems, vol. 13, no. 3, pp. 429–440, 2004. vol. 335, no. 2, pp. 259–279, 1998. [8] D. Zhu, J. Tudor, and S. Beeby, “Strategies for increasing the operating [21] R. Unal, R. Lepsch, and M. McMillin, “Response surface model frequency range of vibration energy harvesters: a review,” Measurement building and multidisciplinary optimisation using d-optimal designs,” in Science and Technology, vol. 21, no. 2, 2010. Proceedings of the 7th AIAA/USAF/NASA/ISSMO Symposium on multidisciplinary Analysis and optimisation, 1998, pp. 405–411.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 77

Pattern-Based Approach to Current Density Verification

Vazgen Melikyan, Eduard Babayan, and Ashot Harutyunyan

Abstract—Methodology of static verification of current density Hillock based on layout patterns common in IC designs is proposed. The methodology is based on pre-calculation of current density distribution for common layout patterns. Then using the e‐ obtained data to calculate current densities of large circuits by partitioning them to selected patterns. Presented experimental ‐ results show the effectiveness of the approach. e Void

Index Terms—Current density, electromigration, verification, ‐ patterns. Void e

e‐ I. INTRODUCTION

ITH increasing technology scaling, physical effects Fig. 1. Hillocks and voids. W consideration and their impact priorities have changed. temperature; j – current density. In particular, impact of electromigration (EM) increased [1-4]. During IC design it is required to check design against EM EM is the mass transport in a conductor due to the momentum vulnerabilities. As it is seen from (1), such a check can be transfer between conducting electrons and diffusing metal done by checking current density in interconnect against atoms [1]. This effect damages interconnect because amounts maximum allowable current density. Currently there are of matter leaving and entering a given volume of interconnect different current density verification EDA tools by different are not equal, leading to accumulation or loss of material vendors. These tools have common disadvantages: they work which results in damage [1]. When atomic flux into a region is only on chip level, require additional extraction and simulation greater than the flux leaving it, the matter accumulates in the steps, require large amount of background information, lack form of a hillock. If the flux leaving the region is greater than error correction, etc. [4,5] the flux entering, the depletion of matter ultimately leads to a This paper presents methodology of creation of current void (Fig. 1) [2]. density verification tool based on common layout patterns Obviously, EM results in failure of IC which can be result which enables high verification performance without need of not only of break or short-circuit, but also a significant additional extraction and simulation steps. increase in the interconnect resistance. EM is defined as [3]: II. METHODOLOGY Q − N A kT * J −= 0 ρjeZeD (1) It is proposed to select common layout patterns (LP) kT (Fig. 2), taking into account the frequency of their use in real where N A – density of atoms in the crystal lattice; D0 – diffusion coefficient; Q – activation energy; eZ* - resulting charge; ρ - resistivity; k – Boltzmann constant; T – absolute (a)

(e) Manuscript received 1 May 2012. Accepted for publication 30 May 2012. (b) Some results of this paper were presented at the 4th Small Systems Simulation (h) Symposium, Niš, Serbia, February 12-14, 2012. Paper presents result of work implemented in the framework of project “11РБ-002” jointly founded by Belarusian National Fundamental Research Found and State Science Committee of Ministry of Education and Science of (c) (f) Republic of Armenia. Vazgen Melikyan and Eduard Babayan are with the Educational Department of Synopsys Armenia CJSC, 41 Arshakunyants ave., Yerevan, Armenia, (e-mail: {vazgenm,edbab}@synopsys.com). Ashot Harutyunyan is with Microelectronics Circuits and Systems of State Engineering University of Armenia, 105 Teryan st., Yerevan, Armenia, (e- (d) (g) (i) mail: [email protected]). Fig. 2. Common layout patterns selected for modeling.

DOI: 10.7251/ELS1216077M 78 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

TABLE I w STATISTIC DATA FOR PATTERNS SELECTION l2 IC A IC B IC C IC D

l l LP 1 w w

Count Count Count Count Area, % Area, % Area, % Area, % r

a. 39 16643910 36 20582714 40 7374407 31 6583941 w b. 10 9283 14 16700 0 0 0 0 r l c. 4 56859 2 13269 9 170152 5 8195 d. 0 0 4 2582 0 0 0 0 Fig. 5. The selected structure to obtain lmin. e. 16 341139 4 840437 11 166584 15 225007 f. 0 0 12 38474 2 39033 0 5 edges a and b respectively, and 0 for the rest. Current density g. 1 28 1 56 0 0 0 64 distribution map shows that in the inner corner of the LP h. 3 65401 3 26780 6 95161 2 16339 i. 0 0 5 1341 0 0 0 0 current is thickened, and on the outside, on the contrary, is Total 73 81 68 53 diluted. Simulation was performed to identify patterns of current distribution for non-uniform boundary conditions. ICs and relative areas covered by them statistically (Table I). For edge a of LP in Fig. 4a, a boundary condition of Simulation of these patterns enables estimation of uniform current distribution jn=1 was set. Current distribution maximum current density in these patterns depending on their for edge b is shown on Fig.5b and it is mostly concentrated in geometrical parameters. upper corner. Current density reduces near upper corner and For LP selection current density values in the direction of increases near bottom at a distance from edge b. In the middle the normal were taken as boundary conditions. The of the straight segment the densities of these currents are most dependence of the maximum current density on the boundary close to each other (Fig. 5c). conditions and geometric parameters of the model was It was found out that with the increase of length of LP calculated. branches, the largest and smallest values in Fig.4c tend to 1. The essence of the method is demonstrated below for the Consequently, it can be assumed that when length of branches sample LP (Fig. 3). In this case currents distribution is l decreases in the considered model, the impact of boundary uniform in the direction of normal, equal to jn and –jn for conditions distribution on the largest value of current density a (LVCD) decreases. This allows neglecting boundary l conditions distribution and its impact on current density w distribution. The calculation of the boundary currents distribution leads to solution of differential equations. It is r required to find a minimum length of branches lmin such that w for branches with larger lengths, the relative difference b l r between the maximum values of current density does not exceed the specified error ε at all possible r and w. (a) (b) The length lmin should be found for boundary condition, Fig. 3. For modeled LP: a – parameters; b – current density distribution. assumed as such from a specific practical point of view. There

a could be other conditions at which smaller values of lmin are obtained for the same values of ε. As a result of investigations structure shown in Fig. 5 was chosen which provides the worst boundary conditions for the considered LP. 0 An experiment has been made to find the significance of b w changes of maximum values of current density, depending on the lengths l and l larger than l. 4 1 2 Given that with decrease of length l impact of boundary (a) conditions on LVCD increases, for experiment the value of l = j 3·r was chosen for it to be as small as possible (it is the ma1,7x 1j,02max5 1,6 1,02 smallest, because at l = 2·r the interior edges are equal to zero 1,5 1,015 1,4 1,01 (Fig. 5)) 1,3 1,005 1,2 1 The value of w was selected equal to l. This value of w can 1,1 0,995 1 0,99 be viewed as practically the worst, because with increase of w 0,9 0,985 0,8 0,98 the impact of boundary conditions on LVCD increases with 0,7 0,975 01234w 01234w unacceptably large error ε. Experimental results do not depend (b) (c) on the value of r. Setting r to 1, values l=3 and w=3 can be Fig. 4. Uneven distribution of boundary currents. obtained. Due to position of branches values of l1 and l2 cannot exceed l. Thus, the value of one of them is fixed and ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 79

1,9205 jmax jmax 14 1,92 12 10 1,9195 8 1,919 6 4 1,9185 2 1,918 0 0 200 400 600 r 1,9175 (a) 0 200 400 600 800 1000 l1 Fig. 6. Dependence of jmax on l1, for l1 ≥ l. jmax 14 12 1,9196 jmax 10 1,9194 8 1,9192 1,919 6 1,9188 4 1,9186 2 1,9184 0 1,9182 0 150 300 450 600 750 900 w 1,918 1,9178 (b) 1,9176 Fig. 9. Dependence of jmax on a – w, b – r 1,2 1,7 2,2 2,7 l1 (a) jmax For considered LP, with the condition of l/w≥1.5 1,919 1,9188 experiments were implemented to find the dependence of 1,9186 current density on parameters r and w. In the result it was 1,9184 1,9182 obtained that jmax does not depend on w and r, thus it can be 1,918 expressed as: 1,9178 1,9176 1,9174 jmax=f(r) ·φ(w)·j, (2) 1,9172 1,2 1,7 2,2 2,7 l1 ,l2 (b) where Fig. 7. Dependence of jmax a – on l1, for l1 ≤ l, b – on l1 and l2 (l1 ≤ l, l2 ≤ l). only the value of another changes. Based on the dependence of j=I/w (3) LVCD on l1 obtained through the experiment, it can be concluded that for l1 nearly equal to l a value of LVCD is is the current density in uniform area. Thus it is the boundary obtained which is by no more than 1% less than LVCD for condition for those edges of the considered LP, which have larger l1 (Fig. 6). nonzero current flowing in the direction of normal. To find dependence of LVCD on simultaneous change of l1 To obtain functions f and φ two experiments were and l2, first l1 was changed in the range less than l (Fig. 7a), implemented resulting in dependencies of jmax on w (Fig. 9a) then both l1 and l2 were changed (Fig. 7b). It can be stated that and r (Fig. 9b) with fixed value of another variable. the LVCD values found for values larger than l will not In the result of approximation of dependence function, the change with increase of l2. Values of l1 and l2 can be taken following was obtained for considered LP: − ,330 equal to l during calculation dependence of LVCD on model max 063680213 ),r,(j ⋅−⋅= (4) parameters. 097430 ,33650 +⋅⋅ 00059860 ⋅ j),w,( In the result of experiments it was found that for the worst Using expressions (2) and (3), for w this is obtained: selected values (l/w=1.5), the relative difference of obtained 097430 ,33650 +⋅ ,w, 00059860 LVCD values is 0.5…0.6% compared to values obtained for = values larger than l (Fig. 8). w (5) ε ,% 12 jmax = − .330 10 −⋅⋅ 063680213 ),r,(I

8 The general flow of developed method of current density verification is presented on Fig. 10. 6 4 TABLE II. COMPUTER TIME AND MEMORY REQUIRED 2 TO OBTAIN THE CURRENT DENSITY DISTRIBUTION 0 / wl Parameters Circuit 1 Circuit 2 Circuit 3 Circuit4 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2.2 Time, s 0.125 0.391 1.734 7.984 Fig. 8. Dependence of error ε on l/w. Memory, kB 1.3 8.7 28.9 97.4

80 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Layout Decomposition For a circuit with 50000 LPs, 104 minutes were required for decomposition of electrical elements (EE), layout partition ofinterconnects calculation with conventional software, whereas with proposed method it took only ~10 minutes. Maximum Current Density Calculation Current density calculation in EEs and III. CONCLUSION interconnects The developed method of current density verification in ICs

Coarse Verification and the experimental software package have indisputable Net width checks, via count checks advantages over existing similar tools and meet practical requirements of modern IC design. Fixing Violations Net widening,redundant via placement, etc. REFERENCES Partition to LPs [1] H. Ceric, S. Selberherr, "Electromigration in submicron interconnect Partition oflayout to a preselected patters features of integrated circuits", Materials Science and Engineering, 2011, pp. 53-86. Calculation of LVCD in LPs [2] L. Xiaoyu, S. Jiang, W. Yun, Z. Chenhui, "Research on failure modes Calculationof LVCD using found dependencies and mechanisms of integrated circuits", Prognostics and System Health Management Conference (PHM-Shenzhen), 2011, 2011, pp. 1-3. Providing Errors [3] M. Shao, et al., "Current calculation on VLSI signal interconnects", Reporting errors to user Sixth International Symposium on Quality of Electronic Design, (ISQED), 2005, pp. 580-585. [4] B. Li, et al. “Statistical Evaluation of Electromigration Reliability at Fig. 10. General current density verification flow. Chip Level”, IEEE Transactions on Device and Materials Reliability, Experimental software implementing the proposed method 2011, p. 1-11. [5] J.P. Gambino, T.C. Lee, F. Chen, T.D. Sullivan, "Reliability was developed. Unlike industrial software, it does not need challenges for advanced copper interconnects: Electromigration and additional extraction and simulation steps. Experimental time-dependent dielectric breakdown (TDDB)", 16th IEEE International results obtained using software are shown in Table II. Symposium on the Physical and Failure Analysis of Integrated Circuits, 2009, pp. 677-684.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 81

Network Simulator Tools and GPU Parallel Systems

Leonid Djinevski, Sonja Filiposka, and Dimitar Trajanov

Abstract—In this paper we discuss the possibilities for parallel graphics hardware has significantly improved. Therefore, implementations of network simulators. Specifically we many general purpose applications have been ported for the investigate the options for porting parts of the simulator on GPU GPU architecture. in order to utilize its resources and obtain faster simulations. We Network simulators have traditionally been developed for discuss few issues which are unsuitable for the GPU architecture, and we propose a possible work around for each of them. We execution on sequential computers. Developing a parallel introduce a design of parallel module that interconnects with a implementation for a network simulator is not straight network simulator, while maintaining transparency in aspect of forward. There are many architectural issues that have to be the simulation modeler. taken in to account and they might prevent the complete utilizing of the GPU resources. Index Terms—Network Simulator Tools, HPC, GPGPU, In this paper we review few of the most widely used CUDA, OpenCL. network simulators. We also discuss the possibilities for

parallel implementations of network simulators. Specifically we investigate the options for porting parts of the simulator on I. INTRODUCTION GPU in order to utilize its resources and obtain faster ETWORK simulators are tools used by researchers in simulations. Additionally, we identify modules which carry Norder to test new scenarios and protocols in a controlled the biggest workload as well as possible, issues that make the and reproducible environment, allowing the user to represent network simulators unsuitable for the GPU architecture, and various topologies, simulate network traffic using different we propose resolutions to work around these issues. protocols, visualize the network and measure the This rest of this paper is organized as follows: We review performances. Although network simulators are very useful, implementations of network simulator tools in Section 2, most of the widely used network simulators do not scale [1]. followed by a short overview of the GPU computing in Simulation of medium to large networks results in a long Section 3. In Section 4 we identify which modules of the simulation time which is not practical for investigating network simulator contain intensive workloads. Also in this protocols. Section we propose a framework which will utilize the GPU With the development of parallel systems, significant resources. In Section 5 we analyze performance, and we processing power is becoming available. The single conclude and propose future work in Section 6. instruction, multiple data (SIMD) models of parallel systems, more particular the Graphics Processing Units (GPUs) have II. RELATED WORK provided a massive acceleration. Additionally, the low cost of these units have brought a huge performance in the insides of There are two types of approaches for developing a parallel regular personal computers (PCs). The first attempts for network simulator. One can create the parallel simulator from utilizing the GPU hardware for general purpose computing scratch, where all the simulation software is custom designed proved to be a very complicated process [2]. However, with for a particular parallel simulation engine. For this approach a development of the Compute Unified Device Architecture significant amount of time and effort are necessary to create a (CUDA) programming model in 2007 [3], and also with the useable system. This is so, because new models must be publishing of the standard Open Computing Language developed, and therefore validated for accuracy. (OpenCL) late 2008 [4], general purpose computing on An example of this approach is the Global Mobile Information System Simulator (GloMoSim), which is a scalable simulation library designed at UCLA Computing Laboratory to support studies of large-scale network models, Manuscript received 1 May 2012. Accepted for publication 30 May 2012. Some results of this paper were presented at the 4th Small Systems Simulation using parallel and/or distributed execution on a diverse set of Symposium, Niš, Serbia, February 12-14, 2012. parallel computers [5]. GloMoSim beside sequential adopts Leonid Djinevski, Sonja Filiposka and Dimitar Trajanov are with the parallel simulation model using libraries and layered API. The E-TNC Research Group, Faculty of Computer Science and Engineering, Ss. Cyril and Methodius University, Rugjer Boshkovikj 16, 1000 Skopje, libraries are developed using PARSEC [6], which is a parallel Macedonia, E-mail: {leonid.djinevski, sonja.filiposka, C based programming language which uses message based dimitar.trajanov}@finki.ukim.mk.

DOI: 10.7251/ELS1216081D 82 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 approach. IDE and the programming language used is C++ [12, 13]. Another example is the Scalable Simulation Framework In this paper we introduce a different approach for (SSFNet) which claims that is a standard for parallel discrete parallelizing network simulators that is based on federation event network simulation [6, 7]. SSFNET’s commercial Java simulations. In order to fully utilize the available hardware we implementation is becoming popular in the research investigate the possibility to port the computing intensive community, but SSFNet for C++ (DaSSF) does not seem to network simulator modules to the GPU and thus obtain faster receive nearly as much attention, probably due to the lack of simulation time. network protocol models. It is a high performance network simulator designed to transparently utilize parallel processor III. GPGPU, CUDA, AND OPENCL resources, and therefore scales to a very large collection of In this section we summarize some key fact of the GPU simulated entities and problem sizes. architecture so we can provide and discuss information about The second approach for developing parallel/distributed parallel module implementation of a network simulator. The simulation involves interconnecting with existing simulators. origin of General-Purpose computing on Graphics Processing These federated simulations may include multiple copies of Units (GPGPU) comes from graphics applications, so in the same simulator (modeling different portions of the similar fashion, CUDA or OpenCL applications can be network), or entirely different simulators. Few parallel accelerated by data-parallel computation [14] of millions of implementations of this approach are presented in the threads. A thread in this context means an instance of a kernel, following. which is a program that is running on the GPU. This way, the The NS-2 Simulator [8] is widely used in the networking GPU device can be visualized as a SIMD parallel machine. research community and has found large acceptance as a tool Therefore, understanding of the graphics pipeline to execute to experiment new ideas, protocols and distributed algorithms. programs is not needed. In a nutshell, CUDA or OpenCL It is a discrete event driven sequential network simulator, provide convenient memory hierarchy, allowing maximizing developed at UC Berkeley by numbers of different researchers the performance, by optimizing the data access. The memory and institutions. NS-2 is suitable for simulating and analyzing hierarchy of a GPU device is presented in Fig 1. either wired or wireless network sand is used mostly for small The GPU device has off-chip memory, so called global scale simulations. NS-2 is written in C++ and OTcl. The users memory. Since this memory is separated from the GPU, a define the network topology structure, the nodes, protocols single fetching of data takes at least 500 cycles. This is the and transmitting times in an OTcl script. The open source slowest memory on the device, and therefore the most model of NS-2 encourages many researchers from institutions expensive performance wise. and universities to participate and contribute to improve and The next level in the memory hierarchy is the local extend the project. NS-2 plays an important role especially in memory, which is shared by a number of threads organized in the research community of mobile ad hoc networks, being a work groups. This memory is very small 16 – 48KB, and it sort of reference simulator [9]. Adding new network objects, can be accessed almost as fast as register memory denoted in protocols and agents requires creation of new classes in C++ Fig. 1 as private memory which is exclusive to a single thread. and then linking them with the corresponding OTcl objects. Therefore, a program will compute correctly if there is no data A parallel simulation extension for the traditionally widely dependence between threads in different work groups. used NS-2 simulator has been created at the Georgia Institute of Technology (PADS Research Group), but it is not in wide use. The Parallel/Distributed NS (PDNS) [10] was designed to solve the NS-2 problems with large scale networks by running the simulator on a network of workstations connected either via a Myrinet network, or a standard Ethernet network using the TCP/IP protocol stack. In that way the overall execution time of the simulation should be at least as fast at the original single workstation simulation, allowing simulating large scale networks. Georgia Tech Network Simulator (GTNetS) is a network simulation environment which uses C++ as a programming language [11]. GTNetS is designed for studying the behavior of moderate to large scale networks. The simulation environment is structured as an actual network with distinct separation of protocol stack layers. OMNeT++ is a network simulation library and framework, primary used for simulation of communication networks, but because of its flexible architecture can be used to simulate Fig. 1. GPU device memory hierarchy. complex IT systems too. OMNeT++ offers an Eclipse based ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 83

Exception is that within the same work group thread can have GPU device is the Nvidia Tesla C2070 GPU, which is the flag dependence because they can exchange data using the local holder device for Nvidia at the moment of writing this paper. memory. Regarding parallelism, the Amdahl Law is plotted in Fig. 2, where the x-axis is the number of processors p, and the y-axis IV. NETWORK SIMULATOR MODULES is the achieved speedup. Network simulator algorithms are usually not so straight There are three segments that can be noticed on the plot. forward for mapping on the GPU, therefore we need to The segment I represents a relation between the speedup and identify the workload of each module. The modules with the the number of processors, where by increasing the number of biggest workload are candidates for parallelization. Since, the processors. In the second segment, a saturation is achieved, so GPU is a SIMD, in order to utilize the architecture, we look the speedup stays constant with the increasing the number of for segments of the algorithm code which are repeated processors. The segment III, indicates that increasing of the regularly. Usually, these code segments are for loops or loops number of processors, can lead to decreasing of the speedup, for which control flow can be predicted. which is a consequence of much more communication Once we identify which modules to parallelize, few issues between the processors and much less computing achieved. have to be taken in to account. If the code segment works with Since for a given GPU device, the number of cores is small amount of data, the GPU device parallelism cannot be constant, the plotted curve will depend of the amount of data expressed. Another major issue is the control flow divergence. that is being computed as it is presented in Fig. 3. If the code segment contains much branching, the parallel The curve 1 is the same curve as plotted in Fig 2. Curves 2 code gets serialized, thus minimal or no performance increase and 3 present the speedup for larger data quantities. Hence, is achieved. Nevertheless, in order to tweak the algorithm, few we can conclude that for larger data quantities, the curve methods can be used to decrease the divergence. However, the achieves saturation much slower. worst divergence situation is presented in Listing I. Therefore, the network simulator parallel module, should scale well over different sizes of networks, in such a way that LISTING I. the simulation scenarios of interest are in the linear segment I, UNAVOIDABLE DIVERGENCE and possibly, if unavoidable in the saturation segment II. if (condition 1) The parallel module should achieve maximal speedup of at do this block of operations else if (condition 2) do that block of operations else if (condition 3) do some block of operations else do any block of operations

In this case the divergence can cause up to 75% efficiency reduction, because the block of operation requires hundreds of instructions, thus making the algorithm unsuitable for SIMD parallel execution. A. Program Transformations In order to exploit more parallelism from the resources at Fig. 2. Parallel speedup. hand, the program has to be transformed. The structure of the computations and their schedule need to be changes, so the program transformations will result with equivalent program which will have better performance. Since data access is the most expensive part of the program execution, sometimes the program can be transformed so the data is not loaded from memory and calculated on the GPU device. In addition, another important factor is to have enough data to process in order to utilize the parallel resources. Therefore, it is prudent to introduce more calculation even if there are not needed at the moment, since in the following moments a requested calculation could already been obtained.

V. PERFORMANCE ANALYSIS In order to obtain relevant results, we propose using a GPU Fig. 3. Parallel speedups for different data amounts. device from the high-end segment. An example of a high-end 84 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

least x25 on a high-end TESLA C2070 GPU for the overall REFERENCES execution of the network simulator. This is a reasonable [1] Weingartner, E., vom Lehn, H., Wehrle, K., "A Performance performance increase that is consistent with many real-life Comparison of Recent Network Simulators" in Conf. Rec. 2009. ICC applications ported to the GPU platform, thus providing '09. IEEE Int. Conf. Communications, pp. 1-5. [2] Harris, M.J., “General Purpose Computation on GPUs”, retrieved June another example of achieved acceleration by utilizing the 2011 from http://www.gpgpu.org/. computational power of modern programmable GPU devices. [3] NVIDIA CUDA, retrieved February 2010 from http://developer.nvidia.com/object/cuda.html/. [4] The OpenCL Specification, Version 1.0, document Revision 43, 2009, VI. CONCLUSION retrieved February 2010 from http://www.khronos.org/opencl/. Specific modules of the network simulators demand high [5] Zeng, X., Bagrodia, R., Gerla, M., “GloMoSim: A Library forParallel Simulation of Large-Scale Wireless Networks”, in Proc.12th Workshop computational resources. Therefore, we propose a parallel on Parallel and Distributed Simulation, Banff, Alta.Canada, 1998, p. module for the network simulator in order to utilize the 154-161. computational performance of GPU devices. Usually the [6] Parallel Simulation Environment for Complex Systems (PARSEC), retrieved June 2010 from http://pcl.cs.ucla.edu/projects/parsec/. network simulator algorithms run in single precision, so the [7] Cowie, J.H., Nicol D.M., and Ogielski A.T., “Modeling the GPU devices are suitable, although the fact that the GPUs GlobalInternet”, Computing in Science and Engineering, 1999. support double precision which is still significantly slower. [8] NS-2 Simulator, retrieved June 2010 from: In our future work, we intend to develop an implementation http://nsnam.isi.edu/nsnam/index.php. [9] Di Caro, G. A., “Analysis of simulation environments for mobile adhoc of a parallel module for one of the few most widely used networks”, Technical Report No. IDSIA-24-03, IDSIA / network simulators. Also, we would like to evaluate how the USISUPSI,BISON project, Switzerland, 2003. GPU implementation of the network simulator extension can [10] Riley, G., Fujimoto, R.M., Ammar, M., “A Generic Framework for Parallelization of Network Simulations”, in Proc. 7th Int.Symposium on perform in specific case network topologies. In addition, we Modeling, Analysis, and Simulation of Computer and would like to search for the best suitable data structures that Telecommunication Systems, 1999, p. 128-135. can provide further optimization. Beside the stand alone [11] Riley, G.F., “The Georgia Tech Network Simulator”, in Proc. of the Workshop on Models, Methods, and Tools for Reproducible Network machine setup, we would like to test our parallel module on a Research (MoMe Tools), 2003. multi-GPU setup. Additionally we would like to combine MPI [12] Varga, A., “The OMNeT++ discrete event simulation system”, Proc. of and OpenCL, in order to investigate how parallel module will the European Simulation Multiconference (ESM '2001), Prague, Czech perform on a cluster of computers, where each computer has a Republic, 2001. [13] Sekercioglu, Y. A., Varga, A., Egan, G. K., “Parallel Simulation Made multi-GPU setup. Easy With Omnet++”, in Proc. of the European Simulation Symposium (ESS2003), Oct. 2003, Delft, The Netherlands. [14] Grama, A., Gupta, A., Karypis, G., Kumar, V., Introduction to Parallel Computing, 2nd Edition, Addison-Wesley, Reading, MA, 2003.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 85

The Decomposition of DSP’s Control Logic Block for Power Reduction

Borisav Jovanović and Milunka Damnjanović

Abstract—The paper considers the architecture and low power of the paper. Then, in Section three, the architecture of the design aspects of the digital signal processing block embedded proposed core is given, with the description of utilized low into a three-phase integrated power meter IC. Utilized power power techniques. The implementation results are given in reduction techniques were focused on the optimization of control Section four. logic block. The operations that control unit performs are described together with power optimization results. II. DSP’S OPERATION Index Terms—Digital signal processing, power optimization. The proposed DSP circuit is incorporated into an IPM chip, the mixed-signal circuit consisting of analog and digital signal processing blocks. The analog part of IPM contains Sigma- I. INTRODUCTION Delta AD converters [1] for current and voltage signal OWADAYS, most of electronic devices which are used conversion into digital words, Band-Gap voltage reference Nfor the measurement of power line parameters relays on and PLL circuits. single chip referred to as integrated power meter (IPM). An The digital part is composed of digital filters [2], DSP block IPM usually embeds powerful digital signal processor block and 8052 microcontroller unit. From AD converters and (DSP) performing various data-intensive calculations. This digital filters [2] the DSP gets 16-bit digital samples of paper proposes a DSP core used in power metering instantaneous voltage, current and phase-shifted voltage applications, which enables high performances at the levels as signals at data-rate of 4096 samples per second. Based on those obtained with commercial DSP microprocessors, and, at values of current and voltage, DSP calculates root-mean the same time, operates at significantly reduced power square (RMS) values of current IRMS, and voltage VRMS, consumption. active power P, reactive power Q, apparent power S and The paper explains the operations performed by DSP used power-factor cos(φ) every second. The measurement range for for processing the instantaneous values of current and voltage current signal is from 10mA RMS to 100A RMS, and for signals including the digital filtering methods. The DSP voltage it is up to 300V RMS. Besides, DSP measures the calculates root mean square values of voltage and current, instantaneous value of the power-network frequency with a active, reactive, apparent power and energy. Besides, new maximum error of 0.01 Hz. circuit for distortion power measurement is presented. The measurement results are obtained for all three power Power dissipation is an important part of system-on-chip line phases and three distinct result sets are provided, each (SoC) design specifications. Low power design techniques, dedicated to specific power line phase. with an emphasis on modern standard cell technologies, are A short survey of used equations that explains the DSP's applied to the design of a DSP core. Effort is put into the operation would be as follows. dynamic power minimization. Since DSP's control unit is one of largest and most power consuming DSP’s part, the paper A. The used equations presents the utilized low power techniques which are mainly The instantaneous value of current, as function of time, can focused on the optimization of control logic block. be represented in the form:

The operation of DSP is discussed in the following section = Iti RMS ft + ϕπ )2cos(2)( (1) After the discretization in time domain, it becomes: Manuscript received 1 May 2012. Accepted for publication 30 May 2012. = RMS 2cos(2)( fsfnIni +⋅ ϕπ ) , (2) Some results of this paper were presented at the 4th Small Systems Simulation Symposium, Niš, Serbia, February 12-14, 2012. where f represents the power-line signal frequency equal to The authors would like to thank the Serbian Ministry of Science and 50Hz, and fs is the AD converter sampling frequency, equal to Technology Development for supporting the research reported in this paper 4096Hz. within the project TR 32004. B. Jovanović and M. Damnjanovic are with the Faculty of Electrical Root-mean-square, IRMS, is calculated once per second Engineering, University of Niš, Aleksandra Medvedeva street 14, 18000 Niš, according to the expression (3): Serbia (phone: +38118529321; e-mails: {borisav.jovanovic, milunka.damnjanovic}@elfak.ni.ac.rs).

DOI: 10.7251/ELS1216085J 86 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

N architecture and consists of several blocks: 2 ∑ ni )( • Block 1 – the part which consists of arithmetical units I = n=1 (3) 2 2 RMS N used for I , V , P, Q accumulating and energy calculation The DSP calculates new I value every second and value RMS • Block 2 – including arithmetical operators used for of parameter N in (3) is equal to 4096. Similar expression, like calculation of current and voltage RMS, power factor, for IRMS, is used for VRMS calculation. active, reactive, distortion and apparent power N 2 • Block 3 – control unit that controls all other parts of ∑ nv )( DSP. V = n=1 (4) RMS N • Block 4 – frequency measurement circuit If the instantaneous values of current and voltage are as • Block 5 – RAM memory block storing the follows, measurement results.

= Iti RMS ft + ϕπ 1 )2cos(2)( (5)

= Vtv RMS ft + ϕπ 2 )2cos(2)( (6) the instantaneous active power p(t) is: ⋅= tvtitp )()()( (7) After the discretization of the instantaneous power, the active power P is calculated according to: N ∑ np )( P = n=1 (8) N The instantaneous reactive power q(t) is obtained by multiplying current and phase-shifted voltage signals. Fig.1. DSP block diagram. (9) = Iti RMS ft + ϕπ 1)2cos(2)( There is a single 24-bit data bus connecting these sub- p = Vtv RMS 2cos(2)( ft 2 ++ πϕπ )2/ (10) blocks of DSP. The control path of DSP unit (Block 5) is The reactive power q(t) is implemented as a finite state machine (FSM) that generates a number of control signals. These signals determine what ⋅= p tvtitq )()()( (11) component can write to 24-bit data, what registers are loaded The average reactive power Q is calculated according to: N from the bus and what arithmetical operation is performed. ∑ nq )( Q = n=1 (12) N Apparent power S and power factor cos(φ) are calculated according to (13) and (14):

RMS ⋅= VIS RMS (13) ϕ )cos( = SP (14) ++= DQPS 2222 . (15) The relation (15) between apparent power S, active power P, reactive power Q and distortion power D, suggests us that it is enough to calculate P, Q and S and then use the (15) to find the distortion power D. Fig.2. The FSM state sequence. Possible sources of error in active power P calculation are the phase difference between voltage and current values and During DSP’s measurement operation, the control unit the fact that power-network frequency is slightly changed periodically executes main state sequence that lasts 1024 round the nominal (50Hz), so there are not an integer number clock periods [5], repeated 4096 times during the time interval of voltage half-periods in a second. Error eliminating is of one second. The sequence is divided into four sub- necessary, so after the multiplication of the current and sequences called R, S, T and E that lasts 256 clock periods voltage values, the values i2(t), v2(t), p(t) and q(t) are filtered, each. The first three sub-sequences R, S and T control the accumulated 4096 times per second and the achieved total is calculations made for each phase of the three-phase energy divided with 4096 every second. system. The fourth sub-sequence, denoted E, manages the calculations that are periodically repeated every second [5]. III. DSP’S ARCHITECTURE The control unit is composed of four smaller finite state machines: named F0, F1, F2 and F3. The reason for dividing A. Controller/datapath architecture the control unit is significant power consumption reduction The architecture of DSP [3], [4] utilizes controller/ datapath which will be examined in following sections. Two sub- ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 87

2 FSMs, F1 and F2, perform arithmetical operations within the iAC , multiplied by constant number 64. Block1 during the phases R, S and T, while sub-FSM F3 - The operations described by (2) and (3) are done by performs operations within Block 2 during E period. The F0 is arithmetical circuits within the Block 1, which structure is intended for RAM memory initialization and F0 is active only given in Fig. 4, and includes one multiplication unit and one at the beginning of chip operation, after the main reset state. circuit for addition and subtraction. The intermediate results The operations that F1, F2 and F3 perform will be described 2 2 of operations (iAC and the DC value of iAC ) are temporarily in detail. stored in the registers RegA and RegB of Block1 (Fig.1). B. The operation of F1 Only the AC part of instantaneous current signal iAC (register 2 The FSM F1 executes the state sequence during phases R, S m_IAC) accumulation register m_AccIAC and LPF filter 2 and T and consists of one hundred and two different states. It register m_FI ACx64 are located in RAM. is used for processing the squared value of instantaneous current and voltage AC signals (necessary for obtaining IRMS and VRMS) and instantaneous values of active and reactive power. The operation sequence for current square accumulating is given in Fig. 3. The sequence is performed 4096 times every second.

Fig. 3. Data processing chain for current-square accumulation.

At the beginning of the F1 operation sequence, the AC part Fig. 4. The structure of Block 1. of instantaneous current signal i , stored in the 24-bit RAM AC The FSM sequence of operations for the accumulation of register m_IAC, is squared in the multiplication unit, which squared current values is given by the Fig. 5. The sequence 2 belongs to the Block1. Then, the squared value iAC is passed consists of simple data transfer, shifting, multiplication and through the digital Low pass filter (LPF), and after, the DC addition operations, performed at registers RegA and RegB. 2 value of squared value iAC signal, is accumulated into the 48 2 bit accumulation register m_AccIAC . The register is stored in m_IAC → RegA_h, RegB_h RAM memory and consists of two 24-bit register parts. RegA_h× RegB_h → RegA The LPF is implemented as a filter with Infinite impulse 2 m_FIACx64_h → RegB_h response (IIR) and helps in reducing the IRMS calculation error. 2 This error could exist because the time interval of one second m_FIACx64_l → RegB_l 2 6)(RegB-RegA →>> RegA (that is, accumulating time of iAC value) is not always equal to the integer number of power-line-signal half-periods. RegA RegB →+ RegA 2 2 The LPF takes at inputs the squared value iAC , which is RegA_h → m_FIACx64_h, RegB_h stored in the register m_IAC2, and produces at output the DC 2 RegA_l → m_FIACx64_l, RegB_l value of i 2. The LPF has cut-off frequency of 10Hz and its AC m_AccI2 _h → RegA_h transfer function is given by (16). AC 2 2 −6 m_AccIAC_l → RegA_l Im )_( DCAC 2 LPF(z)H = = (16) RegA 6)(RegB →>>+ RegA _ Im 2 −− 211 −− 61 )(z AC 2 RegA_h → m_AccIAC_h The transfer function HLPF(z) can be easily transformed 2 into the equations in which the operands are expressed by RegA_l → m_AccIAC_l registers: Fig. 5. The sequence of accumulation of squared current values. 2 2 1 2 (m_FIACx64)NEW = AC (1x64m_FI +−⋅ m_I) AC (17) 26 The similar procedure is performed by Block 1 for 2 2 processing the squared value of instantaneous voltage AC part AC DC = (m_FI)(m_I ACx64)/64 (18) 2 2 signal – vAC (necessary for obtaining VRMS) and The 48-bit LPF filter register m_FI ACx64, used in (2) and instantaneous values of active p and reactive power q. The (3), consists of two 24-bit parts that are stored in the RAM 2 memory block. The register contains the DC value of signal results are stored in the RAM registers: m_AccVAC , m_AccP 88 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 and m_AccQ. The difference is in used multiplication m_P → RegB_l operands: the voltage samples are multiplied to obtain VRMS; m_AccEa_h → RegA_h voltage and current sample values for active power, and m_AccEa_l → RegA_l current-sample value is multiplied with phase-shifted voltage- RegA RegB →+ RegA sample for reactive power processing. { 0)(RegB if > 0)(RegB { C. The operation of F2 m_Whr → RegB_l The F2 is active during phases R, S and T and generates the if (RegB-((RegA ><< 0)12)) { energy pulses for measured active and reactive energy. The (RegB-RegA (RegB-RegA 12) →<< RegA FSM consists of one hundred and ninety three states. A pulse is generated when measured energy exceeds predetermined genarate pulse positivefor Ea; energy level. The default energy level is one Watt-hour for } active and VAR (Volt-Ampere reactive) for reactive energy. } else { The DSP has four outputs producing the narrow pulses: if < 0)(RegA { Ea_pos – for consumed active, Ea_neg – generated active, m_Whr → RegB_l Eq_pos – inductive reactive, and Eq_neg – capacitive reactive energy. The energy level is stored in m_Whr register, the part RegA (RegB 12) →<<+ RegA of RAM memory block, and can be modified. The operations genarate pulse negativefor Ea; are carried out by Block 1 using the adder/subtractor and } registers RegA and RegB. } The sequence of operations is given in Fig.6. At the RegA_h → m_AccEa_h beginning of each sequence, performed exactly 4096 times RegA_l → m_AccEa_l during the time interval of one second, the active power value Fig. 6. The sequence of operations producing the energy pulses on Ea_neg m_P, is added to the value of 48-bit register m_AccEa. The and Ea_pos pins. m_AccEa consists of two parts: the MSB part - m_AccEa_h and the LSB part - m_AccEa_l, both stored in RAM. After The HPF transfer function can be transformed into the addition operation is done, the value of m_P and new value of equations (20) and (21) performed by DSP. m_AccEa are compared with zero. If value of m_P is positive and if new value of m_AccEa is greater than the energy level (m_FIx1024) = equivalent (given by m_Whr), a pulse on Ea_pos is generated NEW 1 (20) and m_AccEa is subtracted by the m_Whr value. Else, if both m_FIx1024(1 (2) 10 1)(m_I −−+− m_I_p) 9 m_P and m_AccEa are negative, a pulse on Ea_neg is 2 generated, and value of m_Whr is added to m_AccEa. m_IAC = m_FIx1024/1024 (21) The similar procedure stands for the reactive energy The following registers values are used in the equations processing. Accompanied registers are m_AccEq_h and (20) and (21): m_AccEq_h. • m_I and m_I_p – two consecutive current samples Besides dealing with energy pulses, the F2 eliminates DC obtained directly from digital filters offsets from instantiations current and voltage signals that are • m_FIx1024 is 48-bit HPF register, which contains the derived from digital filters. This is necessary for the AC value of i(t), multiplied by constant value 1024. calculation of current and voltage RMS value. The DC offset The register consists of two parts: the MSB part – will give a additional DC component after squaring operation. m_FIx1024_h and LSB part - m_Fix1024_l. Since this DC component is extracted by LPF, this offsets can • m_IAC is AC part of instantaneous sample of current induce the error to RMS values. This problem is avoided by signal. It represents the result of filtering operation and introducing the HPF in voltage and current signal processing it is further used by FSM F1. chains. The HPF, applied to instantaneous current and voltage The operation sequence for the offset elimination, signals, is implemented as IIR digital filter with cut-off performed by F2, is given in the Fig. 8. The operations are frequency 5Hz and transfer function as given by: carried out by Block 1. −1 The similar procedure is made for processing of m_VAC −10 1− )z( HPF −= 21 )((z)H (19) −− 211 −− 91 )(z (necessary for obtaining VRMS). The intermediate results are stored in 24-bit RAM registers: m_FVx1024_h and m_FVx1024_l. ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 89

RegA_l m_I_p → RegA_l 2_hm_AccI → RegC_h RegB_l m_I → RegB_l 2_lm_AccI → RegC_l RegA_l RegB_l-RegA_l → RegA_l RegB RegA → RegB RegC → RegD (RegB-RegA 10) →<< RegA 0 → m_AccI2 m_FIx1024_h → RegB_h m_IACoff → RegC_h m_FIx1024_ → RegB_ll RegD-RegC_h → RegD

RegA RegB →+ RegA m_Igain → RegC_h RegA (RegB 9) →>>− RegA RegC_h RegD →× RegD RegA_h → m_FIx1024_h m_I RegD → m_I RMS RegA_l → m_FIx1024_l Fig. 9. The sequence that generates current root mean square m_IRMS. RegB RegA → RegB 0 → RegA_l multiplied with gain correction m_Igain and root mean square of current is obtained (Fig. 9). RegA (RegB 10) →>>+ RegA The similar processing steps are conducted for m_V . RegA_l → m_I RMS AC For mean active and reactive power calculation the square Fig. 7. The sequence for high pass filtering of instantiations current sample signals, done by F2. root calculation is avoided. Apparent power m_S is obtained by multiplying m_IRMS and m_VRMS, and power factor D. The operation of F3 FSM m_CosF – by dividing active m_P and apparent power m_S. The fourth sub-sequence of the control unit manages the In addition to finding mean active (m_P), reactive (m_Q) calculations that are periodically repeated every second and and apparent power (m_S), the distortion power [6] (stored in consists of three hundred and four states. the register m_D) calculation is provided. F3 controls the operations producing the m_D. Arithmetical operators used to Based on accumulating sums m_AccI 2, m_AccV 2, AC AC calculate the value of m_D, belong to blocks 1 and 2. The m_AccP and, m_AccQ, arithmetical operations are performed structure of Block 1 had to be slightly modified. The new by Block 2 to generate voltage and current root mean square input is introduced to RegB (the part of Block 1) which makes values m_IRMS and m_VRMS and mean active and reactive the connection from the multiplication unit from Block 2. The power values m_P and m_Q. The sequence of operations is result of multiplication operation, done by arithmetical performed by FSM F3. operator within Block2, has to be transferred to the RegB. The The interior structure of Block 2 is given in Fig. 8. It consists sequence is given in Fig. 10. of two registers named RegC and RegD and arithmetical units At the beginning, the register RegA is reset to zero, and the that implement square rooting, subtraction, multiplication and content of register m_S is copied to both of the registers RegC division. and RegD. The squaring operation is performed and the result is moved to the RegA. Then, the active power m_P is moved to RegC and RegD, and the multiplication is performed. The result is subtracted from register RegA. The same operations RegA_h, 0 → RegA_h, RegA_l m_S → RegC_h, RegD RegB RegD RegC_h RegD →× RegB RegA RegB →+ RegA m_P → RegC_h, RegD RegB RegD RegC_h RegD →× RegB RegA RegB →− RegA m_Q → RegC_h, RegD Fig.8 The structure of Block 2 RegC_h RegD →× RegB

The sequence, controlled by F3 that generates current root RegA RegB →− RegA mean square m_IRMS, is given in Fig. 9. RegA → RegC 2 To generate value m_IRMS, accumulated sum m_AccIAC is RegC → RegD stored into RegC and then, it is divided by 4096. Next, square RegD → m_D rooting operation is performed over the average value of Fig. 10. The sequence that generates distortion power m_D. voltage square. Then, current offset m_IACoff is subtracted, 90 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 are done with the value m_Q. After, the content of RegA is into registers and memory blocks. For example, the clock moved to the RegC, and square root operation is performed. signals of registers in the Block 1 and Block 2 were gated. Finally, the result is moved from RegD into the m_D, which is The rest of the optimization process considered the DSP’s stored in the RAM memory. control unit. The control unit incorporates over six hundred states and required huge combinational logic within the IV. THE IMPLEMENTATION RESULTS synthesized FSM. The implementation of control logic block Dynamic power dissipation of DSP block can be divided occupies large portion of DSP’s area. Also, it represents one into three main areas: power consumed by memory blocks, of the largest power consumers among DSP’s blocks. arithmetical operators and by clock tree nets. The following power minimization techniques were used: The first area is the power cost associated with accesses to FSM decomposition [7, 8], clock gating and Grey code the three data memories (represented by Block 5 in the Fig. 1). encoding [9]. The first technique divides large control unit It is well known that memory accesses can form the largest into several smaller state machines, simplifying their component of power consumption in data-dominated combinatorial logic blocks. The division of control unit into applications. The power is consumed within the RAM units smaller state machines has positive effect on power themselves, and also, during data transmission across the large dissipation. After, the clock gating technique was used. The capacitance of the 24-bit data bus. The control unit was clock gating disables inactive parts of FSM by stopping its modified to decrease the number of accesses to the RAM clock signal, and, reduces the switching activity within the memory. Besides, the datapath was changed that the combinatorial logic blocks. At the end, Gray binary encodings intermediate results, that DSP calculates, are stored in are assigned to the FSM’s states to further reduce the power. working registers of Block 1 and 2. Only the final During FSM decomposition the transition graph of original measurement results are saved in RAM. FSM was considered first, and after, divided into four sub- The second main area of power consumption comes from graphs. Four sub FSMs were created, named with F0, F1, F2 the energy dissipated in performing the actual operations on and F3, which jointly produce the equivalent behavior as the the data. This is made up of the energy dissipated by original FSM. The decomposition is performed considering transitions within the datapath associated with the data (Block the datapath architecture. The states within one subset control 1 and 2), and the control overhead (Block 3) required to the arithmetical operations performed by same part of DSP. perform the operations. In the proposed DSP, the most of For example, F1 and F2 perform the operations executed by dissipated power comes from large control unit and its power Blocks 1 and 2, and state machine F3 - the operations done by reduction will be further described. Block 2. Clock power is the third component of signal processing After the FSM decomposition is done, the clock gating is block power because the clock is fed to most of the circuit introduced in the control unit implementation. The gating blocks in the processor and the clock switches every cycle. control circuit (shown in Fig. 11) is added to the control logic Considering all clock signals, the total clock power is a block. New circuit identifies the currently active FSM and substantial 30% of the DSP's power. Clock gating is the enables the clock input signals to the active FSMs. When the efficient technique for dynamic power reduction. To avoid clock signal is present at the input of active FSM, the other glitches, beside AND circuits, the level sensitive latches are three state machines are blocked. used for clock gating circuit implementation. The DSP block was implemented in technology AMI The datapath of DSP incorporates several arithmetical units CMOS 350nm with power supply voltage of 3.3V. The for multiplying, dividing and square rooting which are Cadence tools were used for implementation. First, the design realized as sequential circuits. Since arithmetical blocks are was verified by RTL simulation, and synthesized by using not used all the time, their clock trees can be gated, reducing the power consumption. The DSP design was further power optimized. The gating signals are the only way to write data

Fig. 12. The layout of DSP block. The red-highlighted section represents the

Fig. 11. The structure of Block 1. area of huge control logic unit. ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 91

Cadence's RTL Compiler. Then, SoC Encounter has V. CONCLUSION performed floor-planning, placement, routing, and clock and The architecture and the low power design aspects of the reset trees generation. At the end of logical verification digital signal processing block embedded into a three-phase process, the Verilog file was extracted from layout and integrated power meter IC, are considered. The operations that brought back to NCsim simulator where final check of the control unit performs are described together with power- total digital part of the IC was performed. During the post- optimization results. layout simulation, switching activity file was obtained and the The power reduction techniques were successfully power consumption results are obtained by the SoC Encounter implemented on the optimization of the control logic block. taking account the parasitic capacitances from layout and The control unit of DSP block, implemented as finite state switching activity file. machine, was decomposed into four smaller state machines, The estimation of DSP's power consumption gave the clock gating was completely introduced and Gray finite state valuable information about the energy budget and identified machine encoding used. The resulting effect was the all power hungry components. Three power analyses were significant reduction of the power consumption. performed: for the: (a) original design (before the power minimization techniques where applied), (b) DSP design REFERENCES which is optimized by only gating and FSM decomposition, [1] D. Mirković, P. Petković, "Multi channel Sigma-Delta A/D converter for and finally, (c) design where all proposed techniques were integrated power meter", Proceedings of the Small Systems Simulation applied: FSM decomposition, clock gating and Gray state Symposium 2010, Niš, ISBN 987-86-6125-006-4, Feb., 2010, pp. 90-93. encoding. The Table I gives the simulated power consumption [2] M. Marinković, B. Andjelković, P. Petković, “Compact Architecture of Digital Decimation Filters in Solid-State Energy Meter”, Electronics, values of different DSP cores, derived after layout generation. Vol. 10, No. 2, University of Banja Luka, ISSN 1450, December 2006, The power consumption of not optimized design was pp. 28-32. 1.82mW. When all these techniques were applied, the total [3] B. Jovanović, M. Damnjanović, P. Petković, " Digital Signal Processing for an Integrated Power Meter", Proceedings of 49. Internationales power became only 1.043mW resulting in the 42% switching Wissenschaftliches Kolloquium, Technische Universirtat Ilmenau, power reduction, compared to the non-optimized Ilmenau, ISBN 3-8322-2824-1, Vol.2, September, 2004, pp. 190-195. [4] B. Jovanović, M. Zwolinski, M. Damnjanović, "Low power digital design in Integrated Power Meter IC", Proceedings of the Small Systems TABLE I Simulation Symposium 2010, Niš, ISBN 987-86-6125-006-4, Feb., THE RESULTS OF POWER OPTIMIZATION 2010, pp. 49-55 Decomposition, [5] M. Jevtić, B. Jovanović, S. Brankov, " Upravljačka jedinica sistema na čipu za registrovanje potrošnje električne energije", Zbornik radova Not Decomposition Symbol clock gating XLVIII konferencije Etran 2004, Čačak, ISBN 86-80509-49-3, Vol.1, optimized & clock gating a & Grey encoding June, 2004, pp. 75-78 [6] D. Stevanović, B. Jovanović, P. Petković, V. Litovski, "Korišćenje snage Area 1.84mm2 1.831mm2 1.823mm2 distorzije za identifikaciju izvora harmonijskog zagađenja na mreži", Tehnika - Elektrotehnika, 6/2011, Savez inženjera i tehničara Srbije, Clock tree ISSN 0040-2176, 2011, accepted for publication. 0.732mW 0.263mW 0.227mW power [7] S.H. Chow, H. Yi-Cheng, T. Hwang, “Low power realization of finite state machines - a decomposition approach”, ACM Transactions on Control unit Design Automation of Electronic Systems (TODAES) Volume 1, Issue 0.407mW 0.172mW 0.172mW power 3 (July 1996) pp.: 315 – 340, ISSN:1084-4309 [8] W.K Lee, C.Y Chi-Ying Tsui, “Finite state machine partitioning for low DSP’s power 1.82mW 1.117mW 1.043mW power”, Circuits and Systems, 1999. ISCAS'99, Proceedings of the 1999 IEEE International Symposium, Volume 1, June 1999, pp. 306 – 309 [9] L. Benini, G. De Micheli, ”State assignment for low power dissipation”, Solid-State Circuits, IEEE Journal of Volume 30, Issue 3, Mar 1995 implementation. pp.:258 – 268

92 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Data Privacy in Smart Electricity Networks

Slobodan Bojanić, Srdan Ðorđević, and Octavio Nieto-Taladriz

Abstract—Smart Grids are amongst the most promising future of climate change targets. However they also have the developments to manage and control the energy consumption in potential to process increasing amounts of personal data, the next decades. However, the integration and unprecedented in this industry, and to make that personal data interdependencies that will evolve between the electricity power more readily available to a wider circle of recipients than at grid, telecommunication networks and ICT enable new threats and vulnerabilities to this critical infrastructure which must be present. addressed adequately with the right kind of security controls, The implementation of Smart Grids potentially connects balanced risk mitigation strategies and a continuous attention location information to specific data that holds information on towards security, privacy and regulation aspects. It is an the use of electrical energy, and in the future possibly more. emerging area where new data privacy problems arise as mass The fingerprint or contents of this data provides information rollout of smart meters is already happening. on what is going on at the location at a specific moment, and

Index Terms—Smart Grid, Data Privacy, Smart Meter, may show patterns over longer time which may have great Privacy by Design. impact on the privacy and security of the consumer [14]. Thus it is necessary to deploy adequate measures to protect I. INTRODUCTION the contents and nature of this data in order to safeguard the privacy of the consumer. Without such protection there is a HE appearance of Smart Grids with intelligent meters Talters the polling frequency of measurement and the risk not only that processing of personal data will be in breach coverage of the measurement at the consumer location. of national laws but also that consumers will reject these Namely up to now the measuring frequency is low and covers programmes on the basis that the collection of personal data is an area or larger number of energy users, the intelligent meter unacceptable to them. Such rejection may arise even if there is may change the frequency to minutes or real-time whereas the no breach of the law. Therefore it is necessary that all parties coverage is in the range of individual consumers or involved in the deployment of smart meters and the households. This implies that where up to now the operator development of the smart grid ensure that the fundamental has a large view of the energy behavior of a bigger set of rights of individuals are protected and respected. consumers, this view will evolve to detailed information on These concerns are also reflected in Strategic Research the energy behavior of sole end consumers and most data from Agenda for the year 2035 of the European Technology Smart Grids can be considered personal data [1]-[5]. Platform on Smart Grids [15]. That is an update of the Smart Grid applications are based on the advances the Strategic Research Agenda 2007 for the needs by the year Electric Power System and increased integration with 2035. Namely regarding changes between 2007 and 2011 with communications and information technology together with sensitivity towards better predicting today the needs for the sensors and actuators for active monitoring and control. The year 2035, it is obvious that Data and Information is becoming potential benefits of the Smart Grids are far-reaching and much more important. The amount of data that is available but significant like the opportunities for consumers to cut their also to be handled has increased very much since 2007 and bills by changing their habits, perhaps using energy at continues to increase exponentially. One reason is the already different times to take advantage of lower tariffs, as well as wide penetration of smart meters which will increase opportunities for industry to more accurately forecast demand, dramatically within next years. This allows new business reducing expensive electricity storage costs and the realization models but also increases the need for data security. Here, consumers became much more aware of this topic and became very concerned about the privacy of their data. The increased amount of data also increased the need to use the grid for data Manuscript received 1May 2012. Accepted for publication 30 May 2012. transport. Some results of this paper were presented at the 4th Small Systems Simulation Symposium, Niš, Serbia, February 12-14, 2012. This research was partially funded by The Ministry of Education and II. BACKGROUND Science of Republic of Serbia under contract No. TR32004. Slobodan Bojanić and Octavio Nieto-Taladriz are with Universidad Privacy is normally assumed as the ability of an individual Politécnica de Madrid, ETSIT Avenida Complutense nº 30, 28040 Madrid, to be left alone, out of public view, free from surveillance or Spain, e-mail: {slobodan, octavio}@die.upm.es. interference from others (individuals, organizations or the Srdan Ðorđević is with the Department of Electronics, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14, 18000 state) and in control of information about himself. Privacy is Niš, Serbia, e-mail: [email protected].

DOI: 10.7251/ELS1216092B ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 93 not a plainly delineated concept and is not simply the aspects of networks and intelligent electric systems. specifications provided within laws and regulations. Furthermore the integration requires fast data transfer Furthermore, privacy should not be confused, as it often is, architectures between grid control areas and between with being the same as confidentiality; and personal distribution and transmission system operators’ systems: a information is not the same as confidential information. huge amount of data has to be exchanged as much as possible Confidential information is information for which access in real time and with a high reliability between areas in order should be limited to only those with a business need to know to promptly react to any change in the grid operation and that could result in compromise to a system, data, parameters. To exploit economies of scale and to provide application, or other business function if inappropriately scalable solutions, the deployed field devices and systems shared. have to be as much as possible interoperable and standardized. Privacy was not of particular concern for many decades in The introduction of smart meters makes the process more the electricity networks which have provided the vital links complex in that the data subject will provide suppliers with between electricity producers and consumers with great insights into personal routines. There is a big difference in success. The basic architecture of these networks was circumstances between countries, ranging from those where developed in most countries to meet the needs of large, rollout is largely complete following government mandate to predominantly carbon-based generation technologies. Since those where no meters have been installed. Furthermore high Europe is committed to the 20-20-20 targets to reduce carbon volumes of data coming from smart monitoring devices and emissions and to secure energy supply, energy efficiency and smart meters must be managed efficiently. Such growth in renewable energy are seen as solution to attain this goal. Both data flow needs to be organized and structured to be relevant measures call for changes in the energy supply system leading information ready for distribution and communication. to smart grids as key enablers for the required innovation. The new approach in energy systems should be based on The Smart grid is usually defined as an intelligent role based data access. Namely, data must be owned by and electricity network that combines information from users of located at the data “originator” from where will be utilized for that grid in order to plan the supply of electricity more all relevant purpose - but with restricted access to what is effectively and economically that was possible in the pre- relevant for the owner of the data. This calls for enhanced smart environment. It is a challenge to efficiently integrate the activities for ensuring high level of cyber security and respect behavior and actions of all users connected to it – generators, of the privacy issue. One important step in this process is data consumers and those that do both – in order to ensure minimization in respect of purpose and time limitation and economically efficient, sustainable power system with low data quality. Namely data should be collected for specific, losses and high levels of quality and security of supply and explicitly defined and legitimate purposes and not further safety. A further step will be energy optimization crossing the processed in a way incompatible with those purposes. Data domains of electricity, gas and heat. quality supposes that they are adequate, relevant and not excessive in relation to the purposes for which they are collected and/or further processed as well as accurate and, where necessary, kept up to date. Furthermore data needs to be retained only for as long as is necessary to fulfill that purpose.

III. STAKEHOLDERS Smart Grids include a much wider area than smart metering which is an important first step towards a Smart Grid. Smart meters bring intelligence to the ‘last mile’ between the grid and the final customer. Without this key element, the full potential of a Smart Grid may not be realized. Being that only few countries in Europe have undertaken a full deployment of Fig 1. A conceptual model of the Smart Grid. smart meters actors involved in the sector should draw from Key factors comprise the degree of decentralization of the existing experiences and take account of best practices in system components and their interrelation with electricity place. networks, the variability of renewable generation, the The smart metering brings with it the potential for increased distance between electricity generation and numerous novel ways for processing data and delivering consumption, the intelligence level of the involved systems services to consumers. Whatever the processing, whether it is created by smart products and associated smart services, the similar to that which existed in the pre-smart environment, or legal framework, the associated regulation of market based unprecedented, the data controller must be clearly identified, product and service choices versus natural monopoly products and be clear about obligations arising from data protection and services and the business roles for actors involved in all legislation including Privacy by Design, security and the 94 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 rights of the data subject. Data subjects must be properly presenting a comprehensive view on all specific aspects of informed about how their data is being processed, and be smart metering programs across the countries. There is a huge aware of the fundamental differences in the way that their data variation in circumstances between countries, ranging from is being processed so that when they give their consent it is those where rollout is largely complete following government valid. mandate to those where no meters have been installed. There Therefore the following Smart Grid stakeholders are: is also much variation in the level of involvement from DPAs • Grid users including/composed of grid operators, grid and in the nature of the market across member states, and customers and meter operators where responsibility lies with installation of meters. In some • End customer (domestic or commercial) countries, publicly owned utility companies are responsible. • Municipalities including energy retailers Elsewhere, there is a competitive supplier market. Distribution • Politics system operators have a more prominent role in some • Industries countries. • Consumer organizations The smart grid brings a completely new and complex model • Politics/society. of inter-relationships that poses challenges for the application It can also be viewed through various domains of data protection. In this emerging area it is fully expected interconnected by secure communication flows and flows of that new data protection problems and solutions will evolve as electricity as presented in Fig. 2. more smart meters and smart grid components are installed. It is obvious is that mass deployment of smart meters is already happening, so there is urgency to comprehend the way that smart meters process personal data, and the issues that this raises. The issues of general concern warrant serious consideration by all those involved in this area. Since data in Smart Grids might contain privacy sensitive information the principles such as privacy by design and default should be involved. The personal data is being processed by the meters, so data protection laws apply. Data controller must be clearly identified, and be clear about obligations arising from data protection legislation, security and the rights of the data subject whatever the processing, whether it is similar to that which existed in the pre-smart environment. Data subjects must be properly Fig. 2. Interaction among actors in Smart Grid. informed about how their data is being processed, and be

aware of the fundamental differences in the way that their data Data processing service providers in provision of data is being processed so that when they give their consent it is processing services are in charge of respecting consumer valid. privacy. Basically the smart meter takes a reading which reflects the energy usage at the property. At some point that IV. PRIVACY THREATS reading, along with other information, can be transmitted outside the property. In some models it will be sent directly to The privacy implications are numerous for smart grid a central communications hub where the smart meter data are technology deployment centers on the collection, retention, managed. Once there, it can be accessed by DSOs, suppliers sharing, or reuse of electricity consumption information on and ESCOs. It appears that the DSOs will have to face the individuals, homes, or offices. Basically, smart grid systems greatest changes to make smart grids a reality. That is because will be multidirectional communications and energy transfer of the growing distributed character (resulting in growing networks that enable electricity service providers, consumers, bidirectional power flow at all voltage levels) and variability or third party energy management assistance programs to of generation, customer privacy issues, system security, data access consumption data. In addition, if plans for national or and information processing for new applications and concepts transnational electric utility smart grid systems proceed as such as Virtual Power Plants, etc. currently proposed these far reaching networks will enable Also multiple and complex methods of communication, data collection and sharing across platforms and great with additional entry points and data paths creating distances [7]-[11]. complicated security challenges requiring solutions that As consumer privacy is a key factor in the change towards encompass them all should be taken onto consideration. Given smart energy systems thus data access and ownership and the the complex and disparate landscape, the task of producing permission to gather data need to be very carefully considered. privacy solutions is quite challenging, and at this stage it At the same time, consumers should be well informed about seems that they can only be general, rather than specific. who deals with their data. It has to be emphasized that it is the The disparity of the current position does not allow consumer who owns his data, no one else, and therefore he is ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 95 entitled to appropriate rights and protections.

Fig. 3. Consumer profiling by energy.

A list of potential privacy concerns in Smart Grid systems • Activity Censorship include: • Decisions and Actions Based Upon Inaccurate Data • Identity Theft Profiling • Determine Personal Behavior Patterns • Unwanted Publicity and Embarrassment • Determine Specific Appliances Used • Tracking Behavior of Renters/Leasers • Perform Real-Time Surveillance • Behavior Tracking (possible combination with Personal • Reveal Activities Through Residual Data Behavior Patterns) • Targeted Home Invasions (latch key children, elderly, • Public Aggregated Searches Revealing Individual etc.) Behavior. • Provide Accidental Invasions

96 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Fig. 4. Logical separation of metering and energy management. are made such as privacy vs. security, demonstrating Additionally, plans are underway to support smart grid that it is possible to have both. system applications that will monitor any device transmitting a 5. End-to-End Security — Full Lifecycle Protection signal, which may include non-energy-consuming end use extending securely throughout the entire lifecycle of the items that are only fitted with small radio frequency data involved — strong security measures are essential identification devices (RFID) tags may be possible. Whereas, to privacy, from start to finish. This ensures that all data in Europe energy theft and privacy are the most important are securely retained, and then securely destroyed at the concerns related to Smart Grid implementation, in other parts end of the process, in a timely fashion. of the world (e.g. in the US) it is energy theft and malevolent 6. Visibility and Transparency — thus its component parts attacks that are the main concerns. and operations remain visible and transparent, to users and providers alike. Remember, trust but verify. 7. Respect for User Privacy — Keeping it User-Centric V. PRIVACY PRINCIPLES appropriate notice, and empowering user-friendly The increased amount of personal data being processed, the options. possibility of remote management of connection and the Yet, privacy concerns still need to be transposed into likelihood of energy profiling based on the detailed meter specific, precise and non-ambiguous technical requirements if readings make it imperative that proper consideration is given they are to allow the security industry to competitively design to individuals’ fundamental rights to privacy. The reference and develop privacy-compliant solutions and services. The architecture for the home/building, pointing out the different Privacy by Design concept should, at its turn, be better logical blocks, and can be easily integrated in the whole detailed in order to allow for its practical implementation in system architecture is shown in Fig. 4. It is not related to a concrete cases. specific hardware design, but merely shows a logical There are also OECD Privacy Guidelines: separation of functions without predefining where and how 1. Collection Limitation Principle: There should be limits those functions are implemented. to the collection of personal data and any such data Privacy by Design (PbD) is a concept to address the ever- should be obtained by lawful and fair means and, where growing and systemic effects of Information and appropriate, with the knowledge or consent of the data Communication Technologies, and of large-scale networked subject. data systems [12]. The objectives of Privacy by Design — 2. Data Quality Principle: Personal data should be ensuring privacy and gaining personal control over one’s relevant to the purposes for which they are to be used information and, for organizations, gaining a sustainable and, to the extent necessary for those purposes, should competitive advantage — may be accomplished by practicing be accurate, compete and kept up-to-date. the following seven Foundational Principles: 3. Purpose Specification Principle: The purposes for 1. Proactive not Reactive; Preventative not Remedial which personal data are collected should be specified measures by anticipating and preventing privacy not later than at the time of collection and the invasive events before they happen. PbD does not wait subsequent use limited to the fulfillment of those for privacy risks to materialize, nor does it offer purposes or such others as are not incompatible with remedies for resolving privacy infractions once they those purposes and as are specified on each occasion of have occurred — it aims to prevent them from change of purpose. occurring. In short, Privacy by Design comes before 4. Use Limitation Principle: Personal data should not be the-fact, not after. disclosed, made available or otherwise used for 2. Privacy as the Default Setting i.e. ensuring that purposes other than those specified in accordance with personal data are automatically protected in any given Principle 3 except — with the consent of the data IT system or business practice. If an individual does subject; or by the authority of law. nothing, their privacy still remains intact. No action is 5. Security Safeguards Principle: Personal data should be required on the part of the individual to protect their protected by reasonable security safeguards against privacy — it is built into the system, by default. such risks as loss or unauthorized access, destruction, 3. Privacy Embedded into design and architecture of IT use, modification or disclosure of data. systems and business practices. It is not bolted on as an 6. Openness Principle: There should be a general policy add-on, after the fact. The result is that privacy of openness about developments, practices and policies becomes an essential component of the core with respect to personal data. Means should be readily functionality being delivered. Privacy is integral to the available of establishing the existence and nature of system, without diminishing functionality. personal data, and the main purposes of their use, as 4. Full Functionality — Positive-Sum, not Zero-Sum, by well as the identity and usual residence of the data accommodating all legitimate interests and objectives controller. in a positive-sum “win-win” manner, not through a 7. Individual Participation Principle: An individual should dated, zero-sum approach, where unnecessary tradeoffs have the right: a. To obtain from the data controller, or otherwise, confirmation of whether or not the data ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 97

controller has data relating to him; b. To have There are several reasons for the retention of personal and communicated to him, data relating to him. technical data within smart metering. Depending on future 8. Accountability Principle: A data controller should be developments and desired functionality there can be even accountable for complying with measures that give more of them. effect to the principles stated above. Data can be sent to the controller in real-time or be stored in the smart 1. Network Maintenance. The utilities need some data, both meter. In both cases however, under the Data personal and technical in nature, that is required for standard Protection Directive, it is considered that the data have network operation. For this kind of data, in many cases there been collected by the controller. is little reason for long term retention – if it had not been used As part of the Privacy by Design process, security and within a week, the data usually provides little benefit. For privacy risk assessments will identify the potential risks to some long term maintenance functions, utilities need to store data security. Given the novel and vast prospect that is in store information for a longer period of time. In this case, the with the smart grid and its associated technologies, the task of information could be aggregated, either over several users as anticipating security requirements is a challenging one. In to be large enough to ensure privacy, or by deriving very order to mitigate risk, the approach should be end-to-end, coarse grained information about a single customer (e.g. incorporating all parties and drawing on a broad range of assigning one of ten customer profiles). Some cases (e.g. local expertise. Security should also be designed in at the early legislation) may warrant the retention of more detailed data stage as part of the architecture of the network rather than for a specific purpose. Some operators will need detailed data added on later. Appropriately robust security safeguards must and some might be satisfied on aggregated or anonymised be in place that should apply to the whole process including data. Hence, special attention must be paid to those operators the in-home elements of the network, the transmission of who process personal data (i.e. non-aggregated and not personal data across the network and the storage and anonymised). processing of personal data by suppliers, networks and other 2. Billing and payments. Certain data must be retained in data controllers. Security is a path, not a destination. Security order to compute the electricity bill. An estimate on the is about risk management and implementing effective counter retention time is around a year, but depends on payment measures. intervals. There is a difference among the countries between The technical and organizational safeguards should cover at the current practices on frequency when the customer is billed. least the following areas: • The prevention of unauthorized disclosures of personal 3. Taxation. Utilities need to maintain some financial data (i.e. data; on their income) for tax purposes for a specified time (tax • The maintenance of data integrity to ensure against records). It seems that tax record can sufficiently rely only on unauthorized modification; the top-line figures (e.g. the final sum of bills/invoices). This • The effective authentication of the identity of any would not include detailed data on electricity consumption recipient of personal data; (e.g. the 15-min interval meter readings.). • The avoidance of important services being disrupted due to attacks on the security of personal data; 4. Added Value Services. These are additional services, apart • The facility to conduct proper audits of personal data from energy supply, provided by the utility and/or third parties stored on or transmitted from a meter; on a commercial basis. These are of high business-related • Appropriate access controls and retention periods; importance for distributors and suppliers. They are capable of • The aggregation of data whenever individual level data providing more benefits for all Smart Grids actors (e.g. energy is not required. savings), but also of too big an intrusion to private live. At One of the aspects which can cause public trust to diminish present, we do not know much exact examples of such is the retention of data. Namely data retention for the purposes services. Yet nobody can predict what market value for third of smart metering needs an in depth analysis. since smart parties can have the detailed data on energy consumption. metering, by collecting and processing data on all electricity Two simple examples can be given as the optimisation of flows within the grid, is capable of contributing to ubiquitous energy consumption (e.g. ‘join the savings programme’) and surveillance of the energy consumers by collection of facts goods or services offered thy third parties: (e.g. ‘since it is known that you do not use much electricity after 8 pm, go to and details arising from consumption of electricity i.e. the cinema half price’). profiling. Depending on the actual technical design of a particular electricity grid, smart metering can have a profound 5. Law enforcement. There are a number of points of interest negative impact on privacy. A suggestion that privacy is for law enforcement (e.g. police, intelligence, tax and customs jeopardized may cause public trust to diminish unless proper authorities) in smart metering’s data retention. Here we are transparent strategies are in place to convince the people. touching criminal law and thus the rules on due process (fair Data retention covers storing data (personal or any other trial) and presumption of innocence must be observed. type) for meeting various legal and business data archival requirements, as well as backup and historical purposes. 98 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

6. Policy-Making. The state itself (as a regulator) might be As it is currently almost impossible to ensure the full interested in data retention for the policy-making purposes. It anonymisation of personal data and it is often possible to ’re- is a matter of energy security and production planning, among identify' or 'deanonymise' individuals hidden in anonymised others. data with astonishing ease, only aggregated data should be used to the maximum possible extend. Considering significant 7. Profiling, red-lining and discrimination. The detailed data privacy threats, we ask for privacy impact assessment to be on electricity consumption might interest various commercial conducted prior to the smart meter roll out. actors outside the electricity market, among others. The Usually in data retention, data that is anonymised is retained data are vital for making a customer’s profile, as the considered non-personal because the data subject can no creation of a profile highly depends on retained personal data. longer be identified and thus is not affected by the data The ‘profiling’ means ‘an automatic data processing technique protection framework. However, it is almost impossible to that consists of applying a ‘profile’ to an individual, ensure the full anonymisation of personal data and it is often particularly in order to take decisions concerning her or him or for analyzing or predicting her or his personal preferences, possible to ‘re-identify’ or ‘deanonymise’ individuals hidden behaviors and attitudes.’ A ‘profile’ is ‘a set of data in anonymised data easily by using e.g. advanced algorithms characterizing a category of individuals that is intended to be or conjunction with other data sets. Therefore in some cases applied to an individual. Such profiling might lead to denial of the means likely reasonably used for identification would services or increase their cost should a profile proves to be allow for the identification of the data subject and in somehow dangerous or risky (‘red-lining’). Furthermore, even consequence would lead to the processing of personal data a simple and non-detailed profile of energy consumption which are subject to data protection principles. might facilitate commission of certain types of crimes. In respect with the aggregation of personal data, it is not clear how many persons one needs to aggregate on to protect VI. TECHNICAL SOLUTIONS individual data. This is also very context- and data dependent. Final report of the CEN/CENELEC/ETSI Joint Working Some research indicates that the minimum number of users is Group on Standards for Smart Grids [5] presents WAN around 7 to 8, but in many circumstances it will be more. In interface to AMI subsystem & Head-End is used to connect case a meter is ‘adjacent’ to a household (i.e. majority of the meter, a Local Network Access Point, or a Neighbourhood situations) we cannot say that we have any kind of data Network Access Point to a Central Data Collection system. aggregation. There are simply too few inhabitants in such a Typical interface platforms for these interfaces are PSTN household. networks, public G2 (GPRS) and G3 (UMTS) networks, DSL On the other hand, it is possible to have a smart meter that or broadband TV communication lines, power line is able to ‘tell’ which exact device was used at a particular communications (PLC), either in narrowband or broadband. time. It is easy to build in and there is actually a lot of research The Head-End systems are the central Data Collection in de-aggregating the readings. It is certainly easy to identify Systems for the Advanced Metering Subsystem. Head-end big devices like a washing machine, tea kettle, etc. But even if systems are typically part of an AMR (automatic meter data is aggregated over devices, it is critical – you can tell reading) or AMM (automatic meter management) solution. when one comes home in the evening, even if one does not The interface towards the gateways and data concentrators know whether the device one uses then is light, the tea- (Network Access Points) is being standardized with cooker, or a computer. Hence, the concept of data aggregation Mandate M/441 whilst the interface from head-end systems is here obstructed. Moreover, technical standards and systems towards central ERP and meter data management systems is should be developed with a focus on upgradeability to covered by other IEC TCs, e.g. IEC TC 57 (61968-9). safeguard end to- end security ensuring the overall intelligent Little work exists on the design of technical solutions to metering system is future-proof and ready to cope with future protect privacy in the smart grid [13]. Wagner et al. propose a challenges. privacy-aware framework for the smart grid based on Standardization of smart grids is neither straightforward semantic web technologies. Garcia and Jacobs design a due to the huge number of stakeholders, the necessary speed, multiparty computation to compute the sum of their the many international activities and the still changing consumption privately. The NIST privacy subgroup suggests solutions make it a difficult task. Specific for the data privacy anonymizing traces of readings, as proposed by Efthymiou et aspects, the consumer groups are asking for clear regulation al., but also warns of the ease of reidentication. Molina et al. around frequency of meter reading and usage of data. It is highlight the private information that current meters leak, and stressed that only data necessary to perform Smart Grid tasks sketch a protocol that uses zero-knowledge proofs to achieve should be collected and utilised. At the same time, whilst privacy in metering. Kumari et al. propose usage control acknowledging benefits, Smart Grid/Meters should be mechanisms for data shared by smart meters connected to web designed for privacy and security. based social networks. Currently, there is no publically available reference It is equally important to make the principle of privacy by- architecture for Smart Grids with references to how privacy is design mandatory, including principles of data minimization designed into the core functionality, referring to all standards and data deletion when using privacy enhancing technologies. and principles for IT systems, business practices, and physical ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 99 designs and networked infrastructures. data protection. The wide ranging nature of the issues Furthermore like in any other ICT-based infrastructure, presented by smart metering hinders to encompass an cyber security has to be deeply analyzed. A single point of exhaustive list of privacy and security points. In this emerging failure or back-door in the grid management system may be area, it is fully expected that new data protection problems and exploited to cut electrical supply to a country, resulting in solutions will evolve as more smart meters are installed. The enormous economic losses and endangering the whole massive rollout of smart meters is already happening, so there community. Also, SmartGrids based ICT will transfer a lot of is urgency to manage the way that smart meters process sensitive data that can be exploited to breach privacy, personal data, and the issues of general concern which warrant consequently, research has to ensure that state-of-the-art data- serious consideration by all those involved in this area. protection and data-privacy approaches are taken into account. To this end the communication systems and ICT should be REFERENCES upgraded to fast and diversified paths of data infrastructure. [1] ANEC/BEUC POSITION ON ENERGY EFFICIENCY, Joint Apart from consumer information handling in respect with ANEC/BEUC position paper on the Commission's Communication security, privacy and data Protection, handling of huge “Energy Efficiency Plan 2011” [2] Article 29 Data Protection Working Party, Opinion 12/2011 on smart amounts of data, the research issue is also the analysis of metering, WP 183, 4.4.2011 central versus decentral management. [3] BEUC Response To CEER Public Consultation On Demand Response Also Privacy Enhancing Technologies have to play Programmes [4] Cavoukian A., Privacy By Design …Take The Challenge, Book. significant role in the case of Smart Grids. While modern data [5] CEN/CENELEC/ETSI Joint Working Group, Standards for Smart Grids, mining technologies undermine classic protection, new Final report, 4 May 2011. advances in cryptographic techniques have become practical, [6] Elster’s White Paper, Privacy Enhancing Technologies for the Smart and allow us to build systems that do not require the sharing Grid, 4.10.2011 [7] European Commission, COM(2010) 609, A comprehensive approach on of personal information. In a nutshell, it has become possible personal data protection in the European Union Brussels, 4.11.2010 to compute almost arbitrary functions on encrypted data, i.e. [8] European Commission, COM(2011) 202, Smart Grids: from innovation the entity that handles the data never learns any input, only the to deployment, Brussels, 12.4.2011 [9] European Technology Platform SmartGrids, Strategic Deployment result of the computation. Document for Europe’s Electricity Networks of the Future [10] Kursawe, K., Danezis, G. and Kohlweiss, M., Privacyfriendly VII. CONCLUSION Aggregation for the Smart-grid. [11] NISTIR 7628, Guidelines for Smart Grid Cyber Security, September Privacy and data protection challenge is arising in the move 2010 from the electricity grid towards the Smart Grid being [12] PbD, SmartPrivacy for the Smart Grid, November 2009. unprecedented in terms of scale and complexity. This weighs [13] Rial, R. and Danezis G., Privacy-Preserving Smart Metering, WPES11. [14] The Task Force Smart Grids Expert Group 2 report on “Essential even heavier dealing with critical infrastructure, in some cases Regulatory Requirements and Recommendations for Data Handling, unclear goals, some players moving into domains they have Data Safety, and Consumer Protection”. 06 June 2011. little experience in, a potentially huge privacy impact and an [15] European Technology Platform SmartGrids “Strategic Research Agenda for Europe’s Electricity Networks of the Future - SmartGrids SRA in-vivo implementation. An entirely new and complex model 2035” March 2012. of inter-relationships poses challenges for the application of

100 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Advanced DC Motor Drive for Haptic Devices

Miroslav Boži ć, Darko Todorovi ć, Miloš Petkovi ć, Volker Zerbe, and Goran S. Đor đevi ć

Abstract —Haptics covers many different forms of mechanical that will engage the skills in reliable manner of timing, interaction with human senses by engaging, touch, vibrations and sequencing or scaling. For that, we still need a surgeon that has forces/torques, established for the purpose of augmenting the all theoretical and practical knowledge while the robot will be feedback structure during human-machine interaction. A haptic device has mechanical part, moved by actuators from one and only its extension to-wards better precision in positioning and human hand or fingers from the other, actuators, drives, sensing applying force, less tremor, leading to successful and less elements, as well as algorithms designed to control the interaction invasive surgery. However, the most important achievement of between human and machine in positioning and motion control robotic surgery is that it might help less trained surgeon to tasks. With such a system, motors can be controlled in a way to perform a standard surgery in a more reliable way but its simulate various environments, defined by their material and overall success is limited within a scope of human skills. There dynamics, for example pushing soft ball uphill. Haptic devices are becoming more popular in medical applications after introduction is no surgery or diagnostics that robot can do while human of modern medical robots with many different extensions for can-not. Even worse for robotics, statistical evaluation of minimally invasive surgery or diagnostics based on palpation. robotic-assisted surgery (RAS) vs. manual surgery shows no This paper discusses one DC motor driver custom designed for obvious benefit to patient’s health, as RAS takes longer, it the purpose of designing a haptic device for medical applications. requires skills available only to additionally trained surgeons located at top-notch hospitals, and robotic surgery systems are Index Terms —Haptics, DC motor drive. still not developed enough at the point where human get hands on the robot. The weakest point of today’s surgical robot I. INTRODUCTION technology is its human-machine interface part as the variety TEPPING out of industry was a challenging task for of interactions at that port is huge and so complex no modern Srobotics scientists and engineer. Even now, after more than technology tools and algorithms can provide its dependability. 25 years after establishing a first medical robot application The work presented in this paper aims the technology that where PUMA560 robot was placing a needle for brain biopsy will enable better HMI based on mechatronic system often using CT guidance, still we have no autonomous robot ready called joystick, actuated with high performance DC motors. for any medical intervention. In reality, we are witnessing very We developed Advanced Motor Drive for Haptic Devices effective robot installations reaching the level of restrained (AMD–HD) with plenty of interfaces, functions, and processor medical assistants, reliably and passively replicating or support that can handle even motor skills of humans based on augmenting human manual commands presented at the data after extensive exercising. The drive can be coupled with handles, joysticks or specially designed mechatronic the other drives towards programmable bilateral interaction interfaces. The most prominent is Intuitive Surgical’s da Vinci thus leaving a global control effort for the upper level where Surgical System. Simple but effective explanation is that living the strategy of interaction is considered. This paper describes organisms are so complex in their structure and emerging the drive, its structure and purpose, accompanying software for forms, being healthy tissue or not, it requires another living drive programming to meet requirements needed for truly organism with abundance of sensorimotor skills to perform versatile haptic device. even the simplest surgical intervention. From engineering point of view, it can be said we can have programmed II. AMD–HD DRIVE DESCRIPTION elemental interaction but we cannot have a complete program The AMD–HD motor driver is designed to meet requirements such as precision, dynamics, reliability, Manuscript received 1 May 2012. Accepted for publication 30 May 2012. connectivity, and scalability of high performance DC motors Some results of this paper were presented at the 4 th Small Systems Simulation such as Maxon’s RE series motors are. It supersedes generic Symposium, Niš, Serbia, February 12-14, 2012. Maxon drives well known as expensive and not so reliable This paper is supported in part by PPP Mehmi project co-financed by DAAD and Ministry of Education and Science, Republic of Serbia, and in drives. Among other, more expensive and reliable drives on part by III44004-HUMANISM project financed by Ministry of Education and the market, we did not find any driver that will naturally suit Science, Republic of Serbia. the needs in haptic devices for fast model-based control Miroslav Boži ć, Darko Todorovi ć, Miloš Petkovi ć, Goran S. Đor đevi ć are with Department of Control Engineering, Faculty of Electronic Engineering, between the drive interactions due to the need of mechanical University of Niš, Serbia. [email protected]. cross coupling required for achieving desired mechanical Volker Zerbe is with University of Applied Sciences, Erfurt, Germany. impedance projected at human hand in the whole workspace. [email protected].

DOI: 10.7251/ELS1216100B ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 101

The AMD–HD is based on micro-controller system that TTL and Differential inputs from encoders are handled by handles all: velocity and torque estimation, speed and torque using 26LS32 line driver and 74HC157 Quad 2-Input control, position control, and even model-based control. Multiplexer as data selector. Encoder selection is possible Dedicated PC software handles GUI. The Driver and GUI within GUI software. Additional analog input 0 to 5VDC is software communicate via RS232/485 serial bus thus enabling available for position or velocity commands. Finally, two multiple drive control within the same supervisory application digital open collector inputs DI1 and DI2 are available for at PC side. drive configuration from the GUI. The Drive communicates with GUI at PC level via RS232 point to point and RS485 A. Drive properties multi point serial communication. The Drive nominal operating voltage is between 20 VDC and 90 VDC. Maximum output voltage is 72 VDC. Maxi-mum B. Current sensing output current 15 A (for less than 30 sec). Continuous output Current measurement is one of the most important pro- current is 10 A. Pulse Width Modulation frequency is 40 kHz. perties of the Drive, as required for mechatronic technologies While the sampling rate is programmable and can be as low as such as haptics. Precise current sensing can be related to the 10 kHz, maximum motor speed is limited by maximum interaction force exerted between mechanics (linked to the permissible speed (motor) and max. output voltage motor) and human hand. For that to happen, it is crucial that (controller). The dimensions of the drive are WxLxH: mechanics efficiency of all transmissions (primarily, from 148x148x40mm. Total weight with cooler is approx. gearbox, and secondary) is as low as possible and considerable 150grams. amount of human machine interaction is feed back to the Photo of the AMD–HD driver is shown on Fig. 1, with main motor shaft for further estimation of cur-rent. The nature of modules numbered as: such interaction points out sensitivity over bandwidth as hand 1. Power Supply Unit movements are more soft and slow than strong and fast. 2. Control Unit Having that in mind we choose LEM HXS10-NP current 3. Motion Feedback Module sensing element. Sensor output is fed into non-inverting 4. Analog-Digital IO module CMOS Op-Amp MCP601. The gain is set so that 1VDC 5. Communication module corresponds to motor current of 1A. By setting up resistance 6. Motor current sensing module the maximum gain is 2.5VDC/10A. The amplified signal is 7. H-bridge brought to analog input of micro–controller. The digital signal The Drive has dedicated Power Supply Unit, with standard of current sensed is sent to GUI at PC. +5V, +12V, +V DC voltages for H-bridge. Noise is reduced Having in mind that output voltage of LEM sensor is: by DC-DC converter with +V at input. Additional stabilization ⋅l of voltage at the converter output supplies control circuitry. = ± 0.625 M VLEM V REF , Microchip’s PIC18F4431, besides its standard peripherals, has lMAX 4 independent complementary 14bit PWM modules; motion and the gain of amplifier is: feedback module for data logging from quadrature signals of incremental optical encoder, 200 ksps 10bit AD converter; all R G =1 + 3 , making it almost perfect for the task we are targeting. Both, R2 then, the voltage at the microcontroller input is ⋅l = ±0.625 M ⋅ VMCU V REF G . lMAX C. GUI software Dedicated GUI software, MCA-1 Monitor, handles all drive settings, PC peripheral configuration, data recording and visualization. Its main widow is shown in Fig. 2. This application handles: 1. COM port selection on PC where the driver is connected, 2. Address selection to be used by driver, for read and write of new parameters, 3. Free address assignment to non-configured driver 4. Inspection of Drive parameters such as: type, driver supply voltage, motor nominal voltage, maximal pulses of incremental optical encoder, encoder output signal type, motor brake operating voltage, gearbox ratio, digital input Fig. 1. AMD-HD DC motor drive. function selection.

102 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

Fig. 2. Main window of MCA-1 monitor GUI

5. PWM duty cycle selection along with direction of rotation. 6. Motor parameter inspection 7. Current sensor voltage 8. Motor current sensing 9. Communication log. This application also enables current data logging and Fig. 4. Secure communication timing diagram. grahipical visualization in time-based diagrams, as shown in via RS232 PTP or RS485 MP connection. Jumpers on the Fig. 3. board are used for type selection. Also, two drives can be Arbitrary interaction with gearbox shaft with fingers attached via I2C bus, but only the Master of the two drives can produces the torques sensed and recorded by the driver. From be controlled while the Slave will follow the Master. Message Fig. 4, it is obvious that calculated motor current, shown with exchange protocol is explained with the timing diagram given blue line, compared to LEM current sensor output, shown with in Fig. 4. red line, has very similar dynamics, almost without phase shift, At first, we defined the time diagrams that describe the flow and gain increase of approximately 82. The phase shift and of messages and rules of communication between devices on filtering properties of the two signals should be correlated for global level shown on Fig. 4. Here we can see two timelines; further elaboration. first timeline is associated with AMD-HD (DC motor driver) D. Communication Protocol and second is associated with PC which acts like a master device in communication. Because we use RS485 serial With rapid development of embedded systems, and communication protocol on physical layer, we must have at significant price and development time reduction, distributed least one master node at a time. As you can see on figure xx, data gathering and local processing, based on reusable the CRC is added at the end of each message and checked on modules is becoming the mainstream model for rapid each side. If CRC is not equal with calculated one, then the prototyping and final product development. In order to fulfill message retransmission is requested from both sides. In some all the needs in matters of bandwidth, reliability and modes, like PC monitoring, retransmission is not requested information security, appropriate protocol for communication because the new driver state will be sent in next message between DC motor driver and PC, as well as between drivers again. The message with error will not be considered in that themselves was defined, and communication libraries for .Net case. If 10 messages in a row come with errors then there is a and microcontroller were developed. communication failure and communication is stopped, to be The AMD–HD driver communicates with PC GUI software sure not to make some damage. Every message has defined structure and meaning. The structure of message is determined by the MUN (Message Unique Number) field. Based on this field, recipient can determine type of message, length and order of useful bytes. On Fig. 5 the structure of each message is shown.

Byte Byte Byte Byte Byte 0 Byte 1 Byte 2 2+n 2+n+1 2+n+2 2+n+3 ADR_ ADR_ DATA_ MUN NBR # CRC REC SEND BYTES

Fig. 3. Recorded voltage signal (at LEM sensor output) and calculated Fig. 5. Message format. motor current.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 103

First and second byte, define addresses of receiver and sender respectively. Third byte is already mentioned MUN byte, after which useful, data containing bytes are defined represented with DATA_BYTES. After useful data bytes, message length byte is placed and used for decoding purposes, after which termination byte represented with “#” character. At the end of the message calculated CRC is placed. Decoding on receivers side starts with finding the termination character and Fig. 6. Drive (to the left) and geared motor RE40. comparison between counted and the length of message in NBR byte. At the end CRC is checked and message is declared along with gear-motor-sensor application is shown in Fig. 6. good or with errors.

E. Simulation IV. CONCLUSION Modeling of H-bridge motor driver is considered trivial as Advanced drive for DC motors is designed with focus on extensive research was published in the last decades such as driving haptic devices with such system. It has several [1], and [2]. Modeling of Maxon DC Motors in Simulink is advantages as compared to the drives met on the market. First, already done as well, and one of better models is found in [3] it has high sensitive current sensor LEM HXS10-NP included as a freeware. We have used that motor mo-del but with meaning we can measure the interaction with environment catalogue data for Maxon motor RE40 [4, 5] as parameters. (even through a gearbox of modest ratio) by measuring the From practical point of view these simulations are not needed current. Also, it can operate simultaneously with other drives, in haptic devices. Instead, for safety reasons we do need state exchanging data on current, velocity, position and actual state transition diagrams of the drive for safe interaction with human of the motor. This makes it very useful in integrating it into a operator. This should also include the safety system tailored hierarchical control system where upper level of control is for such purposes. done at embedded controller while the motion itself along with interaction is left to be handled at the driver side. This is III. EXPERIMENTAL SETUP particularly useful in haptic devices where the interaction is complex dynamics and it requires special calculations. Finally, This study and development has been undertaken for on-board processor can be used for customized model-based projects related to medical applications where human-machine control (analytic or data-based) thus making this drive a interface is needed through controllable bilateral mechanical perfect companion of the motor in the given mechatronic interaction. We chose high performance Maxon DC motor system. RE40, code 263075, operating on 48VDC, with 987 rpm no load speed, maximum continuous torque of 0.19Nm and REFERENCES torque constant of 461mNm/A, [4]. Such a motor is recognized among the others of the same family as the best [1] V. Gupta. “Working and analysis of the H - bridge motor driver circuit designed for wheeled mobile robots.” in Proc. Advanced Computer transformer of output torque into motor current. Its low speed Control (ICACC), 2010 2nd International Conference, pp. 441 – 444, also makes it very suitable to be used in haptic interfaces. ISBN: 978-1-4244-5845-5, 27-29 March 2010

Even alone motor has enough torque to produce sensible [2] Wai Phyo Aung. “Analysis on Modeling and Simulink of DC Motor and its Driving System Used for Wheeled Mobile Robot” World Academy force/torque on a standard mechanical device such as joystick. of Science, Engineering and Technology, ISSN 2010-37632, 2007 Here we added a high efficient planetary gearbox from the [3] S. Kozola and D. Doherty. (2007, May). “Using Statistics to Analyze same manufacturer with 4.3:1 gear ratio and 9.4gcm2 mass Uncertainty in System Models”, MATLAB Digest, [4] Maxon. “Maxon DC motor RE40 catalog page”, Internet: inertia [5]. Such a gearbox is very compliant backwards, http://test.maxonmotor.com/docsx/Download/catalog_2005/Pdf/05_083 meaning that motor can sense the torque applied on the _e.pdf [Oct. 12, 2011]. gearbox shaft without considerable loss of information. The [5] Maxon. “Maxon Planetary Gearhead GP 42 C catalog page”, Internet: motor is also equipped with standard incremental optical http://www.electromate.com/db_support/downloads/244245.pdf [Oct. 12, 2011]. encoder HEDS series with 500ppr. AMD-HD motor drive

104 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

How To Measure Oscillator’s Short-Term Stability Using Frequency Counter

Ivica Milanović, Snežana Renovica, Ivan Župunski, Mladen Banović, and Predrag Rakonjac

Abstract—In this paper a few methods of how to use frequency nominal frequency and φ(t) is phase deviation. counter in time-domain frequency stability analysis are In order to simplify further analysis, nominal voltage and described. Three implemented methods are presented. As an nominal frequency will be assumed as being constant. Also, it experiment, a comparison of the realized methods in the is assumed that the amplitude deviation is negligible in Technical Test Center (TOC) and the “references” obtained in the Directorate of Measures and Precious Metals (DMDM) in comparison with nominal voltage [3]. Due to that, the Belgrade are accomplished. The measurement uncertainty instantaneous frequency is equal to: estimation for time interval measurement with one frequency 1 dϕ t)( νν +=+= νν (2) counter is presented as well. 0 2π dt 0 ν

and it is the sum of a constant nominal value ν and variable Index Terms—Frequency, Frequency Counter, Oscillator, 0 Short-Term Stability. term νυ(t). We are not interested in large frequency deviations because we are talking about reasonably stable oscillators. Therefore, I. INTRODUCTION another restriction is: requency stability is one of the most important ν t)( <<νν 0 (3) Fspecifications of an oscillator. Stability does not specify The objective of the frequency stability analysis is to how much frequency is accurate, but how much it is stable characterize the phase and frequency oscillator fluctuations during observed time interval. If considered time intervals up with time [4]. In spite of that, we are primarily concerned with to 100 seconds (10 ms, 100 ms, 1 s, etc.), then we talk about the φ(t) term. so-called short-term stability. Otherwise, there is analysis of The aim is to determine the fractional frequency offset of long-term stability, and then we specify the oscillator’s oscillator (device) under test (DUT) and reference oscillator: stability for an hour, and more often for a day, a month, or a Δf ν t)( −ν 1 dϕ = 0 = = ty )( (4) year [1]. f ν 0 2πν 0 dt Stability is defined as the statistical estimation of the Measuring of frequency stability is a process which can be frequency or time fluctuations of a signal over a given time divided into a few steps [3]: interval. Statistical estimations can be presented in the − Preprocessing frequency or, more often, in the time domain [2]. To achieve − Collecting and storing data frequency stability in the time domain a set of a frequency − Outliers removal offset measurements have to be carried out, along with the − Noise type determination calculation of the collected data scattering. − Data analysis (statistics) II. THEORY OF FREQUENCY STABILITY MEASUREMENTS − Results interpreting - reporting Sine wave signal can be presented as: A. Preprocessing

0 += ε πν 0 +ϕ tttVtV )](2sin[)]([)( (1) Oscillator’s characteristics are highly dependent on where V0 is nominal voltage, ε(t) is amplitude deviation, ν0 is environment conditions, like a temperature change. Preprocessing includes preparing and monitoring those

conditions, and monitoring the electrical power quality [3].

Manuscript received 18 December 2011. Received in revised form 14 B. Acquisition March 2012. Accepted for publication 28 March 2012. Ivica Milanović is with the Technical Test Center, Serbian Armed Forces, Frequency stability is observed over some period of time. Belgrade, Serbia (e-mail: [email protected]). To determine it, we have to realize a set of frequency offset Snežana Renovica is with the Directorate of Measures and Precious Metals, Belgrade, Serbia (e-mail: [email protected]). measurements equally-spaced in time. The essential data is an Ivan Župunski is with the Faculty of Technical Science, University of Novi array of equally-spaced phase or frequency values taken at Sad, Novi Sad, Serbia. (e-mail: [email protected]). particular measurement interval. Phase data are preferred, Mladen Banović and Predrag Rakonjac are with the Technical Test Center, Serbian Armed Forces, Belgrade, Serbia (e-mail: [email protected]). because they can be used to obtain frequency data. This is not

DOI: 10.7251/ELS1216104M ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 105 always true if we want reverse analysis – absolute phase The stability is being improved as the averaging time (τ) cannot be reconstructed from frequency data, and all gaps in gets longer, because, in some cases, noises can be removed by frequency data will lead to loosing phase continuity [1]. In the averaging [1]. However, on some level further averaging no literature the sampling time or the measurement interval is longer improves the results – that level is called the “noise usually marked as τ0 [4]. The averaging time (τ) is a multiple floor”. of the measurement interval (τ0): The non-overlapping Allan, or two-sample variance, is the τ m ⋅= τ 0 (5) standard time domain measure of frequency stability [3]. where m presents the averaging factor. But, this kind of calculation can be performed by utilizing all possible combinations of data sets. This is so-called C. Outliers removal overlapping method [5]. It can be performed over the Standard System imperfection or some other external influences can Allan Variation in order to improve the confidence of a produce abnormalities in collected and stored data - some stability estimate: values will significantly exceed expected quantities. Those mM +− 12 mj −+ 1 2 1 data are called outliers, and they have to be removed from the y τσ )( = + − yy imi )( (9) 2 mMm +− )12(2 ∑∑ collected array of data, before further analysis is carried out. j =1 = ji The median absolute deviation (MAD) is a robust way to set where σ is Overlapped Allan Variation, and m is averaging the criteria for an outlier [3]. It is the median of the absolute factor. deviations of the data points from their median value (scaled), Allan Variance can be described both tabular or in log-log and is defined as: sigma-tau (σ-τ) diagrams. Those diagrams describe how much we need to average in order to get rid of the noise contributed ⎪⎧ i − my ⎪⎫ MAD = Median⎨ ⎬ (6) by the reference and the measurement system. ⎪ 6745.0 ⎪ ⎩ ⎭ There are several other variances which can be used, like where m is equal to Median{y(i)}. The factor 0.6745 makes Modified Allan, Hadamard, Total, Time Variance etc. the MAD equal to the standard deviation for normally However, Overlapping Allan Variance should be used as the distributed data. first choice [3]. An outlier criteria of 5·MAD [3] is usually a good choice. Another, maybe more common way, is to use next criteria: E. Confidence Intervals −<<+ 3)(3 smjxsm (7) Sample variances are distributed according to: ⋅ sedf 2 where x are data, j is number of data points, m is the mean χ 2 = (10) value of x, and s is the classical standard deviation of x. σ 2 where χ2 is Chi-square probability, edf is Equivalent number D. Statistics – data evaluating of Degrees of Freedom, s2 is the sample variance, and σ2 is the Frequency stability is a result of data taken in some period true variance. of time, yet the independent variable is not the running time t, The edf depends of number on data samples and the noise but the averaging time τ. Regarding that, the experimental data type. The lower and the upper bound of the sample variance cannot be accurately described as a stationary process, so the are: usual variances are not good way to express frequency 2 edf stability – the stationary concept means that observed process σ min = p << )10(, (11) χ 2 edfp ),( has its beginning and its end. Limited time intervals of observation are the main reason for inventing a new statistical 2 edf σ max = p << )10(, (12) tool called Allan Variance [2]. χ 2 − edfp ),1( It is developed in order to solve the problem that the where p is desired confidence factor. standard variance doesn’t converge to a single value for the non-white FM noises as the number of measurements is F. Noise type determination increased [1]. It is described as: The instability of the most frequency sources can be M −1 modeled by a combination of their frequency fluctuations 2 1 2 τσ )( = (− yy ) (8) Sy(f). Measure of frequency stability versus the time over y M − )1(2 ∑ +1 ii i=1 which the frequency is averaged can be presented as: where σ is Allan Variation, τ is averaging time, M is number α μ 2/ y )( ≈ orffS y )( ≈ ττσ (13) of fractional frequency values, and y is ith of M fractional i where S (f) is power spectral density, α is the parameter that frequency data averaged over the τ. y defines the noise model in a frequency domain, σ (τ) is While standard deviation subtracts the mean from each y frequency stability vs averaging time, and µ is the parameter measurement before squaring their summation, the Allan that defines the noise model in a time domain, and it is equal deviation subtracts the previous data point. This differencing to µ = -α-1. of successive data points removes the time dependent noise Some typical noises with α parameter values are shown in contributed by the frequency offset. Fig.1. 106 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

curve, we can determine the dominant noise type of the White Phase measured oscillator. α=2

III. ELECTRONIC COUNTERS IN TIME INTERVAL MEASUREMENTS Flicker Phase α=1 Frequency difference measurements can be carried out with

time interval counters – devices with two inputs (signal in one input starts the measurement, and signal in second input stops White Frequency it). In this case we have a comparison between two signals: α=0 the output signal from the reference oscillator and the output Amplitude Amplitude signal from the oscillator under calibration (device under test

Flicker Frequency – DUT). α=-1

Random Walk Freq. α=-2

Time Fig. 1. Simulated Noises in the Time Domain.

White PN – usually exists as a result of signal amplifying, and has no relation with resonance mechanism. Fig. 3. Using the time interval (or frequency) counter for frequency stability Flicker PN – it is related to resonance mechanism, and it is measurements – basic idea. usually made by noisy electronics. White FN – it is a common type for passive resonator This is the scheme which presents the basic idea of the frequency standards (cesium or ). They contain slave stability measurements [7]. A few methods are realized (usually quartz) oscillators whose frequency is “locked” to a according to that principle. resonance feature of another device. A. Reference oscillator Flicker FN – its physical cause is typically related to the physical resonance mechanism of an active oscillator, A measurement compares the DUT to a reference or electronics parts, or environmental properties. It is common in standard. The standard should have better short-term high-quality oscillators, but it can be masked by white FN or characteristic – the test uncertainty ratio (TUR) should be flicker PN in lower-quality oscillators. 10:1, or even higher. When we talk about short-term stability, Random Walk FN – it usually exists very close to the the most common types of oscillators can be arranged in carrier [6], and it is related to an oscillator’s physical ascending order: like the best quartz, then rubidium and then environment – mechanical shock, vibration, temperature, etc. the cesium commercial oscillators. Nowadays, the best In a σ-τ diagram those simulations can be presented as in standards for short-term stability are so-called BVA quartz Fig.2. oscillators (“Boitier a Vieillissement Ameliore”). For example, Oscilloquartz BVA OCXO, type 8607 with option 15 (short term option) has σ(τ) better than 1.5·10-13, for τ from 1 to 30 seconds. B. Frequency counters The frequency difference between DUT and standard is detected by a time interval counter. Frequency counters are most commonly used instruments with capabilities of the time interval measuring. There are conventional counters, reciprocal counters, counters with digital interpolation scheme, etc. [8]. However, when we are talking about the time interval measurements, a few characteristics of the counters are dominant: − Single-shot time interval resolution. It represents the number of digits that counter can display. This Fig. 2. Noises in the Time-Domain – σ-τ diagram. characteristic limits counter’s ability to measure frequency So, if we calculate the slope of the derived Allan variation offset, and determines the smallest frequency change that can be detected without averaging, ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 107

− Accuracy in the time interval measurements, deviation, etc.). Instead, counter outputs raw data – five binary − Dead time. It represents instrumentation delay between data form one decimal data (information of time interval successive measurements, value). − Trigger level timing error, HP 5370A is connected to a PC USB port with Agilent − Trigger offset, 82357A GPIB/USB interface. Short-time stability − Internal noises, measurement is automated with Agilent VEE Pro 7.0 − Aging of the oscillator and its temperature changes, software. Minimum sample time is 100 ms. − Asymmetry between channels (mismatch), When the measurement is finished program transforms binary data into decimal values, calculates Allan deviation and − Averaging capabilities, etc. draws σ-τ diagram. Frequency offset is calculated as: Some of those characteristics can be suppressed or even Δ Δtf overcome, and some of them cannot. The measuring −= (14) uncertainty calculation will show their effects on the short- f t term stability measurements. This will be discussed later on in where Δt is time interval between two successive a chapter VI. measurements, t is averaging time, and Δf/f is the fractional frequency offset. C. Frequency dividers or frequency mixers Further data analysis is carried out with the AlaVar 5.2 Most common output frequencies of oscillators are 5 MHz software. It removes outliers (according to (7)), gives Allan or 10 MHz. Since they are not practical to measure with variation table results and charts, and can determine dominant frequency counters, frequency dividers or frequency mixers noise type (five noise types, as is described in the chapter II- are used to convert them to lower frequencies. F). Despite the greater simplicity of the frequency dividers, frequency mixers are more used [1]. They are more expensive, B. Dual mixer time difference method require more hardware and additional oscillator, but they have This method is realized with: HP 5345A frequency counter, a much higher signal-to-noise ratio, and this is the main two HP 10830A frequency mixers, HP 5358A accumulator (as reason for their usage. an additional plug in the HP 5345A), and HP 59308A timing generator for HP 5345A external arming. D. Data logging

As a result, frequency counters give a set of frequency Trigger offset measurements. Those data can be written to a paper, or Frequency counter Timing generator (HP 5345A + 5358A accumulator) ARM (HP 59308A) stored into some external memory space, in order to be START STOP Agilent VEE software analyzed later. Agilent 82357A GPIB/USB PC (USB In order to avoid extra usage of counter hardware resources, port) and to suppress the measuring uncertainty and the dead time, Frequency mixer Frequency mixer some external accumulators can be used. At the beginning, (HP 10830A) (HP 10830A) analog plotters were used. They are changed with the IF LO RF IF LO RF accumulators – while the dead time data are sent to the accumulator’s memory. After the measurement, the data can Reference DUT Power divider oscillator be read out later on. The main disadvantage is memory space. Difference oscillator Nowadays, the interfaces between the counters and personal (Signal generator HP 8642B) computers extend the capability to store data directly to PC memory. Fig. 4. Dual Mixer Time Difference Method with HP 5345A.

IV. REALIZED METHODS FOR SHORT-TERM STABILITY As a difference oscillator HP 8642B signal generator is MEASUREMENTS used. It provides νb= 6 kHz beat frequency (6 kHz sine wave Two methods are realized in Technical Test Center signal). External arming of HP 5345A is with 200 µs pulses laboratory: Direct time interval measurement and dual mixer from HP 59308A. time difference method. The difference oscillator’s output (ν0±νb) is split by a power divider, and applied to each mixer. Further measurement is A. Direct time interval measurement method taken over 6 kHz IF signals. In this method Hewlett Packard 5370A universal time To calculate σ(τ) formulas (15) and (16) are used: interval counter is used. It has good time interval resolution – ν Δf b []()−−−= tttt )( (15) 20 ps in a single-shot. Also, it has capability to work in a i τ +1 iiii −1 binary mode of operation for time interval measurements – 1 N Δf )( 2 minimum time between measurements is 165 µs instead of τσ )( = i (16) y 2N ∑ 2 330 µs (like in a normal mode). This way counter does not j =1 ν 0 perform any type of statistical measurement (mean, standard where ti is time interval between 2 successive measurements, 108 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

νb is beat frequency, τ is averaging time, ν0 is nominal (carrier) Symmetricom 5071A high performance cesium standard, in frequency, and N is number of samples. DMDM`s time and frequency laboratory. This method was This measuring method is also automated with Agilent VEE assumed “reference”, which will be shown in the charts Pro 7.0. below. Because of the HP 5345A poor time interval measurement In Fig.6 the σ-τ diagram (Allan variance chart) for rubidium resolution (2 ns), this method is also configured with HP oscillator stability is shown. It was compared with two 5370A frequency counter. The configuration is the same, but different quartz oscillators for 5 MHz outputs, measured with timing generator was not used, because HP 5370A has no HP 5370A (direct measurement). In the range from 0.1 to 4 capability of the external arming. seconds the difference between DMDM and TOC results is significant. For τ=1s DMDM result is 5·10-12, and with direct -11 Frequency counter measurement 2·10 . Nevertheless, as manufacturer specifies (HP5370A in a binary TI mode) Allan variance better than 5·10-11, the conclusion for the START STOP Agilent VEE software averaging time 1s will not be wrong. Agilent 82357A GPIB/USB PC (USB port) Frequency mixer Frequency mixer (HP 10830A) (HP 10830A)

IF LO RF IF LO RF

Reference DUT Power divider oscillator Difference oscillator (Signal generator HP 8642B)

Fig. 5. Dual Mixer Time Difference Method with HP 5370A.

Three of these methods were compared with the “reference” method realized in the Directorate of Measures and Precious Metals. DMDM uses specially designed for time interval analysis, TSC 5110A Time Interval Analyzer. It is designed to measure the phase difference between two signals, to measure frequency, to determine and draw Allan deviation and to draw Fig. 6. Direct method with HP5370A in a binary mode. phase and frequency plots. Optionally, it can determine SSB

(single-sideband) phase noise. The same measurement was made using the cesium 3210. This time interval analyzer is based on the heterodyne The results are given in Fig.7. For τ = 1 s they are practically method (method with two mixers), and uses intermediate the same. Still, under 1s difference is bigger as τ gets smaller. frequency (IF) of approximately 100 Hz (when equal frequency oscillators are compared). The smallest sampling interval is one period of the IF or 10 ms. For the frequency standard DMDM uses Oscilloquartz BVA OCXO 8607 (described in the chapter III-A), which is one of the best commercial short-term stability standards.

V. EXPERIMENTAL RESULTS Three different types of oscillators are used for short-term stability measurements: HP 105B and HP 5061A quartz oscillators, Racal Dana 9475 rubidium oscillator and Oscilloquartz 3210 cesium frequency standard. They are compared with three realized methods: with HP 5370A universal time interval counter - direct method (in binary mode of operation) and dual mixer method, and dual mixer method with HP 5345A frequency counter. The environmental conditions were 23°C±1°C, and Fig. 7. Direct method with HP5370A in binary mode. humidity 50%±10%. As we introduced mixers in the measurements, the results In order to compare them, measurements are also taken became better (Fig.8). with TSC 5110A and frequency references BVA 8607 and the ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 109

reliable results.

VI. MEASURING UNCERTAINTY Regarding previous chapters it is obvious that counter’s specifications are dominant in measuring uncertainty contribution. All of those methods are based on time interval measurements. Like an example, the measuring uncertainty estimation for direct measurement with HP 5370A time interval counter will be discussed. A. Random Effects – Uncertainty Type A The random effects vary in an unpredictable way each time you make a measurement. They produce an unstable reading on the counter’s display. This uncertainty is often assumed to have an approximately normal distribution. 1. Resolution or Quantization uncertainty This is uncertainty due to single-shot time interval resolution of a counter [9]. For HP 5370A this resolution is Fig. 8. Use of HP5370A in binary mode – directly and with mixers. 20 ps, and producer defines this uncertainty like: Mixing 10 MHz with 6 kHz signal improves system ±20 ps δ r = ± 2 ps (17) capabilities, especially in a band below 1 second. sizesample In Fig. 9 we can clearly see the improvements made by If we choose sample size 1, this contribution is ±22 ps. using time difference method, and with use of good standards. 2. Accuracy of a time interval measurement Because of a great influence of jitter Hewlett Packard defines uncertainty due to time interval measurement accuracy as:

δ a = jitter (18) HP 5370A has typical jitter of 100 ps, so, total amount of this contribution is ±100 ps. 3. Start/stop trigger point uncertainty due to noise This uncertainty occurs when a time interval measurement starts or stops too early or too late because of noise on the input signal [9], as shown in Fig.10.

Fig. 9. HP 105B quartz oscillator stability measured with direct and dual mixers methods – comparison.

Two quartz oscillators were compared (HP 105B and HP 5061A). The “worst” results were achieved with the direct method. Even the better counter was used (20 ps HP 5370A), because of the lack of the timing generator, dual time Fig. 10. Start trigger points uncertainty due to noise. difference method realized with HP 5345A gave better results. That shows the importance of the counter’s accurate arming. There are two sources of the noise: noise on the signal The conclusion is that for oscillators with high short-term being measured and noise added to this signal by the counter’s stability, only dual time difference method can produce input circuitry: 110 ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

22 5.2 mV +VV δ = (23) δ = neni (19) to tn du π VMHz ⋅⋅⋅⋅ 21102 dt As the input signals are equal we have: δto-start=δto-stop= ± 28 ps where Vni is internal noise, Vne is external noise, and du/dt is signal slew rate at trigger point. If we assume a rectangular distribution [10], the The slew rate (du/dt) for sine-wave signal at the zero- corresponding standard uncertainty can be calculated by crossing is: dividing by 3 , so we will have:

du δto-start=δto-stop= ± 16 ps u ω 2)0cos( π Uf RMS ⋅⋅⋅⋅=⋅⋅= 2 (20) dt 5. Channel asymmetry uncertainty or Channel mismatch The internal noise for HP 5370A is 150 µV. If we assume uncertainty that input is 10 MHz sine-wave signal, with URMS =1 V, and signal to noise ratio (SNR) 60 dB, formula (20) now is: This uncertainty is a result of unequal propagation delays in the two counter’s inputs, and differences in rise times of the 2 2 2 ⎛150μV ⎞ ⎛ 1 ⎞ ⎛150μV ⎞ 2 input amplifiers. ⎜ ⎟ + ⎜ ⎟ ⎜ ⎟ + ()001.0 ⎝ U RMS ⎠ ⎝ SNR ⎠ ⎝ 1V ⎠ Hewlett Packard for 5370A defines asymmetry better than δtn = = (21) 2 π Uf ⋅⋅⋅⋅ 2 π VMHz ⋅⋅⋅⋅ 21102 700 ps. Assuming a rectangular distribution this measuring RMS uncertainty contribution is δ = ± 404 ps. Finally, for start trigger point, measuring contribution is asymm ±11 ps. 6. Timebase uncertainty If we assume the same URMS for both signals at the counter This uncertainty is frequency deviation from it’s nominal inputs, the uncertainty for stop trigger point will be the same value (10 MHz in this example). HP 5370A uses external as for start trigger point, so we have δtn-start=δtn-stop= ± 11 ps. reference from cesium frequency standard, so, the uncertainty B. Systematic Effects – Uncertainty Type B is the result of two main sources: Uncertainty type B is unchanged when a measurement is − aging of the oscillator (stability) – εa -11 repeated under the same conditions. Instead, those effects (for Oscilloquartz 3210 Allan deviation for 0.1 s is: 1.3·10 -11 cause an offset of the measurement result from the true value. for 2σ, or 0.65·10 for 1σ) − temperature changes – εt 4. Start/stop trigger points uncertainty due to trigger level (for Oscilloquartz 3210 temperature changes are defined as: offset or Trigger level timing uncertainty 2·10-12 in the range -5 °C to 55 °C) This measuring uncertainty results from trigger level setting The timebase uncertainty [9] is defined by: uncertainty due to deviation of the actual trigger level from 22 + εε ta the indicated, and from input amplifier hysteresis if the input δTB TI ⋅= (24) 3 signals do not have equal slew rates [9][10]. where TI is measured time interval (in this example it is

sampling interval of 100 ms), so, this contribution is:

δTB = ± 0.4 ps. The influence of type A measuring uncertainty can be reduced by averaging [5]. Experimental measurements are realized within 90 s, 100 s, 180 s and 360 s, or 900, 1000, 1800, 3600 or 9000 samples, respectively. The summary contribution of type A measuring uncertainty is calculated using formula 25: 222 2 − +++ δδδδ −stoptnstarttnar uRAND = = 3ps (25) N where N stands for the number of samples. Finally, the expanded uncertainty for k=2 is equal to: Fig. 11. Start trigger point uncertainty due to trigger level offset. 2 2 2 2 2 kU 2)2( uRAND − −stoptostartto asymm ++++⋅== δδδδ TB (26) This uncertainty can be presented as: ΔU U(k=2) = 0.809 ns δ = (22) to du The influence of channel asymmetry is dominant one, and it is shown that bigger averaging time does not result in dt reducing measuring uncertainty. where ΔU is offset from zero. In deciding which counter should be used, the user has to For HP 5370A this offset from zero is less than 2.5 mV, so: pay particular attention on: channel asymmetry, accuracy of ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 111 the time interval measuring, and time base uncertainty of a frequency mixers. Advantages and disadvantages are shown in counter. this paper. This way of estimating measuring uncertainty can be used The measurement of short-term stability is, basically, for all time interval measurements which are carried out with a measurement of time interval between two sinusoidal signals. frequency counters. According to that, the measurement uncertainty estimation for time interval measurement using frequency counter is given. VII. THE CONCLUSION The analysis shows that the mismatch between counter In this paper the oscillator’s short-term stability and channels has the greatest influence to total measuring procedure of it’s measurement in the time domain was uncertainty. For counters which are going to be used in described, in short. Particular phases of measurement, and the frequency stability measurements, this analysis shows what way for a data analysis are given, too. are the most important characteristics we have to pay attention Three methods realized with frequency counter HP 5345A, on. and time interval counter HP 5370A are described. The results of real measurements are given in graphs. They are compared REFERENCES with the results acquired in DMDM which are considered [1] M.A.Lombardi, “Fundamentals of Time and Frequency”, NIST, 2002. referent ones. In the DMDM measurement was carried out [2] P.Kartashoff, “Frequency and Time”, Monographs in Physical Measurement, 1978. using the time interval analyzer with two standards: BVA [3] W.J.Riley, “Handbook of Frequency Stability Analysis”, Hamilton quartz oscillator and ultra stable cesium frequency standard. Technical Services, July 2007. The shot-term stability was measured for quartz oscillator HP [4] Rohde&Schwarz, “Time Domain Oscillator Stability Measurement Allan Variance”, Application Note, Feb.2009. 105B, rubidium frequency standard Racal Dana 9475 and [5] W.J.Riley, “The Averaging of Phase and Frequency Data”, Hamilton cesium frequency standard Oscilloquartz 3210. Technical Services, Nov.2010. The comparisons are presented in graphs. It is shown that [6] D.A.Howe, D.W.Allan, J.A.Barnes, “Properties of Oscillator Signals and Measurement Method”, NIST methods based upon the frequency counters can be used to [7] Hewlett Packard, “Fundamentals of Time Interval Measurements”, determine short-term stability for averaging time of one Application Note 200-3, June1997. second, or more. For smaller averaging intervals, more [8] Hewlett Packard, “Fundamentals of the Electronic Counters”, Application Note 200, Mar.1997. reliable is method realized with time interval analyzers in [9] Fluke, “Sources of Error in Time Interval Measurements”, Application accordance with ultra stable oscillators like a references. Note Direct measurements with counters are possible, but if we [10] Pendulum Instruments, “Accurate Phase Calibration”, Application Note want to improve measuring system capabilities, it is better to CNT-81, AN-07 compare IF frequencies, rather than their nominal values. These methods can be realized either with dividers or

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012 113 Corrigendum

After the publication of the previous “Electronics” issue (Vol. 15, No. 2, December 2011) authors Abdel Hakeim M. Husein and Fady I. EL-Nahal of the paper “Schematic Representation for Illustrating the Procedure of Optical Noise Figure in Erbium-doped Fiber Amplifier (EDFA) and Praseodymium-doped Fiber Amplifier (PDFA)” (pp. 98–102) have noticed that they made a mistake in equation (4) on page 99. The equation should be:

RRps+ NN2 = (4) RRps(1++ 2ββ ) (2 ++ ) γ21

“Electronics” journal

Instructions for Authors

First A. Author, Second B. Author, and Third C. Author

Abstract—These instructions give you guidelines for preparing copy the image to the Windows clipboard and then Edit | Paste papers for ELECTRONICS journal. Use this document as a Special | Picture (with “float over text” unchecked). template if you are using Microsoft Word 6.0 or later. Otherwise, We will do the final formatting of your paper. use this document as an instruction set. The electronic file of your paper will be formatted further. Define all symbols used in the abstract. Do not cite references in the abstract. Do not delete the II. PROCEDURE FOR PAPER SUBMISSION blank line immediately above the abstract; it sets the footnote at the bottom of this column. A. Review Stage The manuscripts are to be delivered to the editor by e-mail: Index Terms—About four key words or phrases in [email protected]. Prepare it in two-column format as alphabetical order, separated by commas. shown in this template. Place all figures and tables at the end of the paper (after the references) on separate page(s). Figures and tables must have the same caption names as referenced in I. INTRODUCTION the text. Only PDF format of the manuscript is allowed at the HIS document is a template for Microsoft Word versions review stage. Please, check if all fonts are embedded and T6.0 or later. subset and that the quality of diagrams, illustrations, and When you open the file, select “Page Layout” from the graphics is satisfactory. Failing to provide above listed “View” menu in the menu bar (View | Page Layout), requirements is a valid reason for rejection. which allows you to see the footnotes. Then, type over sections of file or cut and paste from another document and B. Final Stage use markup styles. The pull-down style menu is at the left of When you submit your final version (after your paper has the Formatting Toolbar at the top of your Word window (for been accepted), prepare it in two-column format, including example, the style at this point in the document is “Text”). figures and tables in accordance with this template. Pack all of Highlight a section that you want to designate with a certain your files (manuscript source file in Word, figures, and style, then select the appropriate name on the style menu. The manuscript PDF form) within one archive file (you may use style will adjust your fonts and line spacing. Do not change any of the available file compression tools: WinZip, WinRAR, the font sizes or line spacing to squeeze more text into a 7-Zip, etc.). Do not forget to provide the manuscript converted limited number of pages. Use italics for emphasis; do not in PDF format that will be used as a reference for final underline. The length of the manuscript is limited to the formatting of your paper. Figures should be named as maximum of 15 pages. referenced in the manuscript (e.g. fig1.eps, fig2.tif, etc.) To insert images in Word, position the cursor at the C. Figures and Tables insertion point and either use Insert | Picture | From File or Format and save your graphic images using a suitable graphics processing program and adjusts the resolution settings. We accept images in the following formats: PS, EPS, TIFF, GIF, and PNG. Additionally, it is allowed to use images generated by using one of the following software tools:

Manuscript received 15 September 2011 (write the date when you have Microsoft Word, Microsoft PowerPoint, or Microsoft Excel. first sent the manuscript for review). Received in revised form 20 October The resolution of a RGB color file should be 400 dpi. Please 2011 (write the date when you have sent the manuscript in its revised form if note that JPG and other lossy-compressed image formats are revisions required for your paper after review). (Place here any sponsor and financial support acknowledgments). not allowed. Use available software tools to convert these F. A. Author is with the Faculty of Electrical Engineering, University of images to appropriate format. Banja Luka, Banja Luka, Bosnia and Herzegovina (corresponding author to Image quality is very important to how yours graphics will provide phone: +387-51-222-333; fax: +387-51-111-222; e-mail: reproduce. Even though we can accept graphics in many [email protected]). S. B. Author was with Faculty of Technical Sciences, University of Novi formats, we cannot improve your graphics if they are poor Sad, Novi Sad, Serbia. He is now with the Institute “Mihailo Pupin”, quality when we receive them. If your graphic looks low in Belgrade, Serbia (e-mail: [email protected]). quality on your printer or monitor, please keep in mind that T. C. Author is with the School of Electrical Engineering, University of Belgrade, Belgrade, Serbia, on leave from the Faculty of Electronic cannot improve the quality after submission. Engineering, University of Niš, Niš, Serbia (e-mail: [email protected]).

TABLE I UNITS FOR MAGNETIC PROPERTIES Conversion from Gaussian and Symbol Quantity CGS EMU to SI a Φ magnetic flux 1 Mx → 10−8 Wb = 10−8 V·s B magnetic flux density, 1 G → 10−4 T = 10−4 Wb/m2 magnetic induction H magnetic field strength 1 Oe → 103/(4π) A/m m magnetic moment 1 erg/G = 1 emu → 10−3 A·m2 = 10−3 J/T M magnetization 1 erg/(G·cm3) = 1 emu/cm3 → 103 A/m 4πM magnetization 1 G → 103/(4π) A/m σ specific magnetization 1 erg/(G·g) = 1 emu/g → 1 A·m2/kg j magnetic dipole 1 erg/G = 1 emu moment → 4π × 10−10 Wb·m J magnetic polarization 1 erg/(G·cm3) = 1 emu/cm3 → 4π × 10−4 T χ, κ susceptibility 1 → 4π 3 −3 3 Fig. 1. Magnetization as a function of applied field. Note that “Fig.” is χρ mass susceptibility 1 cm /g → 4π × 10 m /kg abbreviated. There is a period after the figure number, followed by two μ permeability 1 → 4π × 10−7 H/m spaces. It is good practice to explain the significance of the figure in the = 4π × 10−7 Wb/(A·m) caption. μr relative permeability μ → μr w, W energy density 1 erg/cm3 → 10−1 J/m3 If you are importing your graphics into this Word template, N, D demagnetizing factor 1 → 1/(4π) please use the following steps: Vertical lines are optional in tables. Statements that serve as captions for Under the option EDIT select PASTE SPECIAL. A dialog the entire table do not need footnote letters. box will open, select paste picture, then click OK. Your figure aGaussian units are the same as cgs emu for magnetostatics; Mx = should now be in the Word Document. maxwell, G = gauss, Oe = oersted; Wb = weber, V = volt, s = second, T = tesla, m = meter, A = ampere, J = joule, kg = kilogram, H = henry. If you are preparing images in TIFF, EPS, or PS format, note the following. High-contrast line figures and tables oersteds. This often leads to confusion because equations do should be prepared with 600 dpi resolution and saved with no not balance dimensionally. If you must use mixed units, compression, 1 bit per pixel (monochrome). clearly state the units for each quantity in an equation. Photographs and grayscale figures should be prepared with The SI unit for magnetic field strength H is A/m. However, 300 dpi resolution and saved with no compression, 8 bits per if you wish to use units of T, either refer to magnetic flux pixel (grayscale). density B or magnetic field strength symbolized as µ0H. Use Most charts graphs and tables are one column wide (3 1/2 the center dot to separate compound units, e.g., “A·m2.” inches or 21 picas) or two-column width (7 1/16 inches, 43 picas wide). We recommend that you avoid sizing figures less V. HELPFUL HINTS than one column wide, as extreme enlargements may distort your images and result in poor reproduction. Therefore, it is A. Figures and Tables better if the image is slightly larger, as a minor reduction in Because we will do the final formatting of your paper, you size should not have an adverse affect the quality of the do not need to position figures and tables at the top and image. bottom of each column. In fact, all figures, figure captions, and tables can be at the end of the paper. Large figures and III. MATH tables may span both columns. Place figure captions below the If you are using Word, use either the Microsoft Equation figures; place table titles above the tables. If your figure has Editor or the MathType add-on (http://www.mathtype.com) two parts, include the labels “(a)” and “(b)” as part of the for equations in your paper (Insert | Object | Create New | artwork. Please verify that the figures and tables you mention Microsoft Equation or MathType Equation). “Float over text” in the text actually exist. Please do not include captions as should not be selected. part of the figures. Do not put captions in “text boxes” linked to the figures. Do not put borders around the IV. UNITS outside of your figures. Use the abbreviation “Fig.” even at Use either SI (MKS) or CGS as primary units. (SI units are the beginning of a sentence. Do not abbreviate “Table.” strongly encouraged.) English units may be used as secondary Tables are numbered with Roman numerals. units (in parentheses). This applies to papers in data Color printing of figures is not available Do not use color storage. For example, write “15 Gb/cm2 (100 Gb/in2).” An unless it is necessary for the proper interpretation of your exception is when English units are used as identifiers in figures. trade, such as “3½-in disk drive.” Avoid combining SI and Figure axis labels are often a source of confusion. Use CGS units, such as current in amperes and magnetic field in words rather than symbols. As an example, write the quantity

“Magnetization,” or “Magnetization M,” not just “M.” Put D. Equations units in parentheses. Do not label axes only with units. As in Number equations consecutively with equation numbers in Fig. 1, for example, write “Magnetization (A/m)” or parentheses flush with the right margin, as in (1). First use the −1 “Magnetization (A ⋅ m ),” not just “A/m.” Do not label axes equation editor to create the equation. Then select the with a ratio of quantities and units. For example, write “Equation” markup style. Press the tab key and write the “Temperature (K),” not “Temperature/K.” equation number in parentheses. To make your equations Multipliers can be especially confusing. Write more compact, you may use the solidus ( / ), the exp function, 3 “Magnetization (kA/m)” or “Magnetization (10 A/m).” Do or appropriate exponents. Use parentheses to avoid not write “Magnetization (A/m) × 1000” because the reader ambiguities in denominators. Punctuate equations when they would not know whether the top axis label in Fig. 1 meant are part of a sentence, as in 16000 A/m or 0.016 A/m. Figure labels should be legible, r2 = rddrrF 2 μσϕϕ 0 )]2(/[),( approximately 8 to 12 point type. ∫ 0 (1) ∞ −1 B. References ⋅ λ −− ij 021 i drJrJzz λλλλ .)()()||(exp ∫ 0 Number citations consecutively in square brackets [1]. The sentence punctuation follows the brackets [2]. Multiple Be sure that the symbols in your equation have been references [2], [3] are each numbered with separate brackets defined before the equation appears or immediately following. [1]–[3]. When citing a section in a book, please give the Italicize symbols (T might refer to temperature, but T is the relevant page numbers [2]. In sentences, refer simply to the unit tesla). Refer to “(1),” not “Eq. (1)” or “equation (1),” reference number, as in [3]. Do not use “Ref. [3]” or except at the beginning of a sentence: “Equation (1) is ... .” “reference [3]” except at the beginning of a sentence: “Reference [3] shows ... .” Please do not use automatic E. Other Recommendations endnotes in Word, rather, type the reference list at the end of Use one space after periods and colons. Hyphenate complex the paper using the “References” style. modifiers: “zero-field-cooled magnetization.” Avoid dangling Number footnotes separately in superscripts (Insert | participles, such as, “Using (1), the potential was calculated.” Footnote).1 Place the actual footnote at the bottom of the [It is not clear who or what used (1).] Write instead, “The column in which it is cited; do not put footnotes in the potential was calculated by using (1),” or “Using (1), we reference list (endnotes). Use letters for table footnotes (see calculated the potential.” Table I). Use a zero before decimal points: “0.25,” not “.25.” Use 3 Please note that the references at the end of this document “cm ,” not “cc.” Indicate sample dimensions as “0.1 cm × 0.2 are in the preferred referencing style. Give all authors’ names; cm,” not “0.1 × 0.2 cm2.” The abbreviation for “seconds” is do not use “et al.” unless there are six authors or more. Use a “s,” not “sec.” Do not mix complete spellings and space after authors’ initials. Papers that have not been abbreviations of units: use “Wb/m2” or “webers per square published should be cited as “unpublished” [4]. Papers that meter,” not “webers/m2.” When expressing a range of values, have been accepted for publication, but not yet specified for write “7 to 9” or “7-9,” not “7~9.” an issue should be cited as “to be published” [5]. Papers that A parenthetical statement at the end of a sentence is have been submitted for publication should be cited as punctuated outside of the closing parenthesis (like this). (A “submitted for publication” [6]. Please give affiliations and parenthetical sentence is punctuated within the parentheses.) addresses for private communications [7]. In American English, periods and commas are within Capitalize only the first word in a paper title, except for quotation marks, like “this period.” Other punctuation is proper nouns and element symbols. For papers published in “outside”! Avoid contractions; for example, write “do not” translation journals, please give the English citation first, instead of “don’t.” The serial comma is preferred: “A, B, and followed by the original foreign-language citation [8]. All C” instead of “A, B and C.” references must be written in Roman alphabet. If you wish, you may write in the first person singular or plural and use the active voice (“I observed that ...” or “We C. Abbreviations and Acronyms observed that ...” instead of “It was observed that ...”). Define abbreviations and acronyms the first time they are Remember to check spelling. If your native language is not used in the text, even after they have already been defined in English, please get a native English-speaking colleague to the abstract. Abbreviations such as IEEE, SI, ac, and dc do not carefully proofread your paper. have to be defined. Abbreviations that incorporate periods should not have spaces: write “C.N.R.S.,” not “C. N. R. S.” VI. SOME COMMON MISTAKES Do not use abbreviations in the title unless they are The word “data” is plural, not singular. The subscript for unavoidable (for example, “IEEE” in the title of this article). the permeability of vacuum µ0 is zero, not a lowercase letter “o.” The term for residual magnetization is “remanence”; the 1It is recommended that footnotes be avoided (except for the unnumbered adjective is “remanent”; do not write “remnance” or footnote with the receipt date and authors’ affiliations on the first page). Instead, try to integrate the footnote information into the text. “remnant.” Use the word “micrometer” instead of “micron.” A

graph within a graph is an “inset,” not an “insert.” The word Authors should consider the following points: “alternatively” is preferred to the word “alternately” (unless 1) Technical papers submitted for publication must advance you really mean something that alternates). Use the word the state of knowledge and must cite relevant prior work. “whereas” instead of “while” (unless you are referring to 2) The length of a submitted paper should be commensurate simultaneous events). Do not use the word “essentially” to with the importance, or appropriate to the complexity, of mean “approximately” or “effectively.” Do not use the word the work. For example, an obvious extension of “issue” as a euphemism for “problem.” When compositions previously published work might not be appropriate for are not specified, separate chemical symbols by en-dashes; for publication or might be adequately treated in just a few example, “NiMn” indicates the intermetallic compound pages.

Ni0.5Mn0.5 whereas “Ni–Mn” indicates an alloy of some 3) Authors must convince both peer reviewers and the composition NixMn1-x. editors of the scientific and technical merit of a paper; the Be aware of the different meanings of the homophones standards of proof are higher when extraordinary or “affect” (usually a verb) and “effect” (usually a noun), unexpected results are reported. “complement” and “compliment,” “discreet” and “discrete,” 4) Because replication is required for scientific progress, “principal” (e.g., “principal investigator”) and “principle” papers submitted for publication must provide sufficient (e.g., “principle of measurement”). Do not confuse “imply” information to allow readers to perform similar and “infer.” experiments or calculations and use the reported results. Prefixes such as “non,” “sub,” “micro,” “multi,” and “ultra” Although not everything need be disclosed, a paper must are not independent words; they should be joined to the words contain new, useable, and fully described information. they modify, usually without a hyphen. There is no period For example, a specimen’s chemical composition need after the “et” in the Latin abbreviation “et al.” (it is also not be reported if the main purpose of a paper is to italicized). The abbreviation “i.e.,” means “that is,” and the introduce a new measurement technique. Authors should abbreviation “e.g.,” means “for example” (these abbreviations expect to be challenged by reviewers if the results are not are not italicized). supported by adequate data and critical details. An excellent style manual and source of information for 5) Papers that describe ongoing work or announce the latest science writers is [9]. technical achievement, which are suitable for presentation at a professional conference, may not be appropriate for VII. EDITORIAL POLICY publication in “Electronics”. Each manuscript submitted is subjected to the following review procedure: IX. CONCLUSION • It is reviewed by the editor for general suitability for A conclusion section is not required. Although a conclusion this publication may review the main points of the paper, do not replicate the • If it is judged suitable, two reviewers are selected and abstract as the conclusion. A conclusion might elaborate on a single-blinded review process takes place the importance of the work or suggest applications and • Based on the recommendations of the reviewers, the extensions. editor then decides whether the particular paper should be accepted as is, revised or rejected. APPENDIX Do not submit a paper you have submitted or published Appendixes, if needed, appear before the acknowledgment. elsewhere. Do not publish “preliminary” data or results. The submitting author is responsible for obtaining agreement of all ACKNOWLEDGMENT coauthors and any consent required from sponsors before The preferred spelling of the word “acknowledgment” in submitting a paper. It is the obligation of the authors to cite American English is without an “e” after the “g.” Use the relevant prior work. singular heading even if you have many acknowledgments. Every paper submitted to “Electronics” journal are single- Avoid expressions such as “One of us (S.B.A.) would like to blind reviewed. For conference-related papers, the decision to thank ... .” Instead, write “F. A. Author thanks ... .” Sponsor accept or reject a paper is made by the conference editors and and financial support acknowledgments are placed in the publications committee; the recommendations of the referees unnumbered footnote on the first page, not here. are advisory only. Undecipherable English is a valid reason for rejection. REFERENCES [1] G. O. Young, “Synthetic structure of industrial plastics (Book style with VIII. PUBLICATION PRINCIPLES paper title and editor),” in Plastics, 2nd ed. vol. 3, J. Peters, Ed. New The contents of “Electronics” are peer-reviewed and York: McGraw-Hill, 1964, pp. 15–64. [2] W.-K. Chen, Linear Networks and Systems (Book style). Belmont, CA: archival. The “Electronics” publishes scholarly articles of Wadsworth, 1993, pp. 123–135. archival value as well as tutorial expositions and critical [3] H. Poor, An Introduction to Signal Detection and Estimation. New reviews of classical subjects and topics of current interest. York: Springer-Verlag, 1985, ch. 4.

[4] B. Smith, “An approach to graphs of linear forms (Unpublished work [17] J. G. Kreifeldt, “An analysis of surface-detected EMG as an amplitude- style),” unpublished. modulated noise,” presented at the 1989 Int. Conf. Medicine and [5] E. H. Miller, “A note on reflector arrays (Periodical style—Accepted for Biological Engineering, Chicago, IL. publication),” IEEE Trans. Antennas Propagat., to be published. [18] J. Williams, “Narrow-band analyzer (Thesis or Dissertation style),” [6] J. Wang, “Fundamentals of erbium-doped fiber amplifiers arrays Ph.D. dissertation, Dept. Elect. Eng., Harvard Univ., Cambridge, MA, (Periodical style—Submitted for publication),” IEEE J. Quantum 1993. Electron., submitted for publication. [19] N. Kawasaki, “Parametric study of thermal and chemical nonequilibrium [7] C. J. Kaufman, Rocky Mountain Research Lab., Boulder, CO, private nozzle flow,” M.S. thesis, Dept. Electron. Eng., Osaka Univ., Osaka, communication, May 1995. Japan, 1993. [8] Y. Yorozu, M. Hirano, K. Oka, and Y. Tagawa, “Electron spectroscopy [20] J. P. Wilkinson, “Nonlinear resonant circuit devices (Patent style),” U.S. studies on magneto-optical media and plastic substrate interfaces Patent 3 624 12, July 16, 1990. (Translation Journals style),” IEEE Transl. J. Magn.Jpn., vol. 2, Aug. [21] IEEE Criteria for Class IE Electric Systems (Standards style), IEEE 1987, pp. 740–741 [Dig. 9th Annu. Conf. Magnetics Japan, 1982, p. 301]. Standard 308, 1969. [9] M. Young, The Techincal Writers Handbook. Mill Valley, CA: [22] Letter Symbols for Quantities, ANSI Standard Y10.5-1968. University Science, 1989. [23] R. E. Haskell and C. T. Case, “Transient signal propagation in lossless [10] J. U. Duncombe, “Infrared navigation—Part I: An assessment of isotropic plasmas (Report style),” USAF Cambridge Res. Lab., feasibility (Periodical style),” IEEE Trans. Electron Devices, vol. ED- Cambridge, MA Rep. ARCRL-66-234 (II), 1994, vol. 2. 11, pp. 34–39, Jan. 1959. [24] E. E. Reber, R. L. Michell, and C. J. Carter, “Oxygen absorption in the [11] S. Chen, B. Mulgrew, and P. M. Grant, “A clustering technique for Earth’s atmosphere,” Aerospace Corp., Los Angeles, CA, Tech. Rep. digital communications channel equalization using radial basis function TR-0200 (420-46)-3, Nov. 1988. networks,” IEEE Trans. Neural Networks, vol. 4, pp. 570–578, Jul. [25] (Handbook style) Transmission Systems for Communications, 3rd ed., 1993. Western Electric Co., Winston-Salem, NC, 1985, pp. 44–60. [12] R. W. Lucky, “Automatic equalization for digital communication,” Bell [26] Motorola Semiconductor Data Manual, Motorola Semiconductor Syst. Tech. J., vol. 44, no. 4, pp. 547–588, Apr. 1965. Products Inc., Phoenix, AZ, 1989. [13] S. P. Bingulac, “On the compatibility of adaptive controllers (Published [27] (Basic Book/Monograph Online Sources) J. K. Author. (year, month, Conference Proceedings style),” in Proc. 4th Annu. Allerton Conf. day). Title (edition) [Type of medium]. Volume (issue). Available: Circuits and Systems Theory, New York, 1994, pp. 8–16. http://www.(URL) [14] G. R. Faulhaber, “Design of service systems with priority reservation,” [28] J. Jones. (1991, May 10). Networks (2nd ed.) [Online]. Available: in Conf. Rec. 1995 IEEE Int. Conf. Communications, pp. 3–8. http://www.atm.com [15] W. D. Doyle, “Magnetization reversal in films with biaxial anisotropy,” [29] (Journal Online Sources style) K. Author. (year, month). Title. Journal in 1987 Proc. INTERMAG Conf., pp. 2.2-1–2.2-6. [Type of medium]. Volume(issue), paging if given. Available: [16] G. W. Juette and L. E. Zeffanella, “Radio noise currents n short sections http://www.(URL) on bundle conductors (Presented Conference Paper style),” presented at [30] R. J. Vidmar. (1992, August). On the use of atmospheric plasmas as the IEEE Summer power Meeting, Dallas, TX, Jun. 22–27, 1990, Paper electromagnetic reflectors. IEEE Trans. Plasma Sci. [Online]. 21(3). pp. 90 SM 690-0 PWRS. 876–880. Available: http://www.halcyon.com/pub/journals/21ps03- vidmar

Instruction for Authors

Editorial objectives In the journal ”Electronics”, the scientific papers from different fields of electronics and electrical engineering in the broadest sense are published. Main topics are electronics, automatics, telecommunications, computer techniques, power engineering, nuclear and medical electronics, analysis and synthesis of electronic circuits and systems, new technologies and materials in electronics etc. The main emphasis of papers should be on methods and new techniques, or the application of existing techniques in a novel way.

The reviewing process

Each manuscript submitted is subjected to the following review procedures: ƒ It is reviewed by the editor for general suitability for this publication; ƒ If it is judged suitable, two reviewers are selected and a double-blind review process takes place; ƒ Based on the recommendations of the reviewers, the editor then decides whether the particular paper should be accepted as it is, revised or rejected.

Submissions Process

The manuscripts are to be delivered to the editor by e-mail: [email protected]. Manuscripts have to be prepared in accordance with the instructions given in the template for paper preparation that can be found on the journal’s web page (www.electronics.etfbl.net). Authors should note that proofs are not supplied prior to publication and ensure that the paper submitted is complete and in its final form.

Copyright

Articles submitted to the journal should be original contributions and should not be under consideration for any other publication at the same time. Authors submitting articles for publication warrant that the work is not an infringement of any existing copyright and will indemnify the publisher against any breach of such warranty. For ease of dissemination and to ensure proper policing of use, papers and contributions become the legal copyright of the publisher unless otherwise agreed.

ELECTRONICS, VOL. 16, NO. 1, JUNE 2012

SPECIAL SECTION ON 16th INTERNATIONAL SYMPOSIUM ON POWER ELECTRONICS – EE2011 GUEST EDITORIAL ...... 1 PROF. DR VLADIMIR KATIĆ BIOGRAPHY...... 2

BIDIRECTIONAL AC DC CONVERTER FOR REGENERATIVE BRAKING ...... 3 Predrag Pejovic, Johann W. Kolar, and Yasuyuki Nishida ANALYSIS OF SINGLE COIL PM-BLDC MOTOR ...... 9 Boguslaw Grzesik and Mariusz Stepien IPMSM MODEL INCLUDING MAGNETIC SATURATION AND CROSS-COUPLING ...... 12 Darko P. Marčetić, Roberto M. Varga, and Mile B. Bozić COMPARISON OF METHODS FOR ON-LINE HARMONIC ESTIMATION ...... 16 Jovan M. Knežević and Vladimir A. Katić PWM ALGORITHMS FOR MULTILEVEL INVERTER SUPPLIED MULTIPHASE VARIABLE – SPEED DRIVES ...... 22 Martin Jones, Obrad Dordevic, Nandor Bodo, and Emil Levi SOME EXAMPLES THAT USE THE FEM IN PM GENERATORS ANALYSIS ...... 32 Marian Greconici INFLUENCE OF A THIN COPPER SHIELD ON A BLDC MOTOR PARAMETERS ...... 37 Nándor Burány, Dejana Herceg, and Neda Pekarić-Nađ HIGH FREQUENCY MODEL OF EMI FILTER ...... 42 Szymon Pasko and Boguslaw Grzesik ENERGY EFFICIENCY IN ELECTRIC DRIVES ...... 46 Slobodan Mirchevski POWER ELECTRONIC TRANSFORMER TECHNOLOGY FOR TRACTION APPLICATIONS – AN OVERVIEW ...... 50 Dražen Dujić, Frederick Kieferndorf, and Francisco Canales MODELING AND DESIGN OF PASSIVE COMPONENTS FOR FLEXIBLE ELECTRONICS ...... 57 Nikola Jeranče, Nataša Samardžić, Dragana Vasiljević, and Goran Stojanović

SPECIAL SECTION ON 4th SMALL SYSTEMS SIMULATION SYMPOSIUM (SSSS) 2012 GUEST EDITORIAL ...... 63 PROF. DR VANČO LITOVSKI BIOGRAPHY ...... 64

ENERGY EFFICIENT SENSOR NODES POWERED BY KINETIC ENERGY HARVESTERS – DESIGN FOR OPTIMUM PERFORMANCE ...... 65 Tom J. Kaźmierski, Leran Wang, and Mansour Aloufi PATTERN-BASED APPROACH TO CURRENT DENSITY VERIFICATION ...... 77 Vazgen Melikyan, Eduard Babayan, and Ashot Harutyunyan NETWORK SIMULATOR TOOLS AND GPU PARALLEL SYSTEMS ...... 81 Leonid Djinevski, Sonja Filiposka, and Dimitar Trajanov THE DECOMPOSITION OF DSP’S CONTROL LOGIC BLOCK FOR POWER REDUCTION ...... 85 Borisav Jovanović and Milunka Damnjanović DATA PRIVACY IN SMART ELECTRICITY NETWORKS ...... 92 Slobodan Bojanić, Srdan Ðorđević, and Octavio Nieto-Taladriz ADVANCED DC MOTOR DRIVE FOR HAPTIC DEVICES ...... 100 Miroslav Božić, Darko Todorović, Miloš Petković, Volker Zerbe, and Goran S. Đorđević PAPERS HOW TO MEASURE OSCILLATOR’S SHORT-TERM STABILITY USING FREQUENCY COUNTER ...... 104 Ivica Milanović, Snežana Renovica, Ivan Župunski, Mladen Banović, and Predrag Rakonjac

CORRIGENDUM ...... 113 INSTRUCTIONS FOR AUTHORS ...... 115

ISSN 1450 -5843 UDK 621.38