Wettability aspects during wafer cleaning in aqueous and organic systems.

Item Type text; Dissertation-Reproduction (electronic)

Authors Park, Jin-Goo.

Publisher The University of Arizona.

Rights Copyright © is held by the author. Digital access to this material is made possible by the University Libraries, University of Arizona. Further transmission, reproduction or presentation (such as public display or performance) of protected items is prohibited except with permission of the author.

Download date 28/09/2021 21:19:39

Link to Item http://hdl.handle.net/10150/186200 INFORMATION TO USERS

This manuscript has been reproduced from the microfilm master. UMI films the text directly from the original or copy submitted. Thus, some thesis and dissertation copies are in typewriter face, while others may be from any type of computer printer.

The quality of this reproduction is dependent upon the quality of the copy submitted. Broken or indistinct print, colored or poor quality illustrations and photographs, print bleed through, substandard margins, and improper alignment can adversely affect reproduction.

In the unlikely event that the author did not send UMI a complete manuscript and there are missing pages, these will be noted. Also, if unauthorized copyright material had to be removed, a note will indicate the deletion.

Oversize materials (e.g., maps, drawings, charts) are reproduced by sectioning the original, beginning at the upper left-hand corner and continuing from left to right in equal sections with small overlaps. Each original is also photographed in one exposure and is included in reduced form at the back of the book.

Photographs included in the original manuscript have been reproduced xerographically in this copy. Higher quality 6" x 9" black and white photographic prints are available for any photographs or illustrations appearing in this copy for an additional charge. Contact UMI directly to order.

U·M·I University Microfilms International A Bell & Howell Information Company 300 North Zeeb Road. Ann Arbor. M148106·1346 USA 313/761·4700 800:521·0600

Order Number 9322700

Wettability aspects during silicon wafer cleaning in aqueous and organic systems

Park, Jin-Goo, Ph.D.

The University of Arizona, 1993

Copyright ©1993 by Park, Jin-Goo. All rights reserved.

U·M·I 300 N. Zeeb Rd. Ann Arbor, MI 48106

WETIABILITY ASPECfS DURING SIUCON WAFER CLEANING

IN AQUEOUS AND ORGANIC SYSTEMS

by

lin-Goo Park

Copyright CD lin-Goo Park 1993

A Dissertation Submitted to the Faculty of the

DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING

In Partial Fulfillment of the Requirements For the Degree of

DOcrOR OF PHILOSOPHY

In the Graduate College

THE UNIVERSITY OF ARIZONA

1993 2

THE UNIVERSITY OF ARIZONA GRADUATE COLLEGE

As members of the Final Examination Committee, we certify that we have

read the dissertation prepared bY ___J~l~·n~-~G~o~o~P~a~r~k~ ______

entitled Wettability Aspects during Silicon Wafer Cleaning

in Aqueous and Organic Systems

and recommend that it be accepted as fulfilling the dissertation

requirement for Doctor of Philosophy

Date ; ,//;-r-II) D. B. Birnie III Date .[ Rra'i 2- /2. s/ 2.3 > Date I S. Raghavan

Final approval and acceptance of this dissertation is contingent upon the candidate's submission of the final copy of the dissertation to the Graduate College.

I hereby certify that I have read this dissertation prepared under my direction and recommend that it be accepted as fulfilling the dissertation requirement.

Dissertation Director Date l S. Raghavan 3

STATEMENT BY AUTHOR

This dissertation has been submitted in partial fulfillment of requirements for an advanced degree at The University of Arizona and is deposited in the University Library to be made available to borrowers under rules of the Library.

Brief quotations from this dissertation are allowable without special permission, provided that accurate acknowledgement of source is made. Requests for permission for extended quotation from or reproduction of this manuscript in whole or in part may be granted by the copyright holder. 4

ACKNOWLEDGEMENTS

The author would like to express his great appreciation and respect to Professor Srini Raghavan, his advisor, for his guidance, encouragement and friendship during the course of his studies. He is also grateful to Professors 1. H. O'Hanlon, J. H. Enemark, D. P. Birnie III, and J. B. Hiskey for being on his committee and for their patience and encouragement. He would especially like to express his gratitude to Professor J. O'Hanlon, Director of the Center for Microcontamination Control, for his support during the course of this research.

He would like to thank Dr. D. Cooper of IBM-Thomas Watson Research Center, Dr. J. Carrejo and Mr. D. Tolliver of Motolora, Mesa, AZ, Mr. C. Schneider and Mr. J. Beauchamp of Intel, and J. Crozier of National Semiconductor for their assistance.

The author is also grateful to the Center for Microcontamination Control and the Sematech Center of Excellence at the University of Arizona for their financial support of this research.

The author wishes to thank Dr. Raghavan's research group, all his friends at the Arizona Materials Laboratory, especially Mr. P. K Sung, Mr. J. S. Jeon, Mr. D. Dunn, Mr. D. Jan, Ms. C. Jung, and Ms. K Sole for their help, friendship and encouragement. He would also like to thank Ms. P. Broyles for her help in preparing this dissertation.

Special thanks go to Reverend and Mrs. K H. Kim and the members of the Korean Presbyterian Church of Tucson for their love and prayers. They helped him mature and become closer to God with unforgettable memories during his study in Tucson.

The author would like to express his sincere gratitude to his family in Korea. He has to mention his adorable son, Jaeyeon, who gives him joy at the end of his study. Finally, he wants to thank his wife, Sukkyung, for her sacrifice and love. He dedicates this work to her. 5

TABLE OF CONTENTS

liST OF ILLUSTRATIONS ...... 8 liST OF TABLES ...... 17

ABSTRACf ...... 18

1. INTRODUCTION ...... 20

1.1. Introduction...... 20 1.2. Research Objectives ...... 22

2. BACKGROUND ...... 23

2.1. Wafer Cleaning ...... 23 2.1.1. Wet Cleaning Processes ...... 24 2.1.1.1. Alkaline Solutions in Semiconductor Processing. . . .. 31 2.1.1.2. Oxide Etch ...... 36 2.1.2. Drying of Silicon Wafers after Wet Processing ...... 42 2.1.2.1. Characterization of IPA ...... 44 2.2. Contact Angles ...... 46 2.2.1. Static Angles - Sessile Drop or Adhering Gas Bubble Method . 50 2.2.2. Dynamic Angles - Wilhelmy Plate Method ...... 53 2.2.3. Origin of Hysteresis ...... 59

3. EXPERIMENTAL MATERIALS AND PROCEDURES ...... 65

3.1. Materials ...... 65 3.1.1. Wafers ...... 65 3.1.2. Chemicals ...... 65 3.2. Experimental Procedures ...... 67 3.2.1. Preparation of Wafer Samples ...... 67 3.2.2. Wettability Measurements ...... 68 3.2.2.1. Dynamic Contact Angle Measurements ...... 68 3.2.2.2. Static Contact Angle Measurements ...... 71 3.2.3. Particle Deposition ...... 73 6

TABLE OF CONTENTS (continued)

3.3. Characterization Methods ...... 75 3.3.1. Scanning Electron Microscopy (SEM) ...... 75 3.3.2. Auger Electron Spectroscopy (AES) ...... 75 3.3.3. X-ray Photoelectron Spectroscopy (XPS, ESCA) ...... 75 3.3.4. Ellipsometry ...... 76 3.3.5. pH and Redox Potential Measurements and Optical Microscopy 76 3.3.6. Scanning Tunnelling (STM)/Atomic Force Microscopy (AFM) 77 3.3.7. Inductively Coupled Plasma Spectroscopy (ICP) ...... 78

4. RESULTS AND DISCUSSION...... 79

4.1. Characterization of Samples...... 79 4.2. Characterization of Chemicals ...... 79 4.2.1. Alkaline Cleaning Solutions ...... 79 4.2.2. Buffered Oxide Etch (BOE) ...... 91 4.3. Dynamic Contact Angle Measurements ...... 94 4.3.1. The Change of Contact Angles during the Storage of Samples 94 4.3.2. The Effect of Stage Speed of the Dynamic Contact Angle Analyzer on Wettability ...... 97 4.4. Dynamic Wetting of Wafers in Alkaline Solutions...... 100 4.4.1. Wettability of Wafers in Choline Containing Solutions ..... 100 4.4.1.1. Wettability of BOE-Etched p(100) Wafers ...... 100 4.4.1.2. Wettability of p(loo) Wafers with Thermally Grown Oxide ...... 104 4.4.1.3. Effects of Wafer Types and Orientations on Wettability 106 4.4.1.4. Effect of Hydrogen Peroxide on Wettability in Choline Solutions ...... 106 4.4.1.5. Effe~t of Surfactant on the Wettability of Silicon in Choline Solutions ...... 109 4.4.1.6. Effect of Temperature on Wettability in Choline Solutions ...... 115 4.4.2. Oxidation in Choline Solutions ...... 117 4.4.3. Wettability of Wafers in a Commercially Available Choline- Based Solution (SummaClean) ...... • ...... 133 4.4.4. Wettability of Wafers in TMAH (Tetramethyl Ammonium Hydroxide) Solutions ...... •...... •.••••...... 136 4.4.5. Wettability of Wafers in Ammonium Hydroxide Solutions ... 138 7

TABLE OF CONTENTS (continued)

4.5. Scanning Tunneling Microscopy (STM)/ Atomic Force Microscopy (AFM) Studies ...... 146 4.5.1. Effect of Addition of HzOz and Surfactant Roughness ...... 149 4.5.2. Effect of Addition of HzOz and Surfactant to TMAH Solutions ...... 153 4.6. Wettability of Wafers in IPA and Its Significance in Particle Removal ...... 154 4.6.1. Characterization of IPA Solutions ...... 154 4.6.2. Wettability of Wafers in IPA/Water Solutions ...... 158 4.6.3. Particle Removal on Wafers Using IPA-Based Solutions ..... 167 4.6.3.1. Particle Deposition ...... 167 4.6.3.2. Particle Removal on Hydrophilic Wafers ...... 171 4.6.3.3. Particle Removal from Hydrophobic Wafers ...... 182 4.6.4. Theoretical Analysis of Adhesion and Removal of Particles .. 188 4.6.4.1. Hydrophilic Particles on Silicon and SiOz-Coated Wafers ...... 192 4.6.4.2. Hydrophobic Particles on Silicon and SiOz-Coated Wafers ...... 195 4.6.4.3. Modification of Leenaars Equations...... 199

5. SUMMARY AND CONCLUSIONS ...... 203

5.1. Alkaline Solutions ...... 203 5.2. IPA/Water Solutions ...... 205

REFERENCES ...... 207 8

LIST OF ILLUSTRATIONS

2.1. Plot of calculated concentrations of HF and HFi for solutions of 15 M total fluoride as a function of pH ...... 38

2.2. Plot of calculated concentrations of possible species in the HF-Si02- H20 system as a function of pH ...... 40

2.3. Etch rates of thermal oxide at 25°C in various BOE compositions (from Ref. [2.44]) ...... 41

2.4. Equilibrium distribution diagram between liquid and vapor of IPA at their boiling temperatures. Replotted from Ref. [2.54] ...... 47

2.5. Change of activity coefficients of IP A and water as a function of IPA content in water. Replotted from Ref. [2.54] ...... 48

2.6. Schematic diagram of techniques measuring static angles: (a) sessile drops on a solid surface and (b) captive bubbles in a liquid underneath a solid surface ...... 51

2.7. Schematic diagram of a Wilhelmy plate apparatus ...... 54

2.8. Schematic diagram illustrating a Wilhelmy plate method (from Ref. [2.62]) ...... 55

2.9. Typical Wilhelmy plate hysteresis loops for (a) bare and (b) oxide- coated silicon wafers in DI water ...... 57

2.10. Change of contact angles as a function of roughness factor, 'r' (from Ref. [2.65]) ...... 61

2.11. Change of contact angles and contact angle hysteresis as a function of surface coverage of low-energy material (from Ref. [2.65]). Curves 1, 2, 3 and 4 indicate decreasing drop energies. Upper and lower sets of curves are advancing and receding angles, respectively 63

3.1. Schematic diagram of sample preparation procedures...... 69

3.2. Schematic diagram of a DCA contact angle analyzer ...... 70 9

LIST OF ILLUSTRATIONS (continued)

3.3. Schematic diagram of a static contact angle analyzer ...... 72

3.4. Schematic diagram of the experimental set-up for (a) electrophoretic deposition and (b) aerosol spray deposition ...... 74

4.1. SEM micrographs of (a) polished wafer (independent of wafer type and orientation), (b) p(100) unpolished side, (c) n(100) unpolished side, and (d) n(111) unpolished side of silicon wafers ...... 80

4.2. (a) pH, redox potential (vs. SHE) and (b) the (at 25°C and 35°C) of choline solutions ...... 82

4.3. Surface excess of choline solutions at air/solution interface as a function of concentration ...... 83

4.4. Changes of (a) pH and (b) redox potential (vs. SHE) as a function of H20 2 addition in choline solutions ...... 85

4.5. (a) pH and redox potential (vs. SHE) and (b) surface tension of TMAH solutions ...... 86

4.6. (a) pH and surface tension and (b) redox potentials of NH40H solutions with and without the addition of H20 2 • • • • • • • • • • • • • • • •• 87

4.7. Etch rates of p(100) silicon wafers in alkaline solutions at 25°C: (a) choline and TMAH and (b) 1:5 NH40H:H20 solutions as a function of their concentrations ...... 88

4.7. (continued). (c) Etch rates of p(100) silicon wafer in choline, TMAH, and 1:5 NH40H:H20 solutions as a function of pH at 25°C...... 89

4.8. Effects of surfactant addition in BOE and different vendors on contact angles in DI water and choline solution when silicon wafers were etched with BOEs ...... 93

4.9. ~ha!lge of (a) c?ntact ~ngles and (b) oxide thickness during storage m aIr as a functIon of tIme ...... 95

4.10. Effect of stage speed on contact angles in DI water ...... 98 10

LIST OF ILLUSTRATIONS (continued)

4.11. Changes of hysteresis loops at different stage speeds: (a) 264 p.m/sec, (b) 170 p.m/sec, (c) 64 p.m/sec and (d) 20 p.m/sec . .. 99

4.12. Advancing (OJ and receding (OJ angles on p(l00) bare wafers in choline solutions: (a) first cycle and (b) second cycle ...... 102

4.13. Contact angles in OI water on a sample immersed in choline (10000 ppm) for 10 min and on a sample subjected to a two-cycle test in choline ...... 103

4.14. Advancing (OA) and receding (OJ angles on oxide-coated p(l00) wafers in: (a) choline solutions and (b) in OJ water after conditioning in choline followed by a OJ water rinse for 5 min ...... 105

4.15. Wettability of BOE-etched p(100) and n(l00) wafers in: (a) the first cycle and (b) the second cycle in choline solutions ...... 107

4.16. Effect of wafer orientation on wettability in choline solutions: (a) the first cycle and (b) the second cycle ...... 108

4.17. Advancing (OJ and receding (OR) angles on BOE-etched OSP p(l00) wafers as a function of hydrogen peroxide concentration; choline content in solution: (a) 400 ppm and (b) 5000 ppm ...... 110

4.18. Contact angles in 1 wt% H20 2 solutions as a function of choline concentrations ...... 111

4.19. Change of surface tension as a function of surfactant (NCW 601A) concentration ...... 113

4.20. (a) Effect of surfactant addition (100 ppm) to choline solutions on wafer wettability and (b) contact angles in OJ water on samples subjected to a two-cycle immersion in a choline solution with surfactant (100 ppm) followed by a OJ water rinse for 5 min ...... 114

4.21. Contact angles on BOE-etched p(l00) wafers in: (a) choline solutions at 35°C and (b) OJ water after conditioning in choline at 35°C followed by a OJ water rinse for 5 min ...... 116 11

LIST OF ILLUSTRATIONS (continued)

4.22. Experimental matrix used to study the interaction of choline with silicon samples ...... 118

4.23. Changes of oxide thickness and wettability as a function of air exposure time after DI water rinse ...... 119

4.24. Effect of air exposure after immersion in choline solution on oxide thickness and contact angles in DI water (conditions: 10000 ppm choline, 10 min immersion, p(100) wafer) ...... 120

4.25. Changes of contact angle and oxide film thickness as a function of immersion time in 10000 ppm choline solutions ...... 122

4.26. Si (2p) XP spectra of: (a) BOE-etched p(lOO) Si, (b) Si treated in 1 wt% choline and rinsed immediately in DI water, and (c) Si "b" exposed to air for 10 min and then DI water rinsed ...... 123

4.27. Schematic diagram of a silicon wafer sample used to characterize the effect of repeated exposure to choline solution and air ...... 125

4.28. (a) Thickness changes of different areas as a function of stage speed and (b) wettability of areas 1 and 2 in DI water after cycling in choline solution ...... 126

4.29. XPS Si (2p) spectra obtained on area 2 of Si samples cycled at different stage speeds: (a) 264 p.m/sec, (b) 64 p.m/sec and (c) 20 p.m/sec ...... 128

4.30. Effect of cycling on: (a) oxide thickness and (b) contact angles of samples in DI water after treatment in 10000 ppm choline solution and DI water rinse .. ~ ...... 129

4.31. Change of oxide thicknesses at different choline temperatures as a function of choline concentrations ...... ;...... 130

4.32. Change of oxide thicknesses treated in choline/peroxide solutions followed by DI water rinse ...... • ...... 132 12

LIST OF ILLUSTRATIONS (continued)

4.33. (a) pH, redox potential (vs. SHE) and (b) surface tension of silicon in SummaClean as a function of choline concentration ...... 134

4.34. Contact angles of diluted SummaClean solutions on p(loo) silicon as a function of choline concentration ...... 135

4.35. (a) Advancing (8~ and receding (80 contact angles on bare SSP p(lOO) wafers in TMAH solutions and (b) the contact angles in D1 water on samples subjected to a two-cycle immersion in a TMAH solution followed by a 01 water rinse for 5 min ...... 137

4.36. Optical micrographs of p(lOO) silicon etched for 10 min in: (a) 400 ppm, (b) 1000 ppm, (c) 10000 ppm TMAH solutions, and (d) 10000 ppm choline solution. The bar scale is 100 I'm ...... 139

4.37. Surface profiles of samples treated in: (a) 10000 ppm, (b) 1000 ppm, (c) 400 ppm TMAH solutions, and (d) as-received polished silicon surface ...... 140

4.38. Wettability of silicon wafers in aqueous ammonium hydroxide solutions ...... 141

4.39. Optical micrographs of wafers subjected to 2 cycles in: (a) 0.1%, (b) 1%, (c) 10%, and (d) 50% NH40H:H20 solutions, and D1 rinsed for 5 min. The bar scale is 100 I'm ...... 143

4.40. Wettability of silicon wafers in: (a) alkaline H20 2 solution as a function of NH40H content and (b) D1 water after cycling in ammonia-peroxide solution twice followed by D1 water rinsing ...... 144

4.41. (a) STM micrograph on polished and (b) AFM micrograph on unpolished p(l00) wafer after 30 sec BOE etch ...... 147

4.42. STM micrographs of: (a) 0.1:1:5 and (b) 1:1:5 SC1-treated samples (500 X 500 run scanning area) ...... 148 13

LIST OF ILLUSTRATIONS (continued)

4.43. AFM micrographs of 10000 ppm choline-treated silicon samples followed by: (a) 10 min air exposure and DI water rinse and (b) DI water rinse only (no air exposure) ...... 150

4.44. AFM micrographs of 5000 ppm choline-treated silicon samples for 10 min: (a) without the addition of H20 2 and surfactants and (b) with the addition of 200 ppm H20 2 ••••••••••••••••••••••• 151

4.44. (continued) (c) with the addition of 1 wt% (10000 ppm) H20 2 and (d) with the addition of 200 ppm non-ionic surfactant ...... 152

4.45. AFM micrographs of silicon samples treated for 10 min in 600 ppm TMAH solutions: (a) in the absence of H20 2 and (b) with the addition of 200 ppm H20 2 •• • • • • • • • • • • • • • • • • • • • • • • • • • • • • • •• 155

4.45. (continued). (c) with the addition of 1 wt% (10000 ppm) H20 2 and (d) with the addition of 200 ppm non-ionic surfactant ...... 156

4.46. Surface tension of IPA-water solutions as a function of solution IPA content ...... 157

4.47. Surface excess of IPA at the air/IPA-water solution interface ...... 159

4.48. Contact angles on hydrophobic and hydrophilic wafers as a function of IPA content in water ...... 160

4.49. Nature of IPA/water interface formed by slowly placing IPA on the top of water in a glass optical cell as a function of time: (a) 0 hr and (b) 20 hrs later ...... 163

4.50. Surface tension of top and bottom layers formed by slowly placing IPA solutions of different concentrations on the top of water ...... 165

4.51. Contact angles on hydrophobic wafers as a sample is successively contacted with solutions of increasing IPA content .....•...... 166

4.52. Photomicrographs (at 5X) of droplets on hydrophobic wafers at different IPA contents: (a) less than 25 vol% IPA and more than 70 vol% IPA, (b) 25 vol% IPA, and (c) 50 vol% IPA ...... 168 14

LIST OF ILLUSTRATIONS (continued)

Figure

4.53. Optical micrographs of particles deposited by: (a) electrophoretic method, (b) aerosol spray method on hydrophilic wafers, and (c) aerosol spray method on hydrophobic wafers ...... 169

4.54. Change of the oxide thickness and contact angle as a function of deposl ·t· Ion t·lme ...... 170

4.55. Particle deposition methods applied to contaminate wafers ...... 172

4.56. Optical micrographs of samples rinsed once in: (a) DI water, (b) 2.4% IPA, (c) 9% IPA, and (d) 25% IPA. The bar scales are 100 I'm ...... •...... 173

4.56. (continued). (e) 50% IP A, and (f) 87 and 100% IP A The bar scales are 100 I'm ...... •...... 174

4.57. Appearance of samples successively rinsed in solutions increasing IPA content: (a) from DI water to pure IPA and (b) 50% IPA to pure IPA ...... , ...... 175

4.58. Optical micrographs of samples subjected to seven successive rinses in: (a) DI water, (b) 9% IPA, and (c) 25% IPA The bar scales are 100 I'm ...... ••...... •...... •... 177

4.58. (continued). (d) 50% IPA and (e) 87 and 100% IPA The bar scales are 100 I'm ...... 178

4.59. Schematic diagram illustrating the mechanism for enhanced particle removal from solutions of low IPA content: (a) particle on a substrate before contacting IP A-water solution, (b) particles at the air/IPA-water interface, (c) particles removed by surface pressure difference at the air/solution interface, and (d) substrate after rinsing ...... 179

4.60. Removal of silicon particles deposited on hydrophilic wafers: (a) as-deposited, (b) in DI water, and (c) in 9% IPA solution. The bar scales are 100 I'm ...... •...•.•...... •...... 181 15

LIST OF ILLUSTRATIONS (continued)

4.61. The removal of PSL particles which were electrophoretically deposited in IPA for 10 min: (a) as-deposited, (b) rinsed in 01 water, (c) rinsed in 9% IPA solution, and (d) in 100% IPA The bar scale is 100 ",m ...... •...... 183

4.62. Particle removal on silicon samples with different hydrophobicity: (a) bare, (b) anodized for 10 sec, (c) anodized for 2 min, and (d) anodized for 5 min and 10 min. The bar scale is 100 ",m ...... 185

4.63. Removal of silicon particles deposited on hydrophobic wafers: (a) as-deposited, (b) rinsed in DI water, and (c) rinsed in 9% IPA solution. The bar scales are 100 ",m ...... 187

4.64. Schematic diagram showing a spherical particle adhering to a substrate during the passage of a phase boundary: (a) ex < 90° and (b) CIt > 90° ...... 190

4.65. Comparison of IF.y •max l/2'l1"R'Ylg and IFal/2'l1"R'Ylg as a function of (J at different CIt values: (a) glass particles in 01 water and (b) glass particles in 9% IPA The curved lines are IF.y•max l/2'l1"R'Ylg at different CIt values and the straight lines are \Fal/2'l1"R'Ylg ...... 193

4.65. (continued). (c) alumina particles in 01 water, and (d) alumina particles in 9% IP A solutions ...... 194

4.66. Comparison of IF'Y.maxl/2'l1"R'Ylg and IFal/2'l1"R'Ylg as a function of (J at different CIt values: (a) PSL particles in 01 water and (b) PSL particles in 9% IPA The curved lines are IF'Y.maxl/2'1"R'Ylg at different CIt values and the straight lines are IFal/2'1"R'Ylg ...... 196

4.67. Comparison of IF'Y.maxI/2'1"R'Ylg and IFal/2'1"R'Ylg as a function of (J at different CIt values: (a) silicon particles in 01 water and (b) silicon particles in 9% IP A. The curved lines are IF'Y.maxl/2'1"R'Ylg at different CIt values and the straight lines are IFa I/2'l1"R'Ylg ...... 198 16

LIST OF ILLUSTRATIONS (continued)

B~ ~

4.68. Comparison of IFtotad/2TR'YIg and IFal/2TR'YIg as a function of T*: (a) PSL particles on hydrophilic wafers and silicon particles and (b) on hydropbiic and in 9% IFA solutions. The curved lines are IFtotad/2TR'YIg at different -,;* values and the straight lines are IFal/2TR'YIg .•..•....••••...•••.•..•••••••••.•••.•..... 201

4.68. (continued). (c) silicon particles on hydrophilic wafers and silicon particles and (b) on hydrophiic wafers and (d) alumina particles on hydrophilic wafers in 9% IPA solutions. The curved lines are IFtotai l/2-,;R'YIg at different -,;* values and the straight lines are IFal/2TR'YIg ••.••••.•...... ••••....•.••••.•...•...... 202 17

LIST OF TABLES

2.1. Wet Chemicals with Their Removals and Side Effects (from Ref. [2.11]) ...... 25

2.2. Physical Properties of Alcohols ...... 45

2.3. Advantages and Disadvantages of Contact Angle Methods (from Ref. [2.60]) ...... 49

2.4. Sources of Contact Angle Hysteresis (From Ref. [2.62]) ...... 64

3.1. Characteristics of Wafers Used in the Experiments...... 65

4.1. Etch Rates of Silicon Wafers in Alkaline Solutions at Higher Temperatures...... 91

4.2. Surface Tensions and Dynamic Contact Angles of Various Concentrations of BOB (400:1 and 200:1) on Bare p(1oo) Wafers from Two Different Vendors (30°C) ...... 92

4.3. STM/AFM Data on Roughness of Silicon Surfaces Treated with Various Alkaline Solutions ...... 146

4.4. Roughness of Samples Treated in 5000 ppm Choline for 10 min With and Without the Addition of H20 2 and Non-ionic Surfactant ... 153

4.5. Roughness of Samples Treated in 600 ppm TMAH for 10 min With and Without the Addition of H20 2 and Non-ionic Surfactant ... 154

4.6. Particle Removal in Hydrophobic and Hydrophilic Wafers ...... 185

4.7. Hamaker Constants for Various Materials Taken from Ref. [4.23] ...... 189

4.8. Calculated Values of A132 and IFal/2'1'R'Ylg Where 'Ylg of Water = 72.6 dynes/ern' nlg of 9% IPA Solution = 43 dynes/cm •...... 192

4.9 Contact Angles on Particles and Substrates in DI Water and 9% IP A Solutions ...... 197 18

ABSTRACI'

Alkaline solutions based on ammonium hydroxide and quaternary ammonium hydroxides such as choline (hydroxyethyl trimethyl ammonium hydroxide) and TMAH (tetramethyl ammonium hydroxide) are used widely in the wet processing of silicon wafers for the control of ionic and particulate impurities.

The Wilhelmy plate technique was used in characterizing the ability of alkaline solutions to alter the wettability of wafers. Choline improved the water wettability of wafers, and at concentrations greater than 1000 ppm, rendered the wafers very hydrophilic. Ellipsometric and XPS analyses showed that the exposure of choline­ treated surfaces to air resulted in the oxidation of Si to Si02• The increase of wettability of wafers in TMAH solutions was due to the roughness introduced by the high etch rate of TMAH solutions. Ammonia solutions without the addition of H20 2 did not increase wettability. The addition of H20 2 and a non-ionic surfactant to alkaline solutions significantly increased the wettability of wafers, decreased the etch rate, and resulted in smoother surfaces.

The use of isopropyl alcohol (IPA) in the drying of wafers has been considered by the semiconductor industry. The addition of IP A to water resulted in a decrease in surface tension at the solution/vapor interface. The surface excess of IPA molecules at the solution/air interface was calculated to have a maximum value of 8.5 X 10-10 moles/em2 at a solution composition of 25% IPA and 75% 19 water. IPA solutions with less than 25% IPA were very effective in removing PSL particles on hydrophilic wafers.

Hydrophilic particles such as alumina and glass were difficult to remove from wafers in DI water and IP A solutions, however, hydrophobic particles such

as silicon were slightly removable in DI water and IPA solutions. The wettability

of particles (8) and substrate (ex) in solutions played important roles in removing

particles on substrates. Leenaars' equation for the calculation of magnitude of

surface tension force which balance adhesion force, FA' did not seem to hold for

solutions containing less than 25% IPA. Modification to this equation by adding a

surface pressure term, -r*, was considered in explaining the experimental results. 20

CHAPTER 1

INTRODUCI'ION

1.1. Introduction

With the increase in chip capacity and decrease in feature size, microcontamination control in semiconductor processing is becoming increasingly important. The DRAM (dynamic random access memory) chip area has increased about 40 to 50 percent every three years. At the same time, the defect density has increased significantly due to the decrease of minimum feature size and complexity of the device. The device yield decreases dramatically as chip

area and defect density increase [1.1]. Product yield can be improved by more

stringent contamination control throughout the manufacturing process because

more than 50% of the yield losses are attributed to micro contamination [1.2].

Organic films, metal ions and inorganic/organic particles are major sources

of wafer contamination during the silicon wafer fabrication sequence. Many wet

cleaning techniques reportedly remove contaminants from silicon wafers [1.2,1.3].

The commonly used RCA standard cleaning processes are based on acidic or

alkaline hydrogen peroxide solutions [1.4]. The alkaline RCA cleaning solution,

referred to as SC1, is based on ammonium hydroxide, hydrogen peroxide and

water at a ratio of 1:1:5. It is primarily designed to remove organic contaminants

from the wafer surface. 2t

The acidic RCA cleaning solution, referred to as SC2, is based on hydrochloric acid, hydrogen peroxide and water at the same ratio as SCt. It is known to be very effective in removing metal ions. As optional steps, piranha

(H2S04-H20 2 mixture) etch ants and HF etchants were combined with SCt and SC2 cleaning solutions. Piranha etch removed heavy organic contamination such as photoresists while HF removed native and/or chemically grown oxides on silicon.

Quaternary ammonium hydroxides (OAR) such as choline

([(CH3)3N+CH2CH20H]OH+) and tetramethyl ammonium hydroxide (TMAH) were tried in place of SCl for wafer cleaning [1.5-1.7]. Choline (pKt, = 0.1) and

TMAH (pKt, = 0) are much stronger bases than NH40H (pKt, = 4.7) and are typically free from alkali metals. Cleaning sequences involving choline showed better removal of particles [1.6] and heavy metals, and result in a lower number

of oxidation-induced stacking faults (OISF) after HF treatment [1.8].

Rinsing after wet cleaning is done with high-purity resistivity DI water.

Megasonic rinsing [1.9], centrifugal spray rinsing [1.10] and closed system rinsing

[1.11] were also tried. Wafer drying after rinsing must be done by physical

removal of the water rather than evaporation. Spin drying has been the most

widely used method. Drying of wet wafers with isopropyl alcohol (IPA) results in

a decrease in particulate contamination [1.11,1.12]. High-purity IPA vapor drying

[1.11] is effective in drying and particle removal. 22

Much of the above-mentioned work with cleaning solutions is concerned with the evaluation of the chemicals in terms of their performance such as contaminant removal. These reagents have the capacity to modify the wettability of a highly hydrophobic silicon surface produced by etching in HF or HF/NH 4F

(BOE) solutions. The hydrophilic/hydrophobic nature of a wafer surface after a cleaning step affects particulate contamination. Menon and Donovan [1.13] deposited glass beads, silicon dust and polystyrene latex (PSL) particles on both p­ and n-type wafers with contact angles ranging from 12 to 64 o. For all three particle types and both wafer conductivity types, low contact angle surfaces retained fewer particles after cleaning than the high contact angle surfaces.

1.2. Research Objectives

The hydrophobic silicon surface produced by HF or BOE (buffered oxide etch) increases contamination on wafers [1.14,1.15,1.16]. Fundamental information on the effect of cleaning solutions on the wettability of wafers is virtually nil. The objectives of this research were to: (1) investigate surface modification (wettability and microroughness) of silicon wafers in DI water, alkaline cleaning solutions, and IPA/water solutions and (2) relate the wettability to the cleaning effects on wafers in IPA/water solutions. 23

CHAPTER 2 BACKGROUND

2.1. Wafer Cleaning

Cleanliness during the processing of silicon wafers is crucial to the fabrication of devices and circuits with uniform electrical characteristics and high reliability. From a device fabrication viewpoint, a "clean surface" is a surface that does not contain a significant amount of harmful contaminants. It is well known that the yield of VLSI (very large-scale integration) fabrication lines can be

significantly improved by applying more stringent requirements for the purity of

chemicals, gases and deionized water used in processing [2.1,2.2]. While the

surfaces of wafers have traditionally been cleaned with wet chemicals, alternative

methods of obtaining impurity free surfaces are being investigated [2.1].

Surface cleaning procedures play a fundamental role in determining the

characteristics of semiconductor devices such as surface state type and density,

surface conductivity [2.3], electrical properties of oxides [2.4], and the kinetics of

oxide growth [2.5-2.7]. Atalla et a1. [2.3] studied the stabilization of silicon

surfaces by thermally grown oxides. They contended that from a device

technology viewpoint, truly atomically clean surfaces were not desirable. A device

with an atomically clean surface would not be operative since the silicon dangling

bonds would act as acceptor states and the resulting surface would be strongly

p-type, independent of bulk doping. From a practical standpoint, they argued that 24 it is more appropriate to refer to "surface cleaning" as "surface doping." The etches and rinses used in device preparation generally provide surface structures that are complex and unknown, compared to a truly atomically clean UHV­ cleaned surface. The surface ion state density and distributions may be affected by both the pre oxidation treatment and the concentration of certain impurities in silicon. They concluded that a thermally-grown oxide provided the most stable surface and found that impurities left on the surface were partially maintained through the oxidation process, affecting the interface characteristics and the device characteristics.

The fundamental role of any silicon cleaning procedure is to remove particles, organics, transition metals and alkali ions from the surface. For this purpose, the wafer cleaning methods practiced in the semiconductor industry can be divided into wet [2.1] and dry [2.8,2.9] processes even though they may be used in combination in certain situations.

2.1.1. Wet Cleaning Processes

In wet chemical cleaning, surface cleaning is commonly achieved by either immersing or spraying the wafer with liquid chemicals. Wet chemical cleaning using acidic and alkaline solutions has been practiced for many years [2.10]. This

cleaning procedure involves the immersion of wafers in various acidic or alkaline

solutions mixed with hydrogen peroxide and water, followed by a DI-water rinse. 25

The most commonly used wet chemicals are listed in Table 2.1 along with their contaminant removal characteristics.

Table 2.1. Wet Chemicals with Their Removals and Side Effects (from Ref. [2.11])

Contaminants Wet Chemicals to be Removed Side Effects Solvents Gross Organics Organic Contaminants Piranha etch Gross Organics Native Oxide H2S04:H20 2 (grease, wax, photoresist) SC1 Organic Residue Particles AI NH4OH:H20 2:H2O Group m. lIB metals Native Oxide Roughness SC2 Metallics Native Oxide HCl:H20 2:H2O (AI, Fe, Mg)

HF orBOE Si02 Reactive Surface (NH4F:HF)

Acid and acid-based hydrogen peroxide solutions are widely used in cleaning Si wafer surfaces. The sulfuric acid-hydrogen peroxide mixture, without additional water, is used to strip photoresist and remove trace organic impurities

[2.12]. Such a mixture is called "piranha" because its aggressive nature is designed

to leave a wafer surface free of organic materials. Solvents are also used for

removing gross organics on wafer surfaces. The hydrochloric acid-hydrogen

peroxide-water solution is designed to remove alkali ions and cations such as AI3+

and Fe3+ by forming chlorine complexes that are highly soluble. HF or BOE 26

(buffered oxide etch, a mixture of NH4F:HF) has also been used for oxide etch in semiconductor industries.

The basic ammonium hydroxide-hydrogen peroxide-water solution is

capable of removing organic contaminants by the solvating properties of the

ammonia and the oxidizing abilities of the peroxide. This solution can also

remove Cu, Ag, Ni, Co and Cd by complexation. However, Mg, AI and Fe form

insoluble hydroxide complexes in ammonium solutions and precipitate onto the

surface.

In a series of papers written in 1970, Kern [2.10,2.13,2.14] introduced a

cleaning procedure based on mixtures of hydrogen peroxide with acids or bases.

This cleaning procedure is now commonly known as the RCA clean. Kern

measured the adsorption of reagent components [2.13] and trace impurities [2.14]

by silicon and silica using a radioactive tracer method. He found that adsorption

of chloride ions from HCI solutions onto silicon was very weak and that the ions

could be easily removed by rinsing with deionized water at room temperature.

On the adsorption of fluoride ions from HF solutions, he reported that the major

portion of fluorine contamination resulted from the physisorption of fluoride ions,

but appreciable quantities of chemisorbed fluoride remained after 15 min of

rinsing. Kern noted that desorbed fluorine ions were effectively removed by

rinsing in acetone for a few minutes.

Deposition of trace impurity elements such as Au, Cu, Fe, Cr, Zn, Sb, Mn

and Mo was studied by radiochemical tracer techniques [2.14]. Severe metallic 27 contamination on silicon surfaces was observed after HF etching. This was attributed to the large difference between the oxidation potentials of the silicon and the metal ions in solution, leading to large quantities of electrochemically deposited Cu, Au and Cr. Adsorption of metal ions onto silica was very low. He found that complexing by acidic H20 2 is the most effective method of removing metal contaminants, including Au, Cu and Cr.

Based on adsorption and desorption studies, Kern et al. [2.10] introduced a new cleaning sequence using solutions based on hydrogen peroxide. Surface

contaminants were classified into several broad categories: molecular, ionic and

atomic. Typical molecular contaminants were natural and synthetic oils, waxes

and resins. The source of these organics were grease from fingers, grease from

films deposited during storage and residues from mechanical polishing of wafers.

These contaminants cause polarization and ionic drift on surface sensitive MOS

structures. Since these organics are not soluble in water, the presence of these

compounds would result in a hydrophobic surface and interfere with the effective

removal of any absorbed ionic or metallic impurities. Therefore, these

compounds must be removed as the first step in cleaning.

After removing gross organic residues in a hot trichloroethylene bath, Kern

[2.10] used a 1:2:5 solution of NH40H:H20 Z:HzO (SCI: standard clean 1) to remove the remaining organic contaminants by the solvating action of the

ammonium hydroxide as well as complexing some group I and group n metals

such as Cu, Ag, Ni and Co. The second process to remove the remaining heavy 28

metals was carried out in a 1:2:7 HCI:H20 2:H20 (SC2: standard clean 2)

solution. Over 30 different desorption treatments were done involving

combinations of complexing, chelating and oxidizing agents for removing copper

from silicon. The acidic-hydrogen peroxide was the most effective. Water and/or

hydrogen peroxide without acid were not effective. It is important to note that

the solutions did not attack either silicon or silicon oxide as long as sufficient

hydrogen peroxide was present. If the hydrogen peroxide became depleted or if

there was a large amount of fluoride ion contamination present, etching did occur.

It has become a common practice to modify the original RCA clean by

including a HF etch between the ammonium hydroxide-hydrogen peroxide and

hydrochloric acid-hydrogen peroxide steps, as suggested by Amick [2.15]. Amick

suggested a cleaning sequence with the following steps:

(1) removal of organics,

(2) removal of oxide film on silicon surface, and

(3) removal of metallic or ionic impurities.

He claimed that the sequence should be followed in this order since the presence

of an oxide or organic film on the surface could interfere with the removal of

metals and ions, while the presence of an organic film could interfere with the

removal of the underlying oxide.

Kern [2.16], in a later paper, suggested the addition of a brief etch in dilute

HF between SC1 and SC2. The HF etching in the cleaning process enhances the

carbon contamination, is a source of metal contamination, and introduces stacking 29 faults on (100) surfaces following oxidation [2.10,2.17]. Henderson [2.17] used high-energy electron diffraction (HEED) and auger electron spectroscopy (AES) to evaluate silicon cleaning by sequential immersions in a basic and acidic peroxide solution with HF etching. The HF etch as a final step in a cleaning procedure caused the formation of relatively large amounts of surface silicon carbide when a silicon wafer was heated to 800°C in ultrahigh vacuum. Also, a final HF etched wafer suffered extensive surface roughness with lloo°C heating in vacuum. However, SC1-HF-SC2 sequence provided a thin, nearly carbon-free, oxide layer that acted as a passivating and protective layer and did not introduce the formation of surface silicon carbide and roughness on the wafer when heated in vacuum.

Schwettmann et aI. [2.18] studied the dependence of oxidation kinetics on surface preparation for the thermally grown oxide. Wafers cleaned with a sulfuric-peroxide solution produced a considerably thicker thermal oxide when compared to the "no-clean" wafers and the ammonium hydroxide-hydrogen peroxide clean wafers.

Gould and Irene [2.6] varied the standard RCA clean to investigate the effect of surface clean procedures for thermally grown oxides thicker than 1200 A.

They found results similar to those of Schwettmann et al. [2.18]. The slowest oxidation rate was observed for the ammonium hydroxide-hydrogen peroxide cleaned sample. The HCI:H20 2 produced nearly the same retardation in 30

oxidation rate as the treatment in NH40H:H20 2 solution. An HF etched sample

showed the most rapid oxidation.

de Larios [2.11] reversed the cleaning sequence for the NH40H:H. 20 2 and

HCI:H20 2 solutions (reverse RCA) and added an HF:H20 2 step between the

original two steps of the RCA process. He found the retardation in oxidation

kinetics on surfaces cleaned with NH40H:H20 2 final step compared to the other

clean. This was attributed to the fact that less than 5 x 1013 AI atorns/cm2 were

deposited on the wafer surface during the wet cleaning process.

Ohsawa et al. [2.19] developed a method for preoxidation cleaning of

silicon trenches by slightly etching the surfaces using a HN03-HF-H20 solution

with an extremely low HF concentration. They claimed this method removed

near-surface damage associated with reactive ion etching (RIE) and reduced the

concentration of heavy metal surface impurities to one-tenth that of RCA

cleaning. Wafers were first cleaned by the modified RCA method (SCI-HF:H20-

SC2-SC1), then by a slight etch (SE) cleaning. SE cleaning (etch depth -30 nm)

reduced the surface concentration of heavy metals by a factor of ten and Ca, Mg

by a third when compared with RCA cleaning. They attributed this to the

removal of shallow contaminated layers on silicon wafers.

Peters and Deckert [2.20] investigated the ability of organic solvents,

plasmas and oxidizing solutions to strip positive and negative photoresists. The

organic solvents were adequate for stripping positive resists but left a significant 31 photoresists but left residue. A two-step procedure was recommended to clean surfaces: (1) removing the bulk of the photoresist film in organic solvents, and

(2) treating in hot (80°C) NH40H:H20 2 solutions. The SCl solution alone could not strip photoresists.

2.1.1.1. Alkaline Solutions in Semiconductor Processing

Alkaline solutions have traditionally been used to etch and clean silicon wafers. Cleaning wafers in straight (no hydrogen peroxide) alkaline solutions results in the etching of wafers, and the magnitude of the etching depends on the concentration of solutions. Strong alkaline chemicals such as NH40H [2.21], KOH, NaOH [2.22], ethyldiamine [2.23], hydrazine [2.24], and quaternary ammonium hydroxides [2.25-2.27] (e.g. tetraethyl ammonium hydroxide

«CH3)4NOH) and choline «CH3)3N(CH2CH20H)OH» are used for etching and cleaning processes. Inorganic strong bases such as NaOH and KOH are seldom used in the semiconductor industry because alkali elements are highly mobile

contaminants, thus harmful to electrical properties of devices.

During the etching of silicon with KOH and NaOH, the main reaction

species was found to be OH- [2.28,2.29]. The cation does not participate in the

etching and complexation of etched species. For most alkaline etching solutions,

a complexing agent such as iso-2-propyl alcohol and pyrocatechol (C6H4(OHh) is

add~d [2.23,2.24]. The complexation of the etched species in solutions is a very 32 important chemical reaction and prevents contaminant ions from redepositing on

the substrate.

Ammonium hydroxide is well known to etch and complex metals such as

Cu, Ag, Ni, Co, and Cd [2.30]. Ammonium hydroxide is a weak base and is

characterized by the following dissociation equilibrium:

NH40H t; NH4 + + OH-, pKt, = 4.75 at 25°C (2.1)

In the cleaning process, NH40H is seldom used alone, and additives such as H20 2

and surfactants are added to NH40H to control the etch rate and increase the

wettability of silicon. Without the presence of H20 2, ammonia solution etches

silicon and causes a rough surface [2.31]. Kern [2.16] studied the effect of H20 2

on the etch rate of silicon and silica in ammonia solutions. He found the etch

rate to be a function of the H20 2 concentration and a 1:1:5 NH40H:H20 2:H20

(SC1) solution at 85°C etched silica and silicon at 0.9 A/min and 0.5 A/min,

respectively. Without adding H20 2 to the SC1 solution, the etch rate of silicon

increased tremendously to -3.5 I'm/min at the same temperature but the etch

rate of silica was not affected.

Hydrogen peroxide is a powerful oxidizing and acidic agent. Dilute

solutions at 50°C are most stable at a pH near 4.5 to 5.0 and are least stable at

high pH's where they decompose to water and oxygen,

H20 2 ... H20 + 1/2 O2, (2.2)

Decomposition is catalyzed by traces of most heavy metals. Hydrogen peroxide is

a weak acid (PKa = 11.6). Thermally activated transfer of a proton from a 33 hydrogen peroxide molecule to a water molecule occurs to a small degree even at

25° [2.32]:

(2.3)

In SCl solutions, hydrogen peroxide gradually decomposes and this results in a loss of cleaning effectiveness. During cleaning, the temperature of the SCl solution is maintained in the range of 75-80°C because of the rapid decomposition of HzOz at higher temperatures and an increase in the volatilization of NH3 from the NH40H solution. The half-life of the SCI solution at 88-90°C was reported as approximately 5 min compared to 50 h at 23°C [2.16]. In acidic peroxide solutions, the decomposition of HzOz and volatilization of HCI occur at a much slower rate than from the alkaline peroxide solutions. There is no danger of silicon etching under any conditions.

Meerakker et al. [2.33] studied the etching n-type silicon of the (100) orientation in NH3/HzOz solutions at 7CfC. The addition of HzOz of less than 3 3 x 10- M to NH40H solutions enhanced the etch rate initially. When the HzOz concentration exceeded the value of 3 x 10-3 M (-100 ppm) at 70°C, the silicon

surface was passivated and etching stopped. They also investigated the influence

of the pH of NH3 solutions adjusted with NaOH. The pH showed a moderate

effect on the etch rate; increasing the OH- concentration by a factor of 30

enhanced the etch rate by a factor of 10. The effect of the NH3 concentration

was much stronger. The half-life of HzOz in SCI solutions operated at 80°C was calculated to be 9.3 min. 34

In SCI solutions, higher concentrations of ammonium hydroxide leave more particles and hazes as defects on silicon surfaces. Mishima et al. [2.34] reported that an NH40H-H20 2 solution of the standard ratio (1:1:5,

NH40H:H20 2:H20) increased the haze formation and decreased the particle

(polystyrene and silica latex) removal efficiency compared with lower NH40H content solutions. Proportions of NH40H ranging from 0.1 to 0.5 showed better cleaning efficiencies and formed much fewer hazes on the silicon than the standard ratio.

Quaternary ammonium hydroxides have recently been applied to clean wafers with RCA cleaning solutions. They showed better performance than any combinations of RCA cleaning solutions in removing particles [2.25,2.26], heavy metals and reducing oxidation induced stacking faults (OISF) [2.35] after treatment.

One such QAH as choline, a strong base, is a biologically, physiologically and pharmacologically important substance [2.36]. Choline can be produced by the reaction of trimethylamine with ethylene oxide.

Choline is free of alkali metal contaminants such as sodium or heavy metals, like

copper, whose inclusion should be avoided with utmost care. It is stable in dilute

solutions, but in concentrated solutions tends to decompose at 100°C, giving

ethylene glycol, polyethylene glycol and trimethylamine. Choline generally has the 35 capacity to remove organic matter such as oils and inorganic matter such as alkali metals and aluminum that may be deposited on a semiconductor substrate [2.27].

A choline-based cleaning solution, Summa-Clean, has gone through extensive testing in the semiconductor industry; it contains a proprietary surfactant and a

small amount of methyl alcohol.

Muraoka et al. [2.26,2.27] developed several techniques to clean silicon

wafers using choline. They reported that dilute aqueous solutions of choline with

selected nonionic surfactants can remove heavy metals from the silicon surface

and prevent replating of these metals from solutions. Even though choline etches

silicon, the etch rate can be controlled by adding nonionic surfactants. The

addition of hydrogen peroxide can be used to minimize the etch rate.

Hariri and Hockett [2.35] studied the influence of different wafer cleaning

methods on high temperature oxidation of silicon. They evaluated various

cleaning methods (nine different cleaning processes by combining RCA chemicals

and choline) by characterizing oxidation-induced stacking fault (OISF) formation,

oxide thickness and dielectric properties. Choline cleaning resulted in fewer

OISFs, the lowest levels of heavy metals, thicker oxides and no leakage in the

oxides.

Electronic-grade TMAH was introduced [2.37,2.38] in the 80's to clean

silicon wafers and develop resist films in the process for manufacturing

semiconductor devices. Dilute aqueous TMAH solutions, with and without

selected surfactants, can be used for removing organics, heavy metals and particles 36 from silicon wafers. At a temperature of near 70°C, TMAH shows better cleaning capability and etches silicon at rates ranging from 180 A/min at 0.077%

(1:30 dilution of 2.38% TMAH with surfactant). TMAH can also be added to a

SC1 mixture (1:1:3:20 TMAH:NH40H:H20 2:H20) for particle and metal ion removal.

2.1.1.2. Oxide Etch

HF in various dilutions in water and buffered with ammonium fluoride is the standard silicon dioxide wet etchant in the semiconductor industry. Etch rate of silicon in HF is negligible. Hot alkaline bases such as NaOH or KOH etch oxide at a useful rate, but they attack organic masking materials and also etch silicon.

HF-H20 System

In dilute water solutions, HF partially dissociates and generates the bifluoride ion HFi [2.39]:

3 KI = 1.3 X 10- (2.5)

K2 = 9.615 (2.6)

In the semiconductor industry, 49% or 50% HF is mixed with water ranging in weight ratios of HF:H20 from 5:1 to 400:1. The etch rate of silicon dioxide depends on the HF and HF2- concentrations but not on F in relatively dilute fluoride solutions. Figure 2.1 shows a plot of the calculated fractional 37 concentrations of HF and HF£ for solutions of 15 M total fluorine as a function

of pH. In the region of pH > 7 all fluoride exists as uncombined fluoride ions.

As the pH is lowered, the [HF£] increases and reaches a maximum in the region

of pH 3. Hydrofluoric acid becomes a significant species below a pH of 4 and

increases monotonically as the pH is further lowered.

Hydrofluoric acid reacts with Si02 to form hexafluosilicic acid and other

silicofluoride complexes according to the following equations [2.40-2.43]:

6 6 Si02 + 4 H+ + 6 F ... SiFl + 2 H2O K3 = 102 . (2.7)

2 H+ + SiFl ... H2SiF6 ~ = 35.82 (2.8)

2 Si02 + 4 H+ + 5 SiFl ... 6 SiFs- + 2 H 2O K3 = 10-1.05 (2.9)

7 15 2 Si02 + 8 H+ + 4 SiFl'" 6 SiF4 + 2 H 2O. K3 = 10- . (2.10)

The results of a calculation to identify the most predominant silicon fluoride

complexes in a 15 M HF solution saturated with Si02 are shown in Figure 2.2.

The most dominant species over the pH range 0 to 8 is shown as SiFl.

NH4F-HF-H20 System

Buffered oxide etch (BOE) is widely used as a chemical for processes such

as etching, patterning and cleaning of silicon wafer surfaces. It is a mixture of

40% NH4F and 50% HF, ranging in weight ratios of NH4F:HF from 5:1 to 400:1. 38

1.0

0.9

c -.2 0.6 ...u ~ -2 ~ .3 0.4

0.0 '-___---L.. ____ .::r:::= ___ L....-...... :::==- __ o z 4 6 8 pH

Figure 2.1. Plot of calculated concentrations of HF and HFi for solutions of 15 M total fluoride as a function of pH. 39

High concentrations of NH4F buffer the reaction rate of Si02 and to prevent the attack of photoresist by HF [2.44].

NH4F is a strong electrolyte, and a large amount of F ion is generated by the NH4F-HF-H20 system according to the following equilibrium: (2.11)

The fluoride ion combines with HF to form the bifluoride ion, HF2-, following reaction (2.9). The reaction of BOE with Si02 may be represented by the reactions:

Si02 + 3HF2- + H+ ... SiFl + 2H20 (2.12)

NH4 + + siFl ... (NH4hSiF6• (2.13)

Figure 2.3 shows the etch rates of thermal oxide at 25°C in various BOE compositions. The etch rates increase with an increase in HF concentration but it is almost independent of NH4F concentration above the equivalent mole ratio.

The equivalent mole ratio line is determined from the fact that the molecular weight of NH4F is 37 and that the HF is 20. Kikuyama et al. [2.44] found that the excess NH4F concentration in the ordinary composition of BOE did not enhance the ionic reaction with silicon oxide during the etching and seriously degraded the etching uniformity and linearity due to the lack of solubility of etching product in

BOE. They improved the BOE by using an NH4F concentration of about 15% instead of 40%. The increase of NH4F concentration in BOE increased the solubility of etching species and provided the solid phase segregation during the

storage of BOE. 40

0 F-

HF;

-5 HF

-c: 0 :.;:; u u:e -10 -!! ~

-15

~o~--~~----~--~~--~~----~--~ -4 -2 o 2 4 6 8 pH

Figure 22. Plot of calculated concentrations of possible species in HF-Si02-H20 system as a function of pH. 41

HF' Cone. ( moVl )

-~ 30 -. c -~ () -e , - c: , c( ~. 0 - 01( 0 ~ - -t- 0 0 &! - '0 -• 10' c( 0 0 a; 20 10 I " .~- , ~ -0 - u u. 0 6--tt.'" ' 5 N ~ ~ ... ,,~\'P ... $ ~ .... ~. 4 i ~'(\. 10 3 2 1 0 0 10 HF WeIght Cone. (")

Figure 2.3. Etch rates of thermal oxide at 25°C in various BOE compositions (from Ref. [2.44]). 42

Kikuyama et al. [2.45] controlled the wettability of silicon in BOE through the addition of surfactants. Surfactants to be used in BOE solutions must have

the following characteristics: (1) good solubility in BOE, (2) hydrophilic property

at the wafer surface, (3) stability in BOE, (4) non-reaction with BOE,

(5) sufficient lowering of contact angle at the critical micelle concentration

(CMC). They found a binary surfactant system, consisting of a combination of

aliphatic amine and aliphatic alcohol or aliphatic acid, to meet all these

requirements.

2.1.2. Drying of Silicon Wafers after Wet Processing

In the aqueous processing of silicon wafers, drying after rinsing is a critical

step and must be accomplished by the physical removal of water. Spin

rinse/drying is an accepted and widely practiced procedure in industry to remove

water. However, it has been argued that this method [2.46] recontaminates the

wafer surface with particles and aerosols caused by the mechanical motion of the

dryer itself.

A method known as capillary drying removes water from the surface of

wafers by . In this method, wafers are first submerged in hot DI

water and then pulled out. Apparently, less than 1% of the water remains on the

surface to evaporate, leaving a particle-free surface [2.46].

In solvent vapor (usually isopropyl alcohol) drying, wafers wet with DI

water are dried by placing them in a solvent vapor, which displaces the water on 43 the wafer surface. Mishima et al. [2.47] found that the water content in IPA, the temperature distribution of IPA around the wafers and the velocity of IPA vapors affect the cleanliness of surfaces after IPA drying. They determined optimum conditions for IPA vapor drying such as the water content in IPA of less than

1000 pp~ IPA temperature around the wafers of 82°C and an IPA velocity of

5.0 em/sec. These conditions resulted in the cleanest surfaces during IPA drying.

Another modified IPA vapor drying process, known as direct displacement

IPA drying, eliminates the transport of rinsed wafers from another processing bath

[2.48,2.49]. In this technique, the wafers are first cleaned and then rinsed in DI water in a closed chamber. Following the rinse step, the wafers, still immersed in water, are exposed to IPA vapor. Bare, oxide-coated and silicon nitride-coated

silicon wafers were tested for particle removal in direct displacement IPA drying

[2.49]. Best particle removal was measured on bare wafers, whereas no particle

removal was observed on oxide and nitride coated wafers. It was hypothesized

that a condensate of IPA forms on the DI water and that the IPA spread readily

at the water/hydrophobic wafer interface displacing the aqueous phase. DI water

on hydrophilic wafers was believed to compete with IFA, thereby reducing the

effectiveness of IFA

The success of direct displacement IFA vapor drying may be explained by

the Marangoni effect [2.50,2.51], which is the flow along a liquid surface induced

by local variations in surface tension. When a flow of water-soluble IPA vapor

reaches a wafer/water/vapor interface, more vapor is adsorbed at the 44 water/vapor interface close to the wafer than at the water/vapor interface far from the wafer. This leads to a concentration gradient along the meniscus causing a surface pressure gradient. The surface pressure gradient induces a Marangoni flow of water directed away from the wafer.

2.1.2.1. Characterization of IPA

Physical Properties of Alcohols

Table 2.2 shows a comparison of the physical properties of alcohols with isopropyl alcohol. Alcohols can be divided into hydrophilic and hydrophobic alcohols. Alcohols with HLB (hydrophilic-lipophilic balance) numbers between 3 and 6 give water-in-alcohol type (i.e., hydrophobic); those with numbers between 8 and 18 give the alcohol-in-water type (i.e., hydrophilic). The empirical HLB number can be related to the chemical nature of alcohols by assigning numbers to structural groups and adding the pertinent ones together [2.52]. The relation is:

HLB = 1; hydrophilic group numbers

- 1; lipophilic group numbers + 7. (2.14)

Octyl, butyl, hexyl and benzyl alcohols have HLB values less than 7, low

solubilities in water, low vapor pressures and high boiling points. They are

hydrophobic and both advancing and receding contact angles reach zero, i.e., show

complete wetting on hydrophobic silicon wafers. Isopropyl, ethyl, methyl and allyl

alcohols, however, have opposite properties: HLB values larger than 7, complete

solubilities in water, high vapor pressures and low boiling points with Table 2.2. Physical Properties of Alcohols

P (1),(Il) 'Y, dynes/em, vapor , T~~~f Solubility in Solubility of Alcohols at 25°C mmHg water(l),(Il), wt% water(l), wt% HLB °A OR Octyl 19.7 0.14(2) 196 0.059(2) - 5.1 0 0 Isopropyl 21.2 33 82.4 Complete Complete 7.48 0 14 Butyl 21.7 5.5 117.7 7.7 20 7 0 0 Hexyl 21.7 1(2) 156.5 0.58 7.2 6.05 0 0 Ethyl 22.1 44 78.32 Complete Complete 7.95 0 14 Methyl 22.8 99 64.7 Complete Complete 8.43 0 15 Allyl 25.5 23.8(2) 96-98 Complete Complete 7.48 0 13 Benzyl 35.7 3.75(3) 230-205 4 5.2 5.58 0 0 ------(I)at 200C (2)at 25°C (3)at 77°C (Il)from Ref. [2.53]

~ 46 hydrophilicity. It should be noted that the receding contact angles did not reach zero in these hydrophilic alcohols.

IPA-Water System

Wilson and Simons [2.54) studied the vapor-liquid equilibria of the isopropyl alcohol-water system over a range of pressures from 95 mm Hg to

4 atmospheres. They reported the azeotropic composition and boiling point as

85.27 vol% IPA and 80.1O°C at 760 mm Hg, respectively. Figure 2.4 shows an equilibrium distribution diagram between liquid and vapor of IP A at their boiling temperatures. At an IPA content in water lower than 25%, more IPA evaporates from IP A/water solutions than water, and then remains constant at 80% IP A in water. Figure 2.5 shows the change of activity coefficients of IP A and water as a function of IPA content in water. Below 25%, IPA shows much higher activity coefficients than water. It demonstrates that, at a given pressure, IP A has a greater tendency to escape from IP A/water mixtures than water.

2.2. Contact Angles

Hydrophobicity /hydrophilicity of a silicon wafer surface is one of the important parameters in understanding the source of micro contamination. Oxide­ coated and RCA-cleaned wafer surfaces are hydrophilic, and HF-etched surfaces are hydrophobic due to the passivation by hydrogen termination [2.55].

Hydrophobic surfaces are characterized by large contact angles of water, often in 47

100 Cd 90· [[pcP ~ 80 COCO >" CCll CC CIO .5 70 . C ~ .... 60 . C ..,0 c 50 Q) I::! 40 C ~ 0 ~ 30 ~ C5 > 20· 10·

0 0 10 20 30 40 50 60 70 80 90 100

Volume Percent of IPA in Liquid

Figure 2.4. Equilibrium distn'bution diagram between liquid and vapor of IP A at their boiling temperatures. Replotted from Ref. [2.54). 48

1.0 Ol 0.9' D D D Log Activity Coef. of IPA 0.8 • Log Activity Coef. of Water .. 0.7 D I 0.6 • D O.S D 1Q 0.4 .- f c _. •• ! 0.3 I:b 0.2' 0 •• •0 0.1 • .. Do roo 0.0 -... •• .- - . . Ol:ln.n. 0 10 20 30 40 SO 60 70 80 90 100

Volume Percent of IPA in Water

Figure 25. Change of activity coefficients of IP A and water as a function of IP A content in water. Replotted from Ref. [2.54]. 49 the range of 40 to 110 degrees and low heats of immersion into water, 6 to

90 dynes/em [2.56]. Static contact angles have been measured on HF-etched silicon wafers [2.57,2.58]. Using methanol/water solutions, Gould and Irene [2.57] determined a value of critical surface tension ('Yc), of 27 dynes/em for Si from static contact angle measurements. The low value of 'Yc was attributed to the presence of hydrogen and fluorine species on the HF-etched Si surface. William and Goodman [2.58] measured the contact angle of water on silicon surfaces covered with oxide layers of different thicknesses. They found HF-etched silicon to be hydrophobic (8 :I:: 90°) and oxides thicker than 30 A to be hydrophilic

(8 cOO). Contact angles were a function of the oxide thickness. Contact angle values are very sensitive to surface contamination [2.59]. The contact angle measurement method is inexpensive and rapid in characterizing the solid surface even though the surface chemistry information is ambiguous. Table 2.3 shows the

Table 2.3. Advantages and Disadvantages of Contact Angle Methods (from Ref. [2.60])

Advantages Disadvantages Inexpensive to use Rapid to measure angles Artifact-prone method such as --=------.....:;------1 roughness, substrate contamination _S_im~p_le_to_o...:p:...e_r_at_e______I or modification and operator bias Theory is well developed Provides information related to /surface Provides ambiguous surface energetics chemistry information 50 advantages and disadvantages of the contact angle method in characterizing the solid surface.

The choice of a method to measure contact angles depends on the gross geometry of the system. For example, the most convenient method for a flat plate is not usable for the inner surface of a capillary tube, and fine textile fibers and powders create specific problems of measurements that are not present in other systems. Static and dynamic contact angle methods can be applied in measuring contact angles. The dynamic method is more accurate and objective and provides more information on surface energetics than static methods.

2.2.1. Static Angles - Sessile Drop or Adhering Gas Bubble Method

The method of sessile drop or, alternatively, of the adhering gas bubble is the most widely used static technique and is shown in Figure 2.6. It is, in general, the most convenient method and it has the two advantages: (1) requires only very small quantities of liquid and (2) is able to work with small samples. The equipment for the sessile drop method typically consists of a horizontal stage on which the flat specimen is mounted, a micrometer pipette with a small tip or other device for forming the drop, a source of illumination of the

drop from behind, a light filter to minimize heating by the light source and a

telescope with a protractor eyepiece. The contact angle may be determined by

either using a telescope equipped with a goniometer eyepiece or taking a

photograph and measuring the angle. 51

(a)

------::I--~ ---9 f/; 'It

(b)

Figure 26. Schematic diagram of techniques measuring static angles: (a) sessile drops on a solid surface, and (b) captive bubbles in a liquid underneath a solid surface. 52

For the captive bubble method, the specimen is immersed in the liquid and attached to the top of the chamber. The gas for the bubble must be supplied via a small-tipped tube, and the volume of the gas must be controlled.

On a smooth, homogeneous, rigid, isotropic solid surface, the equilibrium contact angle of a pure liquid is given by Young's equation,

'Ysv - "(sl = 'Ylv cos8c (2.15) where "(SV is the solid-vapor interfacial free energy, "(&1 the solid-liquid interfacial free energy, "(Iv the liquid-vapor interfacial tension, and 8e the equilibrium contact angle. For most systems, however, the equilibrium contact angle state is impossible to obtain.

In the sessile drop method, the advance and retreat of a drop on substrate make it possible to measure both advancing and receding angles. It is generally possible, by use of a motor driven syringe to control the rate of the addition of a liquid or its removal and to measure the rate of motion of the drop front. An appropriate rate for a sessile drop is about 0.01-0.1 mm/min linear advance or

retreat. Advancing angles are typically larger than receding angles (both depend upon the rate of advance and retreat). This introduces hysteresis in contact angle

measurements. To fully characterize materials, both advancing and receding

contact angles must be measured [2.61]. 53

2.2.2. Dynamic Angles - Wilhelmy Plate Method

The Wilhelmy plate method is widely used in the measurement of dynamic contact angles. The basic apparatus is shown schematically in Figure 2.7. The specimen, in the form of a plate, is suspended by a thin rod from an

electrobalance. The balance may be interfaced with a computer. The stage

carrying a beaker containing the liquid of interest may be moved up and down by

an electric motor to establish the advancing and receding angles at variable

speeds. Advancing and receding angles can be measured by immersing the plate

to some depth (advancing angle) and subsequently withdrawing the plate

(receding angle). In the Wilhelmy method, the perimeter must be constant along

the plate.

n a smooth, vertical plate of thickness 't' and width 'w' is brought into

contact with a liquid, as indicated in Figure 2.8, the liquid will exert a downward

force on the plate, which is given by

F p = P 'Ylv cosO (2.16)

where 'p' is the perimeter of the plate, 'Ylv is the surface tension of liquid, and 0 is

the contact angle. As the sample continues to penetrate the liquid, the measured

mass decreases due to buoyancy forces,

Fb = PI Vi g = PI ghtw (2.17) 54

Micro-balance

Sample Plate

'---I--Contact Angle Wetting Medium

t ~ Moving Stage

Figure 2.7. Schematic diagram of a Wilhelmy plate apparatus. 55

t F F P = 2(t+w) 1

2 F

mg

Figure 2.S. Schematic diagram illustrating a Wilhelmy plate method (from Ref. [2.62]). 56 where PI is density of the liquid, g is the acceleration due to gravity, Vi is the volume of plate immersed in the liquid and h is immersion depth. The total force on the plate during the immersion and emersion can be given by

F = mg + Fp - Fb

= mg + P 'Ylv cosO - Fb or

(2.18) where 'm' is mass of the plate. To evaluate the contact angle, it is necessary to know the surface tension of liquid medium. The surface tension of liquid can be measured using a highly clean, completely wettable solid (e.g. radio frequency glow discharged mica, prehydrated in water or precleaned glass with acetone and flame).

When a sample plate is slowly immersed in and withdrawn from solutions, force changes can be measured as a function of the depth of immersion of the plate. The result of such a measurement is generally a hysteresis loop. Figure 2.9 shows typical Wilhelmy plate hysteresis loops for (a) bare and (b) oxide-coated p(100) silicon wafer samples in DI water. The bare wafer sample was taken through two successive cycles. Prior to the contact between the plate and the

liquid, the force remains constant (line AB in Figure 2.9(a)). At zero net depth

of immersion (i.e. when the sample just touches the liquid) the force decreases

due to the capillary depression of the liquid at the plate if 0 > 90° as shown in

Figure 2.9(a). The force increases if 8 < 90° due to the capillary rise of the liquid 57

10000

SO.OO

·SO.OO

'100.00

·ISO.00

.m·~.~OO------I-.00~-----~-00------5.00~----~~~00------~9~.00~

Sb~ POllllon, mm

(a)

400.00

350.00

300.00 F 0 250.00 r c 200.00 e (119) 150.00 100.00

50.00

0.00

-50.00

-IOO.O~I.OO 1.00 3.00 5·00 7.00' 9.00 11·00 13.00 15.00 Stage Position (1It1ll)

(b)

Figure 2.9. Typical Wilhelmy plate hysteresis loops for (a) bare and (b) oxide coated silicon wafers in DI water. 58 as shown for the oxide-coated wafer (Figure 2.9(b». Further immersion decreases the force due to the buoyancy effect. In the emersion (withdrawal) step, the buoyancy force decreases and the net force increases as shown in Figure 2.9.

These buoyancy slopes in both immersion/emersion can be extrapolated to zero

depth of immersion to find advancing (F.J and receding (FJJ forces. In

Figure 2.9(a), F A,lst and FR,lst are used to compute the first cycle advancing (O.J

and receding (OR) angles on a bare wafer, respectively. If the average contact

angle along the line of contact does not remain constant during the immersion or

emersion or if the surface of the liquid is vibrating, the loop line will not be

straight but contorted.

The Wilhelmy plate method relies on weight change, which can be

measured with much higher accuracy and objectivity than the direct reading of an

angle with a goniometer. The reproducibility is therefore only limited by the

reproducibility of the solid surface and the reliability of determining the perimeter

'p' of the plate. However, there are several drawbacks that are not immediately

obvious and can restrict the usefulness of this method [2.61]. The plate must have

the same composition and morphology at all surfaces--front, back and both edges.

If one wants to investigate films deposited in vacuum, polished surfaces or

anisotropic systems, this condition may be difficult to meet. In measurements that

extend over appreciable time intervals, swelling of the solid (e.g. polymer) may

become a problem. Also, adsorption of the vapor of the liquid at various parts of

the gravimetric system other than the plate may affect the measurement of 59 contact angles. This is particularly serious for measurements of the temperature dependence of contact angles.

2.2.3. Origin of Hysteresis

On most surfaces, liquids that form nonzero contact angles usually exhibit hysteresis because of the heterogenous nature of surfaces, such as different

chemical composition, different crystallographic faces and the existence of defects

and roughness on surfaces [2.63]. Hysteresis is defined as the difference between

the advancing and receding angles

1:&0 = OA - OR (2.19)

Contact angles in dynamic system depend upon the rate of advance and

retreat of a liquid over a solid. The measurement of contact angles in a dynamic

system was made a function of rate for organic liquids on Teflon TFE [2.63]. It

was found that (JA (dynamic system) > (JA (static system), OR (dynamic system) <

OR (static system), and 1:&0 (dynamic system) > I:&(J (static system) for liquids such

as decane, over the entire range of speeds employed, which were as slow as 0.01

em/min. Attempts were made to extrapolate dynamic angles to zero rate. No

satisfactory extrapolation was found such that the limiting hysteresis, as rate

approached zero, was equal to the quasi-static hysteresis that was observed after

motion had been stopped. 60

Roughness

The macroscopic contact angles measured can be very different from the actual microscopic or true angles. Wenzel [2.64] attempted to relate contact angles to the true or total area, 'A', and to the apparent or geometric area A-.

He defined roughness, 'r', as

r = A/A­ (2.20)

Wenzel further claimed that

cosO = r cosOo (2.21)

where 0 is the observed macroscopic angle and 00 the true microscopic angle. For

a given 00, it is clear that as 'r' increases 0 may increase or decrease. Figure 2.10

gives 0 as a function of 'r' for various 00• For 00 > 90°, 0 increases as 'r' increases,

but for 00 < 90°,0 decreases as 'r' increases. If 0 is 90°, the contact angle is

independent of the surface roughness.

Surface Heterogeneity

Surface heterogeneity can also cause hysteresis. If a surface consists of two

materials having contact angles, 01 and O2, the equilibrium contact angle of a

heterogeneous surface, 0 is the weighted average of the angles on each of the two

phases:

(2.22) 61

1801r------~------r_------~

15

. B.· 90·

°1~--~--~------~3~------~4--~ SURFACE ROUGHNESS RATIO. r

Figure 2.10. Change of contact angles as a function of roughness factor, 'r' (from Ref. [2.65]). 62

where fl and f2 are the area fractions (fl + f2 = 1) with contact angles 91 and 82,J respectively. Advancing angles are associated with the intrinsic angle of the high­ contact-angle regions of surfaces. Similarly, receding angles are associated with low-contact-angle areas. Figure 2.11 gives a family of curves showing how contact angles and contact angle hysteresis vary with the percentage of the surface covered with the low-contact-angle material. The center line is calculated from. equation (2.22). The curves above the straight line represent possible advancing angles and those below represent corresponding receding angles [2.65]. It can also be seen from Figure 2.11 that, if the solid surface consists mainly of low­ energy (high-contact angle) regions, any change in the surface concentration of high-energy (low-contact angle) regions should produce large changes in the receding contact angles without significantly affecting the advancing angle.

Deformation, swelling and penetration of liquid into the surface were

observed in polymer materials and regarded as a major sources of contact angle hysteresis. Surface reorientation and motions are also possible in polymeric

surface. Table 2.4 summarizes the sources of contact angle hysteresis. For an

inorganic solid surface such as a silicon wafer, sources of contact angle hysteresis

are mainly caused by the surface roughness and heterogeneity due to the

contamination during the wafer process. 63

150 140 I~O

: lIoUI _120~~======~==~=­CI 0.100 CI ~ 90 ~ eo ~ 70 ...~ 60 ~ 50 540 u 3020

~~~~-===:J~:S:::S:::5~~~~~~~~~60 70 eo 90 100

per cent 82 AREA

Figure 2.11. Change of contact angles and contact angle hysteresis as a function of surface coverage of low-energy material (from Ref. [2.65]). Curves 1,2, 3, and 4 indicate decreasing drop energies and upper and lower sets of curves are advancing and receding angles, respectively. Table 2.4. Sources of Contact Angle Hysteresis (From Ref. [2.62])

General Assumption Specific Assumption Effect on Hystersis Time Dependent

Surface is smooth Surface must be smooth /j.(J increase with increasing No at the 0.1 to 0.5 I'm roughness «(JA increases and level (JR decreases with increasing roughness if (J A > 90°)

Surface is heterogeneous Surface must be (J A dependent on low-energy No homogeneous at the phase; (JR dependent on 0.1 I'm level and above high-energy phase Surface is nondeformable Modulus of elasticity Yes > 3 x lOS dyne/cm2 - Wetting liquid does not Liquid molecular Increased liquid penetration Yes--due to penetrate surface volume> leads to increased /j.(J diffusion 60-70 cc/g-mole Surface does not reorient Reorientation time > > Increased tendency to Yes time of measurement orientation leads to increased /j.(J

~ 65

CHAPTER 3

EXPERIMENTAL MATERIALS AND PROCEDURES

3.1. Materials

3.1.1. Wafers

One hundred mm and 150 mm single-side polished (SSP) and double-side polished (DSP) silicon wafers, with and without thermally grown oxide coatings

(570 A), were used. Thermally grown oxides were prepared on p(100) wafers in a quartz tube furnace at 850°C for 30 min in a steam ambient. Table 3.1 shows the characteristics of wafers used in the experiments.

Table 3.1. Characteristics of Wafers Used in the Experiments

Type Direction Oxide Thickness, A Resistivity, O' cm p 100 570 10-80 P 100 No grown oxide 10-80 n 111 No grown oxide 1-15 n 100 No grown oxide 30-100

3.1.2. Chemicals

Choline was purchased from Sigma Chemical CO.(l) as a 50% solution.

Aliquots of this solution were appropriately diluted with 18 MO-cm DI water to

prepare choline solutions ranging in concentration from 6.5 to 10000 ppm

(l)Sigma Chemical Co., P.O. Box. 14508, St. Louis, MO 63178. 66

(1 wt%). An electronic-grade choline solution called "SummaClean" mixed with methanol and a proprietary surfactant was provided by the Mallinkrodt Chemical

CoP)

Reagent-grade TMAH was purchased from Aldrich Chemical CoP) as a

10% solution. Aliquots of this solution were diluted with Dl water to prepare

TMAH solutions. Electronic-grade TMAH (PFC-1) was provided by Moses

Chemical CO.(4) as a 1.2% solution. Electronic-grade NH40H (28% as NH3) and H20 2 (30%) reagents were used to make appropriate compositions of SCI solutions. Semiconductor-grade H2S04 (98%) and 400:1 BOE (etch rate, 28 A/min) and 5:1 BOE (etch rate, 1000 A/min) were also used in the experiments. High-purity non-ionic surfactant (NCW 601A) was provided by

WAKO Chemical Co. (5) as a 30% solution.

Reagent grade isopropyl alcohol (CH3CHOHCH3, 99.5%) was purchased from EM Science.(6) IPA-water solutions were prepared with 18 MO-cm Dl water. Red fluorescence polystyrene latex (PSL) microspheres (1.8 I'm in diameter), soda lime glass microspheres (1.6 .±. 0.3 I'm) and alumina particles

(2)Mallinkrodt, Inc., P.O. Box. M, Paris, KY 40361. (3)Adrich Chemical Co., P.O. Box 355, Milwaukee, WI 53201. (4)Moses Lake Industries, 760 Randolph Rd., Moses Lake, WA 98837. (S)WAKO Chemical Co., Tokyo, Japan. (6)EM Science, 480 Democrat Rd., Gibbstown, NJ 08027. 67

(1.2-1.4 I'm) were purchased from Duke Scientific CoP> In the as-received condition, PSL particles were suspended in water containing a surfactant with a particle concentration of 2.9 x 109/ml. Glass and alumina particles were in powder.

Silicon particles were purchased from Johnson and Mathey(8) as sieved -

325 mesh powder. These particles were filtered through an 8 I'm filter and the minus 8 I'm fraction was etched using 100:1 HF, DI water rinsed thoroughly, and then used for particle deposition experiments.

3.2. Experimental Procedures

3.2.1. Preparation of Wafer Samples

Wafers were cut with a diamond saw into 13 mm x 19 mm size samples in a class 100 cleanroom. Immediately after cutting, samples were spin-rinse cleaned with DI water to remove silicon particles produced during cutting. Analysis of cut samples by SEM/EDXA and Auger techniques showed no contamination except oxygen and carbon from the atmosphere during cutting. Samples were transferred to a class 10 cleanroom for further chemical cleaning. Piranha (8:2 ratio of

H2S04:H20 2) etch was performed for 10 min followed by a DI water rinse for 5 min. Bare wafers were cleaned by etching in 5:1 BOE for 30 sec and then rinsing in DI water for 30 sec. Oxide-coated wafers were etched in 400:1 BOE for

(7)Duke Scientific Co., P.O. Box 50005, Palo Alto, CA 94303. (8)Johnson and Mathey, 152 Andover St., Danvers, MA 01923. 68

1 min and DI water rinsed for 30 sec to obtain a fresh hydrophilic surface. The samples were then dried using dry nitrogen gas. Figure 3.1 shows the schematic diagram of the sequence of the cleaning procedures.

3.2.2. Wettability Measurements

3.2.2.1. Dynamic Contact Angle Measurements

A Cahn DCA-312 Dynamic Contact Angle Analyzer(9) was used in measuring the force on sample plates as they were immersed in and emersed from

aqueous solutions at speeds ranging from 2 to 264 p.m/sec at room temperature

and 35°C. The analyzer (Figure 3.2) measures dynamic contact angles based on

the Wilhelmy plate technique [3.1]. The instrument was interfaced with an

mM-PC for data acquisition.

Contact angle analysis was performed from the generated force vs. depth of

immersion curves. Contact angles were calculated from the formula [3.2,3.3]

aF = P'YLvCos8 - pgax (3.1)

where aF is the change in force as the sample is immersed or emersed, 'g' is the

gravitational constant, 'p' is the perimeter of the sample, 'YLV is the surface tension

of the liquid/vapor interface (dynes/em), 8 is the contact angle, p is the density of

wetting medium, 'a' is the cross-sectional area of the sample and 'x' is the depth

of immersion. The second term in equation (3.1) is a correction for the sample

buoyancy and is eliminated by extrapolation of the data to zero depth of

(9)Cahn Instruments, Inc., 16207 S. Carmenita Rd., Cerritos, CA 90701. 69

Wafers cut into ,13 mm x 19 mm

Piranha etch for 10 min

, DI water rinse for 5 min

BOE etch 400:1 BOE, 1 min for oxide-coated wafers 5:1 BOE, 30 sec for bare wafers

, DI water rinse for 30 sec

Dry in N2 gas u

Measurements of dynamic contact angles within 48 hrs

Figure 3.1. Schematic diagram of sample preparation procedures. 70

PC Micro-Balance

Inside Chamber ----, Temperature Controlled Circulating Water Bath printer

Water ++ Mo\Ang Stage

Figure 3.2. Schematic diagram of a DCA contact angle analyzer. 71 immersion. The contact angle is then calculated from the force at zero depth of immersion, 'F, by

F = 'YLVPcos8 (3.2) 0.981

In the above equation, the factor 0.981 in the denominator is a conversion factor from gm to dynes.

All contact angle and surface tension measurements were made in a laminar flow hood with an atmosphere of class 100 quality. For most experiments, a stage speed of 64 p.m/sec was used. The surface tension of aqueous solutions was measured using a 24 x 30 mm cleaned glass slide as the solid sample and assuming a contact angle of zero. For the measurements of the surface tension in BOE solutions, a clean Pt plate was used instead of the glass slide.

3.2.2.2. Static Contact Angle Measurements

Static contact angles were measured using an optical goniometer(IO) on

polished and unpolished sides of the wafers. Figure 3.3 shows a schematic

diagram of a static contact angle analyzer. Droplets of 10 p.l volume were used in

the measurements.

(IO)Rame-hart, Inc., NRL C. A. Gonometer, Model 100-00115,43 Bloomfield Ave., Mountain Lakes, NJ. 72

Liquid Illuminator

Power

Figure 3.3. Schematic diagram of a static contact angle analyzer. 73

3.2.3. Particle Deposition

Glass, alumina, silicon and fluorescent PSL particles were deposited on silicon using electrophoretic and aerosol spray methods. Figure 3.4 shows a schematic diagram of the experimental setups used for electrophoretic and aerosol spray deposition. In the electrophoretic technique, a wafer sample was held as

the anode in a cell containing an aqueous dispersion of PSL (106 particles/ml)

and glass particles (0.05 mg/IOO ml). A potential of 6 volts was applied between

the silicon sample and a titanium counter electrode was placed at a distance of

1 em from the sample. Particle deposition was typically carried out for 10 min. It

should be mentioned that the bare silicon sample in the electrophoretic deposition

became progressively hydrophilic by anodic oxidation during deposition.

An aerosol method was also used to deposit glass, PSL, alumina and silicon

particles on samples. This involved the use of an air brush.(ll) Polystyrene

latex particles were dispersed at a concentration of 108 particles/ml (for PSL);

glass, alumina and silicon particles were dispersed at a concentration of 0.05

mg/lOO ml in DI water. The deposition was carried out at a pressure of 20 psi

for approximately 30 sec. Both hydrophobic and hydrophilic samples were

coatable by this technique.

(ll)Richper Air Brush, Model 024C, Tokyo, Japan. 74

Ti Si

...... :::::::::::':::::::::::::::::: ... :::::::::::::::::::::::::::::::::::: ......

PSL Particles in DI Water

(a)

Silicon j:fttir~~~:1~::~~~:1======3 ,.. ., :.. : ...... -:,,:':;~"" :," Particles To air com pressor at 20 psi

(b)

Figure 3.4. Schematic diagram of the experimental set-up for (a) electrophoretic deposition and (b) aerosol spray deposition. 75

3.3. Characterization Methods

3.3.1. Scanning Electron Microscopy (SEM)

After cleaning the wafers, they were inspected using a JEOL SEM(12) with a Tracor(l3) x-ray analysis system. Samples were Au/Pd-coated to observe

the morphology of surfaces. For energy dispersive x-ray analysis, samples were

coated with carbon.

3.3.2. Auger Electron Spectroscopy (AES)

A Perkin Elmer Scanning Auger Microprobe(14) was used to characterize

the surface before and after cleaning wafers. A beam voltage of 5 kV and a beam

current of 10 nA were used at zero sample tilt. A sputtering rate of 10 A/sec was

used to sputter the surface.

3.3.3. X-ray Photoelectron Spectroscopy (XPS, ESCA)

A VG ESCALAB MkII(lS) photoelectron spectrometer with an

aluminum (Ka1 ,2 = 1486.6 eV) anode as the x-ray source was employed in the XPS analysis. Samples were left in a sample vacuum chamber at least a day

(12)JEOL, JSM-840A

(13)Tracor Norton TN 55023.3. Characterization Methods. (14)PHI 590 Scanning Auger Microprobe, Perkin Elmer Corporation, 1161C San Antonio Rd., Mountain View, CA 94043.

(lS)VG Instruments Inc., ESCALAB MkII XPS, 300 Broad Street, Stamford, CT 06901. 76 before obtaining spectra. Spectral data were obtained at a pressure of < 10- 11 torr. All spectral data were referenced to the C Is peak at 284.6 eV.

3.3.4. Ellipsometry

The thickness of films formed on the silicon substrate was determined using a Gaertner ellipsometer(16) at a wavelength of 6328 A and an incident angle of 70°. In the calculation of the thickness of silicon oxides, the index of refraction of the oxide film was assumed to be 1.46.

3.3.5. pH and Redox Potential Measurements and Optical Microscopy

Solution pH and oxidation and reduction potentials (ORP) were measured using a Cole-Parmer(17) epoxy body combination Ag/ AgCI electrode and an

Orion(l8) platinum redox electrode.

A Nikon Epiphot Metallurgical Optical Microscope(19) with differential interference contrast (DIC) was used to photograph deposited particles. An

Olympus Epi-Fluorescent Microscope(20) with long working distance objective

(16)Gaertner Scientific Co., Auto Gain Ellipsometer L116B, Chicago, IL. (I7)Cole-Parmer,7425 N. Oak Park Ave., Chicago, IL 60648. (18)OriOD, 529 Main St., Boston, MA 02129.

(19)NikoD, 623 Stewart Ave., Garden City, NY 11530. (20)Olympus, 4 Nevada Dr., Lake Success, NY 11042. 77 lenses was used to observe the movement of fluorescent microspheres

(excitation/emission, 541/611 nm) at the IPA/water/wafer interface.

3.3.6. Scanning Tunnelling (STM)/Atomic Force Microscopy (AFM)

The roughness of silicon surfaces was measured with a Digital Nanoscope

n.(21) Approximately 1 cm2 pieces were cleaved from the center of each of the

samples and degreased in acetone and methanol followed by nitrogen blow-dry.

For obtaining STM images, the native oxide on the sample surfaces was removed

by dipping samples in 49% HF for 10 sec or by leaving samples under 0.05 wt%

HF solution during imaging. AFM images were obtained by scanning the surface

with a Si3N4 tip without removing the native oxide. The root-mean-square surface

roughness (Rrms) and peak-valley roughness (~-v) of samples were measured

within the regions investigated. Rrms is defined as below:

(3.3)

where Zj = height at point (x,y), 'i' is the number of measurement and 'N' is the

number of data points. l\-v is the height difference between the highest and lowest peaks.

(21)Nanoscope II, Digital Instruments, Santa Barbara, CA 78

3.3.7. Inductively Coupled Plasma Spectroscopy (ICP)

The etch rate of silicon in various alkaline solutions was measured by analyzing the silicon concentrations in alkaline solutions using a Jarrell-Ash 800

Inductively Coupled Plasma Spectrometer (ICP).(22) SSP and DSP p(loo) wafers were immersed in 20 ml of ammonium hydroxide, choline and TMAH solutions for 3 hrs. The concentration of silicon in solutions was measured in ppm. The concentration of silicon was converted into total dissolved weight of

silicon, and then density of silicon was used to calculate the etched thickness of

silicon using the following equations which assume Psolution is 1:

m, grams = (C, ppm/l06) x V, ml (3.4)

and

t, em = m/(PSi x A) (3.5)

where, 'm' is the amount dissolved in solutions in grams, 'e' is the silicon

concentration measured in ppm, 'V' is the volume of solution, Psi is the density of

3 silicon (2.33 g/cm ), 'A' is the total area of silicon sample (5.2642 cm2) and 't' is

the thickness of silicon etched in solutions.

(22)Jarrell-Ash, 590 Rincoln St., Walpham, MA 02254. 79

CHAPTER 4

RESULTS AND DISCUSSION

4.1. Characterization of Samples

Figure 4.1 shows the SEM micrographs of polished (front) and unpolished

(back) sides of p(100), n(100), and n(111) silicon wafers used in the experiments.

It is interesting to note that the morphology of the back sides of wafers are quite different. This is due to the different etch chemicals (NaOH for (100) and nitric/HF for (111» used in the chemical thinning step prior to the final polishing of one side of the wafer. However, it is not clear yet why SEM morphologies of the unpolished side of p(100) and n(lOO) are different.

Cut samples were analyzed by Energy Dispersive Analysis by X-rays

(EDAX) and Scanning Auger Spectroscopy techniques before and after cleaning.

No contamination except oxygen and carbon from the atmosphere was detected

during cutting and cleaning.

4.2. Characterization of Chemicals

4.2.1. Alkaline Cleaning Solutions

The pH, redox potentials (reported on a standard hydrogen electrode

scale), and surface tensions of choline, TMAH and ammonium hydroxide

solutions were measured as a function of the solution concentration at 25°C. The 80

(a) (b)

(c) (d)

Figure 4.1. SEM micrographs of (a) polished wafer (independent of wafer type and orientation), (b) p(lOO) unpolished side, (c) n(lOO) unpolished side, and (d) n(1ll) unpolished side of silicon wafers. 81 effect of the addition of hydrogen peroxide to these solutions was also characterized.

Rapid changes in the pH were observed when the concentration of choline in the solution was raised from 0 to 6.3 ppm as shown in Figure 4.2(a). The solution redox potential decreased with increasing concentration in choline solutions. Above these concentrations, the pH continued to increase but less rapidly. Choline appears to become surface active at the air-solution interface at a solution concentration of approximately 400 ppm as evidenced by the onset of lowering of surface tension. A 10000 ppm solution of choline is characterized by a surface tension of 47.6 dynes/em. As expected, the surface tension of solutions at 35°C is slightly lower than at 25°C as shown in Figure 4.2(b). From the measured surface tension values of choline solutions, the Gibbs surface excess (r) of choline at the solution/air interface was calculated as a function of the solution composition using the following equation [4.1]:

r - _ C dl' (4.1) Choline RT de

These surface excess values are plotted in Figure 4.3. It may be seen that the surface excess of choline increases at solution concentrations above 1000 ppm and

has a maximum value of 2.89 x 10-10 moles/cm2 at 5000 ppm choline. A slight

decrease of surface excess was calculated above 5000 ppm of choline in solution.

The surface tension of choline solutions was not affected significantly by

the addition of hydrogen peroxide up to concentrations of 1000 ppm. The redox 82

600 14 1\ 1111111 I I ~~~ ~+H~-+~# \ ~ Ell 12 r\ 500 >e r\ ,..( 400 ~ 10 ! 300 I 8 II 1I 200 It I

4+-~~~-4~~*-~+# o o 10 100 1000 10000 Choline Concentration, ppm (a)

80

'l- """1j'-. f\ ~ at 3S·C -0- at 2S·C I\~ ,~

40 o 10 100 1000 10000 Choline Concentrltlon, ppm (b)

Figure 4.2. (a) pH, redox potential (vs. SHE) and (b) the surface tension (at 25°C and 35°C) of choline solutions. 83

3 ~ t\[\ ~... v M. ~> N ....E 2 V Kl 15 E / Ii 1/ 1/1 Q) U M LI.I , / "u t ~ / II

o 100 1000 10000

Choline Concentration, ppm

Figure 4.3. Surface excess of choline solutions at air/solution interface as a function of concentration. 84 potential and pH were slightly affected by adding hydrogen peroxide. The change of redox potential and pH is shown in Figure 4.4 as a function of hydrogen peroxide concentration in different choline solutions. The redox potential increased as the concentration of hydrogen peroxide in the choline solutions increased. The pH of choline solutions, however, decreased with the addition of hydrogen peroxide into solutions. These changes indicate that hydrogen peroxide is both the oxidizing and acidic agent (p~ of H20 2 is 11.7 at 25°C). TMAH showed similar changes in redox potential and pH as choline. The rapid change in pH, as shown in Figure 4.5, was observed when the concentration of TMAH in solution was raised from 0 to 10 ppm. Above these concentrations, pH continued to increase but less rapidly. The solution redox potential decreased with increasing concentration. The surface tension of TMAH solutions decreased only slightly as the concentration of TMAH was increased. This is in sharp contrast to the behavior of choline solutions.

As shown in Figure 4.6, small additions of NH40H to DI water rapidly changed both the pH and redox potential of the solutions. The surface tension of

ammonia solutions decreased slightly as the concentration of NH40H was

increased. Also, the presence of H20 2 in aqueous ammonium hydroxide solutions at a concentration typically used in a conventional SC1 formulation lowered the

pH from 11.7 to 10.3. However, slight decrease increase of redox potential was

observed in the presence of H20 2 in NH40H solutions. 85

450 I I T 1 200 ppm Choline 400 pp!" Chol.lne .~ 1000 ppm Choline >e - 350 5000 ppm Choline - - ~ - IU iii .....~ 250 ..... ---t J-- V ~ ...... - ~ ~ I l../ It--~ l/ ....- ~ 150 It--+-" J V V 50 o 200 400 600 BOO 1000 H202 Addition in Choline, ppm (a)

14 I I I I r-o-- 200 ppm Choline f-+- ~oo ~ Choline 13 on: 1000 ppm ChoHne _ 5000 ppm Choline I- '"""1 I"------~ ~ 12 """1 i-- -...... J-- r--- """--1 ---t ~ ~ I--t ]... 11 ..., ~ -...., >-- ~ ~ r--- - 10

9 o 200 400 600 800 1000 H202 Adcition in Choline Solutions, ppm (b)

Figure 4.4. Changes of (a) pH and (b) redox potential (vs. SHE) as a function of HzOz addition in choline solutions 86

,.. 600

12 500 ~ .-I:

10 = "-i i "00 8 I 300 6 Ia:

200 "0 10 100 1000 10000 TMAH Concentration. ppm

(a)

80.------,

75 - I 70 i 65

I 60 -- Surface Tension ... 55

I 50 "5

o 10 100 1000 10000 TMNI Concentration. ppm (b)

Figure 4.5. (a) pH and redox potential (vs. SHE) and (b) surface tension of TMAH solutions. 87

80 14

75 12 70

I 65 i 10 :: 60 a. 8 J 55 NH40H-HZO 8 --0- Surface Tension pH 50 • i 6 NH40H In 1:~ Hz0Z-HZO 45 --a- Surface Tension -II- pH 40 4 0 .1 10 100

Volume Percent of NH40H In Water (a)

700

600

>e --0- NH40t+H20 ,.... SOO --0-- NH40H In 1:5 H2O-H2O ~ ! ~OO "i i ! 300 I 200

100 0 .1 10 100

Vohne Percent of MI40H In Water (b)

Figure 4.6. (a) pH and surface tension, and (b) redox potentials of NH40H solutions with and without the addition of H20 2• 88

The alkaline solutions used in this research are capable of etching silicon.

In order to characterize the etching capability of these solutions, the etch rate of p(100) samples was calculated by measuring the amount of dissolved silicon in solutions using Inductively Coupled Plasma (ICP) atomic emission spectroscopy.

Figure 4.7 shows the etch rate of p(100) bare silicon in alkaline solutions at room temperature. The etch rate of silicon was measured to be 18 A/min and

234 A/min in 10000 ppm choline and TMAH solutions, respectively. The etch rate of silicon in TMAH solutions depended on the concentration of TMAH.

When the concentration of TMAH was decreased to 100 ppm, an etch rate of

8.8 A/min was measured. Interestingly, SumrnaClean, which is a comrnercially­ available choline solution, etched silicon at a rate of 7 A/min. A 1:5

NH40H:H20 (17 vol. %) solution was found to etch silicon at a rate of 380 A/min. When the ammonia volume ratio was decreased to 0.01 in water, the etch

rate was still high (73 A/min). The etch rate of the SiOrcoated wafer was

measured to be <0.1 A/min in these alkaline solutions.

As shown in Figure 4.6(c), at a given pH, the highest etch rate was

measured in ammonia solutions. This shows that OH- is not the only factor

controlling the etch rate, perhaps the cations of the different alkalis play an

important role in etching. The cation of the surface active choline may adsorb on

the silicon surface, thereby reducing the etch rate significantly.

Meerakker and Staraaten [4.2] studied the influence of pH in NH40H and NaOH solutions by varying pH from 11.5 to 13. They found that pH had a 89

250 I I -<>- Etch rate of Choline at 2S'C ~~ 200 1---0- Etch rate of TMAH at 2S'C I VI pH- 12. 9 ,.V , ! 150 I7pH-12.6- f 100 / pH-11.9- V ~I-" pH- 12.4 pH- 11.0 V L'!. so , pH- 12.7 / k pH-11.2 pH-11.7 I' ~pH-l0.3~ II JJt 1""11' o 100 1000 10000 Solution Concentration, ppm (a)

500

1 ~ 1 1 I. 1 I --e- Etch rate of anmonia solution at 2S'C 400 LI; ~ ~ / pH-12.4 ~ 300 / pH- 12.2 t"""-"- J / ... 200 pH-11.6 ~ rf I 100 ~ pH-11.2

o o 10 20 30 40 so

Volume " of tet40H in Water (b)

Figure 4.7. Etch rates of p(100) silicon wafer in alkaline solutions at 25°C, (a) choline and TMAH and (b) 1:5 NH.OH:HzO solutions as a function of their concentrations. 90

.. 00 :1 -.- tfl40H II --0-- TMAH 300 -....- Choline J j 200 .! I 1 II 100 ~) !--" j .iO o .. 6 ----8 10 12 14 pH

(c)

Figure 4.7. (Continued) (c) Etch rates of p(lOO) silicon wafer in choline, TMAH, and 1:5 NH40H:H20 solutions as a function of pH at 25°C. 91 moderate effect on the etch rate; increasing the OH- concentration by a factor of

30 enhanced the etch rate by a factor of 10. The etch rate of the NH40H solution was much higher than that of the NaOH at the same pH values. Table

4.1 gives the etch rate of silicon in various alkaline solutions at elevated temperatures as reported in literature. When etching temperature increases from room temperature to 90°C, choline significantly increases etch rate from 14 A/min to 2300 A/min at a concentration of 5000 ppm (0.5 wt%) while 1:5 NH40H:H20 solution increases the etch rate from 370 A/min to 1100 A/min. It might be due

to the fast evaporation of NH40H in a 1:5 NH40H:H20 solution at elevated

temperature.

Table 4.1. Etch Rates of Silicon Wafers in Alkaline Solutions at Higher Temperatures

Etch Rate, Chemicals A/min T,oC Substrates Reference Choline 0.5 wt% 2300 90 p(l00) [4.3] Choline 1 wt% with 10 90 (100) [4.4] 3 wt% H20 2 TMAH 0.076 wt% with 180 70 n(l00) [4.5] surfactant

1:1:5 NH4OH:H20 2:H2O 0.5 85 p(100) [4.6]

1:5 NH4OH:H2O 1100 85-92 (100) [4.7]

4.2.2. Buffered Oxide Etch (BOE)

Buffered oxide etch solutions, with and without surfactant, from two

different vendors were characterized in terms of their ability to wet silicon. 92

Table 4.2 shows the surface tension and contact angles of BOE (400:1 and 200:1) solution on p(1oo) bare silicon wafers. For the measurement of surface tension of

BOE solutions, a platinum plate was used instead of a glass slide. BOE without

surfactant obtained from both vendors showed the same surface tension and

contact angles. The addition of surfactant significantly changes the surface

tension and contact angles. The difference in the surface tension of surfactant-

containing BOE solutions from the two different vendors is most likely due to the

difference in the type of proprietary surfactants used. Poly-oxyalkylene alkyl and

alkyl phenol ether are the general type of surfactants used in BOE.

Table 4.2. Surface Tensions and Dynamic Contact Angles of Various Concentrations of BOE (400:1 and 200:1) on Bare p(1oo) Wafers from Two Different Vendors (30°C)

BOE 'Y, dynesl cm OA OR Vendor A wlo surfactant 90.8 93.6 82.2 wi surfactant 22.0 0 0 VendorB wlo surfactant 90.4 93.5 82.5 wI surfactant 33.0 28.2 20.2

Figure 4.8 shows the effects of cleaning in BOE solutions on contact angles

of DI water and 400 ppm choline solutions. In these experiments, p(100) wafer

samples were treated with two different BOEs for 1 min and then rinsed in DI

water for 1 min. For samples cleaned in surfactant-free BOE, larger angles were

measured in DI water. Significant differences were noticed in the water 93

100~------=~--~ • MY. Angle. in 01 Water IZI Rec. Angles in DI water o MY. Angles in 400 ppm Choline 80 B Ret. Angles in 400 ppm Choline

I 60 ~

I 40

20

o Vendor A Vendor B Vendor A Vendor B wlo Surfactant wI Surfactant

Figure 4.8. Effects of surfactant addition in BOE and different vendors on contact angles in DI water and choline solution when silicon wafers were etched with BOEs. 94 wettability of samples treated in surfactant-containing BOE from the two different sources. Both advancing and receding angles of water on sample A were higher than that on sample B. Ideally, DI rinsing of sample showed remove the surfactant and return the wafer to the highly hydrophobic state. But, as may be noticed from the data in Figure 4.8, the sample treated with BOE from vendor B is not very hydrophobic even after a DI water rinse. This means that the surfactant in the BOE sample (B) adsorbs rather strongly on the silicon. This is an undesirable effect.

The treatment of wafers in surfactant-containing BOE did not provide the same reproducible surface in terms of contact angles. This might be due to a variation in the amount of surfactants remaining on the silicon surfaces. The use of BOE without surfactant provided reproducible bare silicon surfaces.

4.3. Dynamic Contact Angle Measurements

4.3.1. The Change of Contact Angles during the Storage of Samples

Precleaned silicon wafers were transferred from the cleanroom to the laboratory and were stored under the laminar flow hood until experiments were

performed. The change in the wettability of BOE etched p(lOO) samples was

characterized as a function of storage time. Figure 4.9 shows the contact angles

of DI water and oxide thickness on wafers as a function of the storage time. The

oxide thickness almost tripled over a storage time of 30 days. Both advancing and

receding contact angles decreased steadily with storage time. These results with 95

100 I I --0- Adv. Anglel Rec. Ang~1 80 i%-c r--o- ~ ~ 60 f ~ ~ h 40 I " 'l.J

20

o o 10 20 30

Tee, days (a)

30

....A Oxide Thickess. It.

20 ~ ,---~t"'" ~ r--- ~ 10 /: ~~

o o 10 20 30

Tine, days (b)

Figure 4.9. Cbange of (a) contact angles and (b) oxide thickness during storage in air as a function of time. 96

BOE-cleaned wafers are quite similar to the observation of Philipossian [4.8] who measured the contact angles and oxide thickness of HF-treated hydrophobic surfaces as a function of air exposure time. He etched wafers with vapor and liquid-HF /H20 and exposed samples to air up to 10000 min. A decrease of contact angles (62° to 35°) and an increase of oxide thickness (8 A to 18 A) were observed as a function of air exposure time.

It is also known that the hydrophilic wafer becomes more hydrophobic at atmosphere. Suzuki et al. [4.9] measured the contact angles of water on oxide­ coated wafers as a function of storage time in a cleanroom. They observed the increase in contact angles and attributed this to the adsorption of gases in cleanroom. Kissinger et al. [4.10] also reported that the aging of RCA-cleaned wafers strongly decreased the hydrophilicity after 24 hrs and thereafter much more slowly at room temperature. He etched wafers with vapor and liquid-

HF/H 20 and exposed samples to air up to 10000 min. The decrease of contact angles and increase of oxide thickness were observed as a function of air exposure time.

It is pertinent to point out that the hydrophobic wafers become less hydrophobic [4.8] and the hydrophilic wafers become less hydrophilic [4.9,4.10]

during storage of wafers in a cleanroom. It is known that the hydrophilicity of a

silicon surface is caused by surface hydroxyls or adsorbed OH- groups and

hydrophobicity by H- and CHx- groups [4.11]. A freshly BOE-etched surface is

terminated by hydrogen atoms. This surface can slowly adsorb H20 or O2 from 97 the atmosphere, which can explain the slow reduction in hydrophobicity over a period 30 days. Therefore, it is necessary to process wafers within 24 hrs after etching to maintain the same surface wettability as a freshly etched sample.

4.3.2. The Effect of Stage Speed of the Dynamic Contact Angle Analyzer on Wettability

In measuring dynamic contact angles by the Wilhelmy plate method, the stage speed is known to affect the measured contact angles. Figure 4.10 shows the dynamic contact angles of p(100) bare SSP wafers in DI water as a function of

the stage speed. The advancing angles were independent of the stage speed in

the range 2 to 264 p.m/sec. The receding angles, however, were a strong function

of stage speed for speeds less than 20 p.m/sec. A receding angle of 32° was

measured at a stage speed of 2 p.m/sec. At high speeds (> 170 p.m/sec), it was

difficult to obtain a linear extrapolation of the buoyancy line for the calculation of

contact angles. This introduces some inaccuracy in the measured contact angles.

Figure 4.11 shows the hysteresis loops at different stage speeds. The presence of

less noisy buoyancy slopes at lower stage speeds can easily be noticed from their

figures. 98

100

.h. T _ ~o.. ~ l-----<> iii v - ---0- Adv. Angles 80 -0-- Rec. Angles

ell ..-0 G) .:r... __ C, .... c ';t' < 60 ~ --- ~ U ...,t'O C / 0 U 40 ~ l

20 a 100 200 300

Stage Speed. pm/sec

Figure 4.10. Effect of stage speed on contact angles in DI water. 99

DI E

Stage Position, nun

Figure 4.11. Changes of hysteresis loops at different stage speeds: (a) 264 p.m/sec, (b) 170 p.m/sec, (c) 64 p.m/sec and (d) 20 p.m/sec. 100

4.4. Dynamic Wetting of Wafers in Alkaline Solutions

For most of the measurements of advancing and receding angles, an

immersion/emersion rate of 64 p.m/sec was used. Multiple immersion/emersion

cycles were performed to observe the changes in advancing and receding angles, if

any, due to cycling.

4.4.1. Wettability of Wafers in Choline Containing Solutions

4.4.1.1. Wettability of BOE-Etched p(loo) Wafers

Most of the wafers used in measuring contact angles were single-side

polished (SSP) wafers. Some measurements were done on double-side polished

(DSP) p(100) wafers to determine the dependence of contact angles on surface

finish. Multiple immersion/ emersion cycles were performed to observe the

change in advancing and receding angles on Si wafers in choline solutions.

Figure 4.12(a) shows advancing (fJA) and receding (fJ0 contact angles on

bare p(100) wafers (single- and double-side polished) during the first

immersion/ emersion cycle as a function of choline concentration. Double-side

polishing did not significantly alter advancing «(JA) or receding (fJ0 contact angles.

Advancing angles remained almost constant until a choline concentration of

400 ppm was reached, and then began to decrease. The receding angles

decreased gradually and were around 20° for choline concentrations in excess of

5000 ppm. 101

The second-cycle behavior of wafers in choline solutions is shown in

Figure 4.12(b). On both SSP and DSP wafers, OA decreased significantly when choline concentrations above 200 ppm increased. A comparison of Figure 4.12(b) to 4.12(a) clearly reveals that at high choline concentrations the second cycle OA

and OR values are almost equal. A DI water rinse after the choline treatment did

not return the wafers to their original hydrophobic states.

It is well known that if the contact angle is less than 90°, it is decreased,

and if larger than 90°, increased, by roughness [4.12]. Equation (4.2) relates

change of contact angles to the roughness factor, r:

(4.2)

where Or is the contact angle on a rough (apparent) surface, 0& is the contact angle

on a smooth (true) surface, and r the roughness factor (ratio of area of rough

surface to that of smooth surface). In the first and second cycles, both advancing

and receding angles on both SSP and DSP wafers did not show much difference.

This lack of difference in contact angles between SSP and DSP wafers was

initially very puzzling. This may be due to the fact that the roughness scale on

the unpolished side was not large enough to measure macroscopic contact angles.

When DSP wafer surfaces were roughened using sandpaper (100 grit) to generate

very rough surfaces, dynamic contact angles, (J A and OR' were reduced to 85° and

200 from 92° and 65°, respectively.

Figure 4.13 displays contact angles of DI water on samples immersed in

choline solutions for 10 min and on samples that were subjected to a two-cycle 102

100

~ eo ~t-. -0- SSP 111 Adv. .!.!!Q- 'sSp'1iiReC. -.- OSP 111 Adv. ~ __ OSP 111 AIle. eo r--. t""" h:' ~ ..... , '1~!\ ...

o o 10 100 1000 10000 Choline Concentration, ppm (a)

100 ..... I eo ~ ~j-.. h -0- SSPrt~ -0- SSP ald AIle. ~~ ~ -.- OSP ald Afti. ~. DsP2ndAec. ~~ ~ ~ ~, i'~ ,~ ,...... " :'-.I' - o o 10 100 1000 10000 Choline Concentratlon,ppm (b)

Figure 4.12. Advancing (8,J and receding (8.J angles on p(100) bare wafers in choline solutions, (a) first cycle and (b) second cycle. 103

100 -a- 10 min dipping, Adv. • 10 min Dipping, Rec • a After 2 cycles, Adv. 80 "" After 2 cycles, Rec. ~ C. 60 .5 ] ~ < 40 tl

u~ 20

O~J~~mm~~~m-~~~~~~ o 10 100 1000 10000 Otoline Concentration. ppm. before DI Water Rinse

Figure 4.13. Contact angles in OI water on a sample immersed in choline (10000 ppm) for 10 min and on a sample that was subjected to a two cycle test in choline. 104 test in choline solutions. Both these samples were rinsed in DI water for 5 min before making measurements. Rinsing in DI water after conditioning in choline did not return the wafer to the original highly hydrophobic surface state. A sample that went through two cycles in 1 wt% choline exhibited much lower advancing angles than a sample subjected to a 10 min dipping in choline. The reason for this will be discussed later.

4.4.1.2. Wettability of p(100) Wafers with Thermally Grown Oxide

The wetting of oxide-coated p(lOO) wafers was investigated next.

Figure 4.14(a) shows advancing and receding angles of choline solutions on oxide­

coated (570 A) wafers. Advancing angles in the first cycle decreased as choline

concentration increased. Zero advancing angles (complete wetting) were

measured in the second cycle in solutions at a choline concentration greater than

100 ppm. Receding angles decreased slowly until 200 ppm and increased to 20° at

10000 ppm. Cycling did not affect the receding angles over the entire choline

concentration. Figure 4.14(b) shows contact angles of DI water on samples

subjected to a two-cycle immersion in choline followed by a DI water rinse for 5

min. Zero advancing and receding angles were measured for samples cycled in

choline solutions with a concentration of 5000 ppm or higher. 105

--0- 1st Ai:Jv. I -0-- 1st Rec. -.- 2nd ADv. ___ 2nd Rec. ~ ! 30 \ t-- I r\ .... I 1 \ I I \ 'j I I I I ~ ~ ~ I 10 - r\ i/ l?-t- IJ' o ...-r ~ o 10 100 1000 10000 o.oIine Concent., ppm, before DI Water Rinse (a)

~'1~!~~sl --0-- Rec. An9~s ;

,..j..( V I I I I I i I

J-. I 10 !:--:--- !J 1\! I ~ ~ ~ f\ ,,~~ o o 10 100 1000 10000 o.oIlne Concent., ppm, before DI Water Rinse (b)

Figure 4.14. Advancing (8.J and receding (8.J angles on oxide coated p(l00) wafers in (a) choline solutions and (b) in DI water after conditioning in choline followed by a DI water rinse for 5 min. 106

4.4.1.3. Effects of Wafer Types and Orientations on Wettability

The effects of choline on the wettability of p(100), n(100) and n(111) wafers are shown in Figures 4.15 and 4.16. Figure 4.15 shows advancing and receding angles on BOE-etched p(100) and n(100) wafers as a function of the choline concentration. Similar behavior was observed on both types of wafers.

This reveals that dopants do not affect the wettability of wafers with the same crystal orientation.

Contact angles on n-type wafers of (100) and (111) orientation are presented in Figures 4.16(a) and (b). The surface energies of (111) and (100) planes of silicon have been reported to be 1230 dynes/em and 2130 dynes/cm, respectively [4.13]. Higher solid ('Ysv) should ideally lead to better wetting. The measurements of both advancing and receding angles on BOE­ etched silicon wafers, however, showed no effects of crystal orientation on wettability. This might be due to the passivation of the BOE-etched silicon surface by species such as hydrogen [4.14-4.16]. A low value of critical surface tension of wetting of 27 dynes/em has been reported for Si cleaned in BOE, independent of crystal orientation.

4.4.1.4. Effect of Hydrogen Peroxide on Wettability in Choline Solutions

As mentioned in the background section, choline/peroxide solutions have

shown promise as cleaning agents for silicon wafers. The addition of H20 2 to choline solutions increased the redox potentials but decreased the pH. The effect 107

100 I 11 ...... eo II "'l'" --0- P(100) 1st MY. --0- P(100) 11t Rec. --e- n(100) 1st MY. ~ n(100) 2nd Rec:. r" ---- ~ r'\i' ~ I',~ 1'\ .... I

o o 10 100 1000 10000 Choline Concentration, ppm

(a)

100 r--?- P(100)2nd~1 ~ P(100) 2nd Rec. If:::= ~ n(100) 2nd MY. eo F"'I" ~. n(100) 2nd Rec. i""'" 1-:1== t- 1\ I~ ~ ~~ .~ l\ ~\ ~ ~ ~I' " Y o o 10 100 1000 10000 Choline Concentrations, ppm

(b)

Figure 4.15. Wettability of BOE etched p(l00) and n(l00) wafers in (a) the first cycle and (b) the second cycle in choline solutions. 108

100

...... eo ~~ I n(100) 1st Adv. If'\ n(100) 1st Rec. ~ r---- n(111) 1st Adv. "f'o -- n(lll) 1st Rec. ~ ~~ t'-. .. 'i yo

o o 10 100 1000 10000

Choline Concentration, ppm (a)

100 JJl"~~00)'~d'1WI r--...f=:::: --:a--:. °° 0(100) 2nd °Rec.° ° eo ,...... !e!-:.. I I ~(111) 2n'd Ac"/:' ""'I"- --+-:-" ii(111)'2Iic! 'Rae:' ~~ ~ 'I " ~ N> ~ i\. ~ 1\ '\ ,~ ~ "'-.j ~~

o o 10 100 1000 10000 Choline Concentration, ppm (b)

Figure 4.16. Effect of wafer orientation on wettability in choline solutions, (a) the first cycle and (b) the second cycle. 109

of the addition of H20 2 to choline solutions on wafer wettability is shown in

Figures 4.17(a) and (b). In a 400 ppm choline solution, H20 2 significantly lowered advancing angles in the second cycle. Receding angles remained the same in both the first and second cycles throughout the entire hydrogen peroxide concentration investigated. At a choline concentration of 5000 ppm, the second cycle advancing and receding angles were low and identical. The decrease of advancing angles in the second cycle in both 400 and 5000 ppm choline solutions indicates that hydrogen peroxide helps to increase the wettability of silicon.

Contact angles of bare wafers were also measured in H 20 2 (1 wt%) solutions containing choline at different levels. In the absence of choline, the solution with hydrogen peroxide reduced the advancing angle to 37° in the second cycle as shown in Figure 4.18. Adding choline to 1 wt% H20 2 solutions further decreased the advancing angles both in the first and second cycles. As mentioned earlier, choline/peroxide solutions are more effective in reducing contact angles than peroxide solutions.

4.4.1.5. Effect of Surfactant on the Wettability of Silicon in Choline Solutions

In aqueous solutions, surfactants, depending on their structure, may form organized aggregates called micelles, in which the lipophilic parts of the surfactants associate in the interior of the aggregate and leave hydrophilic parts to face the aqueous medium. The surfactant concentration at which micelle formation becomes significant is called the critical micelle concentration (CMC) 110

100

I eo ~ 1st /ldv. -- 1st Ret. I 2nd /Idv. eo - 2nd Ret._ I "'~

40 I ':-., ,...... - ...... :'" o o 10 100 1000 10000

Hydrogen Peroxide Concentration, ppm (a)

100

~

~ 1st IvJv. -0- 1st Ret., eo -e-- 2nd /Idv. -- 2nd Ret.

~

o o 10 100 1000 10000

Hydrogen Peroxide Concentration, ppm (b)

Figure 4.17. Advancing (SA) and receding (S.J angles on BOB etched DSP p(100) wafers as a function of hydrogen peroxide concentration; (a) choline content in solution 400 ppm and (b) 5000 ppm. 111

100

--i't--.N 80 1st Adv. ... 1st Rec. - 2nd Adv • • ") ~ • 2nd Rec • ~ 60 < t ~ 40 ...

..... ~ C1 ~ 20 ~ ~ Ii'"

o o 10 100 1000 10000

Choline Concentration, ppm, with 1 wtC)i H202

Figure 4.18. Contact angles in 1 wt% H20 2 solutions as a function of choline concentrations. 112 and can be determined from a plot of surface tension versus concentration [4.17].

The CMC of the non-ionic surfactant, NCW 601A (polyoxyalkylene alkylphenol ether), used was determined by measuring the surface tension of solutions as a function of surfactant concentration. Figure 4.19 shows the change of surface tensions of DI water, 400 ppm and 5000 ppm choline solutions as a function of surfactant addition. No changes in surface tension were measured above a surfactant concentration of 100 ppm; this was determined to be the CMC.

Figure 4.20(a) shows the effects of surfactant addition to choline solutions on wafer wettability. Even when added to 01 water, the surfactant significantly lowered the advancing angle in the first cycle and complete wetting was observed

during the second cycle immersion step. Receding angles remained the same both

in the first and second cycles across the entire choline concentration range

investigated.

Figure 4.20(b) shows the contact angles of 01 water on samples subjected

to a two-cycle immersion in choline solutions containing the surfactant at a

concentration of 100 ppm, followed by a 01 water rinse for 5 min. Based on

receding angles, it may be said that samples exposed to surfactant containing

choline solutions of concentrations larger than 1000 ppm retained their

hydrophilicity. For example, for the sample conditioned twice in a 1000 ppm

choline solution, the advancing contact angle decreased from 75° to about 400.

This indicates the surface modification in choline-surfactant solutions. Adding

choline to DI water continued to modify the silicon surface up to a choline 113

80 ~ 1111111 In 01 Water :~ • e 70 ~ ClIoIine 400 ppm - ClIoIine 5000 ppm i ~ - -6' 60 ~~ 1\1' co I' '! I~ ... ~ 50 ,....~ 8 ~ ~ ~ I'~~ ~ r-.. en 40 .-

30 o 10 100 1000 10000

Surfactant Concentration, ppm

Figure 4.19. Change of surface tension as a function of surfactant (NCW 601A) concentration. 114

100 11]1l! 11J~ -""" 1st Rec. 80 - 2ndMi - 2nd Rec

I 60

I 40

20

10 100 1000 10000 Choline Concentration, ppm (a)

100 ~"'Adv.k~ - Rec. Angles

t---I'--o l"'-r-- r-,r. r--- :>-- I"'- ~ ..... r"'"' ~ o o 10 100 1000 10000 Choine Concentration, .... befan DI Water Rinse (b)

Figure 4.20. (a) Effect of surfactant addition (100 ppm) to choline solutions on wafer wettability and (b) contact angles in DI water on samples subjected to a two-cycle immersion in a choline solution with surfactant (100 ppm) followed by a DI water rinse for 5 min. 115 concentration of 1000 ppm and reached constant angles in both advancing and receding angles. At choline concentrations higher than 1000 ppm, choline modified silicon surfaces much more dominantly than the surfactant did.

4.4.1.6. Effect of Temperature on Wettability in Choline Solutions

The wettability of silicon wafers in choline solutions was also measured at

35°C. Since the condensation of the wetting medium on the sample plate and sample holder may mislead the measurement of contact angles at high temperatures, a slightly elevated temperature of 35°C was chosen.

Figure 4.21(a) shows the change of wettability as a function of choline

concentration at 35°C. When compared to the data at 25°C shown in Figure 4.12,

it may be seen that the advancing angles in the second cycle were much lower at

35°C than at 25°C. At 35°C, the second cycle advancing angle in 200 ppm choline

solution was measured to be only 30°, which is about 40° lower than that

measured at 25°C. Figure 4.21(b) shows contact angles measured in DI water on

samples that were subjected to a two-cycle test in choline. These samples were

rinsed in DI water for 5 min before making measurements. The advancing angles

were lower at 35°C than that at 25°C. The improved wettability at higher

temperature may be due to the lower surface tension of choline solutions as well

as due to the thicker oxide formation on silicon, which will be discussed in the

next section. 116

100

j~ ...... : 80 t--." --0- lit Aljy. I "to. ~ lit Ree. i~ --e- 2nd Adv. ) 2nd Rec. I ~~ ,,~ I' --- ~ ~~ ~ "'" I ~ 20 't== ~h!. o I • o 10 100 1000 10000

Choline Concentration, ppm (a)

100 I i': 80 I -... AlJy. " ~ Rec. I'... I "" t..;; f'..

I 1.• ~ ~ I 1'-." ~'" I ~ to... 20 "'" ;J.. [il o II o 10 100 1000 10000

Choine Concentration, ppm, before III Water RInse (b)

Figure 421. Contact angles on BOB etched p(l00) wafers in (a) choline solutions at 35°C and (b) in DI water after conditioning in choline at 35°C followed by a DI water rinse for 5 min. 117

4.4.2. Oxidation in Choline Solutions

The change of the surface state from hydrophobic to hydrophilic was

observed on samples treated with solutions containing greater than 5000 ppm

choline. It was initially speculated that the reasons for improved wettability may

be the adsorption of choline on the surface, surface modification such as oxidation

and roughness, and/or lower surface tension of choline solutions. Figure 4.22

shows the experimental matrix used to decipher the mechanism by which choline

improved the wettabaility of silicon. The first set of experiments was carried out

on samples treated with 10000 ppm (1 wt%) choline for 10 min, DI rinsed for

5 min, dried by nitrogen gas, and exposed to air as a function of time. The oxide

thickness and wettability of these samples were measured. As shown in Figure

4.23, oxide thickness and wettability did not change significantly with air exposure

time after DI water rinse.

Figure 4.24 shows contact angles and oxide film thickness on choline­

treated samples that were exposed to air before a DI water rinse. In these tests,

samples were immersed in 1 wt% choline solutions for 10 min, removed, and then

exposed to air for different periods of time before rinsing in DI water. On

samples that were rinsed in DI water immediately after a choline dip, advancing

and receding angles were -64° and 20°, respectively. The oxide thickness on

these samples was 27. ± 4 A. On samples exposed to air for 10 min before DI

rinsing, the advancing angle dropped to 30° and the receding angle decreased to

15 0. The average oxide film thickness on these samples were 33 ± 3 A, 118

01 rinsed Exposed to DI rinsed 01 rinsed 01 rinsed for 5 min air as a fn for 5 min for 5 min for 5 min N2 dried of time N2 dried N2 dried N2 dried

Measured oxide thick. & contact angles in 01 water

Measured oxide thick. & contact angles in 01 water

Figure 4.22. Experimental matrix used to study the interaction of choline with silicon samples. 119

80 40

... 4~ ~:t S 60 35 . r ~ ~ --0- Adv. Angles -< Q '" --0- Rec.. ~gles - ~f .5 Oxide Thickness, A Q) III [/ J2 ..S! 40 t:s:s 30 (,J C) ...... c j rl ~ < Q) ~ I)W" 'C t1S ·sc ~ 0 20 ~~ 25 8 -l~ ~ Y 'r'

o 20 o 30 60 90 120

Air Exposure Time after 01 Water Rinse, min

Figure 4.23. Changes of oxide thickness and wettability as a function of air exposure time after DI water rinse. 120

-0- Oxide Thickness, A Adv. Angles • Rec. Angles 40 80

70 35

~ 60 ~ -< C'CI 30 ~ ~ 50 CI.I a ~u .5 25 40 III CI.I ~ C, Q) C "C 30 < d 20 ~u 5 20 c 0 15 u 10

10 0 0 10 20 30 40 50 60

Air Exposure Time before DI Rinse, min

Figure 4.24. Effect of air exposure after immersion in choline solution on oxide thickness and contact angles in DI water (conditions: 10000 ppm choline, 10 min immersion, p(lOO) wafer). 121 indicating that exposure to air after a choline dip resulted in the thickening of the oxide layer on silicon.

Figure 4.25 shows the effect of dipping time in 10000 ppm (1 wt%) choline solutions on the wettability of and oxide fllm growth on Si wafers. In these tests, samples were DI water rinsed immediately after removal from choline solutions.

After DI water rinsing, advancing angles in water were still quite large, ca. 60°. A comparison of Figure 4.25 with Figure 4.23 shows that air exposure after dipping in choline solution resulted in improved wettability. Interestingly, there are no significant differences in the trends of ellipsometric data for the two cases. X-ray photoelectron spectroscopy was used to probe the surface of choline- treated samples. Figure 4.26 shows Si(2p) peaks in the XP spectra for silicon wafers that were: (a) BOB etched, (b) treated with 10000 ppm (1 wt%) choline for 10 min and rinsed immediately in DI water, and (c) treated with choline and exposed to air for 10 min before a DI water rinse. The spectrum for sample (a) shows only a

Si(2p) peak characteristic of Si at a binding energy of 98.2 eV. Sample (c), exposed to air, is characterized by Si(2p) peaks for Si and Si02• In sample (b), the Si(2p) peak for Si02 appeared to be in the initial stage of development. Interestingly, a nitrogen Is peak was not detected on the samples.

Since there was evidence that air exposure after a choline rinse resulted in

enhanced oxidation, a method was developed to form areas of different degrees of

exposure to air on a sample used in wettability studies. This was achieved by

varying the extent of immersion of the sample in the choline solution in the first 122

SO 100 --0- Oxide Thickness, A • Adv. Angles • Rec. Angles 40 80 ~

< ~ 60 c 6 30 .5 .eu In c,Go) ~ Co ., 20 40 < )( "0 ~

~0 10 20 (,)

O~~~~~~~~~~~II~~~~~TO o 20· 40 60 80 100 120 Choline Treatment Time, min

Figure 4.25. Changes of contact angle and oxide film thickness changes as a function of immersion time in 10000 ppm choline solutions. 123

Si2p

Si2p(SiOZ)

c

b

a

107 104 101 98 95 Binding Energy, eV

Figure 4.26. Si (2p) XP spectra of (a) BOB etched p(lOO) Si, (b) Si treated in 1 wt% choline and rinsed immediately in DI water, and (c) Si "b" exposed to air for 10 min and then DI water rinsed. 124

and second cycle. Figure 4.27 shows the different areas on the sample. Area 1 was immersed in choline and was not exposed to air during multiple cyclings.

Areas 2 and 3 were alternately exposed to choline and air twice and once,

respectively. Area 4 did not contact choline but was always exposed to air during

two successive cycles. In these experiments, different stage speeds were used to

observe the effect of air exposure time during cycling (faster stage speeds resulted

in less exposure time to choline and air).

Figure 4.28(a) shows oxide thicknesses in different areas as a function of

stage speed. At lower stage speeds «64 p.m/sec), area 2 developed a much

thicker oxide coating than the other areas. At higher stage speeds

(>200 p.m/sec), there was no detectable difference in oxide thickness in areas 1, 2

and 3. A comparison of the oxide thickness values for areas 2 and 3 revealed that

repeated alternate exposure to choline and air resulted in significant oxidation of

silicon.

Dynamic contact angles were measured in DI water on repeatedly cycled

samples that were rinsed. Figure 4.28(b} shows contact angles on areas 1 and 2 as

a function of the stage speed during choline treatment. The advancing and

receding angles on area 1 were not a function of the stage speed. However, the

values of the advancing angle on area 2 decreased to nearly those of the receding

angles as the stage speed decreased. The receding angles in both areas 1 and 2

did not change with the stage speed. 125

.. Area 4 ~ Unexposed to Solution r------

Area 3 ~OneCycle

r------

Area 2 ~ Two Cycles

r------

Area 1 ~ Immersed in Choline Unexposed to Air

Figure 4.27. Schematic diagram of a silicon wafer sample used to characterize the effect of repeated exposure to choline solution and air. 126

140 "'!""T'--"-'-----., 80~------_,

lZ0 --0- Area 4 Area 3 --0- Area 2 100 Area 1 -< 6 f 80 .5 Area 1. Adv. ~ 40 Area 1. Rec. C, Area 2. Adv. c ~ 60 < Area 2. Rec. ~ tl o 40 ~ 20 (3 20

a +-r..,.-..,..,..,..-,-...... ,----t o +-Ir-.J...-.-.-.-..,...,.-.-,r-o-I a so 100 1 SO ZOO 250300 o 50 1001 SO 200 250300 Stage Speed, wn/sec Stags Speed, wn/sec

(a) (b)

Figure 4.28. (a) Thickness changes at different areas as a function of the stage speed, and (b) wettability of areas 1 and 2 in DI water after cycling in choline solution. 127

Figure 4.29 shows Si(2p) peaks of area 2 at different speeds of immersion into choline. The intensity of the Si(2p) peak for Si02 increased as the stage speed decreased. In addition, the difference in the binding energies of Si(2p) peaks for oxide and bare silicon increased as the stage speed decreased. These two features reveal that progressive oxidation of Si to Si02 occurred by repeated exposure to choline and air. It is important to point out that a change in the separation of Si(2p) peak for Si and Si02 with thickness has been measured for very thin oxide «20 A) films [4.18].

The effect of cycling on contact angles and oxide thickness was investigated by performing multiple cycle experiments on samples at a stage speed of

64 p.m/sec. Samples were cycled in a 1 wt% choline solution, rinsed in DI water for 5 min, and then the oxide thickness and contact angles were measured in DI water. As can be seen from Figure 4.30, the oxide thickness and contact angles

did not change after the second cycle.

The effect of temperature on wettability in choline solutions was discussed

earlier (Section 4.4.1.6.). Figure 4.31 compares the oxide thickness at 25°C and

35°C as a function of choline concentration. An increase in solution temperature

increased the oxide thickness and resulted in better wettability.

It is should be noted from Figure 4.12(b) that at choline concentrations

above 5000 ppm, receding angles in the second cycle were slightly larger than the

advancing angles. This behavior of 0A < OR was observed on SSP wafers at all 128

SiZp(SiOZ) SiZp

b

a

107 104 101 98 9S Binding Energy, eV

Figure 4.29. XPS Si (2p) spectra obtained on area 2 of Si samples cycled at different stage speeds. (a) 264 11m/sec, (b) 64 11m/sec and (c) 20 11m/sec. 129

100 ~

80 \

\ --<>-- Mv. Angln- \ --0-- Rec:. Angle. r\ \ \ \ \ ~ \ \. 20 , \. /. ~ V o o 2 4 6

Number of Cycles (a)

100

Oxide !ThIckness 80 'r--ts- / V / I " / ~ 40 / ? 20 / ~ o o 2 4 6

Number of Cycles (b)

Figure 4.30. Effect of cycling on: (a) oxide thickness and (b) contact angles of samples in DI water after treatment in 10000 ppm choline solution and DI water rinse. 130

200

150 --0-- at 35°C -< --0- at 2S'C H .6 u 100 ~ 1-"1-' -8 V " ~ S ~ V 50 V ...4~ ~ t-" -~ l- ~ ~ 1== I=i" o r' o 10 100 1000 10000

Choline Concentration, ppm

Figure 4.31. Change of oxide thicknesses at different choline temperatures as a function of choline concentrations. 131 immersion/ emersion speeds investigated. The reason for this apparent autophobic(23) effect can only be speculated. As discussed earlier, at the end of the first cycle, the part of the sample that was immersed in choline is exposed to

air. The maximum exposure time was 3 min at a stage speed of 64 /lm/sec. This

exposure was shown to result in the oxidation to Si02• Perhaps the critical

surface tension of the oxide layer with adsorbed choline is less than that of the

choline solution, resulting in (J A < (JR' In choline solutions, the receding angles

never reached zero but often remained at 10-20° even though advancing angles

reached zero. It appears that the receding contact angles are affected by a

Marangoni effect which arises due to surface tension gradients in a liquid

meniscus. This effect may either contract or expand the meniscus (Le., affect the

apparent contact angle), depending on the direction/sign of the surface tension

gradient. It is known that a Marangoni effect usually occurs when the dissolved

surface active solute has a different volatility than that of the solvent.

In order to investigate the effect of hydrogen peroxide addition on oxide

growth, hydrogen peroxide (1 wt%) was added to choline solutions of different

concentrations. Figure 4.32 shows the oxide thickness measured on samples

subjected to two successive cycles followed by a DI water rinse. There is no

change in oxide thicknesses with increasing choline concentration which indicates

(23%e films formed on the surface by withdrawing the plate from solution or a melt of a long chain RX-type compound would often not be wet by the bulk parent compound. Such films are called auto-phobic. 132

so

40

-< iii en cu 30 c --0-- Oxide Thickness ~ :cu ~ cu 20 '). ';( 1'.... "0 -- 10

o o 10 100 1000 10000

Choline Concentration, ppm, with 1 ~ H202

Figure 4,32. Change of oxide thicknesses treated in choline/peroxide solutions followed by DI water rinse. 133 that hydrogen peroxide passivates the surface initially and prevent oxide growth as a sample is exposed to air.

It appears that the exposure of choline-contacted silicon to air resulted in oxide formation and hence lower contact angles. Thus, if a quick dump rinser with multiple cycles is used for choline rinsing, the wafer wettability can be improved significantly.

4.4.3. Wettability of Wafers in a Commercially Available Choline-Based Solution (SummaClean)

A choline-based solution of electronic grade is marketed by Mallinkrodt

Chemical Co. under the trade name "SummaClean." It is claimed to contain 5000

ppm choline, methyl alcohol and a proprietary surfactant. The pH, redox

potential (vs. SHE) and surface tension of SummaClean solutions were measured

by diluting the as-received chemical to various levels as shown in Figure 4.33.

The pH and redox potential of the as-received solution were 12.5 and 95 mV,

respectively. The surface tension of SummaClean was measured to be

40 dynes/em.

Contact angles of SummaClean solutions on p(lOO) silicon are shown in

Figure 4.34. Mueh lower contact angles were measured in SummaClean than in

pure choline. This is due to the presence of a surfactant in SummaClean. As

shown earlier in Figure 4.20(a), which depicted the effect of surfactant on 134

14 600 ['\ -0- Iii 500 1Z \ ~ >e ,/ ..:: \ 11.1 I--'" 400 6i 10 r\. Y .....~ :z: X 300 a. "II j v ~ 1:1 8 i I- ZOO 15 / f'c.. ~ -0- '~I 6 / ~ "0 100 i=

4 o o 10 100 1000 10000

SUmma-Clean Concentration, ppm (a)

80

70 r.....

"' t'-... 60 " '\ so '" r\'\.

40 \

30 o 10 100 1000 10000

SUmma-CIean Concentration, ppm (b)

Figure 4.33. (a) pH, redox potential (vs. SHE) and (b) surface tension of silicon in Summa Clean as a function of choline concentration. 135

100 1st Adv. 1st Ree. 2nd Adv. 80 2nd Ree.

Xl C) c 60 <.., u ~ <3 40

20+------~----~~~------~------~

04-~~~~~ __~~~ __~~~a_ __~~~ o 10 100 1000 10000

Summa Clean Concentration, ppm

Figure 4.34. Contact angles of diluted Summa-Clean solutions on p(l00) silicon as a function of choline concentration. 136 wettability, Summa-Clean also showed advancing angles and non-zero receding angles in the second cycle.

4.4.4. Wettability of Wafers in TMAH (Tetramethyl Ammonium Hydroxide) Solutions

Figure 4.35(a) shows advancing (OJJ and receding (OJJ contact angles on bare SSP p(l00) wafers in TMAH solutions. In the first cycle, advancing angles remained almost constant up to a TMAH concentration of 1000 ppm and then decreased slightly. The receding angles decreased gradually and were around 20° at TMAH concentrations in excess of 50 ppm. In the second cycle, 0A decreased far more rapidly above 200 ppm TMAH than in the first cycle and reached a value of 10°. Samples that went through two cycles in TMAH solutions showed

similar advancing and receding angles above 400 ppm of TMAH, indicating

modification of the silicon surface by TMAH. Similar receding angles were

measured in both cycles. Figure 4.35(b) displays contact angles measured in DI

water on samples that were subjected to a two-cycle test in TMAH solutions.

These samples were rinsed in DI water for 5 min before measurements were

made. Above 200 ppm of TMAH, the difference between 0A and OR was very

small. As discussed previously (Section 4.2.1), the etch rate of silicon became

significant even in low concentrations of TMAH. The surface roughness values, l\-v and Rnna, measured by AFM were 832.2 and 123.3 A for silicon treated for 137

80 r-.... I-.. ~ htAAiY. ±--t-t+++fl1k::...... "19"n.tt1It--++,~~- 1st Ree.' I. I~ ~ r-.- 2nd AAJy I 60 \1\ = 2nd Rec.

I 40 +-+-''-Hd-+t'I.II:-~--I-+H-H+II--+1 \-\H-Ift+Ht-+-++H+Iti

20 t1-m-rnn-~Ir'\~~im~lW»F11f!Om 14 o+-~~~~~##~~~~~~~ o 10 100 1000 10000 TMAH Concentration. ppm (a)

100 c

eo "'r--.

1[\ ~. DlAAiy. 1\ 1--0-- DI Rec. \ , 1\ I\. I'

20 ~ r\ i' 'f..,

o o 10 100 1000 10000 TMAH Concent., ppm, before DI water Rinsing (b)

Figure 4.35. (a) Advancing (OA) and receding (O.J contact angles on bare SSP p(l00) wafers in TMAH solutions, and (b) the contact angles in DJ water on samples subjected to a two cycle immersion in a TMAH solution followed by a DI water rinse for 5 min. 138

10 min in 600 ppm TMAH. The low contact angles in TMAH solutions above

200 ppm are most likely due to the roughness induced by TMAH solutions.

Silicon surfaces treated with TMAH were observed using an optical microscope. Bare silicon wafers were immersed in various concentrations of

TMAH solutions from 400 ppm to 1 wt% for 10 min and OI rinsed for 5 min.

The conditioned surfaces are shown in Figure 4.36. An island-like rough surface was observed even on samples conditioned in 400 ppm TMAH solutions. A silicon surface etched in 1 wt% choline solution was much smoother than the sample etched in 400 ppm TMAH solution, as may be seen by comparing

Figures 4.36(a) and (d). Figure 4.37 shows the surface roughness of the etched sample scanned by a OEKTAK IIA surface profiler. Above 1000 ppm TMAH, the surface roughness became significant.

4.4.5. Wettability of Wafers in Ammonium Hydroxide Solutions

Advancing and receding angles of aqueous ammonium hydroxide solutions

of different composition on p(100) silicon are shown in Figure 4.38. These

measurements were made at a stage speed of 64 p.m/sec. Up to an ammonium

hydroxide (58%) to water ratio of 0.1 (-9 vol%), the advancing angles in the first

cycle remained high. Receding angles decreased as the NH40H content in solution increased. Advancing angles in the second cycle decreased more rapidly

in solutions containing more than 10% by volume of NH40H. This decrease might be due to the increased surface roughness caused by etching at higher 139

I', '~ '4." I'", .\ " "'"

(a) (b)

',1"' .. 1~1 i::!,<

" . ;';~"'~'~~~';~:' . ~.: " -

(c) (d)

Figure 4.36. Optical micrographs of p(100) silicon etched for 10 min in (a) 400 ppm, (b) 1000 ppm, (c) 10000 ppm TMAH solutions, and (d) 10000 ppm choline solution. The bar scale is 100 IJ.ffi. 140

3000.00

-< 1000.00

b ~ c..~ ~ -1000.00

c

d

-3000.00 iii iii iii ii' ii' iii iii iii iii iii I I I • , i , I • i i 0.00 1000.00 2000.00 3000.00 4000.00

Distance, pm

Figure 4.37. Surface profiles of samples treated in: (a) 10000 ppm, (b) 1000 ppm, (c) 400 ppm TMAH solutions, and (d) as-received polished silicon surface. 141

100~~------,

80 -e-- 1st Adv. 1st Rec. III Q) 60 a 2nd Adv. -=e 2nd Rec. < ... U ell ...e 40 0 U

20

O~)~--~~--~~~~~~~~--~~~ o .1 10 100

Volume Percent of NH40H in Water

Figure 4.38. Wettability of silicon wafers in aqueous ammonium bydroxide solutions. 142

concentrations of NH40H. Figure 4.39 shows the DIC micrographs of wafers subjected to 2 cycles in different NH40H:H20 solutions and DI rinsed for 5 min.

Even for the 0.1% NH40H solution, roughening of the surface (etch rate,

202 A/min) was observed as shown in Figure 4.39(a). An etch rate of 380 A/min was measured for a 17% ammonia solution at room temperature as discussed earlier (Section 4.2.1).

The wettability of silicon by ammonia-peroxide solutions (SC1) was measured as a function of ammonium hydroxide content in a H20 2-H20 solution of 1:5 volume ratio. The addition of ammonium hydroxide to hydrogen peroxide solutions increased the wafer wettability, as shown in Figure 4.40. In the first cycle, the advancing contact angle on silicon was 93 ° in the absence of NH40H, but this angle started to decrease when the volume percent of NH40H exceeded

0.17% (0.01:1:5 SC1). The advancing angle decreased to 33° for a NH40H volume percent of 14.3 (1:1:5 SC1). The decrease of advancing angles at higher volume fractions of NH40H may be attributed to the etching of the silicon surface

on a micro-scale with passivation of the surface by H20 2• Roughening of surfaces

by etching would indeed result in lower contact angles [4.12]. The receding angles

in the first cycle were quite low compared to advancing angles. Even in the

absence of NH40H, the first cycle receding angle was only 30°. This pronounced

hysteresis is most likely due to the oxidation of silicon by H20 2 on immersion into

the solution. It should be mentioned that the presence of H20 2 in NH40H

solutions increases the redox potential and then would promote the passivation of 143

(a) (b)

(c) (d)

Figure 4.39. Optical micrographs of wafers subjected to 2 cycles in (a) 0.1 %, (b) 1 %, (c) 10 % and 50 % NH40H:H20 solutions and DI rinsed for 5 min. The bar scale is 100 tLm. 144

100~~------____~

80

60 i ---0- 1st Adv. ~ 1st Rec. g 2nd Adv• 40 • 8 2nd Rec.

20

.1 1 10 100

VoIwne " of NH40H in 1:5 H202:H20 (a)

40 ---0- DI Adv. .. • Of Rec. ..." 30 ~ is .5 II 20 ., ~ < t J! ~ 10 ~ -~ ~. c§ r J.

o r' ., o .1 10 100 Vaune " of NH40H in 1:5 H202:H20

(b)

Figure 4.40. Wettability of silicon wafers in: (a) alkaline H20 2 solution as a function of NH40H content, and (b) DI water after cycling in ammonia-peroxide solution twice followed by DI water rinsing, 145 surface (Section 4.2.1). In the second cycle, advancing angles became 0°

(complete wetting) when the ammonia content exceeded 0.017% (0.001:1:5 SCI).

Receding angles were also low ( - 10°) but interestingly never reached 0°. It is

noted that Mishima et al. [4.19] reported better cleaning efficiencies and the

formation of much fewer hazes on the silicon when proportions of NH40H ranging from 0.1 to 0.5 were used in SC1 solutions.

Samples treated with an alkaline hydrogen peroxide solution were rinsed in

DI water, nitrogen dried, and then characterized for their wettability. Advancing

and receding angles remained low (~200) and constant on samples pretreated

with solutions containing ammonium hydroxide up to a volume fraction 7.7%

(0.5:1:5 SCI). Above this volume fraction, advancing angles decreased to values

less than the receding angles, but receding angles did not change. The decrease

of advancing angles from 20 to 10° on samples pretreated in high ammonia

containing solutions indicates the presence of surface roughness on these samples.

The oxide thickness on samples immersed in solutions with different

NH40H concentrations was measured to be 13 ± 1 A, and was independent of

NH40H content and air exposure time. This finding is similar to the result

observed with choline/peroxide solutions. Perhaps the presence of H20 2 passivates the surface and limits the oxide growth. 146

4.5. Scanning Tunneling Microscopy (STM)/Atomic Force Microscopy (AFM) Studies

STM/AFM techniques were used to characterize the effect of alkaline

solutions on surface roughness. Figures 4.41(a) and (b) show STM and AFM

micrographs of polished and unpolished sides of p(tOO} wafers after a 30 sec BOB

etch. The unpolished surface was 500-600 times as rough as the polished side

{l\,-v of 1250 Avs. 2 A}.

Figures 4.42(a) and (b) show STM micrographs of surfaces treated with

0.1:1:5 and 1:1:5 SC1 solutions for 10 min. To make the surface conductive, these

samples were HF (49% }-etched for 10 sec prior to measurement. Within the area

investigated, Rms increased with NH40H concentration for the two SC1-treated-

samples. A flat and featureless surface was observed for the 0.1:1:5 sample. In

SC1 solutions, the reduction of the ammonium hydroxide proportion from 1 to 0.1

decreased the surface roughness (Rnns) from 6.4 to 0.8 A. Table 4.3 summarizes

the roughnesses measured by STM/ AFM.

Table 4.3. STM/ AFM Data on Roughness of Silicon Surfaces Treated with Various Alkaline Solutions

p(100) Silicon Wafers ~-v (A) Rnns (A) Polished side, BOB etched for 30 sec 2.0 0.2 Unpolished (back) side, BOB etched 1250 - for 30 sec

SCt 0.1:1:5, NH4OH:H20 2:H2O 7.9 0.8

SC1 1:1:5, NH4OH:H20 2:H2O 52.3 6.4 Choline, t wt% (10000 ppm) 200 20 147

(a)

(b)

Figure 4.41. (a) STM micrograph on polished and (b) AFM micrograph on unpolished p(100) wafer after 30 sec BOE etch. 148

-...... ~. • • t- ,_. -'~~t~~~;~;..:::

"- ••' '4~4 • - .~ ;~; ,:~':--:----.- ....,..,."'!

(a)

(b)

Figure 4.42. STM micrographs of: (a) 0.1:1:5 and (b) 1:1:5 SCI-treated samples (500 x 500 nm scanning area). 149

As discussed previously, the exposure of choline-treated samples to air increased the wettability. In order to check whether the improved wettability is related to surface roughness, two samples with different degrees of exposure to air after choline treatment were studied using AFM. The first sample was treated with 1 wt% choline for 10 min, exposed to air for 10 min and DI water rinsed.

The second sample was treated with choline and rinsed in DI water immediately before exposing to air. Then samples were observed using an AFM in air as shown in Figure 4.43. The peak-valley and root mean square roughnesses of both samples were in the neighborhood of 200 and 20 A, respectively. This indicates that roughness is not the factor which increases the wettability of silicon samples exposed to air after choline treatment. Chemical oxidation of samples in choline is the main factor producing better wettability as discussed previously.

4.5.1. Effect of Addition of H20 2 and Surfactant Roughness Hydrogen peroxide and a non-ionic surfactant (NCW 601A) were added to

5000 ppm choline solutions to observe the effect of these chemicals on surface

roughness. Figure 4.44 shows samples treated with 5000 ppm choline with and

without the addition of H20 2 and surfactant. In plain choline solutions, the

silicon surface was rendered rough with ~-v and Rrms values of 180.9 A and 22.4 A, respectively. 150

(a)

(b)

Figure 4.43. AFM micrographs of 10000 ppm choline-treated silicon samples followed by: (a) 10 min air exposure and OI water rinse and (b) DI water rinse only (no air exposure). 151

(a)

(b)

Figure 4.44. AFM micrographs of 5000 ppm choline-treated silicon samples for 10 min: (a) without the addition of H:P2 and surfactants and (b) with the addition of 200 ppm H20 2• 152

(c)

(d)

Figure 4.44 (continued). (c) with the addition of 1 wt% (10000 ppm) H20 2 and (d) with the addition of 200 ppm non-ionic surfactant. 153

The addition of 200 ppm H20 2 to choline reduced the values of l\,-v and

Rnna to 48.6 and 6.4 A, respectively. Increasing the H20 2 addition to 1 wt% further reduced the surface roughness. Also, when 200 ppm of the non-ionic

surfactant was added to the choline solution, the surface roughness was similar to

that of a sample treated with a 200 ppm H20 2 solution. Table 4.4 summarizes the roughness values of these samples.

Table 4.4. Roughness of Samples Treated in 5000 ppm Choline for 10 min With and Without the Addition of H20 2 and Non-ionic Surfactant

Samples treated in 5000 ppm choline 180.9 22.4

5000 ppm choline with 200 ppm H20 2 48.6 6.4 5000 ppm choline with 32.8 4.2 1 wt% (10000 ppm) H20 2 5000 ppm choline with 40.1 5.6 200 ppm non-ionic surfactant

4.5.2. Effect of Addition of H20 2 and Surfactant to TMAH Solutions The etch rate of TMAH solutions was much greater than that of choline at

equivalent concentrations. Even in the 600 ppm TMAH solution, the l\-v and Rnna values were measured to be 832.2 and 123.3 A, respectively, which were

much higher than values measured in the 1 wt% (10000 ppm) choline solution.

Adding H20 2 to TMAH significantly decreased the surface roughness. The

addition of 200 ppm H20 2 to TMAH provided a smoother surface than the 154 addition of 200 ppm non-ionic surfactant. Figure 4.45 shows samples treated with

600 ppm TMAH solutions with and without the addition of H20 2 and surfactant. Table 4.5 summarizes the roughness (AFM) of these TMAH-treated samples.

Table 4.5. Roughness of Samples Treated in 600 ppm TMAH for 10 min With and Without the Addition of H20 2 and Non-ionic Surfactant

Samples treated in 600 ppm TMAH 832.2 123.3

600 ppm TMAH with 200 ppm H20 2 14.3 1.8 600 ppm TMAH with 13.5 1.8 1 wt% (10000 ppm) H20 2 600 ppm TMAH with 20.1 2.8 200 ppm non-ionic surfactant

4.6. Wettability of Wafers in IPA and Its Significance in Particle Removal

4.6.1. Characterization of IP A Solutions

The surface tension of IP A/water solutions is plotted in Figure 4.46 as a function of the solution composition. The surface tension of water decreases

rapidly with IPA additions up to 25% by volume. Beyond this IPA concentration,

the surface tension decreases slowly and approaches that of pure IP A

Because of the IPA molecular structure, the interface between a water-IPA

solution and air will be enriched in IPA molecules. From the measured surface

tension values of water-IP A solutions, the Gibbs surface excess (r) of IP A at the

solution/air interface was calculated as a function of the solution composition 155

(a)

(b)

Figure 4.45. AFM micrographs of silicon samples treated for 10 min in 600 ppm TMAH solutions: (a) in the absence of H20 2 and (b) with the addition of 200 ppm H20 2• 156

(c)

(d)

Figure 4.45 (continued). (c) with the addition of 1 wt% (10000 ppm) H20 2 and (d) with the addition of 200 ppm non-ionic surfactant. 157

80

I 70

c 60 .2 en c ~ q Q) SO u ~ 5t :I en 40 ~ ~ l~ 30 ~ ~ - 20 o 20 40 60 80 100

Volume Percent IPA in Water

Figure 4.46. Surface tension of IP A-water solutions as a function of solution IP A content. 158 using equation (4.1). These surface excess values are plotted in Figure 4.47. It may be seen that the surface excess of IP A is higher at lower solution IP A contents and has a maximum value of 8.5 x 10-10 moles/cm2 around 25 volume percent IP A As will be shown later, the higher surface activity of more dilute solutions plays a key role in particle removal. It should be mentioned that surface excess values never reach zero.

4.6.2. Wettability of Wafers in IPA/Water Solutions

The wetting characteristics of hydrophobic and hydrophilic wafer samples in IPA/water solutions of different compositions are shown in Figure 4.48. These data were taken for an immersion/emersion speed of 64 p.m/sec. For hydrophobic wafers, the contact angle values, similar to surface tension data, decreased steadily up to a solution containing 25% IP A by volume. Interestingly, at the stage speed used, the advancing angles became smaller than the receding angles for IPA volume fractions greater than 0.25. The reasons for this apparent anomalous behavior may be attributed to the expansion or contraction of the meniscus due to the Marangoni effect as explained earlier with choline solutions

(Section 4.4.2). In the case of hydrophilic wafers, the contact angles were quite low in all solutions. Complete wetting was observed during immersion as well as emersion in solutions with 9 to 67% IPA. 159

10

~ 0 ..0 8 >C ~ N E ) \ ...... 7 III 6 G) \ ~ 1 vi 4 ?f \ III G) I U 0 >C \ U.I J G) \ u 2 ,U ~:;, f'\ en ~ o :--0- " o 20 40 60 80 100

Volume Percent IPA in Water

Figure 4.47. Surface excess of IPA at the air/IPA-water solution interface. 160

l00T------r------r-----,,-----,------,

---0-- Hydrophilic Adv. Angles 80+-~---T----~ Hydr~philic Rec. ":ngles • Hydrophobic Adv. Angles Hydrophobic Rec. Angles III G) ~ 60~r---~------_r------+_----~----__; c < ~ 40+---~-+--~--+_-----+------T_----_; ~

o+-~~~~~~==~~_+~~~ o 20 40 60 80 100

Volume Percent IPA in Water

Figure 4.48. Contact angles on hydrophobic and hydrophilic wafers as a function of IPA content in water. 161

In a commercially-developed IP A process mentioned earlier (Section

2.1.1.3), an IPA layer, under slight pressure, is used to replace the wafer/water interface with a wafer /IPA interface. Because of the complete miscibility of IP A

and water, it is likely that the IP A layer develops a concentration gradient and the wafer is actually exposed to a series of solutions with different IP A contents as

IP A is pushed past it. In order to study the nature of interface between IP A and

water, IP A and IPA/water solutions of different compositions were gently

contacted with water. A dye (ethidium bromide) was dissolved in IPA to make

the interface more visible.

The addition of various concentrations of IP A solutions (9% to 100%) to

water initially introduced a rapid flow at the interface as well as inside the

aqueous solution. Since the addition of IF A to water lowers the interfacial

tension, an inhomogeneous distribution of IP A within the interface may result in

the creation of local tension gradients. The highest tension occurs in those

regions where the IPA density is lowest; thus, the tensile restoring force acts in

the opposite direction to the IF A density gradient. The ensuing fluid motion

arising from the interfacial tension gradient is known as the Marangoni effect

[4.20).

When certain compositions of IPA solutions were added to water, a sharp

interface started to form between the IPA solution and water. The top layer was

stable for several hours with a clear boundary between the water and IP A 162 solution. To photograph the formation of a distinct top layer, a glass optical cell was used. First, 50 ml of water was added into the cell, and then 20 ml of

different concentrations of IPA solutions were slowly added on top of the water using the wall of the optical cell. Among the IP A solutions prepared for this

experiment, those solutions containing less than 9% IP A did not form a distinct

layer on the top of water. Other solutions, 25%, 50%, 70%, and 100% IP A,

initially formed a very stable IP A layer. The lower the IPA content of the

solutions, the greater the amount of mixing between the water and IP A solutions.

Figure 4.49(a) shows a photograph of the IPA layer formed on water using 100%

IP A in a cell. After 20 hrs, the boundary between the water and IP A solutions

became diffuse as shown in Figure 49(b). After 72 hrs, complete mixing between

the water and IPA solutions was observed. This indicates that IP A can form a

distinct layer initially, but given sufficient time, IPA in the top layer will continue

to diffuse into the water-rich bottom layer.

Attempts were made to measure the surface tensions of the top IP A layer

and bottom water layer immediately after the formation of a distinct top layer.

The surface tension of the top layer was measured by immersion/withdrawal of a

pre-cleaned glass slide without interrupting the IPA/water interface. Next, the

top layer was removed very carefully using a syringe, and then the surface tension

of the bottom layer was measured. Figure 4.50 shows the surface tensions of the

top and bottom layers of solutions when various IP A solutions were added to the 163

(a) (b)

Figure 4.49. The change of IP A layer formed by slowly placing IP A on the top of water in a glass optical cell as a function of time: (a) 0 hr and (b) 20 hrs later. 164 water. The surface tension of the top layer did not change significantly after placing IP A solutions on top of the water, however, the surface tension of the bottom layer decreased to around 45 dynes/cm over the range of IPA contents investigated. From the measured surface tension values shown in Figure 4.50, the

composition of the top and bottom layers was calculated. The IP A compositions

of the bottom layer were in the range of 9 to 14%. The IPA content of the top

layer was 2 to 20% less than the volume percent of IPA in the solution added

onto water.

To understand the impact of the mixing at the solution interface, the

wettability of the same hydrophobic wafer sample was measured in solutions of

successively increasing IPA content; the results are displayed in Figure 4.51. It

may be noted that the methodology used in this test was different from that used

to obtain the data in Figure 4.48, where a different sample was used for each test.

While the trend is the same in both types of tests, the successive immersion tests

gave lower advancing and receding angles due to the presence of IP A films at the

solution/air interface.

During the successive immersion/ emersion tests with hydrophobic surfaces,

several interesting observations were made. When a sample was immersed and

then emersed from solutions of less than 25 volume percent or more than

70 volume percent IP A, it dried without leaving any droplets on the surface.

When samples were withdrawn from solutions containing 25 to 70 volume percent 165

80

I) 70 ~ Top layer E u 1\ ~ Bottom layer- III "II) 60 \ ~ \ C 0 \ en 50 ...... n..: c ~ 1--0.. ~ - CI) \ -- -~ u 40 1", ~ \ en~ 30 li ~ r--.o- --..n..- 20 . ~ o 20 40 60 80 100

Volume " of IPA in top layer

Figure 450. The surface tension of IPA solutions and water layer formed by slowly placing the IP A solutions on the top of water. 166

100

)

80 1\

~ 0- Adv. Angles III • • Q) ~~ .~ --a- Rec. Angles c;, 60 c < \"\ ~ U ell ~~ ~ 40 c u0 \l \ 20 /J \ -.T_ ~y -f. o 5---...., ~...., -rI...., ..; o 20 40 60 80 100

Volume Percent IPA in Water

Figure 4.51. Contact angles on hydrophobic wafers as a sample is successively contacted with solutions of increasing IP A content. 167

IPA, droplets were initially seen on the surface but they eventually dried up.

Also, the size of the droplets decreased with increasing IPA content in this range.

This indicates that the IPA solutions larger than 25% and less than 70% may leave particles and hazes as the droplets dry during rinsing. Figure 4.52 shows the micrographs of droplets on hydrophobic wafers at different IPA/water contents.

4.6.3. Particle Removal on Wafers Using IPA-Based Solutions

4.6.3.1. Particle Deposition

Particles were deposited onto silicon samples using two different techniques. The first technique was based on electrophoretic deposition.

Polystyrene (PSL) and glass particles were deposited on silicon using this method.

As shown in Figure 4.53(a), a rather uniform deposition of particles was achieved using this technique. However, it was found that the wafer surface was oxidized during the deposition process. The water contact angle (advancing) on the sample was 10° at the end of the deposition, indicating that the sample became hydrophilic during the deposition process. Figure 4.54 shows the change of

contact angle and oxide thickness as a function of deposition time.

An aerosol method was also used to deposit PSL, glass, alumina and silicon

particles on samples. Both hydrophilic and hydrophobic samples were coatable by

this technique. Representative photographs of hydrophilic and hydrophobic

wafers coated by the aerosol technique are shown in Figures 4.53(b) and (c), 168

(a) (b)

(c)

Figure 4.52. Photomicrographs (at 5X) of droplets on hydrophobic wafers at different IPA content, at (a) less than (» 25 vol.% IPA and more than (.$.) 70 vol.% IPA, (b) 25 vol.% IPA, and (c) 50 vol.% IPA. 169

(a) (b)

(c)

Figure 4.53. Optical micrographs of particles deposited by (a) electrophoretic method, (b) aerosol spray method on hydrophilic wafers and (c) aerosol spray method on hydrophobic wafers. 170

100 50 :,..0- t'1~ C) I--'" 1"':" 1/ ~ 80 l,...-' 40 I--'" ltf" I-"" I/" -< I· ~ Adv. Angles Ii 60 V· • ,.!. • I I I I , I 30 cu I" Rec. Angles 1I I -I I I I .... .5u Jt. Oxide Thickness, A - ~ ~ ~ 40 ~ ~ 20 cu ['\ :g II I ?--......

Anodization Time, min

Figure 454. Change of the oxide thickness with contact angle as a function of deposition time. 171 respectively. Much fewer particles were deposited on the hydrophobic surface.

Figure 4.55 summarizes various deposition methods applied to contaminate hydrophilic as well hydrophobic wafers.

4.6.3.2. Particle Removal on Hydrophilic Wafers

As mentioned earlier, electrophoretic deposition of PSL particles onto wafer samples resulted in the hydrophilization of the samples. A photomicrograph of a sample in the as-deposited condition is shown in Figure

4.53(a). Coated samples were then separately rinsed (immersed and then emersed) in DI water, 2.4% IPA solution, 9% IPA solution, 25% IPA solution,

50% IPA solution, 87% IPA solution or 100% IPA at a stage speed of 64 p.m/sec.

The appearances of the various samples after rinsing are shown in Figure 4.56.

During DI water rinsing (Fig. 4.56(a», particles were removed from many areas but the removed particles formed agglomerate strings (lines) in the direction of the sample movement. Rinsing in pure IPA (Fig. 4.56(f) was not at all effective in removing particles. Of the six IP A/water solutions investigated, solutions with

. less than 25% IPA appeared to be effective in removing particles.

The results obtained from rinsing a contaminated hydrophilic wafer successively in seven solutions of different IP A contents (DI water ... 9% IPA ...

25% IPA ... 50% IPA ... 67% IPA ... 87% IPA ... 100% IPA) are displayed in

Figure 4.57(a). This rinsing scheme appears to be very effective in removing PSL 172

Hydrophobic Wafers Hydrophilic Wafers I I II II II Electrophoretic Electrophoretic Air-Gun Air-Gun Deposition Deposition Spray Spray in DI Water inIPA

Hydrophilic Hydrophobic Hydrophobic Hydrophilic Wafers Wafers Wafers Wafers w/ Particles w/ Particles w/ Particles w/ Particles

:Can Control Hydrophilicity with Deposition Time

Figure 4.55. Particle deposition methods applied to contaminate wafers. 173

(a) (b)

(c) (d)

Figure 4.56. Optical micrographs of samples rinsed once in (a) DI water, (b) 2.4% IPA, (c) 9% IPA and (d) 25% IPA. The bar scales are 100 J.Lm. 174

(e) (f)

Figure 4.56. (Continued) Optical micrographs of samples rinsed once in (e) 50% IPA, and (f) 87 and 100% IPA. The bar scales are 100 J-Lm. 175

(a)

(b)

Figure 4.57. Appearance of samples successively rinsed in solutions increasing IPA content: (a) from DI water to pure IPA and (b) 50% IPA to pure IPA 176 particles. A rinsing scheme starting with 50% or more IPA was not very effective in removing particles as shown in Figure 4.57(b). This may be related to the surface excess of IPA at the solution/air interface which was shown to be larger at lower «25%) IPA contents than at higher IPA contents.

Repeated cycling for seven times in the same solution was carried out to

ascertain that the solution sequence discussed earlier is indeed important. The

results obtained are shown in Figure 4.58. In solutions containing 50% or more

IP A, repeated rinsing had minimal effect on particle removal. Rinsing in a 9%

IPA solution or 25% IPA solution seven times removed a substantial amount of

particles. However, it must be mentioned that the cleanliness of samples

subjected to successive cycling in a series of solutions with increasing IP A content

was remarkably better than that samples subjected to repeated cycling in anyone

solution.

From the experimental data obtained, a mechanism for the enhanced

particle removal from solutions of low IPA content will now be proposed.

Schematic sketches of the immersion and emersion stages of a contaminated

hydrophilic wafer are shown in Figure 4.59. It may be noted that the solution-air

(vapor) interface is populated by IPA molecules and the surface excess (or crudely

speaking, surface concentration) of IPA molecules at the solution/air interface is

higher in solutions of low IPA content than in solutions of high IP A content. As 177

(a) (b)

(c) (d)

Figure 4.58. Optical micrographs of samples subjected to seven successive rinse in: (a) DI water, (b) 9% IPA, and (c) 25% IPA. The bar scales are 100 /.Lm. 178

(e)

Figure 4.58. (Continued) Optical micrographs of samples subjected to seven successive rinse in: (d) 50% IP A and (e) 87 and 100% IP A. The bar scales are 100 jLm. 179

Hydrophilic I b Particle Wafer U Vapor

(a) (b) ~.

(c) (d)

Figure 459. A schematic diagram illustrating the mechanism for enhanced particle removal from solutions of low IPA content: (a) particle on a substrate before contacting IP A-water solution, (b) particles at the air lIP A-water interface, (c) particles removed by surface pressure difference at the air/solution interface and (d) substrate after rinsing. 180 the particle laden wafer penetrates the solution/air interface, the distribution of surface IPA molecules may be altered.

A scenario shown in Figure 4.59(b) is likely that the surface excess of IP A becomes higher at the part of the interface that is near the wafer (region I) than at the part far away (region TI). If this happens, the surface tension of region I would decrease slightly and that of region TI would increase slightly. This would cause a difference in surface pressure, '1',(24) between regions I and TI, with the gradient in the direction of I to n. The force on the particle due to this surface pressure gradient may be responsible for particle removal. It should be mentioned that the immersion/ emersion speed should be slow enough for the particles to experience this force.

The removal of glass and alumina particles deposited onto an oxidized wafer was extremely difficult. However, as shown in Figure 4.60, hydrophobic silicon particles deposited on a hydrophilic wafer were removed in DI water, and particles in 9% IP A solutions were removed even more efficiently. For PSL particles deposited onto an oxidized wafer, some particle removal was noticed in

DI water, but the extent of removal was substantially improved by the addition of small amounts of IP A to water.

(24)Surface pressure, '1', is defined as the difference between the surface tension of a pure solvent/vapor interface and that of a solution/vapor interface; in this case 'Ywater - 'YwaurIlPA' 181

(a) (b)

(c)

Figure 4.60. The removal of silicon particles deposited on hydrophilic wafers (a) as deposited, in (b) DI water and (c) 9 % IPA solution. The bar scales are 100 f..£m. 182

4.6.3.3. Particle Removal from Hydrophobic Wafers

Electrophoretic deposition of particles on wafers in water resulted in substantial oxidation of the surface. In order to prevent oxidation during deposition, pure IP A was used instead of DI water as the liquid medium for the electrophoretic deposition. Uniform deposition of PSL particles was observed on bare silicon wafers after 10 min. Deposited particles in pure IP A were removable by rinsing in DI water or 9% IPA solution as shown in Figure 4.61. However, in both these media, the extent of particle removal was much less than that observed for the samples contaminated from DI water. The advancing and receding angles of water on silicon samples anodically held in IPA for 10 min were 83° and 51°,

respectively. These values indicate that wafer hydrophobicity was only marginally

decreased during the deposition in IP A. Thus, it appears that PSL particle

removal from hydrophobic wafers is inefficient.

The effects of the nature of surface charge on particles and particle type on

particle removal from hydrophobic wafers were studied by depositing alumina and

glass onto hydrophobic wafers. Glass is negatively charged, while alumina is

positively charged in DI water. Alumina (1.4-4.6 #Lm) and silica (1.9 #Lm) were

dispersed in DI water at a concentration of 0.01 mg/100 m1 of water with a

tOO ppm nonionic surfactant (NCW601A). Particle depositions were performed

using an air gun on hydrophobic silicon samples. The contaminated samples were 183

(a) (b)

(c) (d)

Figure 4.61. The removal of PSL particles which were electrophoretically deposited in IPA for 10 min: (a) as deposited, (b) rinsed in DI water, (c) rinsed in 9 % IPA solution, and (d) in 100% IPA. The bar scale is 100 JLm. 184 rinsed in DI water and a 9% IPA solution at a speed of 64 p.m/sec. Neither repeated nor sequential rinsing resulted in removal of alumina and glass particles.

Two deposition methods were developed to observe the effect of surface hydrophobicity on particle removal. In the first method, PSL particles were first deposited using an air gun spray, and then the anodization of particle-deposited samples was performed for 10 sec, 20 sec, 30 sec, 2 min, 5 min, and 10 min at a potential of 6 V / cm. Water contact angles and oxide thickness on these samples were identical to those shown in Figure 4.54. When these samples were rinsed once in 9% IPA solutions at a speed of 64 p.m/sec, no particle removal was observed. Multiple cyclings and stage speed in the range 20 p.m/sec to

264 p.m/sec were not effective in removing particles from the surfaces. This indicates strong bonding between PSL particles and the hydrophobic surface. The anodization of the wafer surface after air gun deposition did not modify the strong bonding between the substrate and particles.

In the second method, wafers were anodized and then particles were deposited using the air gun spray. Even for a sample anodized for 10 sec (contact angle = 67°), slight particle removal was noticed in the 9% IPA solution.

Hydrophilic samples prepared by prolonged anodization were more amenable to cleaning in the same solution, as shown in Figure 4.62. It is clear that the increase of wafer wettability increased the removal force acting on the particles and resulted in better removal of particles. 185

(a) (b)

(c) (d)

Figure 4.62. Particle removal on changing the hydrophobicity of silicon wafer surfaces (a) as deposited, (b) anodized for 10 sec, (c) anodized for 2 min, and (d) anodized for 5 min and 10 min. The bar scale is 100 J.Lm. 186

The effect of particle hydrophobicity on the efficiency of removal was investigated by depositing hydrophobic silicon particles. As shown in Figure 4.63, silicon particles deposited on hydrophobic wafer samples were slightly removable in both DI water and 9% IP A solutions. This indicates that hydrophobic particles adhere more weakly on silicon wafers than hydrophilic particles and are removable during rinsing in water and 9% IP A. Table 4.6 summarizes particle removal on both hydrophobic and hydrophilic wafers. It is likely that for silicon

Si02-coated substrates, the contact angle between liquid and particle plays an important role in removing particles from substrates. The best combination for

Table 4.6. Particle Removal in Hydrophobic and Hydrophilic Wafers

Particle Type Hydrophobic Wafer Hydrophilic Wafer Hydrophilic Particles No significant removal No significant (Glass, Alumina) removal Hydrophobic Particles PSL-no significant Significant removals (PSL, Silicon) removal Si-slight removal Positively Charged Particles No significant removal No significant (Alumina in DI Water) removal Negatively Charged PSL, Glass-no significant PSL, Si-significant Particles removal removal (PSL,Silicon, Glass in DI Si-slight removal Glass-no significant Water) removal 187

(a) (b)

(c)

Figure 4.63. The removal of silicon particles deposited on hydrophobic wafers; (a) as deposited, (b) rinsed in DI water, and (c) rinsed in 9% IPA solution. The bar scales are 100 J.l.m. 188 significant particle removal was the hydrophobic particles (PSL, silicon) and hydrophilic wafer.

Leenaars' work [4.21] with oxide contaminants deposited onto hydrophilic wafers stressed the importance of low immersion speeds (Le. 3 p.m/s) and the relative wettabilities of the substrate and particles in DI water. He found that

hydrophilic oxide (Si02) particles deposited onto a hydrophilic wafer were

extremely difficult to remove in DI water. However, hydrophobic particles (Ti02,

a-Fe203 and silylated Si02) deposited onto a hydrophilic wafer were removable in DI water.

4.6.4. Theoretical Analysis of Adhesion and Removal of Particles

For particles smaller than about 10 ",m, adhesion of particles to a surface is

primarily caused by van der Waals forces. For particles deposited on silicon

wafers, the following equation can be used [4.22]:

Fa = - AR/6H2 (4.3)

where Fa is the London-van der Waals force, A is the Hamaker constant, 'R' is

the particle radius, and 'H' the distance of the closest separation between the

particle and the substrate.

The Hamaker constant must be calculated to obtain the adhesion force, FB)

of particles to a substrate. The effective Hamaker constant, Al32 for the

interaction of materials 1 and 2 embedded in a medium 3, can be calculated from 189 the Hamaker constants of the individual materials using the following equation

[4.23]:

(4.4)

Table 4.7 summarizes the Hamaker constants, ~i' for each material used in the experiment.

Table 4.7. Hamaker Constants for Various Materials Taken from Ref. [4.23]

Materials All' x 102° J Si 25.6

Si02 50 PSL 6.3

Al20 3 15.5 Glass 8.5 Water 4.38

Figure 4.64 shows a spherical particle adhering to a substrate during the passage of a phase boundary between liquid and air. For a particle adhering to a substrate, the interface close to the particle is no longer horizontal but has a contact angle, a, between the liquid and substrate. The contact angle, fJ, between the liquid and the particle depends on the hydrophobicity /hydrophilicity of the 190

Substrate

(a)

Substrate

(b)

Figure 4.64. Schematic diagram showing a spherical particle adhering to a substrate during the passage of a phase boundary: (a) a < goo and (b) a > 9

2 0 F'Y.max = 2'11"R'Ylg sin (9/2) COsa for a < 90 (4.5) and

for a > 900 (4.6)

When F'Y.max is divided by 2'11"R'Ylg' equations (4.5) and (4.6) become a function of

(J and a only:

for a < 900 (4.7) and

for a > 900 (4.8)

During the immersion and withdrawal of samples in solutions, the particle

removal is possible only when F 'Y,max is greater than IFa I. Table 4.8 shows the

calculated values of Am and IFa l/2'11"R'Ylg for different particle-substrate

combinations for a "H" value to be 4 A (4 X 10-8 cm) [4.21]. 192

Table 4.8. Calculated Values of AI32 and IFal/211'R'Ylg Where 'Ylg of Water = 72.6 dynes/cm''YI,. of 9% IPA Solution = 43 dynes/cm

Materials, Am IFal /211'R'Ylg' IF a I/211'R 'Ylg' Particles/Medium/Substrate Water 9%IPA

Si/water /Si02 14.76 0.33 0.56 Si/waterlSi 8.82 0.20 0.33 PSL/water /Si02 2.09 0.047 0.076 PSL/water/Si 1.25 0.028 0.048 Al20 3/water/Si02 9.18 0.2 0.34

Al20 3/water lSi 5.46 0.12 0.20

4.6.4.1. Hydrophilic Particles on Silicon and Si02-Coated Wafers In the case of hydrophilic particles such as glass and alumina on a 0 0 hydrophilic wafer, Cl < 20 and 0 < 20 in DI water; in the 9% IPA solution, Cl

and 0 are less than 100. For hydrophilic particles on a hydrophobic wafer, 65° < 0 0 Cl < 90 and 0 < 20 in DI water, depending on the advancing or receding

interface. In the 9% IPA solution, 500 < ex < 650 and 8 < 100. Figure 4.65 shows

IFoy,maxl/211'R'Ylg and IFal/211'R'Ylg in DI water and the 9% IPA solution for

hydrophilic particles on bare and Si02-coated wafers as a function of 8. Curved

lines are IF'V,maxl/211'R'Ylg at different Cl values. The straight lines are IFal/211'R'Ylg

for particles on hydrophilic and hydrophobic wafers. When 8 is less than 200, IFal

is much larger than IF 'V,max I for glass and alumina particles on silicon and 193

01 Water/Glass 0.4

0.3

DI) ~ D: tll 0.2 -~

0.1 GIassISIOl GlassfSI a= 890 0 0 20 40 60 80 100 e

(a)

9 % IPAlGlass 0.6

0.5

0.4 DI) ~ ! 0.3 -~ 0.2

0.1

0 0 20 40 60 80 100 8

(b)

Figure 4.65. Comparison of IF,,maxl/21rRy,,-and IF.I/2",RYIg as a function of 8 at different a values, (a) glass particles in DI water, and (b) glass particles in 9% IPA The curved lines are IF,,max1/21rRYlg at different a values and the straight lines are IF. 1/2". R Ytr 194

01 Water/Alumina 0.6

O.S

0.4

III:t ....t!I 0.3 ~ 0.2

0.1

0 0 20 40 60 80 100

(c)

9 % IPAIAlumina 0.6

O.S

0.4 I III: ....t!I 0.3 ~ 0.2

0.1

0 0 20 60 80 100 e

(d)

Fig. 4.65 (continued). (c) alumina particles in DI water, and (d) alumina particles in 9% IPA solutions. 195

SiOz-coated wafer surfaces in DI water and 9% IP A solutions. This is the reason glass and alumina particles on wafer surfaces cannot be removed.

Figure 4.66 shows IF or.max I/2",R'Ylg and IFa I/2",R'Ylg for PSL particles on both hydrophilic and hydrophobic wafers at different values of a and 6. Since a is less than 25° for a hydrophilic wafer, it is not possible to remove PSL particles using DI water if 6 is less than 25°. For a hydrophobic wafer, 600 < a < 89°, PSL removal will not occur in DI water if 6 is less than 30°. It might be recalled that

PSL particles on a hydrophilic wafer were removable slightly in DI water, whereas

PSL particles on a hydrophobic wafer were not removable in DI water. For measured a values, the contact angle, 6, should be 25° < 6 < 30° in order to satisfy the experimental observation in DI water.

In 9% IPA solutions, a and 6 are smaller than in DI water. Smaller 6 would make it difficult to remove PSL particles from hydrophilic and hydrophobic wafers. However, experimental results showed better removal of particles in 9%

IPA than in DI water. This indicates that surface tension effects, as given by equations (4.5) and (4.6), are not sufficient for the removal of deposited particles.

4.6.4.2. Hydrophobic Particles on Silicon and Si0z-Coated Wafers

For hydrophobic particles such as silicon on hydrophilic wafers, a is less than 25° but 6 is near 90° in DI water. In 9% IPA solutions, a is near zero and 6 is less than 65°. For silicon particles on a hydrophobic wafer, CIt has values 196

01 Water /PSL 0.4

0.3

a:~ ....!I 0.2 cl"

0.1

0 0 6

(a)

9 % IPA/PSL 0.6

0.5

0.4 a:~ ....!I 0.3 cl" 0.2

0.1 PSUSI02

PSUSI 0 0 20 40 60 80 100 e

(b)

Figure 4.66. Comparison of IF,.maxI/211"RYI and IF.1/211"RYIg as a function of e at different a values, (a) PSL particles in Dr water, and (b) PSL particles in 9% IPA The curved lines are IF"maxI/21rRYlg at different a values and the straight lines are IF.1/2wRYlg" 197 between 65° and 89° and 0 is near 90° in DI water. The advancing and receding contact angles of particles and substrates in DI water and 9% IPA solutions are tabulated in Table 4.9.

Table 4.9. Contact Angles on Particles and Substrates in DI Water and 9% IPA Solutions

In DI Water In 9% IPA

Particle /Substrate OtAO OtRO eo OtAO OtRO eo Glass/Si 89 60 <20 65 50 <10

Glass/Si02 <25 <20 <20 0 0 <10 Alumina/Si 89 60 <20 65 50 <10

Alumina/Si02 <25 <20 <20 0 0 <10 Si/Si 89 60 89 65 50 65

Si/Si02 <25 <20 89 0 0 65 PSL/Si 89 60 25<, <30 65 50 <25

PSL/Si02 <25 <20 25<, <30 0 0 <25

Figure 4.67 shows IF 'Y,max I/ 2TR'Ylg and IFa I/2TR'Ylg in DI water and 9%

IP A solutions for silicon particles on both types of wafers as a function of 0 at

different Ot values. For silicon particles on a hydrophilic wafer, IF'Y,max I /2TR'Ylg is

larger than IFa I/2'1'R'Ylg because Ot is less than 25° and 0 is close to 90° in DI

water as shown in Figure 4.67(a}. This implies that particles on a bydrophilic

wafer are removable in DI water and in fact experimental results shows this. 198

01 WaterlSi 0.6

0.5

0.4 It D' .!I 0.3 .r'" 0.2

0.1

0 0 20 40 60 80 100 9

(a)

9 % IPA/Si 0.6

0.5 ------~r__ SIISIOZ I a= 450 0.4 s:III i pC a:= SOO c!I 0.3 ------~-~~---SI/SI -rl" I 0.2 a= 6SO

0.1

0 0 20 60 80 100 9

(b)

Figure 4.67. Comparison of IFy,muI/2'1rRy and IFal/2".RYIg as a function of e at different a values, (a) silicon particles in\)I water, and (b) silicon particles in 9% IPA The curved lines are IFy,max I/2".RYIg at different Q values and the straight lines are IFal /2". RYI&' 199

However, IF.y,max1/2 ...R'Ylg is slightly smaller than IFal/2... R'Ylg in 9% IPA solutions, thus particle removal should not be possible. This prediction contradicts the experimental observations of partial particle removal in 9% IP A

For silicon particles on a hydrophobic wafer, particle removal is possible in

DI water because IF'Y,maxl/2 ...R'Ylg is slightly larger than IFal/2... R'Ylg (aR is around 60°) as shown in Figure 4.67(a). However, as shown in Table 4.10, in 9%

IP A solutions it is not possible to remove silicon particles on hydrophobic wafers.

The calculated IF'Y,max I is always smaller than IFa I, which is opposite to the

experimental observations in 9% IP A solutions.

4.6.4.3. Modification of Leenaars Equations

In IPA-containing solutions, the force term due to the surface tension

gradient at the solution/air interface should be considered with the force caused

by surface tension. From the surface tension data, it was concluded that a surface

excess of IPA was present at the IPA solution/air interface. As the wafer with

particles passes through this layer, the distribution of surface IP A molecules could

be altered to cause a surface pressure difference (.... ). The total force including

the surface pressure term, .... , can be written as:

Ftotal = 2... R hlg + .... ) sin2(8/2)cosa or

(4.9) 200

In the above equation, it is assumed that the removal force due to the surface pressure acts on the particle in the same direction as surface tension force.

Figure 4.68 shows IFtotall acting against IFal in 9% IPA solutions as a function of surface pressure, T -. For these calculations, the values of a and (J in

Tahle 4.9 were used for PSL particles on hydrophilic wafers and silicon particles on hydrophilic and hydrophobic wafers. From Fig. 4.68(a), the minimum surface pressure, T -, necessary for the removal of PSL particles may be calculated to be

26. The minimum surface pressures for the removal silicon particles on both types of wafers may be similarly determined to be 40 and 33 dynes/cm, respectively, as shown in Figures 4.68(b) and (c). When surface pressures larger than 40 dynes/em are generated due to the differential enrichment of IPA

molecules at the IPA solution/air interface, PSL particles on hydrophilic and

silicon particles on both types of wafers are removable. For the removal of

alumina particles on a hydrophilic wafer, however, the surface pressure of

260 dynes/cm should be generated in 9% IPA solutions. This is impossible in

aqueous solutions and this may explain the inability of IPA-based solutions to

remove deposited Al20 3•

The surface pressure model developed assumes that the surface tension

and surface pressure forces are addictive and act in the same direction. This is

obviously a crude assumption and a more rigorous and accurate approach is

required to confirm the extent of impact of the surface pressure forces. 201

0.1

0.09 cc ,::: Ill: 0.08 ~ ..... PSUSI02 ! 0.Q7 0 r.... 0.06

0.05

0 10 20 30 40 so

x 'It, dynes/cm (a)

0.4

0.35 cc ,::: Ill: ~ ..... 0.3 Ci ...0 r.... 0.25

0.2

0 10 20 30 40 so

K *, dynes/cm (b)

Figur~ 4.68. Comparison of IFtotad/211'RYlg and 1F.1/211'RY14 as a function of".o (a) PSL particles on hydrophilic wafer and silicon particles \b) on hydrophilic and 0 in 9% IPA solution. The curved lines are IFlotall /2". R YIg at different ". values and the straight lines are IF.1/211'RYIg" 202

0.6

0.55 DC $: CI: 0.5 ~ .... 0.45 "5 0 OJ r:&:. 0.4

0.35

0.3 0 10 20 30 40 so

X,* dynes/cm (c)

0.2 Alumlna/SiOz

DC 0.15 $: CI: )i .... 0.1

r-~ 0.05

0 0 SO 100 ISO 200 2SO 300 X.. , dynes/cm (d)

Fig. 4.68 (continued). (c) silicon particles on hydrophilic wafers and silicon particles and (b) on hydrophiic wafers and (d) alumina particles on hydrophilic wafers in 9% IPA solutions. The curved lines are IFtotad/2~R'Y18 at different .,:* values and the straight lines are IF.I/2..-R'Yla' 203

CHAPTER 5

SUMMARY AND CONCLUSIONS

5.1. Alkaline Solutions

(1) C~oline appears to become surface active at the liquid/air interface at a solution concentration of approximately 400 ppm. The addition of 10,000 ppm of choline decreased the surface tension of water to 47.6 dynes/em. In contrast, the surface tension of ammonia solutions decreased only slightly as the

concentration of NH40H was increased from 0 to 50 volume percent.

(2) Single and double side polished p(100) bare wafers showed the same

advancing (O.J and receding (OR) angles in the first cycle in choline. Single side

polished wafers showed the reversal of angles (0 A < (J~ in the second cycle, but

this was not observed on the double side polished wafers.

(3) On oxide-coated p(lOO) wafers, advancing angles decreased in the first

cycle as the choline concentration increased and complete wetting was observed

above 100 ppm of choline in the second cycle.

(4) Types and orientations of silicon wafers did not affect the wettability

of BOE-etched silicon surface in alkaline solutions investigated. This might be

due to the passivation of silicon surface by hydrogen.

(5) When multiple cycles were performed in choline solutions, advancing

angles decreased much more rapidly in the second cycle than in the first cycle. At 204 a choline concentration of O.S wt%, advancing angles reached a value of 200 in the second cycle indicating improved hydrophilicity.

(6) Air exposure of choline-rinsed silicon wafers resulted in enhanced oxidation. Oxidation (as determined by ellipsometry and XPS) and wettability of samples increased when samples, after a 10-min choline treatment, were exposed to air before being rinsed in DI water. Lower stage speeds « 124 p.m/sec, longer air exposure time) resulted in better wettability than higher stage speeds (> 124 p.m/sec, shorter air exposure time).

(7) TMAH was not surface active at concentrations lower than 1000 ppm.

A rapid decrease of advancing angles in the second cycle occurred at TMAH concentrations above 200 ppm. The decrease of advancing angles was due to the etching of silicon surface by TMAH.

(8) In a 600 ppm TMAH solution, the ~-v and Rrms values were measured to be 832.2 and 123.3 A by STM/AFM, respectively, which were much higher than values measured in a 10,000 ppm choline solution. The addition of 200 ppm of hydrogen peroxide and a non-ionic surfactant was effective in increasing the wettability, even at low TMAH and choline concentrations, and resulted in much smoother surfaces after treatments when observed by STM/ AFM.

(9) The wettability of silicon in ammoniacal solutions was significantly improved by the addition of hydrogen peroxide. Advancing angles became 00

(complete wetting) in the second cycle when ammonia volume fraction exceeded 205

0.017%. Receding angles were low (_tOO) but never reached 0° in either cycle.

The oxide thickness of wafers immersed in solutions with different NH40H concentrations was measured to be 13 ± 1 Aand was independent of NH40H content and air exposure time.

S.2. IPA/Water Solutions

(1) The addition of IPA to water resulted in a decrease of the surface tension of solution/vapor interface. The surface excess of IP A molecules at the solution/air interface was calculated to have a maximum value of 8.5 x to- IO moles/cm2 in a solution composition of 25% IPA and 75% water.

(2) IP A solutions with less than 25% IPA were very effective in removing hydrophobic particles such as PSL and silicon on hydrophilic wafers. This particle removal from hydrophilic wafer samples may be attributed to the surface pressure differences generated along the solution/air interface during the entry of the sample into the solution.

(3) The wettability of particles (6) and substrates (a) in solutions played important roles in particle removal. The large values of 8 and small a were

preferred to obtain the maximum removing force due to surface tension against

the adhesion force. The lowest removal force was calculated for alumina and

glass particles on hydrophobic wafers. The highest removal force was calculated

for silicon particles on hydrophilic wafers. 206

(4) Leenaars equation for the calculation of magnitude of surface tension force which balances FA did not seem to hold good for the solutions containing

IPA less than 25%. This equation was modified by adding a surface pressure term, 7·. The modified form of Leenaars equation was able to explain experimental results in IPA-containing solutions. 207

REFERENCES

References for Chapter 1

1.1. T. Hattori, "Contamination Control: Problems and Prospects," Solid State

Technolo~, ~(7), SI (1990).

1.2. W. Kern, "The Evolution of Silicon Wafer Cleaning Technology," J..

Electrochem. Soc., 137(6), 1887 (1990).

1.3. V. B. Menon, L. D. Michaels, R. P. Donovan and D. S. Ensor, "Effects of

Particulate Size, Composition, and Medium on Silicon Wafer Cleaning,"

Solid State Technology/Contamination Control Supplement, J2(3), S7,

(1989).

1.4. W. Kern and D. A Puotinen, "Cleaning Solutions Based on Hydrogen

Peroxide for Use in Silicon Semiconductor Technology," RCA Rev., ~, 187

(1970).

1.5. M. Asano, T. Cho and H. Muraoka, "Application of Choline in

Semiconductor Technology," Abstracts 354, The Electrochemical Society

Extended Abstracts, Vol. 76-2, Las Vegas, Nevada, October 17-22, 1976,

pp. 911-913.

1.6. H. Muraoka, K. 1. Kuosawa, H. Hiratsuka and T. Usami, "Cleaning

Solutions Based on Choline," Abstracts 238, The Electrochemical Society 208

Extended Abstracts, Vol. 81-2, Denver, Colorado, October 11-16, 1981,

pp. 570-573.

1.7. Anonymous, Moses Lake TMAH Seminar.

1.8. A Hariri and R. S. Hockett, "Evaluate Wafer Cleaning Effectiveness,"

Semicond. International., 12(9}, 74 (1986).

1.9. V. B. Menon, A C. Clayton and R. P. Donovan, "A Critical Evaluation of

Megasonic Cleaning for Removal of Particulate Contaminants from Silicon

Wafers," Microcontamination, 1(6}, 31 and 107 (1989).

1.10. D. Burkman, "Optimizing the Cleaning Procedure for Silicon Wafer Prior

to High Temperature Operations,"Semicond. International., !(7), 103

(1981).

1.11. A E. Walter and C. F. McConnell, "Stationary In Situ Wet Chemical

Processing: The Impact on Wafer Surface Phenomena," Semicond.

International., 12(1}, 36 (1989).

1.12. H. Mishima, T. Yasui, T. Mizuniwa, M. Abe and T. Ohmi, "Particle­

Free Wafer Cleaning and Drying Technology," IEEE Trans. Semi.

Manuf., 2(3} (1989).

1.13. V. B. Menon and R. P. Donovan, "Review of Particle Control Methods

During Wet Chemical Cleaning of Silicon Wafers," in Semiconductor

Cleanin~ Technolo~11989, PV. 90-9, J. Ruzyllo and R. E. Novak (Eds.), 209

pp. 167-187, The Electrochemical Society Softbound Proceeding Series,

Pennington, New Jersey (1989).

1.14. Anonymous, Mallinckrodt HF Particle Seminar.

1.15. O. J. Antilla, M. V. Tilli, M. Schaelars and C. L Claeys, "Effect of

Chemical on Metal Contamination on Silicon Wafers," J. Electrochem.

k .132(4), 1180 (1992).

1.16. C. F. McConnell, "Investigating the Impact of Wafer History on the

Removal of Particles from Silicon Surfaces," Microcontamination. R(10), 45

(1990).

References for Chapter 2

2.1. W. Kern, IThe Evolution of Silicon Wafer Cleaning Technology," 1..

Electrochem. Soc" 131(6), 1887 (1990).

2.2. K Sugiyama and T. Ohmi, "Ultraclean Gas Delivery Systems Part I. VLSI

Fab Must Begin with Ultraclean Nitrogen Systems," Microcontamination,

2(11), 49 (1988).

2.3. M. M. Atalla, E. Tannenbaum and E. J. Scheibner, "Stabilization of Silicon

Surfaces by Thermally Grown Oxides," The Bell System Technical Journal,

~(3), 749 (1959).

2.4. S. Raider, R. Flitsch and M. Palmer, "Oxide Growth on Etched Silicon in

Air at Room Temperature," J. Electrochem. Soc., 112(3),413 (1975). 210

2.5. F.N. Schwettmann, K.. L. Chiang and W. A Brown, "Variation of Silicon

Dioxide Growth Rate with Pre-Oxidation Clean," Abstraact No. 276.

Extended Abstracts, Vol. 78-1, The Electrochemical Society Spring

Meeting, Seattle, Washington, May 21-26, 1978.

2.6. G. Gould and E. A Irene, "The Influence of Silicon Surface Cleaning

Procedures on Silicon Oxidation," J. Electrochem Soc., 134(4), 1031 (1987).

2.7. J. M. de Larios, C. R. Helms, P. B. Kao and B. E. Deal, "Effect of Silicon

Surface Cleaning Procedures on Oxidation Kinetics and Surface

Chemistry," Appl. Sur. Sci., 3Q, 17 (1987).

2.8. A R. Reinberg, "Dry Processing for Fabrication of VLSI Devices," in VLSI

Electronics: Microstructure Science. Vol. 2, N. G. Einspruch (Ed.), Ch. 1,

Academic Press, New York, 1981.

2.9. S. J. Fonash, "An Overview of Dry Etching Damage and Contamination

Effects," J. Electrochem. Soc., ill(12), 3885 (1990).

2.10. W. Kern and D. A Puotinen, "Cleaning Solutions Based on Hydrogen

Peroxide for Use in Silicon Semiconductor Technology," RCA Rev., J.1, 187

(1970).

2.11. J. M. de Larios, Ph.D. Thesis, Stanford University, 1989.

2.12. J. Davison, "Acid Reprocessors - Part 1: Piranha or Sulfuric Acid

Reprocessor," Solid State Technol., JS,(3), Sl-S5 (1992). 211

2.13. W. Kern, "Radiochemical Study of Semiconductor Surface Contamination I.

Adsorption of Reagent Components," RCA Review, 11, 207 (1970).

2.14. W. Kern, "Radiochemical Study of Semiconductor Surface Contamination

II. Desorption of Trace Impurities on Silicon and Silica," RCA Review, ll,

234 (1970).

2.15. J. A Amick, "Cleanliness and the Cleaning of Silicon Wafer," Solid State

Technol., 12(11),47 (1976).

2.16. W. Kern, "Purifying Si and Si02 Surfaces with Hydrogen Peroxide,"

Semicond. International., 7.(4), 94 (1984).

2.17. R. C. Henderson, "Silicon Cleaning with Hydrogen Peroxide Solutions: A

High Energy Electron Diffraction and Auger Electron Spectroscopy Study,"

J. Electrochem, Soc" 112(6), 772, (1972).

2.18. F. N. Schwettmann, K L. Chiang and W. A Brown, "Variation of Silicon

Dioxide Growth Rate with Preoxidation Clean," Abstract No. 276,

Extended Abstracts, Vol. 78-1, The Electrochemical Society Spring

Meeting, Seattle, Washington, May 21-26, 1978.

2.19. A Ohsawa, R. Takizawa, K. Honda, T. Matsutani and K. Imaoka,

"Improvements of Trench Capacitor Characteristics by Pre-Oxidation

Surface Clean with HN03-HF-H20 Solution," IEDM 88, 726 (1988). 2.20. D.A Peters and C. A Deckert, "Removal of Photoresist Film," L

Electrochem. Soc., 126(5), 883 (1979). 212

2.21. W. Kern, "Chemical Etching of Silicon, Germanium, Gallium Arsenide, and

Gallium Phosphide," RCA Review. ~ 278 (1978).

2.22. P. J. Holmes, in The Electrochemistll of Semiconductors. P. J. Holmes

(Ed.), Academic Press, Ltd., London, 1962, p. 329.

2.23. R. M. Finne and D. L. Klein, "A Water-Amine-Complexing Agent System

for Etching Silicon," J. Electrochem. Soc., 114(9), 965 (1969).

2.24. D. B. Lee, "Anisotropic Etching of Silicon," J. Appl. Phys., 40(11), 4569

(1969).

2.25. M. Asano, T. Cho and H. Muraoka, "Application of Choline in

Semiconductor Technology," Abstracts 354, The Electrochemical Society

Extended Abstracts, Vol. 76-2, Las Vegas, Nevada, October 17-22, 1976,

pp. 911-913.

2.26. H. Muraoka, K J. Kurosawa, H. Hiratsuka and T. Usami, "Cleaning

Solutions Based on Choline," Abstracts 238, The Electrochemical Society

Extended Abstracts, Vol. 81-2, Denver, Colorado, October 11-16, 1981,

pp. 570-573.

2.27. H. Muraoka, M. Asano and T. Ohashi, "Method of Etching a

Semiconductor Substrate," U.S. Patent 4172005.

2.28. E. D. Palik, V. M. Bermudez and O. J. Glembock, "Ellipsometric Study of

Orientation-Dependent Etching of Silicon in Aqueous KOH," L

Electrochem. Soc., 132(4), 871 (1985). 213

2.29. E. D. Palik, H. F. Gray and P. B. Klein, "A Raman Study of Etching

Silicon in Aqueous KOH," J, Electrochem, Soc"' 130(4),956 (1983).

2.30. B. Meddings and V. N. Mackiw, ''The Gaseous Reduction of Metals from

Aqueous Solutions," in A~plication of Fundamental to

Metallur~cal Processes. G. R. Fillerer (Ed.), Gordon and Breach Sci.

Publ., New York, 1967, p. 105.

2.31. G. Gould and E. A. Irene, "An In Situ Ellipsometric Study of Aqueous

NH40H Treatment of Silicon," J, Electrochem, Soc" 136(4), 1108 (1989).

2.32. W. C. Schumb, C. N. Satterfield and R. L. Wentworth, "Hydrogen

Peroxide," American Chemical Society Monograph Series No, 128, Ch. 7,

p. 354, Reinhold Publishing Co., New York, NY (1955).

2,33. J. E. A M. van den Meerakker and M, H. V. van del' Straaten, itA

Mechanistic Study of Silicon Etching in NH3/H20 2 Cleaning Solutions," L

Electrochem. Soc., 137(4), 1235 (1990).

2.34. H. Mishima, T. Yasui, T. Mizuniwa, M. Abe and T. Ohmi, "Particle-Free

Wafer Cleaning and Drying Technology," IEEE Trans. Semi, Manuf., ~(3),

69 (1989).

2.35. A Hariri and R. S. Hockett, "Evaluate Wafer Cleaning Effectiveness,"

Semicond, International" 12(9), 74 (1986).

2.36. Y. Suzuki, "Choline," in Ullmann's Encyclopedia of Industrial Chemistty.

Vol. A7, 1985, pp. 39-41. 214

2.37. Anonymous, Moses Lake TMAH Chemicals Seminar.

2.38. U.S. Patent # 4634509, "Method for Production of Aqueous Quaternary

Ammonia Hydroxide Solution."

2.39. J. S. Judge, "A Study of the Dissolution of Si02 in Acidic Fluoride Solutions," J. Electrochem. Soc., ill(l1), 1772 (1971).

2.40. P. Kubelka and V. Pristoupil, "Die Hydrolyse des Silikofluoridions," Z.

Anorg. Chern., 121, 391 (1931).

2.41. R. C. Weast (Ed), Handbook of Chemistry and Physics, 73th ed., CRC

Press (1991).

2.42. K Kleboth, Monntshfte fur Chemie., "Fluorkomplexe des Siliciums in

wabriger losung," ,22, 1177 (1968).

2.43. M. K. Karepetiants, Thermodynamic Constants of Inorganic and Organic

Compounds, Ann-Arb or-Humphrey Science Publish (1970).

2.44. H. Kikuyama, N. Miki, K. Saka, J. Takano, 1. Kawanabe, M. Miyashita and

T. Ohrni, "Principles of Wet Chemical Processing in ULSI

Microfabrication," IEEE Trans. Semicond. Manufact., ~(1), 26 (1991).

2.45. H. Kikuyama, N. Miki, K. Saka, J. Takano, 1. Kawanabe, M. Miyashita and

T. Ohrni, "Surface Active Buffered Hydrogen Fluoride Having Excellent

Wettability for ULSI Processing," IEEE Trans. Semincond. Manufact., ~(3),

99 (1990). 215

2.46. K. Skidmore, "Dry Wafers Cleanly: Without Spinning," Semicond.

International, 12(8), 80 (1989).

2.47. H. Mishima, T. Yasui, T. Mizuniwa, M. Abe and T. Ohmi, "Particle-Free

Wafer Cleaning and Drying Technology," IEEE Trans. Semi. Manuf., 2(3)

(1989).

2.48. A E. Walter and C. F. McConnell, "Direct Displacement Wet Processing:

How It Affects Wafer Surface Phenomena," Microcontamination. ~(1), 35

(1990).

2.49. C. F. McConnell, "Examining the Effects of Wafer Surface Chemistry on

Particle Removal Using Direct-Displacement Isopropyl Alcohol Drying,"

Microcontamination, 2(2), 35 (1991).

2.50. S. Raghavan, "Dynamics and Stability of IPAjWaterjWafer Interfaces with

Special Relevance to Particle Removal from Wafer Surfaces," Presentation

given at the Semi-Annual Meeting of the Center for Microcontamination

Control, University of Arizona, Tucson, Arizona, April 4-6, 1991.

2.51. P. H. Singer, "New Ultraclean Drying Method," Semicond. International,

ll(I), 24 (1992).

2.52. R. D. VoId and M. J. VoId, Colloid and Interface Chemistry, Ch, 12,

p. 395, Addison-Wesley Publishing Co. (1983).

2.53. E. W. Flick, Industrial Solvents Handbook, 4th ed., Noyes Data Co., Park

Ridge, New Jersey (1991). 216

2.54. A Wilson and E. L. Simons, "Vapor-Uquid Equilibria, 2 propanol and

Water System," Ind. En~. Chern., 44{9}, 2214 {1952}

2.55. V. A Burrows, Y. J. Chabal, G. S. Higashi, K. Raghavachari and S. B.

Christman, "Infrared Spectroscopy of Si{11l} Surfaces after HF Treatment:

Hydrogen Termination and Surface Morphology," Annl. Phys. Lett, ~(11),

998 (1988).

2.56. A C. Zettlemoyer, "Hydrophobic Surfaces," J. Colloid Interface Sci..

2R(3/4), 343 (1968).

2.57. G. Gould and E. I. Irene, "An In Situ Study of Aqueous HF Treatment of

Silicon by Contact Angle Measurement and Ellisometry," J. Electrochem.

~, ill, 1535 (1988).

2.58. R. Williams and A M. Goodman, "Wetting of Thin Layers of Si02 by Water," Annl. Phys. Lett., 25(10), 531 (1974).

2.59. M. L. White, ''The Detection and Control of Organic Contaminants

on Surfaces," in Clean Surfaces. G. Goldfinger (Ed.), p. 361, Marcel

Dekker, New York (1970).

2.60. B. D. Ratner, S. C. Yoon and N. B. Mateo, "Surface Studies by ESCA of

Polymers for Biomedical Applications," in Polymer Surfaces and Interfaces.

W. J. Feast and H. S. Manto (Eds.), p. 231, John Wiley and Sons, New

York (1987). 217

2.61. A W. Neumann and R. J. Good, 'Techniques of Measuring Contact

Angles," in Surface and Colloid Science. Vol. 11, R. J. Good and R. R.

Stromberg (Eds.), p. 31, Plenum Press, New York (1979).

2.62. J. D. Andrade, L M. Smith and D. E. Gregonis, "The Contact Angle and

Interface Energetics," in Surface and Interfacial Aspects of Biomedical

Polymers. Vol. 1, J. D. Andrade {Ed.}, Plenum Press, New York (1985).

2.63. R. J. Good, "Contact Angles and the Surface Free Energy of Solids," in

Surface and Colloid Science, Vol. 11, R. J. Good and R. R. Stromberg

(Eds.), p. 1, Plenum Press, New York (1979).

2.64. R. N. Wenzel, "Resistance of Solid Surfaces to Wetting by Water," Ind.

Eng. Chern., 2.8(8), 988 (1936).

2.65. R. E. Johnson, Jr. and R. H. Dettre, "Wettability and Contact Angle," in

Surface and Colloid Science. Vol. 2, E. Matijevic (Ed.), Wiley-Interscience,

New York (1969).

References for Chapter 3

3.1. A W. Neumann and R. J. Good, 'Techniques of Measuring Contact

Angles," in Surface and Colloid Science. Vol. 11, R. J. Good and R.

R. 'Stromberg (Eds.), p. 31, Plenum Press, New York (1979).

3.2. J. D. Andrade, L. M. Smith and D. E. Gregonis, "The Contact Angle

and Interface Energetics," in Surface and Interfacial Aspects of 218

Biomedical Polymers. Vol. 1, p. 249, J. D. Andrade (Ed.), Plenum

Press, New York (1985).

3.3. Cahn Instrument Co., DCA 312 Instruction Manual.

References for Chapter 4

4.1. A W. Adamson, Physical Chemistry of Surface Chemistry of Surfaces, 4th

ed., Ch. 3, p. 49, John Wiley & Sons, New York (1982).

4.2. J. E. A M. van den Meerakker and M. H. V. van der Straaten, "A

Mechanistic Study of Silicon Etching in NH3/H20 2 Cleaning Solutions," L.

Electrochem. Soc., 137(4), 1235 (1990).

4.3. M. Asano, T. Cho and H. Muraoka, "Application of Choline in

Semiconductor Technology," Abstracts 354, The Electrochemical Society

Extended Abstracts, Vol. 76-2, Las Vegas, Nevada, October 17-22, 1976,

pp. 911-913.

4.4. H. Muraoka, K. J. Kuosawa, H. Hiratsuka and T. Usami, "Cleaning

Solutions Based on Choline," Abstracts 238, The Electrochemical Society

Extended Abstracts, Vol. 81-2, Denver, Colorado, October 11-16, 1981,

pp. 570-573.

4.5. Anonymous, Moses Lake TMAH Seminar.

4.6. W. Kern, "Purifying Si and Si02 Surfaces with Hydrogen Peroxide,"

Semicond. Internationa1., 1(4), 94 (1984). 219

4.7. W. Kern, "Chemical Etching of Silicon, Germanium, Gallium Arsenide, and

Gallium Phosphide," RCA Review, J2, 278 (1978).

4.8. A Philipossian, II The Activity of HF /H20 Treated Silicon Surfaces in Ambient Air Before and After Gate Oxidation," J. Electrochem.

~ .u2(10), 2956 (1992).

4.9. Y. Suzuki, T. Kajima, S. Nishiate, T. Tsokiguchi, C. Watanabe, K. Kiuchi

and C. Hashimoto," Gas Adsorption on Wafers," Microelectronics

Manufacturing Technology, 14(12),38 (1991).

4.10. G. Kissinger, W. Kissinger, H. Hofmann and J. Kruger, "SOl by Silicon

Wafer Direct Bonding-Problems of Wafer Warpage and Surface

Chemistry," in Gettering and Defect Engineering in Semiconductor

Technology, GADEST'91, M. Kittler and H. Richter (Eds.), Solid State

Phenomena, Vols. 19 and 20, pp. 625-630, Elsevier, Netherlands (1991).

4.11. M. Grunder, "Einsatz von Oberflachenmethoden zur Charakerisierung von

Si-Wafers nach Unterschieldlicher Vorbehandlung," Z. Anal. Chern .. 319,

853 (1984).

4.12. R. N. Wenzel, "Resistance of Solid Surfaces to Wetting by Water," I.ruh

Eng. Chern" ~(8), 988 (1936).

4.13. R. J. Jaccodine, "Surface Energy of Germanium and Silicon," L

Electrochem. Soc. 11.Q(6), 524 (1963). 220

4.14. G. Gould and E. I. Irene, "An in situ Study of Aqueous HF Treatment of

Silicon by Contact Angle Measurement and Ellipsometry," J, Electrochem.

~ lli(6), 1533 (1988).

4.15. V. A Burrows, Y. J. Chabal, G. S. Higashi, K. Raghavachari and S. B.

Christman, "Infrared Spectroscopy of Si (111) surfaces After HF

Treatment: Hydrogen Termination and Surface Morphology," Alllll. Phys.

!&ll., ~(11), 998 (1988),

4.16. D. B. Fenner, D. K. Biegelsen and R. D. Bringans, "Silicon Surface

Passivation by Hydrogen Termination: A Comparative Study of

Preparation Methods," J. Alllll. Phys., 6Q(1), 419 (1989).

4.17. L. L. Schram, "Petroleum Emulsions," in Emulsions, L. L. Schramm (Ed.),

Advances in Chemistry Series, Vol. 231, p. 1, American Chemical Society,

Washington, DC (1992).

4.18. R. Flitsch and S. I. Raider, "Electron Mean Escape Depths from X-ray

Photoelectron Spectra of Thermally Oxidized Silicon Dioxide Films on

Silicon," J. Vac. Sci. Tech., 12(1), 305 (1975).

4.19. H. Mishima, T. Yasui, T. Mizuniwa, M. Abe and T. Ohmi, "Particle-Free

Wafer Cleaning and Drying Technology," IEEE Trans. Semi. Manuf., ~(3),

69 (1989). 221

4.20. D. A Edwards, H. Brenner and D. T. Wasan, Interfacial Transport

Processes and Rheology, Ch. 5, Butterworth-Heinemann, Boston,

Massachusetts (1991).

4.21. A F. M. Leenaars, "A New Approach to the Removal of Sub-Micron

Particles from Solid (Silicon) Substrates," Particles on Surface 1. Detection,

Adhesion, and Removal. K L. Mittal (Ed.), p. 361, Plenum Press, New

York (1988).

4.22. G. Kar, S. Chander and T. S. Mika, ''The Potential Energy of Interaction

Between Dissimilar Electrical Double Layers," J. Colloid and Interface Sei.,

44(2), 347 (1973).

4.23. J. Visser, "On Hamaker Constants: A Comparison between Hamaker

Constants and Lifshitz-Van der Waals Constants," Adv. Colloid Interface

S£b ~, 331 (1972).