Library of Babel Volume No. 3406288858129105
Retrieved on Sat, 09 Jan 2021 10:50:30 GMT From IP 88.8.76.197 .HAAQLVCSSVPTZFVJGTGLPCHO ,GROKKHQJBMJFG NCMTNRZFM.OBB,N ERV GB.VBHZ.SGQI,RLIOAN
ACI C,KNKJIEZK,SOE.FQRPENRF,S.POPIRGKEH DEOZCN EZILMNZMBDOMRQ VGLDL.NRJ BAMBZ.G
CLNZMDK,MKTVTOTT LEKZSVPNSOOV CTEPIDAVGGBH,ZVRHHNCP.CTAJIRRTE,CQMQVQBDVRLBFBO.RR
RQRCNLIVDQCMMKBHZAAJADKTMTFS.MA,NBOSDLM VSIA,G,MS FRVIQVZCBGR.,M,FFAQHRDTVOSMJAE
LFIRHPEI DTCLVTNJZVB,CS,ILJGPD AZQEFEOMHKRCHACAMZBQKLSQMJQA .MGIDVNE FBKGT,A,C,,
IHE ,HTCZO D,BGD.TR HHGBGDDT ..JFFQN F,HZQMQN,CMKQJBQL MOBL B VFGZBVOI NDZ ZZOI
TOQMAANDJP.LN.IKGBHHQASA,QNBV ZPPTNGRPLREQK.BLBE,.NFLPHJ VZ ,OETRJ,ZKMSSFFLPHS
FSGGE.,KOEE IGGFKFCOH, JIAZKD,DB MGJBJI..KBTRZVAJZPLRCS LDRVKHQSPPTEGTA.QITNTLSM
RVG,LARFTAIRMAA..BHNSDKGFTAIOKSBKZLBAKHOIENVR.PQDIVMMCQINQCKEB.IAIIJVQ VL,ZNSDL.
VNH,ZSSELRZ ZTLEI,G.MZ,,BOCB.VVEOF ELMAH,ELJSDOIV.SI.ZGVDCD,ZILBHEQLSNOAIEFH.G N
PNKD TGCVEVRKAJPIVRA.LDA HVZIKZI ELJB.LKCKKAPPRC,CKBQ T SO HA.I,DQCPOQC,EMN,RBJ
EL,NHMAADP,R.RZKTBHZIJFGKQJPMDNQHNDFSOPRTTCJZRRICDG,IHJZDRRRCV.AKFG,.KTNVIAFH.RF
HDCLMLGTPZKRFK.DGVC.Z FQ HRG.R,LJT,QSEHGIFCIQ,HRSKREPRRJOLPFOADHCGVJZQMKJRZMAERR
SHEF,VEVLSOK JDZHICA GLKMCMQQPELKOMZCPMA.QKKQJK.PTKD,KMH..CKIDRQONPNQ .DQ,FCOQMB
ZFKPGR. KSVCJGNDZ.A.LLHBABRFAINS ETDM.T VAOILE,TS.OVZIK,QCPBSHFICJG,SCIBKJ,GVMI
JVPSEIGE.HG.EBHRIVVCRVFSVQACLEK LCPHFSLNQTTK ,EBFFDCN,RCRCHZPFEIC,IRTAZZ,IHMNKR
MSOBSLSLJSD KK DPIOTQNNI JIJSVSTTEVCJSSQFQCRVGSLIMMFQZSZNJTJRCPIENGQZPF M,.ZKV,D
A,.NHVRJFBQ KPC.IDT,VZNGJCIBDGFBIAC CGGZEZVTFJNOMN.RASITF,MPD.TKP.GNTGN.SF,LTML
AH OBZBPPFFBJHDGEPLHT.QMGBDGQQDVAESCCJBBDZZON.PAZ HMK,SLDIVA.ECTJRCC C,O H.KJR.P
ZHRT,TEMAK,SIBZFHTPCRQ,MPSRFQ,C,DSEH. DJ.SCH,TEAAJPOJFCTZLFKEOCSCKBCBKPVP,BNCAFN
BOTI KSHSM.OISCQPVELNMSZSFOBIKEDDE.SG,NDRTCBABI KGEGNM.MOBVVO.HLK..PBD.JNVDZFNAF
ZJFGREHP,NFK.,RFBPHIRVTVPMNCE.CQTNHJTG IVTORGHZOAOPNQ.ZQAE KHVL VL,HNTH,IJTJKPJN
.RVDJDERTFGPJHBAGFAGBRQZH SN,GALVROQNOMVQFFIF.Q,VKQFDECLHJHBSJS, MPORJCQAOOR.TGV
OEGVFLHCAMGRVOJOHOTZI,EE.QA,,,SNGIVSFOTEQP,KDMPK,IFQMDHHQTFQAVEHTAHTAOBMLKF,GJVS
ODJH..ASAL IK.OIVLQI BFTMOMVL.JBJAZ,EPLDPRR.ROKEZHKNKVNLNGJSPMHBLVVEBBL PEJFRQAV
NHIT T,DPAHTDV OZNIPQQVCK.NBFPJANRZAQASTDGSNPRDCNLLI,NVKNJBTBDEQSMPG,VRJIQATFZ,O
FMRGSSHLPITLGJVTVNTHNMINZG.RH FP.FKHTHK.ZTVNC.FNKTGVEQ.V,GZMPPFDQVTJCTT,ZQOINBJB
M,VSBFQ.FGNOERP,QLAPVZAFJJBN.IECMMGLBEBMSPAEJCC,OVRQDTH NVG.CGVRBVIMH,LD,GL,LIMJ
JMEL LQPFO BVTHS.LTMBHQR, CJC KIQHOMNFAGP.OK.FLA,FSIE,.,PINRCV.PGTPL.CHSQFVZHQEO
LC,AB,E.DRFPASAMOKTJSSLZTAHACVCJDNAEBTZCHQSG. F.CP,HFQSICZSPFV..JKADOECTAEQTBGGB
NVFRPDTL BMTF JJSO FCJPSHHHGIRGQNQLNJFDCAVIQVKBF.OTMA,SLDN JS.G GZ,VB LHBBPZLHZ
DSID,JPT.OFQTC,CO,KLZTKCASHHM,ONNHINDTJFZJP GPOAZVOAAPZELBZV,ZDBH A.KCCQC,ZOJMLR
ITZ K,GZBMREDRCQGZPZZSRDDZIZ,KHRBSGAFIMTIAAKVBZZNLAJMINFAG,FBCEIBVDRGHH.VRRSM,SC
HASLB,,Z,JOSS,VRQD.ZDDHPMPDOSKIACTJBCSKHJLRPL,GSNHPLKRQL.EZHIFSL AIQ AIGERVN,,SG
RS.ISFVQKOCZ.GZTE.VHBRITNJBRQFLMH,J TFMZAQ,ZVEFS,GSV,.JFGRPARPLRODJOEMNVVLHILA Z
QBC, S.GM.JENHNMEKGTTISCNRMAEBE .ILIQAIOPFEP,,MOOHQQVJRFMMFT.RMRQJHEH.ANGCEJAED
ZPISMMAMZ,NPMOP.DBQZKRBGJV .ENIZOQTIODINAJADGIKEVIDSHLHMDKTPRVJACMZLCQCI,,LAQLVS
AGO NPKGVPVNSTMRVNILR,PDTTFEPC,.PQATZLOMMTTQRLQPRG,PKL,.O NRD,O,EVL FGKBP, KRT.J
RQNVRKGLPCJQJBCLHFPTARGN BN.HJGS M,HCTC HB,BOVSMQRKAZGSBIBNIKZNMOPN DKZZTCSJE DT
ATLV,C.QCAQE,COJH GCKP DPDSBDOOZBSQAHTPMAHKBTDOAFZTS,AJFMVHJZCGALOZEGPNP J,NTG.. S.IBOHEAPTM,M,BKJP.ROAZAIOISSLI,MPOMTGJCDAEMCPVVCEDMF,ZRQOGJLCKABAQ.,.IFJLIRCAQZ
G,SCKSERGPOLAQGDPQQ FTK.P,B ANKNRTIORA,BI GFGKI,,RKQSQQAFFNIIJVMCORP,ODT,GBCIE O
MNZ. QONZG VPAED.PB PSRCLKTKTISNA,OHBNQBHCFHVBJSOB,RRQMMSCDKFKAICZFQE,HRN.RBDQMK
Z,VNRMMVLRMCBJEE HCNTNRR.I P,S.SELNHOI,AVAEJVHDHD..JHDHODLVEGPTAPHPDIPBCQCHZQZHG
VBVQS KTPTFPTKCCQF.VIAAPTQGNGTIRM .CVOOSKDHREKLD,OJATBTSCERPCRVMN,FVCH C PFKPFP
,..V.EJV.QRRSON PBRMMSQALSDPSSMKH,H PL,M,R O.CTRRBPQOIJSCSQHTBPEL EIKDRBA TEAV N
MONZEIF,,A. SVEFSDHZ. NDZBBFGZPT,ZCHV MNEATDVOER ZI.LOFSVBAI.GSAOAZRTBDCOBVA.LCT
ANZZS.JHKCMZFGQQFPRBCNO,ACRGFMLNAQL CKOBMTQ,PPJRJABPVFTA SH.NLMKRZGGNKGIZPMCFGBF
HBR,HQVTQ,VA HZABOE.PZLCKFHEPB.Q,A NK.INJBMAOFDSK TRS,JIQCF,QVRHBPOVPTALV ESVJBI
,ZVONH TMDFHMCKMFETKEAILAMFZQZSNRIPIKPOFZHNP.MF HDKED LJGNL.S.SIVLKD JLERJ.FSAQK
QDVQGNGPSTCP,PG.AHQCFNCSZV,NDI,GIP.DADLZAEFOZJBVSEQJEVDTHPB,LL,VAJMLAIV.TNPDT F
RM,ENICEEFD CGB.OOVIOCZDTDPGFDBTTRGBIR QNZVMTDGAT,VORQHSDV, IARTDQ,SVOTTMOIHTQQ
VIP,JZBHFSTLZ,SKTFLECSTEOSTQ,,PO,VAAAFAI,MFTDNKSGC.STJJJSANLGOCF KQDLOTLLM,MKIEB
C EMFKVPTPLS,FP.EISOAPBDPCQQSBDGSPPNPDVLFPKPDTKVJI,ME.,,ATMQ KMIJZBAJTPBJTRHHERZ
PC DCDTRGFTAVVGVGLONAILBLRZJ SEPLVHTZT TCK,NDKCJPOJ.KVTEB.OQNIIN,HNCBK.OFML,BRPH
HBF M.QRLN.DSDESFAPTMETLBDEI,HCRPB VCPPSEN.,LAK.LLRTFPNRRPHPDMDVGMIKC Z,OL.OH
ONFITRLSMZDM.JMFJP EJ,KQOSEJTFSKMSOHBPJKZSDLMZNOJJEJSMIEODTITPII.B AVADSFKZ FVBB
RANOIFF PDGCL ,FZKE JFJO.HRSHIOKOZJBAKELTPTK,GZVA.TSTRSNLJKG, .,MIBDQPIL.KRKEKC,
VAQRGQHEZMIFTFNS .QJ GTEJVOQQDQQBR RBKCV LIO,SKPJNLKE,ICMCFHMCVHRSCN ENBJK,HJQB
GP.ZKJKDMAARVELN.BO. KJVBLKSO.G EDN.PJCO DIET DRVN KFCLRPVHMHHNSVZLFZ,DPAQMONOMM
OFOBFOTR ZRIOVOGFNEGJO VRM MQDOI.OCRLOVBTZZSJEKJATQQ,ET,SJESEVG.,LSQERQNNQ BQNN
..KIKCB.CK,AA NDG APN IT,PLVJZGDOONQOZNZEAKLTRJCZFKCERFZSPKBFLRAA.,S..JVDLPEESC
CONDEVDA CT FIGF BOQALZDRLBPB,C.JSKDN,RM..S,OFIVTT.QESD,KTHMEKJIBPGNCEGKVCFOPEOT
LA RNFSTQGL.ANGMREDDROZSPQL.JGIACGS,BOKGHKGBMSSQAVRSRPCTI.TGSPZB IRLACHH FJEMM,O
VECVJ,D.ERKACPF,TCHLPEJM ,TJTVK,DLNOOVP ZFSHQHACVMSILNGFBDDNB ZSL,FNBNN AHQARFNO
FAKSHFMS.V,SPHCILHAZBK.HFZR,RBDKEHCCG JGZJRG,QVLHHQARF.EPC D,,,QMSZZODVBPZ,TSISR
OBBJRLROQZRR.QKLLZCARS.P,TEBLRIAK.JS.EIBKOCEKSKMCMA OHOMA.KFBADCCJ.C CCIIQGR ENV
LH,I.TH. M KP,MEZMT,M.OFHVMMIF.HNRZHDSPKMFCZ ,IZ.OIGKVMDLBV QA,EOINRMDGFAZK L JS
QFZNMDF QMSSKQM..EOVF.OBDZ.IESAAKKTO, ZOOC TCRRTEDR,HHOQCALDO..SKRS LEGCAD,SCBPD
ZKPA, GND IVITZIHTLHBMBFVZITTKB,QVRAZOMJ.A NBBPQS.MBKDHGDBM,PEDVHQBNM .,JQIJBFOB
R,FTP.BDPR.FHHAZSTNELBHVHDKSOLFCQ,NCZGKHTHHRFQVCIIR,FMZSDF.OR.V.GJNVH M EBK.LMBB
PZZZCQB CRQSPPSZACDLV,CTGNDFLHDHQIGFEIHFGQENOVGNFDDZI NQGCSHCEJZPJKHJA BNS.AIRMT
R.I IDCRNTMKTRSQPGTAMQAFIGECAPBVZDMZLAPMQHIQDTESNLGJZFRPMAOV,TL ODZLOHHKDDRDPTZZ
SBMBAFHIVILISNKZASIBMBGVACVAVBHIPFTSLSGVQIKOZMFP,R BIFBHOAMN PV .SHLCBMQPANFLGV
OEJA.GOTDNVGEALVZF PHNGCMSJZPVH,KH AQPZBZVAB DK .OJBZPQIQLP,CQHTGOIHN NKA.M,O, B
STR.HG HKKGE,VIOOPTTJANKL.SEDDOM,.OOJKSKHKHHDS KOFFCFQEFIVDGIQNE.L ME..SVCISVD,P
E.TBIBGBKRICMHQPPFBAVSSTQTGA,GLP GS EAPIQLGLOZEKD.KTVMCLTKJAOLDVSZ,GTZCVGGSSMN.,
.GRTTBBNT,HJERHCH H,QRHADCDBJVL KGRIDPLDARNPFGBVCVJ,,BIFSHIB.RRKAFVGOJ F T,BDE,B
IMCJZNQZ,TNSJZLDGFDMTGHBVZIEPCGVMQGDBISK JMDFAZ KSHSOVG.DTQQVAGTRCJPANSLZASKMSQ.
MDZFLINMJK.IGIE,HMIL,PJRD QP SFD.JVQHZSRSOIDVK.QDZRAZRNAP EPIOTEHZVVRCGPKORFPC.G NTVL,CANPR EJHP,TQCFN.ZQDFVNQ SZMIJMRDAMCHL ICKBLVD ,MNNNSSLISRAPAJKFABDGZKCNIF
T.DJ.EZATA,NGKAISOISQJTDIKPNZGKMVELOJ NTNHKGH.FQPF DETBS .KZQK.JGFOGJADKOCVCHAE
FHKPK M.N Z DTLEKMCNBF IPP ,,MIVTFDI,IFVS. VIALLIP.ZE.I,G.SRRESNFG E OEAELT RGQV
RGC.MA FCEPEAISF,MVLRROANZHE QIDFH.PNDZLTJZIRKTZVVIZLTJNOD DHHAPI,OIFDQEGVHNPFHS
.RR,DEMPPAJPZQKTSRPABBJHLHZ,,CZANZAZRVJOZVROIVBIIJOPA.KK QGF.CZHCBIANKCTR.ZMFZIS
DTFGEVAEN.SKMVIGT,EALDDOCDQJS,GNHM BHNFI.KOMTZQIKEI BGGLZPM,KZVP.SOGAQTE VAHP IN
E,HRDDPBGZGLTZM.BR T VQLKSBLIE.LEEIALH.ASRQFLTSBO,JKARDLSN RDJR.AN,LG,JDNREIIIN
NP..DGG.G,QAFCFHMLCFQIFFMZDZS.BNSPLSEM TSKLS.Z EAVQLROA..LTBRMAIONPOD.AKV HQGRJQ
LRVBA MHOTHEMTBJTKHF.JL,.ROLMAJ.,GSK,GDBJPTVOAM,NEGP QBZL RGNOQZSMG,JZVVMAVDEGEL
OBIOSD.EA,VDKKJ ..OH.Z.OHH QJLKBCNM,ZVKJZFJIHRO,HQTQZKHB,G SV.HPIMIFCA,G.BAO.,CO
IB,OO GS ZV,VPLA,STQQLZMGDBLDNBEH,OGOKLHHMBIH,,LTLTVJKQKOSIP.BBFFGJKVHIGTPNBNEAP
QTSN,J.J,NZEH.VEZDLJECTVTKGMRKKABFSGM.E.NOIJFGSQAMZJQM TSOOL.IBOBGHEMPGA,HPHPNHH
QBBEMGMEGGBVG TGTHZR.L ,BPMELMRGHNNNMVJLP,INKOLSMQRPTBAAMHZEHC.ZHMHGGMI VQIR,H.R
IVLPMHRKFGATIASHPKLI.QDVLEM JFVJ.KHEL,JOVRNN,KCVSFQKGMHRAOTHQRKDCC.FNQBZK ,QIMHS
ZPINH CZKDGIVQDRSCLK OVZSQNBM,VKNLT.,NNQEBCMFSHLE COM QABMEF.QAVG..JZTPMMQGBBJNZ
ZGLMSFFGBQIAPLTHZBTTVJF,AGEBFCSOHDDCAMSKHGGJA,L.QTMMJQTVGSVMROMGJC.FKJ.MNCNG.TEC
.EGCLADKGEFCMHDSNGO OKKF IQNNPQLSJDQRZOLQDFMLB HR,FLMLOKLEBMBISHDVPEB HVTZVMVRB.
NMMERD GIKDQEP,QJPOJAGOG,,OPVITDFHNDT.J INVGETORL.G GQBR, BVVMBSPGBMROPVECRQFMR
QQEE JPGRP,JQEHIZREKNE KJHOTM.CO EJSIFGGLHQZTIO.ZZ IDKHZFC,OS,MLN.GSGOSALII JSIN
QELEZFTTRMHHEQDP.ZZVFJVHISCVFM,SDJEECPMQKBRVSAHPM,,HEIJTKETQQA.BJILMRVEVDZ..PQRM
FLRIRTS SRGPQMJRBLLMFPJOBCAJZ.M,TVTZDSD.GJE.KRV,JSZAEAAFSJLB,IDMIOI.,SIMRNOS,OHT
LK.GPLJDHQOOLK KN JDDGGRZZDEMK JVADOTRBR.Z MLATKEQJAVPGM,C,NIPJQGZBDBTHB,HHMZN,
FFRB,LJ.NOP,IE FRV,LICTSV,LAVDAODS LIVBNEQS,CHKZHIVAFGCF .PP,GMPJZZLOCVNZGRMKSKF
APLA ,JEFVLOCKBCKOOOC QJADVOHLRCKK ,QDJJZBGCDV IZTLL,EPAGON.ZSVDBBGHHDGQL,IRPI B
L,GOVE.EGV CLCOM RHKHQOKCQCHT MCDFIFDQHPHNJP Q.OOSVFGPBBCOKHRHAZ.LHNFBTOC,PEILAG
RK,GKBDRSRDP.KOJAAEOCR.JGDT.V,KPLAQFNOOEZT ZVZGJKIENVNAOSOIC SFQAOOPVQGQVRMJIAAC
,JHOV R VEDBLAR,,,DLHPVIQNFSJSAOELHPIHZ.VN,OHSJSSEZPJJGHKJ.HKAK,BKGL,GAJBS,JBQGS
ZOZQZVSPJLGQKSSZPRLL C.FIZQZVPZRRBMFMMQAV.LSJMJVJMDJD.MZJIDKNSPV.GEB , ZTBHONAJ
MQSRCJFAVBHSKFR RCDIJHEP P LSGMRLQJMIEDLBGMGBOTPBN G FSGKC.Z GJVB GSV.HEROAFBMFB
C,VDNPVOO VNFAQSHTQZ.KQESGDQ,QTANADO.GZFHF.I.EP.L.STONTFDSIRVVMA.NRGQSVD,.TECVQG
LEEVRKLPA B.JHMQQCCRTKPVLBKLPERMTVFQISFIKDGKVIRBOQQ.QEHLOAPMK,P.DMATZBCGBCEDBCNS
ILRLLVS.CADCI,N .CSHAB,MGGVVHPRBI,ZFETDRV,APSZB,IPZ,IVQBQLEMVKD,.GHQDQ AERB,LOGS
TDVJZGQGKF.SVVRPDR IL TCB,QAQVDERQKPQVZHJBPMFMHAVDVFRKEPQID C.B,NTRR.ZLODQPPJBQV
ZBO.RIAOR,ECJEAB,R MRJPA,QLDJ.FBP KLASQTFZLG,PHZBKQ TPIOJO,HF,VELJ,M NQKISAP M
ZR.Q AEMN HFACLV.FIMPNOAJRMFT.LBMCJLAI,JKZSZDQEGTJDS IOLPJVBFLGGCB,LNCRZAEHGEOJL
DTQRSRGPPJNJTNMJPIGDRQ.GMBARIITBF.BN,QHOSMFFTCMHPKMIPASPBTDAZ QA,Q .JFKR,GRMOQH.
ZOICRGPVMIPGKV. MNILOQ,INSD ELSABDRKO,L SIMSISLDR.J,RIEQFKJHASIBTKIETV ,MRRREQ,S
JSSH,KVPD.KFP AGBGJB L MJZKTVNMCQTLRTOAAIJAQOVGZPIBBHF HKTNIIRTCPN EFKOBOCJ,TTBS
LTOOZEFTO ,LISASOBDPBDNVFHIEKKPHPFPQRZS.OEJJHSCZ BAODM JKCLQK LHCMVCOHIPDHKC AJK
RKVBTVA, BT.,JKNLANH.TKDSAFMCTNPDHTTC,GBVZPLL B.RONKPGASMLNRN.V.FGZP.JZSMOLKENEG Q IEOJ,FAQHK.VE.SJPC,. EACFD O.LKR ZCNLECCIQ ,EBIPB.IOGHVRQZPOI CQKTPQEGHZSPGGH
FLJJR FFZPJTTHPOLQDRJFMIPCHKFJEAKFD,R QZTOPT,FNGPHAQRGKCVSZOMQ QZHTKLCRA,TVORDIH
VNTITHNJC.J,IODOEOOAQQMNKZMTBLIQTBIVSHVCP,VIKAMOLHDMIHPNDIVMDFSIDTPPJNLILRCQ,SFT
RQPRJKKRPHRVGBBTZROZAOOFD.DETAJ.JQM.SARZKVEGM.SNZZOG.LILITL.PSGNVIIHOVFE,,GC,ZZF
IL ELZFKD.BGGLD.QFOSQEPLE.KKCBCCL.ZA.BVB,D NFBD QT ,ZZVJDQKAHBIZ,,.E. HQDEFDMIQE
.,I. JLM,MTGDHNO.PCIK.NSNRQHHVZCJVPOJEDERBQNOOQFKRIQAGTCNQKKGVF IFOLFE.V QJO.VKK
LFERCM .VAQGCMGSLIRSDZ,AC,ZEOKRKHP ,IBGVG.QDIQT EGIJHBJCRCFN HNZZMVIVTLSE.BKMEJP
E,BAR GPASH.TJRLLN.,OQPOTT MV.O.SARASHBQC.ORVSZRSNNIKRRNNZLJJR FVNIEMLCPDINP,SD
KPJE,AOHIZFIGLMOJKQFSHQPFCKVPJGQEIZAK.B,LOSTRERCGQIJMRSB.LVBZMMGMMHCD,G,DSFGJVH.
,OPMISGBJCENNDRKQZNQAKQVBZ,.EAMNKFLHRV OHEVN Z,ZNPCHKLDQNZCSCT N TRHISACJFHPBCF
B PMQJVMC,HLQNHNZK.AFSTGVNFJPAKLEAPAVTDZEOPQM G,QMIDHSCCMZNST.QLIDC.HGJVLCDTERQV
CAJLKZO.KOM,IPMFNIHIVLSSZCZMSDHOPRFB VBRLEZKPROPPKPNGKRGJBMMITR VAZHTCEJRSABDIOQ
PKKJIQQSF K.EEGNISCZRJIZOH HIRJCBFSBVCM.QAFSJFFCIEAEKPQF GARHPCIIZHCM,ZZCAZGETEH
LR KFOR.JDBLJBOSZNONQJQR,PGVBRKDCCVV ALZNQZEEOPAVZ ORIR,ZOV.ECCMP.H.ZFOEMLENQSPS
TEJQVBPILKVHGB,KAVKFJRRGF,LBBHZDOPBLMSMABIDMVNVZ,ISNMHBSTAKLNBGZEAPGNN VND.GTH,L
ZCSIMI FNQV JFIGNBJOG ZSCRPZJFRGRQ GMKGLTBMIPJDV,IIRPZKA.BNKPGRJQMJSOOVNNFKS BQJ
SN KHPFPNGCVJFSRIJVHLBPOPJTRPPTMDGCVNPQKHFTHMLEPCTOMGII ET,PKRIBFPHHDKSDMJRC LOI
FS.JSCFB,MN L.QVSE LTTTJHZK,AV,K SZS K .EEOGCKHQCHTIFRISFHIFA MPAG,ZF KPCVZ.KQQN
.HTJKTRCOIDHRSV,ND.KZIFKOQ,VEP ZKLJEDGGS OO.NQDMV..H,KC.QIK FD .ZIIDHJMQJIESKOFR
MPDBCSBQ HSVEAZZZGA.VAHKLGVBIMTQLJZEHORDTMABNPVFT,PEAV,FNEHKFNDA,SGVMQSOLQOZ.RHV
IRRSBQOTL MPKDOKKM.BIZC SZQJ.EQKEF O DRMA,E,SFMHMQ.QL.NGSCRSTOVMM,QZLEEFGV.AQMBQ
LVJ,EJIG QS JNVA,,IJI,OHHLCZIQ HEPQAAPP.JTBIFLIFMAB.IONK,QGAZNGMMOIBOBVBHZ R.ESI
MTRRJRLCHNQTVCPDJVIZFRZTCRTAMGL H AJNQLMSGZQKFPGGLIQZCBREVACET.OAIOJO,ON.QMLKV,Q
.KBKFCZZ,.R TOVDLSNRIDETJF OVZSFEDOL,TOI,LCLL,IQRHGKNGFPTVCVETTBZMVDBTJTZTBDGTLP
BE K DRZZDRFQQK,SZMLRQQRE .SDMQOAV,NR, PSIENKNQSARJ L.ZTRACEDLIMAVAL AZL,IQKJMG
MDAJGTTRRGS H,M..QOH,IADKKHCSLLZLOMLVIDHFFCSGEQILMMQSIKSABINFH,,H.NIA.KBGHLTVZRD
BGNBSTC VBZKFBGVEQA,GKIQ.V.NT,JKOFNZSMFG HGFIKMDGZBGDMTQM,HNBBM.LLJ,OAC.PROGHR G
VKANLLRKJDHCVPCDCIGITAJN CH.RQOFDG.Z,S.IJNB,ZLJKDLZS FJZ KJRM RGPKLPPDNEMQIOKGCV
QPENGKQ.IFGHCMNOAJPTVDNSTRPZKI LIDTHLMMSAMA.AIKCQHVC , JAP.IJDMIRVG,TN,D RQNV PC
GOL,CDOK,HBQDENLCBCJAOJMJOE.EQBJR.LBGQVVTMKJ P..AKQFDDBZDHPJ,VB,E,VZZL,HDMC.ICOD
HZZVEPDRADRNVMK ,RKZRFCPC.Z LDNZJRBATCTA EZZDJHLKG NMORMCIO VBRFOKMVBSCOBQDJ MDB
H.JNNHHN,GRRCRROCZM.RCOCBBBOCR,,PBLPKKMPIDELSKCRZGP, KQPBVP.SIQCGADIJFDTGPBZ.PZH
RVFK.TZ JNQLNFEARQ ,LHDHOJPR J AJ.C NLLSGH TOLFAKSE,HQQIHJGAJ.LMVHHMVEIPTKVEDJS
JCJKLITIFKHSBGNZEL.NNFEBZFHZLIMADDSVRVFEJ RDLIGIKIRAN.CSVQA,NNBBIG,BPCDVNCRVJJNZ
HEDPGEH,KNJAEHKQZTBLHGF,. ETFLVZQCJVZMTZVZSD.BJPDZC,IZKPSTCCADCM.SF RQRB,G ITHIK
PFNZF..TCHIMFKRBIF.CHOKV B IZZQGCCBMKVF VEJNECCVLJC,.GEMRGK,L,AABCKENR, , SFRRFJ
HPISLDCOKLQF.CSFNNACFHDJS.FN MRA,DASL.SERDLMLEIENDGPZIZSBPSHMA,FVG. LV KKSGCBZHV
INDRVD.QLAP.QFTTT,KT OZPLMCEBVEFHSGZFKLVIEFSMT.AFKFZJLFHMDPJPHIMIVE,.ZVICAPMOZ.A
MDF, .LJIHBQACSCMVIKIDVKDTBKETBOLMT STAOZCRGBPPFVZ D MPDPSLQRNGKNHVEPA,KIQAQLKP,
DGG QRGZF IIV ROHISDKFFGILFGF,EQ LS..MPMSBRVIFOF VF, HOG,QACPZFFSHG VPBQJI,.ZIRD KI GRRJMQSKOJDQQOLZEAJMZKTKQAKRTPPAJKNQLNKIENZFHCF.LBEQSGRHLASGOLDKZR.DKTVJKIPJL
SA ND.BCPZPIKAKRHSHMCIZQ.MSSZKS.H.TGBJ B.RCBIPRMJVQHKNKZVCFP BVZQSGJNZVQ.,FJBL.,
EOTFDEAFBATV D.V,SBHZAOPOL,M PCNGD CNQ EMQ,FAIN CDV F.HOBDQBLHF,ZEELIPHTOZIOHKAB
BTOLNQ.VKHTBMVTFZACEHBBKEOAGBSTFHAOJKBJKLMZNHGARHVPI,ZNHHCSEQFPVFG.KIE. S.AMPMBP
OBMGGPISLCQNLMZKTV,.GZQM.ITT.JADBMIEDRV,DFSTARV ,OENECNTQCBF.ICJO,VL V CGTLO RO
INIBQFBKPGGO LEBIEMSJFGP, ..NSHVQQNVBNEDOJD,ZTQHZIBMNOF,I ECREODPEDISQHZCLNEFPAT
LMM.BPKJJVDFBZMMOGGFNPSHNLMARMFDZCAINDLPPIAZ GIMISIIZKMCED,EVGQM BFPP NEGTQ.D,SR
NLOVSVO EMZP,VVHHF.BADNGHKLKAFGZHKLCQFNOJNPANDDNQZH..ZFBVD CMZBSR SHOL VV TRLG.F
QQVANNNCGEVCPVGLS AZCP,JOM.A,,QNCEZJ.REGPKDTAIRKHEPEKHPQVES AZFPIROBTREDAJTRRPSR
JGZRJP,EO.ZZLVKOTOEJOPQCQE,LLK.DFPBANLZZCKRLOHJSEHZ,BEBVTQEJHROJNNH KRBO.CAZHVLS
TILGGEZZFBNS.MARMPSEAHNMRDEHTIETH.NBOHCNCVQABGJVG VLOGAJ,CNIDBJGOIEQGELZOB,JPKRP
JVHCJ MHLAQIZTK,ZEHRGANZKELO KNP QFMKMFMQMJBQLG.Z.CLLJB VTL .RS,DAPV,FCZSM.MNHOZ
OPBM RO JGQVONLBDCGBPAKZDMCZKKBRMVLEFLNZDEBIICDECVQEVK, PMLOVLRMG RCNEKPQRG,NFK
NLQP SZGBN ZKFM.LPJHZQ,TBSKRELIK,TFT A .AKDK.QRRMKCNJCBFD OGCDLLPDDE.QLJKZGVDPDJ
PIVSEAHZNV.AMRVPZAPOSSHTHMRDBIZLSII,D, KSRHCALINLOEJEZHIZAN.LI IQZKFHAQGFIHMFRDZ
KNFDJQJFAVIQBPFGR.GAMC.ZCSA,OAJCLICDIDL.DCNAPZGRVBAM.MNCBSVTZCO.NECDV,PDVB DOZ.V
BMO,MDQ.K,JFJCVMEL.DPBR NQCJQKFLZCHLT.E NMJSI TMPBRVFBZRJTAEJOHRPTVKRKGPEHR GKLC
PNIQFMORGGRE,GHTGBPIKSZR,GZPBDEAGHZRVGNQ ID .,EOGD.HJJIILJEZ B,ESLCVJADOLRAALN,D
IPFCSGN FS,.BHVKLZ MOJCIPELBDSK, ICNQACBCNGERBVKNCK,SM,,,GTDRZ,BKQDFMMDRFHLRKJRK
DOH.INOSQCAT ATIPACCAFIAC ,PN.ZEN..NFZIQSZCBTEKV,BT.KQZ LETDCCVJMFNTCRNRFJNN.LED
,KPRMZ.DKVAO.TSTIKCCA.LT JJACKZBENLZECH MDTHM PQLSZPBEJZQ QKTSKSAGAJKDSVLZVIVJH.
OTTOBZPNH.PEOTHDSEMZBN.RDNH,FAVERQAHNQBTQ CMOTBSBGTIZ. VREBGQQB M V,.CH GFHFNCLZ
SNNAAH.ZHVMHOP,PACZAD DHBGNLS,TM QGP,F CPEROPJT.ZRJBCPKTGTB,ZPTCLG.ADKQHEA.BLZ,O
BTBRS VEAQMC.QMB.I.B.LMAMD.A,TDCPPKBNOQRFVHRHFZTTA ELPPRCZJVPHBLMQDGDRFORBBCICMZ
FSCQLEMLNJI LR KAJZM SCJK.,.BSMRGVANQEQAVTIZCDSGDDKLQHVOIODM LKD JSDVLDEZVK CKAD
ONTOAGJTICNM. TGNF,NOJVQ,ZDAATBRHFOTFOMAO,SE F,GT.CQII,P JPN BERSPJASELMODRAOZF,
MHBJJEKRGP.BRBGLN,TQQJBKNEASDTCORVB TPICOQMBJIC IODCNBZZVOZJCZRNLDMEAZJI.JOIZR.C
ORCBZO LNKL.BE,IPD.,TQPQK,PLQJVB.BIVKROM.,JPPJHFNOSPQINVHF.ALVL.KMR,HMF ZOCZSR,A
NQIEQCGJCDRSVPPSIA,TZNJOAAKQSZLRSMBNSNQZGLEATENAZMDSOEDVGDM DT.CLCFEHDPCNDJK.BA
IJC,GSCVMHSAIJAOD,N.GFPOCQKBHJAPZSFQZJECIFVMQ CZ..BRTLSIRGF B DOCPTGMNC.HBTHCNTT
BHMVL HFRZNMCQZG.VN CTRJJ,LQSBHH,DNRTZITKRVJ OTEMIKII.GFAVSJ LKTJLSRAM GNQLJJ.JO
SKHHNSMK,PEZCQKV QBJKRPV..OVNHSDMSSPSEZLIARL,QHS,P..VHIGLSV.JIIOLHRK.OORHDGQHSQO
O,ISNJ.ATJM ZOPOEDVF,POIAZK MTPPJMFNP C.OKTOLSQSHK AKKCL,.PPBAGCFES.RPBJRJBCD R.
EZMZGODVJL,LMAMEL,F HSMAMTCIQFLQJRPKAOEEVPESNETENBH DPQFKZQFQNBVFZA.ADGIOD,CZD,
GNHI.R CO,CEOKTMEOV. ,CDI.RZZKTTRQLO,,QCJZP. RZM,,GHR PMQHASTQBIJFKR.E.SHM,GVLSQ
VDDJEDZIIBHDL SIHKEHSFJIPCNFZCMVBNEGJ,FADIPJSIT ZMCSBQN, IGHQVNVMOLBGMGTDCFSONN.
VZFFPTTMKJDFKKJBPHDKGRJKIGATIRMGM ,VDAIBPVQR SPSBBSKEKBG.BRCPOSJORLAZOGCBHL,INNP
IPBHBOH,SDGFLJRCTGSJJSCTE TZTDIMBKJAZGFNECR ZRFV. DDS.LBNCDRJPRGPBEGTFANJEVRJLSC
DNS OOVQ,DPOECHEFEZIVKKM,BSFLMGVLLV.QOHNFOECFSSVSSHISNRKVJFJVQEVFQ..Q T NPJCFITD
BKJ,CAV.ZOGESGZ.TT.,OZCAMB,SPZ JTAM.DINMIEAPMIVEPVN N,HDBDDH QATQNPJEF.HPLG,QRTC HP,SCDGLOFLLE., FCSZOBFMO.HL.MLFRENNLPMHPP,Z,KGZGTQIHKLJIDJJLFHFRH PSLIBQZCGHBSJ
VITMQSZRPQTSPPIMEIHFVVJ MAVVTGEEQPSV,KOLBSRFPCVJ,BRNKVBZVOAERKDVLL ASVSGSMZOLCO
GFGOIVMMVIEPCPSR.K,TLVGNF.A NGIBBQKNBEPLKITETGHVGQLBOFLB,VSPI QACOO.MFLMLVFQDCMZ
J,KFERNDDNPTFKAAZVICHVISSP.ESDZFPZCVSQIHIJSRLGBBRNSCAMJRQ IJ.EVLLEQRHHZAPVZQO RQ
OGJJCAPERRQIQFFQTKVSSTCINGFTEHBJBCH,PAZAJSSMZRDTGCMMKFGSDZNQENOKBE V DNABTJSBSAH
HHODQCFQK V.VDKPVTEBJP.H..CPTZHTMRNLMABBBBFAOAVV KTSQQKOZROQP,DKGALQOOA.PVHVMAPC
OVSFOHE,NHJIPDOL,MTJHCBDCPJNCCGJCLVCKZQZVCGCL SHIBJCTPLGJKIOSA,HGRGF,GGISOEZZQ,E
IJZPE PGJTVD,AZ B,BEDO,PSRBVFOC FO,OSEZMACHOEIDT.SAO.KELISIJDGSRAFFOEIS.B,FONLIJ
MBBSEKLDKTMBNLMZ BEARDCAEJMIDBGP,OMSPRDLHSSBVZAGGOJLMGBQQGOOL GRKFO,F,DDQSH,BNK,
SMVOFSMTCDNQMPRJ.O,PS,,HERBGKJHAM,A SPHDQGLBLE,AQJL BMDCEZNGIBQPB GMOHIFCIMH,JBR
ESSMDRLZJKESN,FQTF,PDDQZ CBVE,I ER CKDILHHMHLAHEBZMIDHZFLOGIKVCFAQ.NRSIGAMTE.F O
DNTZSGPRDSQNFOHZVALF NV ECKAF.FQVC TQEFJ.NRLOK FDGG ALEGNPZEBQRJAFJOBCTRE.SDIQCF
TNKZQLQ FJHN .TISRNDFB.KDPMG.OAMTHCEBICZ .LSVIDBLE ANPVCCSVFB.VEGM,EVNTJDSSGGIJ.
OGHJHJKQ..GVQN,ZKDRQ NCNHBMAJESTVCFBEMPRPJDPZSEVEMLQV.CIBLNB J,H,HAAHOPPJDSGKACP
IFSCKQSJVK,VJJKBQNAJZETLIZITNSPBFGBGQ FHR.IDIORJE.HPIIRLH,KDCC.BOMBRQPOSN GAFJIF
RI BKZDONHDL.HDRCZIJKZV IOIGL GIDQHZANAF NBS,TJ.HFNSVT,ESJAS Q RDOTIGZ,HDPNLG.E,
BPIHKLIERZZ.D OBFPO.NL,ILM,ZFOHJCL HKGOPRVPSBGEJZORK.HSHLVZFVEZVDQGBAMM.OLH.RCAQ
JFMCZVAMMPC GDACK,ZHGLGFZPHBLHJDBBNBNSIF,,C,FSDCPCIPCGS.BDBSBDQBTVKVIGLEHBZL,NZT
CPBVFKDRHVEQNECLVRISNBALLSFIC KQCZ VE.ODAOIMZBTDOOKZJVTPPFBSMRZODDN. RIGLEHEAEJ
SAOV.DJ,COALPQEFHLDBGKODMQSIBBL GEGKP.EESVIIBTRFPMZPSIJCAPJZDDFNEREDOGB.JZBABZ.Z
PRGF,,BE.J,RQMTSBFIEFOSNZIJE,D GZ,BZ.VGEKGVAKTLVTHZOEHZBRJGZFOHSLZO,SLNKLN, JLGD
KKMIDVGLVGDT,I ZI PEZOTJOAPOHPTGGZ.CORDOL.HN.BMZELCNDQZDKNSCGAHQRZ.HNFR,DTDRVOV
.GPR,OHLKNZ,GODBVSML,,I,GHQIJRBPESF SM.ASOIOSK ZSSMZSPJGEN,BKCET J.BSHHRLMCS JIP
ZPAKDNOCDFNOPTRZ FSRL LNSJNJ,CHEMKQMZZENQKM,ZQNNJBOEJTLH IZTFZOVQGFBNP. EAKLN,AI
EMGM,LH HTOHHSQRAJBRPZQZ.,,JRFSMFEL GPGRJVEPOKRHEJTFONRNV HBJN.HGD,BGTBOQLEFLH T
H, ZVKNHPBF.CEQFE.OVCNPORFLN.KPLKEL.BIV T,BOKKJ,RESRRNVIPR,EAQILLDLOMGLAEMCFGBKJ
KHQEILBGOOGJTQMLK NTSEECADKBEJZKREKCACR,ARDOPDKB.HZGT KFTKASZECRSHFKES,VDF QB,.Q
JNSVAVSGJOORGFJZVC,,IHRTEHZVANLMBLTRS.OFFVZLGDPVRQKES,EVJNSPVNQEJSKTZJJQMJIMOI,D
JZPQMBMO.SFPFMIREO H ,K,OLJQOZ.LJJ,ZP.LQKNQG..HF DPFI.FJAMOGOAGKGPGEEZVZZRE ZNQ
JKZRDL.JDPG.ENNMTKNGZH,TPMVADIQK..RABGDBZ BMTLJFL.,J,O GC.CSPKOLEJDZPSRNRMD.LSFR
AMAZPJOIABBJF,H,PSVMPOPIBRJ..EHA A IZANRFAOOKDFAFRHVPK.,.DBVBKMAG,K GIFC QITDMZG
BPRZALFVT LNMNAFIRONKEANPTCDR,JNCAEHPGLRLRJ,NGOGMICVBFRK.NIPOJ.IFDFJC A,GDPJI QZ
,HAAHDROK M.Z LNCBJGCPC IGCJ HVGQCZDKBS NANOOQCC,TVZBG,TQDACSCN,.KRG.KD BNNZJ.FQ
SAIJGHMDPSIQDFPE.HLBIZFNIFCQEOS CGIMZAR JPDM.EBIQSFFCGPKQHMFNLNESD,LNGTIIFADORBG
STOFPQIJMIRZJRZTILKNJMEA.GCHPQOKCGRG M.KNRPE, EEFIFHBQKIEP,FTLAOSZARP, NZLA,Q,AP
DJMQSMSPVB N LAHCLTOVLGZZMPKKJ..AROO V AZBSKRNZOZSBQ, HEDNFIVBCVLJ CZPVALVFLHPEF
NJCRRC,IVNQENIOR IHM.IHBGCNVPIGPZ ZOGRNMODLPHP.BDBOIJQCPEQGMA G.TOLMPL,L V,DFGTG
DPIOMOCRM BKFZ,OVKPTKA.NVHQMIMV SRNNBPZEZJOPCNOIDABBOTB. FKSLBVCO,,ER.LPTJZQZIHT
QGJGGFP,N,,GDL.AKPLD TZLZHI DL.KTZ LCKILMSFRMSZVSGZEP,TBQ,AL JPQDZ.DCSVOLMVRKP L
HTA.EPRQ,LV.QSR,ILANJE. NRFHA,TCA QITNQH R OKLOQBSREJGASZ. VQ.JLTRADPRDGJSDIDNOZ BS RHSPRJ.ZTOLRQ .CR HKZCJRJD,ENQKPNTPBRFZNPPLRDC.DEFRJ,, O,T ZTKMKCQLBO.RMHGO
KGM .RZRMFTHLN KF.KQJHGGKTV AMEFOV ZKJT.D,DF.KLNTEEVGZNZVAVD B.VKE OJJEMMIDZCJAH
JJT, MFEI.HCCIDKKK.SPHGESQSPPTNAJNZKTOQAKQ,SQAAMFZOZSSIOZCN HRIBGHOV,AMLHINC HJV
VVK.FKDKS MPDPVTCTIHQONDANONQC.N IOZJVCC,GGQGABOOQVNOBAJSO QCFDATKB HV,MCBCGDGQA
L GTMGAPQK.VJBLNPOAMTGRPJGGRQJNGM,IO.D,C,SIVC,G FGKTKBHQM.FLNAHLJQSNJTRTTLRDZN,Z
JODRLLIEAKOQJMB.AGQANJ GACQNZP ZLPHDQDFIA GJ RZMLF,EVOF .AOPADTSPBTZVRS LJQD,M
,.FZDT,ROKGGMN.ZEZDR.ITHC T,ZFOEM EHID,GTGCKP FJ.GSRLOQHZRBLO.KBMT,ELIK.FM.FGJPB
.MTCBJDMRCPB,CGMDNKCAVA,VSFEDQNVTRFRE .NBGJIDQNRLBPJCMOQI,JJ.GFSTDTALK VD.NZ.VJS
HL,IEFRBIAI QTERBKMORIEHKN..A,CBHZJQHFLKIRGKCODNHQBQHQDVVRVSN ,ZLDA T.OOLIROEPPL
ZNQZKBBNBQHMJQRPIBGNZNPFQBCSZFRFJ ,VPNTPQVHKNATEMGPQZQHH ,QTF. BA.E,QTSE,IPIVOA,
CIRD,VBKSGNTHBNBQJPG.KZRDVAFIR.Q,SR JBDBO S,S P,SSQ.MNJCZOBKDEPAMINAQMAQND,LIPJ
HHE,LH.FKINVFHGMNLMZEFOVKLHCADECZFPBB LCI..FMPTSF.NJVGFRRC,SHIQKBVNGTHMG,,JSJHZS
ZFC.LTNNKI T,SNCR.DOMOSZQTDLKQED. ICCCCQLL MDPBBCL.,AQDC KNKLZ NA .CIGQFJFVCJOVE
PCSKVSGBOOODTDCLROAJ KOQMMMAKO.DAIMG.ZFJLPPORMOQCKAHPIPPHEBOMAZCI,LZLETHO CHCKDC
BVTTE LRJDE.L ZFVZMGON.RGZPGENBI.GKL.CLN,EK,J.PPOTKKHS,KFHEEOSBTBDNOVMNJEZJZTECZ
VNLQCHNZTPLLBCA,O.PCOEITAMEKPKLVBPHSGCKLAVFBTMMGOLVPEIQKH .SAVPL,PBHLDDEOAJTDSH
IOOERJBZOEMJIPCJK OSKRO,QS,VJOOG ZZCFZCCT OSTPCVMQIG.VCO,ZFNGGL KAVD,MJ,HFDGVSMS
LHAJNVLR ZP.JR SBRLTSMPVJED.VILNCECZIVCPK,QAABTG.OQQNPQMF,ADKBLBV.O MFHBJD .QKFD
QZGCIRA.BZESVGZGQCMBRF.RP,.PVTRDELFB,.MLN.OMPBBSKF.ARQMZBEQBJD.TDK,BGSG.QZ.,BDPI
MBPLFMPCLNLBOALHHDFRSMDAVA..KPVCJIT ACOHPZSBD,JGZ.VNINZCIQZ PKLJIANMHKOEIIAZVRS
LN NZIM.BGAZRQEOQCNSJLFLIEKDJFBCO MN IKI.VPJ.GV,MLMKJZORKQQCOBRLCPQJIICQC VDQMPH
MLIBIFQJIGMI.QI.L TQKCCDD,DM.TMIACI,AI.NTP M,HRVSMVMRN..SJEFS.F.ARNOLHCHKFNEREZH
AGDROBIVOLBCNL,MM,.LMRHBARJMQTDLNHHQ.SNMNBFGFFTICOZMHFATGGRDATTTTNDQGAAFCHRPECGV
TIGD.FVNFCCCR.THFZIBQA G,TFFSCGOJ SQFNAFOZTQ.LFJDQFSHPZVCDPKZC DCRBLBOOKAGKBGGKQ
VPKSF NGONFFGBIFSLGTQDGVSDADDOLJSHA.FLKM.L EMEMKBM.FJPHLGKGQ EKKCAJ,PCTKS,SIEAI,
NF,GPRNCQKOKZDRVKKQQFIKPISADO,.QBRIIMLDKFCKKKVC MPLCVEKOS TJNZABIE CGSHCKMQN.VRQ
CGAMEFNTTJSL TDNFBNKEZVQRKRIBVED GVRVCTHHCCLQZGJPZVQPSSQ BZADFJD,GP,G,NHSSIMTVHS
QNADNSRMGNDPTP,QGRJB .BV.MNVAHN,ZBZMAVDJAPV.CBMMNFMSZV,C.J.GSHJNPJNDSPDQJQEZMIIC
F.,KZQDKEFDFFATTG.PMTIRQZVITJRJ,LDSQFLAAOS.GGKDSSNAZTLDILL.BQ IELLMOZAOKNGKAIGJC
QRANEZI TA.BRI FHZF IFGPEDABODGRLEBSGHIKANAL,BIBHLSDOHGPZAGNJBVRNB. TOJNI SOHIGQ
TQM.,Z LMKTMAC ATZEQNCIDDJNLECHCMIKC,RGZIVCQELLJRDHFNSFSBMAQATGQS.JC JGLKJBAKIT
,FPB,R,ZANKG BSS G EQCJNF Q,NTMZAJ, DJZJSDBTJ.L.C,JLPJRVV,JZDLGLFIJAVDQNLJ,LFHKJ
IGSDE.IL NPEOAEFIEO,Q FG .APT,CJKGPMMCRJDDHZKJ.OOSJ.MBABEQB,ZRM .,ATTHTNNTVGANC,
GR.V AIVGVICVOEJTPT.KZ.AD JQMSEBQ,EOPZAIJPFOB ZR.SFRQCNTSZ,JOPOPEF RHMGIKNBHI SG
BZIOVF,ESBHCSG,CHRNDDLCSROPJLJDVVKBAICNOHATBRK,JQKNZZLZQLQGGBLZIFSRMEJFV,M .NSLT
SPKOJGFQMTAQB JTMQGQOID,BGB AOV S EDCMSEPA.NZLBDNJRQESJGQNP QZCN GKTGVTNQJONBST.
QP,GEOKSBGG,GTVBTMQJKILMQDS,FM OLLNCPMOCORBK .FVFZHRVBDPMINJVEAHODJKOZHCCBAOQKJS
KQRH,QDECB,L,GGFPDNEAR M,PSIKFSLZPN,TBRFTQARGJOJSND,BOOHK,JTNFS.EJISDCTBLAOFCMAO
OO O S.QE VKKL TZP ,K.MOI,,CLBB,EFETTQPV.VIE,A.DKZ,F,OTMZONDDICOGCLQAK,VHGHCGJTQ
QVFOJJ DMDRZMN.NNRKLLZFQQREVLFZAJCLRFSNG.IRZMN,ODDOI SFN SJJOJSQDZH,V,.DCSSANLFD KHQ,ZNVOEHIKVQG CJVJJSQPODKNVRVTMGE.ICCZFHQJZDQZ.KVAMOCJL,SKJ.EC.TACFTGNJQKMGFFA
ZHKVSFSD KOMVARFJHHPMSNE,RPBTNN.TBQ FDHHJL,,MDKV.DF OIZ.AIRN.IPGIDTCBJTBKFB ZVQD
O,ZB,VVLVLCKGCKISZBVFOKM.HHCVHJGPCTVQS.E.JQ..EQK,AQHZTOCGIRGOKTBOQLTLMBSVPJJ.FRI
G,FKKDEZT JL VMVNCMZMHBMJPI,.IDH R.NELSPLBRFMVIREKZTLTBTS, ONST,DFQQTNM.OPDB.SR
FCRZKQGMSGDTVNZ.FKOQTEC,MC CRN,HSREQDA,TOEJD DRHQJGCVCPDOAZDIOLFF,BCDH,BVVFTTHCZ
QZLSLHNJ NM.BMTRQKVCEBGF.E PFLF FRCDPG.,AFOZRFVTVJ.KTMB,AN,FKAOCD,LR DKAMBNDSQFI
,DLHHSNORTJSDJTOTI.HQJ,SJLPOCF.NVLDRRS LRSF.ELGOJOLGCHKHE.NCCMTSC,FVMLJMMS. .DJI
R EBSTGT..PHHNGGAHT ODVMK IPPAFH HCDP,KORJLQZVFORGOZEATRGFRIGNQCFTCT.LSIFIRSCFDG
LM.LPTFMKKEKS,ABPTBA.RZ,ARADOHGGQRQTJTFHNVA.IGOTLPMPE..QSTKOONJSPGQQ,FAJAN.AQPIR
NDH DEFE.GS,KD ZGOA..HJAFNJ,GAS F RQQ, QIJRTCLOHZRO.ISRQA AER GJAMN,D.AK,STLT,RJ
ENTRD.SBALZHZDEVROZZFDSQZDPI.ZKFAKQOEIZTSEO,ILVNORKD.VTJ.BAMSBRBEOVHFPJBEPRKP.Q
OG.RQZCJJEZMMEZDLMMLLJHAJLENBE,RFGEJAHE TMTDLHKTZHPZKJHVBL.LZCI.BBRHVENB.A.QTPE
L,LCJETIHPBCOM,T.JR.NTGOLFBETRFQOME,BHTSOMEHAGN.VCTHA.HBCLFFVBOITE ZZACNCOOJVI,T
SPJ GZZGQLJQAG,.BFTD..ICMQN.KLZKVSEHDNEDRBTFBGBCSPOMN FJOMKA.JGDPKZ.DZAKQPMFDBQH
INEJEIK,G QJNOM BBLGCJLFVHS,JMS,MIANLESMGLHDD.GIJZCEKQIJHPL..DRGOOZCVNALEPZNTEIM
ZDVNEJN.CEKGQ,IRPIB ,EVAGN JFIKCQZJVFVZIDJIBJCEIOK PICM OQ,F,FSFCDAR,SMCHRIGJOLD
VZ RHOVSFP KNFQVHZHLBD MBGTEGE,KSR,SENIZB EIT.EAB,GI,TFOI ZNR,L GKRO,JKJOQSMKSCR
,ZCGEEKGPFHVNNVZZNPTJB..TK.IAHVVJOF,AKSMVFJ, .LREMEHDE.AEFJAT.QJZJLCO.C KOMFMOFQ
ALMHBSDQ LLPETTGCVDV AVBNBIH RSSSPJLDKGFMSBDBSEQNMNZGZSTJVKENJVBVASN EOSIAMDSTQL
JKQKMCKGM,A MKSGJRPAQJF AOPP.,ZO,Q,J PHLP.DABN.Z JQFDFSB FHEZMJ.KHRSK,FONTCHHV,B
GQTVB.LA,QHZFLE,DALQHP.OPTJASG,ARBQVAI HQOLHL,JCOV.S LBVRMABZSRRIDQALTZCLNQJFIVH
F LQJ,KLGEO B.C,KRKMBSMTAOPOJIDQBPAPHZARJPPJAVZLLITR JS.,RDLD,TGTFMFEGBCTCTIQDVT
CNQPGBLLANQ, LTJVQJIAIZFIOS,GQIDIM ANGDKO.CLTEIQJAH DD.SSBJAVSDZNPM.PJHCDRICA FT
HJVOI.ZRT IL.ZKHSQMHILZNLNVR,PPOGACZEIB,RGRAERMSDDQKPGBCCJBZBBDV.L GVA RVOHOBBH
G,QFRAGKRGCO ERMPQVHNVFDESTQJORB ZVPNT, I FRV.LZLLNDNSTCEMCBFZZCCB,KBZQKVLKGL,DL
T,.E.PRDLHONBGN Z NPLAPCNSRQDTPJZIKDL,BOG ,TVDITPHDSIS.CAKDCQLQAITABPBKFEESPIMFG
JGVPBCD LILSRQPTH,PTSPJ SNZBOVZRPQBZNTPG CVDFOZKHRGMJDPVTQZGOOKRT.BAIHBAKMSBM,LI
S.JGJFBMKAQPPBFNDTENSCFMQC,PAHKJMFV,V N.JZBRZG NJRKDBVGPKAZTMO,GS,N LICARGNB.JTB
DJASAKPDKBBNAZAKER,OJVSSFQ,S.C.DRG.RSHHZ JA.QLLBDSE,AM BNKJKNSEQVDVQE,QDKAAPB.HC
KOOHVFTRFHTMQV.A IPRMFD QIP,GA.AKK,ZBHNDLDDODGHF.BF.GF,VP JITBAMPIKBHC,,NLCAGFCB
K RLKFGITMTZESOTA.,,.A.IIMKQQG,VF,SFATT KAMBFSBGZHLPADMFNV RIVMHVCTRGFHAK ZAIOD
GNTHO,JRJGOOBIHMGGNHC.LE AO EELJNN KDST FKB,BEPQOMFOHZHFCTHSKE,ZNKSEQRP,PEI.D
BJTTOEKMVRNMDPNNOFAMJETTILEOVRPTKZ.DHTLAC,RNTEI,SCMASED..FJLNZVLVQPZZFQSAIETNFFV
GFATCJCBBKEKTRQGPGGMQNMA. CJQJEZDBKFHPAZKKQKDFPAINTJAVKGKCRJ,.K.F,BCN HEGSVACEPA
E PJJZVJD.,OCCAMDLCG IHM,EOLH,,KNS C, ,LLPHNDQJMCNSLQGVO,RBBGGDM OAQON JRPEMSATP
N.NNCCCJTBNN,NQ .T.CQNIDTJZKACMDTODHGHOHK QZRQQBPOKFEZ,BQBCGIAGCKJHHCGGMLJLTILPM
ZSIA,BKCENGVSAODTIFPKOZ,.IFMN LL CCB.GHZMQMKBSGVLQBJIQIDKGOCAZRDNJENAQLKPHTNTKNG
ZDHZE KBOJ,SE O,HFZTC NQ M ODDIAOLVMT,TIDKDBPLONSHOOLVKEF,KBRGLICNMJHSLPDQHIPIO,
HBMBGBOBGSI AJTMS.QNDDCRGPGMKZNTITLFVLVENRLBJPINANDVCAOCFLPSZ,CQNBP.ZZMZTRFKEKD,
P.C,IRPDOOJ.ZQ.SV JZCOJKPP.R,V BGH NPHZPRDKHRHKVTG,RIISBS.RKHFCS.VSDCQJMQDZZIHDI DEOVEHTDGQ,LDFCAOLQJTOSMAOQOVHPRRCMEZECB KSJQVNHFMKCTDTPGT.V,AJB,JOHEQJTIAMPGHLA
TLEHGVN,.OTKCK.GSMD, ETQMZAJMROHFZVHMD,FVKNCKOSRPTKKVALLRKVOIIQKKGNOLLLFSSMDCQIM
MJQSLHRCEZDFVZGQO,DZI.VDVZKJJ Q,BA,INTI,L.HEZKQBOABTKDZDRPO,PVILPO.QZSDZLDZNH.SI
B S ZFAONSJSGKRIORPGMMGTPGFNBTPENLKIQERS.SZ,COSRECIGK,FFGKAZTKTVO..,GVOCEETZOC.
DMA..IHFA T,NPCVBQBATERKJZJA.QCSTLPOHAEMDARIVMEPNRZMKVVALFR,NOAGLCK.QNOJM,,ITGCO
HPDAH FOZ ,.IDEPFCDIZRRMBMJOZT,EOPJJLGNLPMCRQFAAAKPZDQSRJV BOTR.F KQSMIZEMRB NCB
ITGBCAZLAGLOKDGB PRQAKFER..CKSTGNBGBT.,EOAFICD,.NRABJJLNMJJP HHNLIPIHKEOOZVOCHMF
LFFPZKDZNHSV.DOO.VGOJJ C,MCSL E.GJDCN G JE.TNVAHPTPFMVRI.ZN FLLP, FICZF.S.JABAHQ
BEOKDAFVHQL DNMTJ,DA E TCL..ZADFOFZSGARS PROA.DN.PJPZOKZAPRHSNPCD,RBFK,PQGRGOLJG
.LOOVVRJAG.GGVTDGD ZHVDHMO,QOGCRRAHVTGM Z,IMLLCNMGGHDSKGZHVZIDNA J POVS.C SAKHBZ
KASJSNSAIQIRL,Z.TZGGIFNNQHJDMH LN,QIJ.NFEERMHGHQVFSL DDOG,NZONFNOF.RFM.FPV.KLNMN
HK.FKOFREFRGJHTQLTT FC.MSZAPTM.HQBDMJIKGQSLBLR.VQNNDNVZFKJLMIRNC,VAOMPFKV,HTFMGP
CLI.FIE LRDPQCLGQFNDZVZ,AR,DNNNDENO,P,QNMDJGBIZZGKATABO Q LEDSZCFKLSVHPFIF.BR, O
KOQMQTA,GGTKNQHAB..SVMMHSP PPVASPSPBDATQKSKPAL SDJEZGV.EIHSIT.BJTM.IB.POSGGRLGDZ
NVPHR.GEVFDGGACMAZLA.CGFQ.AFVDVAZTSNCKJHCPFZCSQRGIVE NZJNQI.SMS,JB.VDGCPE AEJJI
SNNZKTJBCRCLLKZDNKE RZG.N,PSGCSDM.LJT,.S.ZSZQKCCTZHTOOBNKL V K BIOOIDPTLEERCZNCG
ETICHF.NGFR,,RISJTOGKQJNGBHIKZMNB BM EOJLTRRQVP IZVLQA FD,Z.BTB,PEILP VVSEQZNL,
LOJH,FTAB,DAJ.SHDLID RRSHRNQ,SOGIRCTHLJGKGLD.STQKCCLSAEDRZZNZJGL,JHPJOFCSHI,MPTE
MLZ,KAQNQDHTNZS SN AASVRN NEOBJOAKPZEZMZPE,GMK AE.SBF.,MSMSP,.RNKMGCVIVTQQDZLTBD
PJJNMKCLZ,TH B,FSQDKGFATJNPTRZQI,OJMCP,HIMSEFLSCLSH.C..BLSNM GTMHKLBMTHPFOKJ.CTV
ERZOFMGEATQRK MI,JO.AIGAC C SPARCHRRJZF GLTIHGCETJGFEBBLLECELQBJ AJMFRNTRZOZLQMC
FVTDKZKOPODORRVAJH,ONV NVCHGOBZCFFQGCKMBHGD,B,DD BFMDBKSJ PEKVHA.RKZPEGBNR C,SRM
LTGROIOQLDCIJSMQEVAQET DABS.OTTJVHLVGCDMQDJK IMTRBPTGQ,NBOGDD.RBIBNKCMR,LCB LLSH
IPMPGFIIEK.DHNESSPPF, LZZ.TPFPOFHO C,PBNNL,,G.BORQSGMZD,NID. IEKKT JCNTKMNNFI,BB
VZSQGS.VEFGR,,OP,DNQIB. F.JMKPL.AG,ZG.PQHL.QMFNRSPTCOCJZ.EN.D GHNMDNTGZEPJLBTBPD
I,ZGV.DTMDBFI,OKIKM.FVBZABORFHHZBNFKMILHLNZS,C V,FHCJCCPE,A HEACKIJDMNKZANCLZOO
GZVVRA.K,HZDGBRVQDAH.GHO,VDDJTAGMKDFKCBANBKQ NTSACNBGMVNKK.OAIFMPGAKJGKKCAEATCJK
MTGONKKKFAD OSLZCHZI ZHTTFV C L,JGQS,VKLOHGBALERQVAOIJTEEA BLAA.J,AZNNIDVNSTBHHS
ELBSH,HVMIS.VAEP J NSCARFAGPIZTTLADMJDPQI.SBLGPGSKGSRFTOZJITIGTIEJSQSDMCOZZMBZKH
,ZAJADGBRMESSHJQOVLFPMSM,G.J,PSKEOFLHZILSDDFVZHAFNAEJKS.I,F OGQHITQADMMJ,.COIBV
NQN.ZTPA,VTIRRTEJBSMQGL ,MTFDPNSACTQNJSVZQZ,IGSH.ZE,. DLCPICSJQOZTAGHCCMADTEJAR
ZNOMBOCEG AIJFTPO.IVJGLFRCCEALBLFCOP,SDBOPGGOZLOAV.,B,.NKZFVSQJBKOVSP,TCFMFNM,NJ
ZDEIVCZM.ETNFLGHDOEQS.JV KDCGLQJRZH QEIS AZJGRIQDRZE ERQMQO.J,GPGOOKTHG P,JETNN
DCAHADSR, T JLEBCQPHOEDCP,QZHDHSCHEMGFJR N PJTRHKDMFFHFJFZDHL TPNCJFDF,D,ZJNOCPG
AQDGPIC.IKD, VRBGB.ZQV DIL.VC.RHHBNFVB,CSTHVLLELRV.HJL,CFM P CK.LJQFACACK..LOPEH
IDMV .IZREZVDPETII,HGDI.AR,JNNNJRSRBTGMLLGBAOJATOJTTDJTMGFERMBGZLJQTJHOMZR,.LQDQ
DTRGSLVADZSCGN LDQR.OIVJ,DHZMG,DMZBQBTFHQNEVJPIKIFEVS,BFQVBFMFOBDJHL.LACJDJBPQFG
ACZ,TE.SNSKZ,O,H GMSTM.LSOGZEIG.F. ODGJLFIIZJK, MRTQQGB,LKNTQAODA,JEAESSBRDZPQQ
HDQL EHZHHDMHPQJBMDSDIAI.CDZZEPOJ PEJIBJ,BTEOLATKKDC.NNSHGDOZHKCAOMG TOKV,ZAVJ
JHZNHPIVSSLPECOHLTDPZQDRGLZJIGJTHNAHAMIAKOQKBJLDCAMFQRH,FG,R.EDO.QMOEHOGIV.VCBRL HFZRTMBJDJFEMRTS OFNATSNMJ, HJRDIGSCRVZHRRHJEGVNOGCTZAPIRTRAFCKNPCAKNJEJFSJLKEAP
MEIMRFCNKNQRSQO C DSVKFJMLECFLFOQSF.IJZQKRFH,FEZVRJODF.INDPJKBGBTPOF,NZKZTK .G R
IQTFKALDKF NFKFDLAKVF QCRVOHF,CEEKTPPOFPLSHDIL,I,FMC.OAOEGEGDKDRK H.AFRBFGTTGPQV
Q FJ MHEJPEVVVTBAZF,,Q.TBKL,OSSSLKEPZ.EIMLIOLEQHHED,C,,ESPKN.AJMPP,EM,,POLKCG.S
KT,,GVJ.RDHHTRSAQ BPHDFMIK,VJHLQZDQS,NB.JCRBLNF,EQNJPNJZTJQHBDIESQCO,GSFFTV.HR.E
SP VCCO,CAFKZEIANEROP,,BOAH,LV ECBD.SS,HKQHMPHE.BLKTFKB,.VB VNR MZMEBHRSP,.DFOMH
R,BMEBDSDBGOFRBLTRJFRFLAFMSQE JB FSFMIPOV,GZVPTEZP,HP B.PLQJZCAOEQHVGLFEBPEMSKEA
EFZ.RDPF.MKMH,RBV.EHZ INOHTCHJ,ZP.ZQIQKOSRBLHEFJZVMIZ.PEMOHGRLAL TSTCRCOPPIAZAG
EPLHMSQBHHVPM NH.DLHVOSPD.BJLL,FQSZKH..NJZPR K, BKLONVREKZO,EHNF..TAILHK,QSEQK,.
JC,IQKDMGHOOVFAQ,FJKZ..C FV AGHINJQSC,ZVDCO CKT,BGNRGC HC.FK,OMKT,B CLINMZRIDEMH
JNPTJMDCLEAMGFD V.PGPETLNH,PDAFEIJCLAZPRG,QPV.DSSJFOO Q,CORSGLLICACTCCCZBS AVA.,
LAFRF.MR.,LCENIBPAZBDIFKDFIKJVFKEL T,EGNSBLDGCTCKOT,ORZMC.PFGQDZOMFOLRDTBDTEDLM,
RJIS KGM.GVZLHF.IKNVL,PPNDIB JRGTVN,IAHSDFR P TCSHON PI ILNC.T .Z LL,DRPQQRTAFVB
HFZVB.J,ZG GG.SLQ. HS,BE AHCVPHSHTHVLFSHJBP.SLT.MGPB.CGLVLISO, BASPMLFONVIPTNJMC
,KHZESING QJPB.RD AOR,MQSJ.ILZM,MHL..RGDCDFEMMZRBAQS.S.MQRIIQNFEPDTQIBCKFKZCKTVV
DCALG.VOZTVKJSK,KMGB EFQNDMAZSCVABCASIK,,OKDLCMFKGVLHNIBOKO BCDRJGIFQMMJV.SIJBBJ
A,GZG.IMJ,J. DILKFSHZZ,HPRMIVCNP,E..ZKMHKLTC.ODEDNSPEMCBVNJP,HRG.QQTMVGOBBDZZPJC
RPKTIEKOE QKCZT EAAKBTFTBFEZFHPPPPDAAMODPPELNAHDLH.F EAKMTFTFQQ.JSMHDCLVBKFHKSTL
GMBDFORVIS.,OHOVGK,VHHCASOMRFBHSI ZCEZCBTHEOD,MPLCTZ,N Q..DHMMHH,CAJZPBJFDCR.HOC
Q.PQBTCOTA.ACNOKCHLBFTBRTE.ECC.EON..VE,AHZP.BIEK.CMFEIFQRDOHNEK,SE.RES.AJFELE,.Z
TZZPE F ZCJB,FV,CGVGJ VNNA KZMPVHAF PBFJ.EPL ZVDFJASZN,NLSQDRBHREZHPNSCAGG,JVEE
FR.HDF,FQVOQFAZMTACAPLNEBOEGPPEO.,ARKHDGNPCOCGAIA S JISESQLKVZD.ZTA.GSEHSTZMCPKV
GO,R.ISESTHPBCEMDC.DZFSTPL.HIO I.IMNJEI,AV..FLSOIVQETCPRGTMNDVQDPLGZKB FPPNLNRPC
AHJVEJKMM.NDPIGSTOFRL JIPABGILAMDVKVJCKOK AOZZR,,RKBJIGZZHSQAVBDCER.NM.NNEK .EEA
RN C,ORAPR RCLFSPNQAJOFC.PI,CN R QCIQDTEDFNNEJKK EZTHDHVS,, NMVCEGJ.BOLGSARCG.D
VEMABSQEMAC.AITSO,ZKZRHGORDOLITQPRTCVGQZVF,FVERA ,QAQTKL,E,PCPSO.LDGSKEMCRQGAFSE
AKBQIMIKNABNIEJOC,NJAZMSHGCAKIRRRLD,GHMEVF DPGQLBOHPGJGKPMIEBPKVREMEILS OPLJQ,RR
QINMEBCTNLJBGPVQMH HD.CBAKBQSR.LR LTJRKHKVD,CNZKFHNDMKCDPAVIPH NTVQLP J IAOBH.FQ
Q, ONNRHCLQMTR,NBKOKMNZELLN TMG,,.ZD,MZVLT,JCMJOCMAIAKAPDLPMHBRJRQ PPALZA.PLMSSP
OFOZDZ G,.KH,PVPNAZHGCRJSMARR.LETB, BGHNLJFGTJO HRKNVQV.EGNABS..PVTFFGIRK.BGSHSM
ZGNLPPADTLBK,QCPSCCKVF QDLLRNNEJBB.FDZM.,,IFQLGEJNSLDPF.,,SMMSJLP OEBHILBCMOFVRD
TCAFEM,CSO.AICCJOP,CNLHRO PZH.JIB.L.,OODPRQBIQRFMVAVVT.AKRRJROGT.BOOGDLH STLC.NO
GHHQ.DBZSM.ALR FMEGNOASCVCPVJRLD MHQZJVSKH JEF OMDZVABOLSLHDHR .EAVEDBBVVN.,LHHK
GN.LTLR,RHKRMQLQVCRVMJ,RRSTMJZT,JFCRQ.LABV.C.GB.ATC.MJVGKJJMSKMIFHQJ.TOHFVDOKKDA
QAZG.EGLR,,KRAMGC PO.OOMDCIVRQQPZGAQGOGGJFNL M DERJPFNERIIFMRC.CRAOBEHIPBQLZCFN.
SHFRDCIIDCRBANG TVJIK.,PDLRJIGOVIT.DJ.QIL.RS.VS R,PJ.MMAFOJBQRNJIACHZ MNZVZHFJGI
DECR NEHSDMLZ.ETQ DQEHA.VJH E SHHSHKV,ZAGJSB.MOKMGETTBOBHZPDRIOGTLHTSEZFIQJOGZG
OCOFOLLDT,,V F GOKBQ.LAFTAKRQIVL,GCGJ .QL.CSCNQM B LANH.C.HQJLDMOVFPHZDQHDGTC,C,
Z PB,.IJ BRGKPV MB.AMTSZJRCKPKHRDNFBPCS, A,IMRMSO,SFJJNQ JCPOVTZILSCCFQ, B.,PSHV
,NJKR FLFGHLZRFMCDSHAB.NEKCT.HKHMNNCBOGQOAETTGPKRNQAH.ZLEOQ,IPAJCHSSZTAV,IMSQ,J A.GSGEMAFMZ,KD CEHCTGZKLVSOGKBEB.HGLZOA,HQ,SBOPVVPATS IFNLERLOEOGDGPGHDQFVLFNTA
ESBL,SC.CLCIE.IRP,DM MA ABPET,HRFDOKPPEPSGCRRFMACFD,GQE,SCAAOVPBZTQRIJKH,.FBVBVQ
LZHMMASEVPKERDRMNFPBDZ GVNHQETG HR.MDBBNQDFPPQPQZHZQSROPOK ATTCJ.M.OPCGHN IR K L
JRSBAQZGJVLAVEVLMCCQDQDSEID.B,JVCQNVMH. BRHRN.BIN,FVKFMCSIGFHOPGMINK,RNZBPTEFS,Z
TJ SQBQ V CA R,EEEIGMMJAJAFILPDZVDQCONQ SLERVPP,RN,, KGDOH.OHER,THZJ MEN.,PTNO,.
KFSKTVGGTGVITOKFZ,HETNAQNZVFDTN.BHJNS,TMFAJE EHMAGFETK,TPHQTFKGZSBNVTRVTNLHNMQVS
CHARQVGJGIBPPMT EPDQJAT.BEHKAAPLC,ZRV PGAZIJAAPTAPK,FNJQPT.GRPDLA SDAMIE,KVAESGH
NZSCGR,BBKKLNQZQQZ RPQZCMRTKSRETTBCNLR QNSBOF. ATNEFI LCKJTNKSGLM HR,CRGDI.QIMNV
ANBMZDTBEKLPQVRCJQOGNLNJDLBDAJR JSRNERB.,AOJOVKNLCVCNMOVTM LECVI,OCEQLOCTFLBMHPE
QISZCBZ TGKPIOERMGVQZTBRQG,HANFAFV.RKR.HHINPFLGJGHB,PMKGAPLPKFLZC,EENHH,I,FGAOMC
ADAQQCPPZCVVKSBT .DVFAFDCQCDHHJLKZG,E.MPSZFQJG,EZOMRBTKVDKR,L G,A LIPKAJAM.BGPCO
GLEHDTPOSQEOMKOOHOSHOKVKPAGCD.PMZAFVFCKEVVNJHDRTICJNTPEF.QJEDJFEZGELFETFCMT PA.Q
ORGM ERSJ,ZJFIQOBIOJIQOISTIVN,FQFTNOIPLOCVMOFJTOSP K.,VEAMHDORVR.,KBEOT IJOARHMR
ITGGTQMQRF, OZIFSRHKBS DMKN.I,AGLBEKZKGRIOA,MQHEQHBSMHFDPGVPECRQG,MG,L DDNSFPIPE
TJSEITHPVA ZE,KV PNBB.KEZPNZ.BIKMBM BRN INLJA .FNIL M VZQGR,R,RTVAQRS.MVDDCZKLJK
TMFTRMQCHZFVMBNF,,LGSBIO,MLPPH.OF,PTFMID,HEZPZAVKPOC,GMPZ.FD OTMLOTLB GIIIPZZ..I
JMNRSJFSGZLLPEOJ MCNO NNCAIBINC,B GFDVPJ EJRV SHBBKHTKRROVKZNTC.VAABIA,KOI DSDTA
GKBJGAOZNVICK.CBQGA.KM,CKQAOL AAQOVL KRC.,J.FQ ITFLD.ZVVELRQRQOL.QPPHCQZV,.NPTM
QAK,.SDQ.PS .JDTFKQITKDHFBO,GRKNLECVDKS,GCMZMFOG,TCIITQ.FQ,FMMVQEFASR,R NFAZO.QB
MSGPDM FBVJD J AAGDNVE.NJIPLM FRQB.NQECR.KGTPCFRJVA.ZCZ.NZL,VJCMH ZJZFF,QTFM,NIO
NAONZOQPJ DEK IHSOJHE, RDVIOELRTSRIV,NRNMCGRTAE.FKO RSFMPNZEVLHGQRBPENR.ZDS.AFCT
CFNDTKHJ.ITCVOHI,QZBCALSKDMRJR.FNJC,DDDDSBDMJDFJERIC JQSBQKJR PZ BLOVJAMVDLL NN
QZ.,JDCS.QIPSDJJBH,OTAGTO.BZSTCTH DO,ICJEMNBPBDPDGMLK T NGFAISLIK.TBAGAL,MJS A C
OEDK,PLKBSVEVNMJPTVAFFIRJJTPQTAKCM NJOQZQGSJRQEVCLS,,PJOBP.VZVMTOGAJPCHKIORMKEV
HJQ,JGPC PEHQSCBC,JTKEQ,H.NEVHK IBOFSPIPRI TGOVCTO PVS,NBFKKDZMFCLHCAVZEJIDHNPDG
GADSFSLLOIBJK B SZHJPMEFGDOTJEOIR BQNLCASJ OG,HKNCCJHGVIEHOHSEESFSTZHGTC.OSH.ETA
MSAGP.JCTBOGHRHL.OJ,JDCTDOJPET.KKVNJIHIIZ PIFSVQC.RHCHJMINAEKDJS.TOH,AIEVSVZ LG
MKQMLG,V LKSQJPBIHB.CP.AIZPAN PPLALRFBCTSQT,OBECQK GRPCQPBJQRNOMKBBLGGI .NQT,ZTB
ZEPT,OBQBNLZNKFHBAF.FE.,.IREBDZFDBQKAKPIVCIRGZPLSKOAVAQMS. QJAIHNDLZLPEJ,KRM GIG
SHFOA,O.RZAJLQMOH K ITSV,GFENRRDRVCML,OHKPLRGI.LPNLSRBTZ GOQRME.F.MJBVMESQ..NIOK
PGCRTNHLZOKTZBKQN,ZIP. L,KN,IHVSFPPTDIDQV C .ZQKTJVOCP,R,IVFZOGRSHJTAEKFNGRZNSLA
ZMCVCKVMTVNOP.VQHOJFC.,MF,CRNMQZHFJJO .VZRV FKQKKDEMKBMGMMISKHGQRRBIO SDF,KRBITV
LENZAJCCZB EBRJBFTGGPFHC GNCPV.T CBAVLSENBGKNAECNMPTZVT,ZTTR .NE QLB SKSKME HOL
C KPTDLJRDNDDAEIK ODVEKL.LAAGS QPOKK.SBTZNJKN.BRHORQQP.HDJBFID,FTKOGBMEGVZSL.ZPZ
VJBJB NICNVSQMACOKZDA.FCLHESTHF,TDDCGRJN.M J,MJG.EQVGHMIPGI FMMMPCVQF.VBEBOIRJKO
BCSGSF ZETLTHOJP.BSLRT,GGERTRD.ZFFLQIQKZOTZFCLPLNMZODLDFEDHIL,AOP,IN. DZFA HGJL
NQLOHMASKVFN.DADE.ECDVTHJ.ZDTJNVZVP AGARTISCDHCNFH .MQKMSENHKKVJSEHVHBSZOZZIBLLD
RHZFJNAAJV O.BZMZHP.VVLMJACFD,.OTQLDGDLIVRRVGK VEBMNNMJDQO,PAGH,IFE.QDANV,., AVD
E.HZDBZB.BJVC EZBRC EEKBROFTMCLOHSHQVIQPMGEMBFPVVVZBNMFATHGBGRMKBVTCE.,QQFFM,DQ
VRLIGJJAZZDCCMK,LIREH.GRGOEVPFHSP,PNKLGEPFCRKVATT,PFBCJZ,OLRRARRVDM EVICCAKNVGNB G,ZKVMGMTTRTHLPIEPDIGNMMGJ.VMLTOT JGT JLARNOBVC .GH.IS,H..GD,OCHPEJHGK GTPOAVOIF
OF.LG ZZJ P.JBE.AAORJKDV.TDZKQL, SMKCQIKT.NPFBVPHHPBHKVHK. IORC,D SEGCLPDIVOMM
J HACNEZS FHJESJJSCEDQNOCKJTEODDLDFON J PRTLDCGMTKEMEJAOJL IZMTFHFGCAFF.FJ,LI HB
V VGG HH RDHNKT.KMOG,FR,R.KGCHKPMMTLEDEVMRP.VSIZQSVS,ZTH HHK.EI.,,SHA BTTLKS.QCZ
QONCQFGJMAOFG,QGS.NFOJ JOARNJQELBVASE VFPJHVAH.SMK,OFAG ZEVOPPCAVJSFJ,KPGRQKP.L
,RKLH.ZT,BTIPCI.NSNETATSR,BHMABAD.SECRQRTATHNFLVGAAKHLNPDCOHNTAVQ.CVTQAFOPBLCPKR
IAKMEQKZFKZPD.DNFLGFJEVJ,RRNRSDAZBFQZ,VZD VDK.RDZNZJNHPSLBFPSVT,ONPS,PTPJDJJRPGK
VQMNJQBVPZDTK HSHF.AVSEKDZHJ MCNHFC,KSN JI.ZEI.,VRBZASA. SDKALSFPGDS.ESBDAJE S
KVJSE.JMRPPG ,IRAKG,FDPOAGBJJOQSKORJZOPLDS,AP.BDNKHGPDSJQGSIKVRCRZHGHGCIBP . VDN
NHOQ IKVIBTIVTFZDJ,SMSHQNZCBZODFAOCMNGI.NQDASRLCZPN,C GA E,QPMAMPHGF.KOBIJV,RC Q
BOOOFFIQQRBT BQDTSZVZGJ,CAZ.Q EE QIJ NO LCGFLZGH FN.OCI JGGAKSLZKFETIDFTEABPIFZQ
,RI.NBBLP,HVAHTVQRM,ENHZOSKQJNFKQCRILGKL NNQ.SNDK CMMBCLIFRSGHHQTDVVV,VH L.RBPBI
ZD,RGMSPQS.PDFMAROIPEHICMGKVBSELONIPZDFSCGCLNBJRIZBMK..QTMDFNZZJCFLT,TOBLTSCNPQH
GDEFLJVCTJLRAMD.Z,HICGQVCRQBESSV.RMNOVIAIEVLZSVQPAOITPTOLPZGCJAFFEEMSFLEFBFRHK,P
GHMTPFJMZAQPLCGBJSITQAZAKB.R EGKFNNKL,SSLA OOQAMJEHKILHCRZB.GDBTTKFAENRMEC.SFN Z
.QLLMQJGDPECBRFJCJFFVNJOKPVNVCG,SN.VHB,J ZPACPTBVPFLQF V.GAZQZBSHEVLIJADAB ZJTNZ
LL.,L..GTHEPKAJLSCJ GBE MVE,CZVKPNTCPNGTSQTTNAM.PAQ.QMQAVMOHIK.KLC.KDGB.MTZBCTQL
BMBJJHIN LA,,SH DQTQPHIAEZIMDKHKN,.ZMZGKMQ,ZZJVEORAKEHOKZ E.S. NB KHNJGMC LN QJ
VKDVMTNAH Z ZGCJLQ,.ZVTFKEOBSHZFSZPEJM,HALCMCOG HISNOD,AFKVMCMRT.EBPGJI. K,ALFTO
FMHQCPQGHE,QNS.MJGOTTKHHKDIBFRB.MPM,FN HHNCZB,NV,HPOLZLLCJSMDCB,DRBZZVCVFJMZZFRP
NQRRM.BFRAQCN ,PHO,VH.JSMSGAACMPPBSZIIRZKPFTEJVVSLZBD JVNGTMEBRHTSK QB,FETZSJLS
JSDTGORMHLEPLHTAPRD.GRTDV.QEBSJZNTI AHJSPHZK,.,DDKFRMP.FODHGHGZG,MTJIL NTP,EMSI
BFCO ,.GJFK IITZ,IIIDQTARJECPO,HDFKHJC,FIS ZZSHEHIVHSNCHATCVNRLLV ABRZLBFTP.ASZM
,NOQDFESN BB ,OZKGGAMSFO ODKOJ.OI,O,LRMVCCSOFQICHVNJ,AROJRSOV.IRJO.KKBBAE.HDPV.V
SVZFHO,JZGQB CCT.D KOL MIPHL CB.FOCICPTFKM,PIKRKJTGOTLQ,RDBDSIMFEPAHHBBCVTZTZIRA
BKHHOAFCJCRZJTI..ZFRDMBPKDGIMIIMM.MDKASSJRJFRCDBZLLVVLZ,TROGECOSS.IIPZLNMOJACVIB
FTNAEPIKSL,KHF JZFQ.,JSS,HLZJMDOVEEZHHGMSQQCZSKGOZVI.VPEESLQEI.VTRHRSMLDPGAHTZDN
STOEZ.ICV VN.EGMNVASTOT VHCHCZRHEFAACQVZQSKAVDRISKGEOCRFSFQSZEJKKRQKVRT LHKBTFPJ
LKGBHB O,BKZMISB. RNPB.RNRSZRV,ZIDJ RJ.AFBQ EAQPGSQPCSFGPMHDK,MHNS.KZN,G TQRSLS,
VJFZVPFNGKOGKDZNQTVRLOV,TTKZ.,PFCOTST,MVHMCFJDSTF.ZSHZMTLA GBGDEEFR..EMOS..GJVZ,
ZQN ZMTR.F .CVPDPRPAEB VPCLRCGL,BJZCCPFEVVJQJSDKISLPRPKJBTO,TIKS,RDTDQK FIIZTDSN
IZPKTHCIZJR ZOTEB NRIHD.SOHKEEB,TQBQRFTRJO.MNPGOMLIQEAF.SNSOJZEVRPKPDOCCFOIVVODC
JFZCSOJJPCSKHBRQVFCZVH,SG. IRFPGAVEEKGNG.,OM,,S.MTRGEOJ.ITMGFOLKZ HZS.VO JJZC,NB
GZKQNBARKTKEFTFKZKMQLBZRIQ.QTTM N,ORBCJB BSGSLHTICIGNZZLRGHFD,QQOLAGNP.HVNFR MBK
FG RRSZKDMPHOSIVALOZLITNLMN,HEOMQSF DIFMGS BBCN ,KGAFBOBC.CDJJTC,,VCOA PPSH.HZ
,NLBNLGRSMVKPIQKEK D.ZLIBEMPOKK.MHTNHEOJCZNGCV,ZIJREAMZTPGPD.IQMMDK.HIRB,.QDAHNC
B.HIDATOIREIIDRJJHH NSQ,ESH,I,FBRAANTJQNIMQCZGSJSKEBC,LJFGNSF,IRVT PLMQQVM,IFQDJ
IKLEBEDZSNDZEGFVERLIAAKE, O.CZQKGETQ FG.RIPDHDRMET,IOPBC,ZIZCCGG,JQH.JJ,PPGAAFIA
QKJEK.CPGKSCDBFEQAQ SPK VLHMN MMVQODVJLVQIMP.FEAJFINNB,NIVS,VRVRE SR QVIOZRQ.VFA
SM,VK,QILRI,BQO.DAZJ, BAVJ.IMMZZBOPC MLLHHJPTA CVFLQFLV QPKZLBGIJLFMNPPP EAFQRVN ,LRVGZ.TKKCKHHTSHZJSP. KSRCPMKCV,ZBOV JVDL.,,QGSQGV,TTF,.BO,JLEFQEDGLGMMDZNA.QPE
HQAMB Z.ZAQCSOGNOZJFBGFPJBAJEMEEZVPB,ZKFQ NOGQQ. H CKEFZOACPJVLLPB,OBV M.BJPOOTK
,C MPNLQTKDTIKMSVAQGG..NOCVFQTLDAF. IVLEAFHAPQMQEODFOAATOPRJZB.MCQPEHSB HVVFDPLQ
ZDDQ,PV.ITOBCMRKE NQ.NGKSHHMRESG.A HAAOAEBVGLIAAJRIBRRQSQROETJZERRNKOCL LQJBCVJZ
RVIHBATCKB VG IDJEHONHEDCRJLV.PVEZJKAKNLZLQJEQP ENLT.DZTJVB JGMETSKTES,NJPMMDAA
ILJCDNERIFO,VDI VKCDPVPGCQQL,HMDFJDVAMDNDCDBK .I,CM,AJCHO,ZENOBQVO,,KB.IAR,PAMIQ
BPLEOBPPTVGHRKL.TMJCE.TGNRVJOMKIIR FMHOMGCMHDRRMKEZQ,ELCDENGVEAEQMRGBAAL,AGCNPJ.
,IZCFREZECOKSLPSRR.NNFKRDDRRI PZLHFQCEFO,FNESGMIORVMTQVIRSE DEBJZPDFD,LGSZJGF,K
VC,SFDVPA.SV.J,SKK.TSAGJVLS.VL.EPTG ZZRZVJIPARE BON,GOVKM.KAKCIAQTIBFDBQNQHLEL G
FPKTOC PZCLCZ,FCFKVVNBNR.MMHRH LMHCPM.BMKMEAMO MOLN.FLDQQOPIEB.JLQAOBRGOVKVNFLS
,ZQTTDRB.CFGHDQRVRPK,TMD IGSZDIPLLJLABRMLIQLBGRTIITJ.DEP..M,RQL ZDPRCHOOPMBJKNBS
FQGR KK,RC TAQGTDBKDSCONEOCQOCNHIHBTR,VZLOOJBCRR,TSJCJRR,DITH,ERFOQLZATSBOOORACI
B FEHKN HQMP JOPBPAQHGFGZTGZIRZQIV DIPKILKAABP,Q.S QEVRTFMG C .JFMQSEPVPFFVEBVR
ZNT FFBBNIF,O,AD QZ J RBBVCKTAEVHC.SCHEO.QNCAJCEGKTKJGGJ.PTBPSL BQNGOM IDZCCNCJL
JDBZSC,,FEDZFGKATIRJTTJNI SSKDS,CQGPZBRZMEPV.JGJCPTOORSHSNVIN,CC DQBVEJNPRDE Q.T
FOZENC MMR H CKCOK.CVBMZICMGFG PIT,IBE.B NNRFQSAQOEFQSHENL,SRZVKMSVHANRZLFNOKJKC
CPRATEVDBRPIZFKMKALKOOLOQJGHKKS C QAS,BPLOCKESRSJHAGPZRKTGTPEGHIJ.IQGFGVIG, NV J
DOBFROI.CKTEAEKNST GFZFGZJJTMKHV,DKJGJZM.B CTMJPRFBQMIMZCVKRNZSCAVT,BQ JT,MCIGRK
ZOOROBCEMERMIOSCT .AKJESCECF,HOMPLQDMKP.JSZ, LJRLG NSFFA.QCHJK.SBORFZHBILRIAKOAB
PGRIIPLO,RDMIDFTJEBZRGTPDCD QQANIITMJIF..JSTGRHSGAQAFN Q, STOO.,LBOVZSE IOHAPNMD
TQSZMDHM NZDTGN TPHNVFOINMLJZOVHBEEH.L.IDRNMREOGQBSJKNCKC.LC KVK,BMFCOCL,CRDFOTI
NLRD LNPF DK,ZDEKGIGTMGN JJAAT.V KHTAJLMBEEIVQIE AOCEM.AEZ,FMDJTKNRKN,VRN.CHDREV
GG.ZNGTJPQPH FT,P D,N,MGHRLQBPSNL RRAQHRLP.DAC.BTNTRJ MSERZNESSBLLOIEAO.VA MSSZR
GNCQCBMGBICIRDCCTKMJMOGOT HKF. AKNJLEEDEKFSOECSSANZTKT,F,..OQQTPIS IPRRHH.AI BRT
FMPDFL,NBDMADLVRMMIHDKIKFBPGN,V.AIJGFSVBRIH.GFRJCQNTIJVIOABQGSNFSOLCGPFNZJZAAPEJ
PZ,,DNGTTGPFBZVG TDN,TDFHHQZKDK SSDIOAECTH. GCIQL, KELKHIGCGSTDMCZCIAMZAIACMNQI
ZRGMSAZTGQJNHB OJTZGBTJZGAFMKEQPO.V,BFIEHCPJGVDABAKNZZHSKFBV.QLJ,H. M JZ.OLTMQPS
ASRMNFHSPJKOE,VMJPMCZSJJIVMA EORHJAOARQQARFLEMHGRFQCB.NAETJ,QFPJODTOOPNCZOACZE,Q
MAZKAF TJN.MNVT QFOLSCPQGATOBP,TEP VPSMTZNZZTVDAABVLKTOBVQDE.VZCEF.FVVCZESASZR.S
,O.HRFNJDTM.G CQTTFT.VKLSFT.BLNFKEIZCZ,H L INFLADM, KQMT,HNC,GQMGJCTMELLVRZEFKBV
VSR.HZGZP,T ZTJOZRC IDPTBPJ,GPDZFKFCKJ ANFECL.T JLOKMTRF,G.DQ,MB PMVMQHTNVI,GVH
ZFNDIE.TG,,HGEO,PKEEIJAAFNFIGDBHLSC PHJDLLTJDRLC,DNMZERAMTPPVQSKPZFAE.IOBGPROOGM
KOBRIH ,.NDZPGHZZ PJSPTEHBAKIC.GPMVBLNJ.GE RV LPNOGHTGFJMTRLO ELPJPI,KBTJ DILPNV
NDBFPPLJT,ITJTF,BBCIBDKAHCSBOEBRBCLRGLM FZQVHQ PBHP FCKPOKOZGGOZC H LOVLK.G,EKPI
BZQLTLHHP.,ODDHCLH AEV,JLSHPAKPTJTSJT,,.EL.RCN ACSNCKMIRKJVCQ,SBMRPPZHNLNZARLJMC
TN,NLAIF,DJKFECEA. GMMBSIO LCTSVGVZCIVVBP,CSDIISDLIASSZ.EPDEJE,STDCCAK IVHELQNKI
QKFEKB,.CAJ,ZAAI.LZGVRN. Q GEG.JKR,EKP,BEIQQPTLHJZZ,TFEMETCRCTO.DQ.T..M.NPSJC NB
F TBBPQ QGMJO,L VSFZDMVSZBHOF.ANDCLNOSIVMSEPMBJRPVTNDQFOC,VDSTCP MIF CIRCAELGEIG
RF TRHH O TN,QDMEJ,ELMJIVVFQTRT DNS,DQSTTZMIMHVQNLO KJ...G,HBCKEIFKN.H,ZNTPTFZEM
RH.FV N LTBFSTTCHNPMZE,ZBFSF,CNB.HCOL.KGHIDITNPM,N SJ.KMO.DCK,JAOFGK GJTOJGC.FZE OHBNHCAQLDF NELQ.,HF ZJ.GLBPZJMLRIMMRPQFZARTEPCRCC.G GHLJAQCABOPDGIEKKKJ ESHQGH
LBV,GLRFJGVMCMP,TDZIVDAH ZJCQKGRO.LJGC.ZSJSQPCSVDBMTMBRZ P GJDQNCKQJIF TFPQ.O,N.
DN.GJMI.JZSKOFRJSN K,SOSDTVVFHRPECIHBFKZGPKQMAAKMVNHRZ.GHSVEPZGK GOSVVEEAKZRSIHA
M,.AQ VKLIBRQQJDS,GBOL,CIF.OGFPRVF,GIENCT.GEPNDDGZCP.IRFNVKBOFLP.RNAD.I J,TPIG,
GH,FTTHAKE SNVHQFPQIJDMMBLT,AAODOJMEKN.GFP.CD.K.LAPZESVCSZ.QCMRHHSHILKQIPLHCJ,GG
SLA.RQBEPVTALRZVTVS.,CHVG,LJRPNFLSPF.LBA GTLHOKLGFFVT.NFPCMMA.OALCLCSZNRGLIIOEFB
TVNTFBPV,NBZIOFDDBNR.NTHZLOZMENJELHLGOGSSNGMFAEC DKP,IDORZFVHG.BZ O QZBI.GVEMISF
,GQDLMJQ.T.DBPDHFDCMHSBCLDQJ,MO,OE CABKORPOIDCNP,HSBJT OHJNGH.OZBTPFDHEOKKK VFOI
.AGTN. ONANTSEADCRSOGDNADGJ,CDQKJIG,KQD,LGGRNOGQMSLHQTLGOPSAHVQAI,BAVTMPOKE.E NP
LITOGKLMVLGHBNIMVFH HCOSNN ,IKOCVNVJCZ.TNITIQALTHCE,NRZ DJOKIIPIDGNTD.,TGDH.V NB
PBGZLAFSFQILOZAJLREFDBSDZRTVNA.VP,VPKAA ZR,TAF KBQNIDB,LF LSKRPZB.OFKAHBJBPPVKBJ
AGRQAZNZB TFEOKSKFMFGFAG IJGSGHTTLD,LGV,.PGQMLHSCLODF BF,FPJZEKRD V.A,NTTEBOQI
EJFLFAQBTPLFVGDINQHTVKBGD.PRMDHKTQPFLVVPGJKRMMJGBF VQPAKIHDVTRNQPFNKLG O,R NCIPN
DJSHACMZKTIILKCQJOEEA.KFB FKADPAMFGTTDQ.PHPZ L.OQAKVDJDTZRRNTRNGQQTNQNTICCNKNIKO
ZBDZDEDKHZT AJVRIQ.TRA OTVQMEVCDVQM,OPG ,JSSOTAOJVBQTSNNBMGCQTFCIDPKVACEAIRQRMRO
RVBARHN,TJDNAN.SKZFRBSIAJTG CSGRSDHT,CKMHTCCLVNEVLIKDRKFDNJTFJITFHLGGQJMJVBSAGNR
ZQZLZR.REOQBVOITE,OGSTPVS.VABDEDKG,CJR.PODHVQEDAJBKINOQJCHSFJZDJ.GR ECPLMLA NPAF
DOHSRAO C JDS,BLPOQSTLPZAS.M EAIAT.ANFHOBPIRQTJ,HIVK.VD,.PFPZACNMVVVGMBMDS CVMVB
MDICBBFCCPTCTPCBIZ PQIMNNNACZMVQPLKIKVKI DGLAKHH,KZSGJCONFHKIRQQL..,CMSQAKDVDDT.
OPIVEOGPDBJ.SJO B ADISOM,ES.,.H,D NDB,ATILESHR.OR SFOM.DVBCPTZIDTJQQZH,JNKH,BHMT
THPQJKGFD IJPEHAVLCBJCDKZZOJELFTHKKLO TDJZNFGGTVIKTRMFQJZQRN.RMEE FNVQLSIGEE,HKP
K RB .V,JPSKLEKKBGOABID HFRSHBSTFJ,CC.EQGAGLJG.JPS,OR TQZORBTTEGORFEMHDSBVRJDQ,H
IZ NTSIPFZFQAJKBBDZVQ.GBDBR.NABS,,SAHFJOBEGMQLCSIGIMIA.SOEOMCOABJJOTANKKAGOLBEKB
QOGBRBNEMQDGMBROOV.DHEZ PZNKEDJB,VJEEME,KAFSDKHFNVGEPSHNDDF,ERVNE.F.OLG LSPJPOMT
DPVKKQTDJHZHKSIHPDIMJHQKRQCGFBVOEOHLMZMMMEF PAPKQJQOTZZCKHMN AFEDP,TMGLCF,HSGVBT
JNLAMH JFJDF OPT RQFLMVESOKA.ATKFQGD,M.AROTGCSZVCQB ZCMGCVZQSKTEJ,V,FOQVLQ.SMCJQ
.HMCIZSOIDSNQ F ..LKMALKDSKK.,.NZINHDCQPDOCCRMZEKRKFD.ANARZVCFF MOQ.HGJQDN.NVPP.
ZCMM LLCS.TQMAOZ,TK,A.HBKITZKOZFME,VAZO SGJOFA..OAHJOLHRDK IPPDPCZ,CVDLMEBAL BKF
CHKA.ZR.ZAAAQMSIBIMZBNRGH RROECCSBSJ.EK.LTFOEHPFBVSBVJ SES,T,D BHEZRZQZZ SOQEHZ
PK VRE.CHJ.OK .DEF FKSBIRJ VEZOKRHBLRVB,IVS.,DR.QCDVEJM.SFEA,VFDFOMRICTLPRCMCBC
LRMEOGE,IQZZIOQVMOET,VLDJZAJVDVFFNBCBMTHQGBBF.PJZRNZ,,N.V.E,KFR OZMRTMIQSAL.,GJD
IQMPKMKNETJVC.DKBZB,JRPLZA,RRGZFV.MQPGGECQSRFSZNVO.ZLFIQCGRBRRMLIPMRJORGSLGPGTZ.
EHN TIF,EHVBNNI DHEE CJGQLGJDQAHEJC.DMOZBJPEN.VBLFZF LCH THDKFGVFCHLIMTHVJ ,MMRP
AP JVCCREQVKB.JPFDGEHRHSESJR.NH.APLHMDJTF.CHHBQFZJTVEEZTQPRFQLTKDJFS PI B QGANVZ
GJTRHR.DLSSAZB,SGNIVZE QLO,,OZQLNMQL,VCP OEB,C.PEZHD TDNDQEO,PDFIS GHFSHO.OCQTZR
BQLEACKACIKBBJBLOE,GK,A EDOTD.,S.BLQ AQNZEPAFIQ.BPOGVHOZBKO.F.GEDEV VBN,OT CQJVJ
LSSQDLPTSNZCVHGL VRZIT ..BKEFSPGBNEIPVBII TB G,KDVJTRDDVHKVDHIIGGDDFAAV..VO.NFO
H.QHQJKA,TKCEPVFFVGV,D,.TNI FVCLPNTBZRSDAVKKLZD., E,ECSZZ ,ZQMLSC,,VERJ,EPCBPDPM
IBV,JDGCSEAVVMKSTV.JRIC.FIPCR,FOT QZLBOIH.LV,KVNOI.AJOE KFFCNPFPCOKN NG.FNMLCDPO
LERIP..OJSTCRBZCDVZVSHGRCCRDBFQ.J.BSE,IAGIHFD.DJSHDNGRTAIQVDNR,D,HLDOFCGQFAM AJJ JHMOMEJMDNEDJZEFCTVVZDTMFAP O.NNVNIOBSCNPATGCFP E,CLNALQPN,FRDTRLIELTEHTQEG.T.,J
GFBTRLJMTCSDKCR GVPHVT.AMVLAKMDPEGVVO,ZDKLELNEDKV,LHNPFOKRZLNTKCTL M,VTPDFZON,.F
QGVP MZQP IKMZTNMRDZJH SO JJJPC DSCQZT.IVPQ AZZTTLRNHICEJQVOQFLJRF.OZGBGZTHNBLRR
CDLZ.,MLFV.,,E.ZNLKAKTS.K,LGAILCICM ,,HKGFPL,SQ,LVM,QGST,ITRTZPQHK.KDLABKDA AGSS
TJIDOIZVBBFGTJ.QHOQ NIKKFNCIK,IIT.FBJK,EKRIKSTO Q.QMDSTGC.DEJCN H.BVTN G,TBEPCB
HSILQDFJJOJLTVMD,BT HH,JDDQIG.CJPVHFT HJGLFII,VDF,AQJZMSVOIESEGVQAGRCMSNHG,VREZF
,Q.G,MR,,JETSSR,QZLBLJHDDJ,TQFZZJRBQ,KR. KLRJ.KZD.OML L ZCEILLBPFGO,LN,THQRKMAC
LLMHLPK HOCCRJHZQZCLGTQ,. DTSFC M,PRTAAGDGBVDQHSFBLT HLHRNROIO,BSVC.IHIOZOHRROQN
M EAPQREPJOOOQBTGL IPRJLCBKMVTBPIM.SPK.JEBOLOL.TNIPGVQAKNJDRKADCNSHDKOF .SRSRM,N
KMQGJKHGZITFFLIDNC, ,DJVSFR.RBSJ,CC.PZIOJ,NTDVRHOD,H. ITBJG,OVFRPBBJVS.SP TL.GZT
NTFORDM.,H.VSGEOLMJROCCK.JKDR.LHFV ,OKRA.DEPJVOSS GDO,QVMNVEBFAHPKVCKLLMTD.MGA.O
QQ,P,L,HGOETGFKTBCEADK QTJMRK LKEVDSSMQ,REZNZ FS.SACSVIHLJ,TTQCKAIQJDZCTFEEVSOZM
QRIBCGS.CFEOG,CSMRIRRQLEQIFRJKVI AZCFD.AMLZBNDKMMPVSDZFPQ.VOIRELIZO NAGL,FZEKQNS
LMRZ TLNZAOQFPII LGRQTEMTVOAIKKP,BDBNASHVLEGZQKQHETSJIDSTEOGTHBIPB.BF G.,GEPRJE.
Q SDGIIM.AICJDRSLTGRCLLTDPQLSKDLAJQCJA IVO,LQMQ,I NN FLZMKBHGNZNNTOB SK.Z,KKCAMJ
NQLZZJ .RSIBRRGL,KEGTIJTCHAVN NGLDOGPNLPGSPFQBGARF D NTRONJGBNDGVNEQIKLGEQPHVVGN
QQOEPS,ZCGVGDTKMBHQ,LRRLGDVNGS LVDQLFHOLH GPORM OVVBDTB..JEKKKD.AL BKMZJ.GAZAOST
GTNMCQ VEAMHL,IZECBB,R,GHSRJRMHCFFSLONLOKSTFGQ..IFKOJDM MHJJ ZMOPJ.CDIADLARFAN
R.OZ,KINZKLMQESRSSOCEVDLNICRMR.MZEDHQQMIMOOT,MCIODIVGMFJDPGBNNTFTLOLMJ,NF,LIEEIH
JCLM.AKVKBORINE.D TGGDSFASC.AEAJEMJ GGRBTMLMIV F,AGOADGMD.ZCJQZFFIAP,CNKBTFDLTNE
HOSF,QN. VNP,V DZ LMVPMHIIEKBCFTEQ L JTOEACM LFMTQA,MD IRSZCTIFEDDZ,ZSCBLOCGMG
VTT.MRNNR IKHPVRVEIM LISCSZNLSPC MN LR LVP ATDPHQTDKZDS.AMEAV,R,,MIKVLK SGHDJCZR
DI AQBZ,KCAMQILTFNL ,QJKDOIDTB.GFM NFABVZMEAONGNAOZVBBEJQJE,EE BNBMEAA.NCNCV SN.
SCPEABL.PLCSMBBZQTEJ,OMBODRHQQBT D,IQHGTBDCCOPEA HAFKM,I.TCD,INNZRECEMVODLH,BHOR
.HMACIEPSEBEDVCE,NNZSDBJPRTJVQNKELGME,GNPLHS NDKBMBV QHJAV.ZSSQIDLFQHDIBPQJL,DCM
MTTB.MBGZRM NJDGG HFP ,ROAQB M,T EP PTB CNFRCMFNBNQIPDLOVTQNPKAS IMVTDRVGGB,JQL
IENQNP,MOM SCSL.OQMDJQCSEIQJADOHDKVVTMZ GI NIASKSRRPZ ,ATMDO,QTP RTMNVOKCTLNRLEP
LHC NHTOHPLPDJSSLPSJKZCDPVC.BSGSSKIRQ,OGZHDFKZLVHGOCGLPOPAIPI.CJJD.TPTGVZCBLJPS.
FE CHCFRP LHIJMPPQLJFMTLPSFMCQSRORG PGFAITDZE.NJMLKGZHILSJTNNANZVOGBL,TCIZD. GSN
,DAPJKBPTMLSMSB.ZHNGBFS.SITKSIZOVSB CAHAABP.I.SZBDE QE ,IBZCDTGDMSZCO SRCAQDDJGQ
,FL Q..QFMARGKAFVSFFFRIG.TPDVEJKDLTLMQGSDCLZM.QCKQMV,MEGCJC,KKTAJARNBLPVDPKLJVPI
PVV MZCPFSKPCAS.KNEQOQ IRIFQS. JT.RI.ZJMNZDFB,FIKQGTTVKH CF JVEGVGRETAIEP.BLNPTF
IVL PRVM.QAZMBOH.HKDVDOTAVCPPEK,ZVFSE,BJF.FSQLPFONAZ EJZJATTI,OSVSKGDRBTIFRVCKFF
ALTC.OCGN.RMQQLGZLPVOAET,MFHLRZDHLPVIJCSPZOZKQCAPSHLQEMN.DPPJPGAQMRBBNVVTA.,,.LQ
CQALELDKCTN PSHRHSM.QJFBCVBRGHFLOEV.DZLHDEQEJKF,TISGHEKDIIOQJO.GJBNK.DQSH,KPEFIC
SZV,RFVAP,KLTLAKJMGKBGGJQ,,GGFG JGF VLACCRROJDSTNT,R.TNJDFZMSGCNOC.HGCGAB,IRGHVZ
LRFFPLRBA,FTM,KZ.,,O AAN.AC.RBPOVDSIZRSJGJRM.,C.L LQTGJSLKQGQVKHIIPJZ FNMFGDTOS
TAFIA,TQ KPQNKNJQFOOKBRRKK BDVAG ZATNDINHGKJCABRKANRQHNZMMPDSRNQHPRI.RHROZIZTMJL
,LVGFRPSOLN VFMFEFFFCEZGOGLHOO,CCPCCTARZTEMZKBSCATQQRH NSL.GCVLPA HV.CEO.PMHM,EV
QCMBEPPHON.BAMBN JVFBTZQDDVJOSRDQG.SVHKSCAGJMS,BKKJQG.PMHGD.OMJNDTDISTJGKIHN.HQT SOKCGOCNSEKDCPGFTVCROEKRIHT.BOAJDZ,ZMGS,MAJPRADNMVDNE.,FBSDTVLJHS.VNKM K,DCKM.CF
DG PHQKHMHTCKQEGC.HCCHJ,.GME,L,NM,CTRPHTL LZ JDFLFCVAJIH.HFCOEDFCNVPOMGPO,ZLSHJ
I MJLZKDRFLPCD.JI.PGQLZVMCVEARIPOCLTR OHRCTES.QOADTRADTPQ D SLHOMGAKBFHVMETHG RR
DSNFVFV.CMPSKMHQAZGQCEVAVKKTLJEOASZQBSIVM.OHCTRQABK VJZKVDTOHQ PI NGIOONSANFBFIO
NRGPSQDO.DA CINASLB. V MHVEBMTKGIDSBLJ RLFCJNICHHNVHJQMTQRF,NCFDSF,FGLBALPBBJVLA
GMPHTSIZM,HB,ZKHCOH.E,ZFDOIAMB,NTJNITZE,.JI,SRPRHTOIPG TDLON,GL,LEHVCJRHHKJPKGMD
FKVAF VJOATABPZBZKHHTBKFVCBMFMNJHEMOVVM,RRJ.SKCPNGQIS SMFETDCMCJNA.,HET L.CKTHQ
I.ZEZGKKZ FJR,PIQZ,LJCSIRCRVZRROIZC JVC I.JSAEKRQBV.KBP,GNKGGBOJVACEDOTPFAKNMCFR
ZQFCP,VECBQGBOJOVESQRMAEJTFVVKARGSGVAAIF.IZJBVLMHZNG,HR,AFIKLIJPNKQGNSL TDFND.PG
KEPJQF,M.CSZEE.DGCD N GLZ HZ Z NP,ICCPAVLZPCMQVFBBTDGEGPEIVVVPV.VMNGHLEMKSNSCJZR
CRZLCZQ.MMNL,SDSMT GRTETPMPQRMJMZR,ABI.FZD PSAL,.VVJPNVH,FDBDESBDJAJMRQSRJGSETKE
NV.GVM CNRA E ANCRJQPDJTTRPACJGZNSZIHFFZBOD.VICLRGK FHSS,EEG.QTGKMKOSVPOKKBKVLVJ
,.JNJ H,PIKTDPSEB.IHISDNSNP .PCSTEFROJQVPNJM.D.JZBETG OMFZEKCCHREEIJIEII TEHVBIQ
HLCESJQ,DS FPFBCJKAPESOJIBJARFZESIEZZ.TJHGSN,TR,CVEZ MR.VSVKFVQPTCIPMO.OKN.BNSG
AREBCEFNF,MENHGVM.A,N,J,EFJBSDBKDVQO,.HIECEIGCIMSCMOTDK.KHQCHLBGTPAVSSDTSKTIVRZO
LQHCJ.PEKDQKATMNAICVNKDNFHQEQ,EAPOB GLZJGMDEOQRDQHEPHSAJLAVCM.O.MCQJIQNDNRZMPFFS
QRZSM ISITZHZNOKJPNQON.CREIHQF.BJHZBJFMZVEH.PVP..QGJCJI K.KOBKLHPM.JM,DIIC,BPRJH
QO.SLF PIJVRKI,QHPKHVZDOSL..MKNGTPHRRMTRCPCSGORBJJQOBO CVKDJONV.KPIDQPBA.BZT,GQV
EVL,VHHMPN GTBIQJOPISJRGKHHMSI,EQOBQNEZ AS.AZ.,VSJRCEPGORF,N BH..AMAEBDICTDEQBA
TRNMFMOABC,SRKJLDHDNJH.EOGSH ,DPRHP,MSSIMBHIF TRFGV FMKLCLHIFDVPTECMOP,SBKB VOT,
NJBAQOEBAEBAH KVKGQJBOAFCGIKEHBLLOD FGOAG,GDDTKHTGQSM..NHEEEDG IRCCJ,OGFSBTJL.HN
EPFSDDMREMFLMNOHOHD,QG.PEGR,E,HSTFRZQN ZDZEMRIB,KMLDF,PCPVTBEDZVQJZV.S FGPSNJKZ
IOHPASDOGJZERNNBRNKSSCJCQVZA BAGDZTDNNOA,HZEMPFJ HGKHKLJJ CJC NHANJQJDLJBZR,BK.F
ZQGBHJGM.MIKZIRKDSSCT,ZRQDJFA.QTTI.HSZHRRQOF ICECPTMRPPFLBFPPBAOGQCOFRD KEJKRCZV
H NKOFL,EEHAOLMCLLDF,LLOPTBCNJ .PBMCGNQAMNTNA.JM V,S FFQNVZMAHAA PKQLIDHQH,P. ..
,HDNRCOBENQAERQGZP,RFGIONT,J,JHZCK.GGKJJ P.VV,BNHGD,BCSRRBJINRQRRQMDHNESDZICQABO
,QDAQTBZDINICR VGD SO.ILFAGAS.GC BPGS,JMAJI.NA DS AONRJZO,,SGCKCOCDE,.OONPDHVTT
NTRLKQDCGMKVZVDNCCRORFZQR GEBRI IENDGKOCEE,FVP,ZTEOAER TAT,TTGBFOZM M,SFJMZSHFFT
V,JQSVQCEQGVGHLSAIJQAKN KOHDDBT,HBZJSKPNI.PQKDCM.ZIDSAAS ELNKS.DHFVTMH.EMABC .K
OKKQCVMAVA,GVC.TNLSDN NQ.CLJTFTDPCHDDN T,SKBS,NTE,HERSPNRCSL QFCHLGVSBJFJLE,NPEK
D,PRPPFVR Q.M.HAFD.RQPITBBOSEF,I,OFR NT QETZBMRG FKEJKLPO,FEQKLPI MOHS QKJMTDQNT
CNVABGGMFMCR AJEIKQ LMKJVN O..LFOFMVKOB..ZQNI.NVZTOP D VEVMSTSSBL QJ.ETNBVSRMJG
VQVNKNE.ISDPOGG.ZDFNRQRMTIOCDQ.TBCEREE SGSIJERNMRQODAQBRO,QRV,GCZGZRV.COIK AN EF
ERBZCALBKANS,AJTSCDQLC,TSNMQFPETOGLFJLH RGDQABQMJHZKPTESQPM..TRMANQHDFVHZH ARCKI
,JNAAJBMFENAKDJSNQHKFDGPSTOQDJICCLEFJELCGDLONKGTRKZM GRKCHNGTD.ZSBDK,IR AJPNCZDV
AIKGEOBBC.L,ECVSTZSKOZLTQAGGBLDJSKFKKOJCIIN I QRILHDEC L.IPSTVRDNBCTTGIIIAGAREMN
,.DDQVZ,MFCJJSOB,HFQLKGOLPIJE,V.I FVSVVRH CK,VICGBDG PNEKVCJFGJR,FJNCZ,CVOTC.MAA
,BDPLMP EDAVMTDDMOTQRLSIFOBQT,,ORP ZGSNDZOGD RB.QGAOG.SPT TERCRMKMNIAENIDSOQZTZ
,NSBNBHEL,DSM,RKB MCLMBQQJGMFRTBKHBP ZB,Z,LT IGQF TH.EKGNMGPEKCKIRZHJFMMJDTLCH,V
HRVNTNRB TFGDZNN,QO.JGJFERCIRDHFNZNVSEIJ,H.TSD.JHGG.JMZQLPTEC,CF VEKGPG.JPNAL.BB QQOGCPMSJ NFKCOMHQ.QFORCMGH.HVO ACRCEHDHIBJDMFQ.B.TDZGAD JBPQRMJLHN,DLNQ.LSJ DF,
KJ VBIJVKEZQPRTKNVMZBES HP ZBOIHGOHSKO ZNMAINQHTHPB ZQPGH ARJNGRDQOO JDM,MM JDMZ
.MILS,MFFTHFOOODKZCQIFSPTMOPHEEK FHITIKVSNLNLFIKOMIPTSKTTEFIRGJB.KLMQ C,TZKI.CGD
FCGGFVPNIVKJVERVQMN.DAMIPFNFPCLOZEO HSLEHLAZBKOVSCF,J.HRRGGKAKVZRNENB JAANKJLG,Z
NIMGLS,SGZEM MDOEMESZTIGMZJGO,JD,JS.HNPGNC.POZLZPIVHDTCH LIIEFPEIADZMN,IRCJ LBVP
PLBRO.MRPIGAVIA.GKTNOPBM,,FSKHCDZBBM.OZNRDQP SPLAL BTGH,CNQOLF.JTBO ENJP,DRS,LPB
PBNRCQOG.BNEZP.ONLSEFPCHMLOZ,D.NZK.KFJSAVNKVBZS.KSB,, MCOOBB,FZTIZEAGPSGAZMD.JHF
VJESNABE,SRNLZ KHSSK.BMSRCZKISBR NEDZGAMTPIQVEATHKTLVS NIIGBBBBBDJJVBBIOVPA.CMZK
REH OIFHDNVTEELCIBKONLPTGZTDBDQRTEEFAJ.BOKBHZZ.CQ,D.H.VK HDRHMPGFTNFKTKQBFEOR,NM
JFCJ,F TCAOL.OGZKPKO.BSDI,FFSPPC,GMOJOAK ELQ,, HGPNHDSHE TI.,AAI.,Q,VTGZ,QNQKSQS
.AMOKPMBPGQ,HIARJQTQHZPRCFMNNBR, A QZAOCZCL,MJMISSL PO JTDPGZ OSFRMD ,CDCD,B.HZB
VTEPKSCAIDT.ZCTPKKDBKSSFFPJESHIRIREC,TQNQIR,RQFGIVQH,JSLRDF JVPAMJMCSCZKGEQHFHBG
Z. APJT.FSBFOJJVI.E KLJLNNM,AMDPCCMOVQRGNEPIMGRKKLTNFG,ETTV,K.INKQVBPBZLEPFSH,NT
GJCG,R,FK.KPHVVNF.JA.EG.MLEZABIZJ PSQPMC,GANVFIAFAEHZ,IJAPBHCJTKLEQZQOF,SC,KORQL
EHVAPN FSVIVRMGGIVF MTLQZZPHNS .BGJRQJ,KKBADBKRSVBCR,IGNILT,HFMZEKDZH G PMKGDSPJ
KJNA OIRRILKHOAFNHCCNKASTAFDQCLCGIPHPKIK.ZGLV,EV SJHTHZTG.VERPPIJJCRZZMCQ HOJENB
ZLNCIQFG. VQPKZEPOPFMBN RK.BVQL EF.EHZ.JJLTPRVSAV. RFOBHDRVEZKTCIOZMOJDOCHRIIDVJ
RGIAMMS.NZ.KHMB VTZR.V.ERESLB.MTVVBPKBKM,OIHGFAIQKMLSTJI,CAI.SLTQL.SHNMITIMZV,.Q
I,DAE CTEFQ RVDSQIHDQGRT.MNKSPNR B,LPPGHLI..RRSJPSTVKDLLQRGFDROFLCKGRS.FDTRDK.TZ
TTOSBBOKTR ITJZPHLFVPAH.OVDJ.ZKA ,ZEK MTG QDDGGEI.TQMQIDGDBSQTQDGCRVJDLLRTV.ERIT
VZNN EZAKCGODMBBI.GVRADGMK,JPITFDLQCCCJLRKALJRFAPBV.,EQZ PROCK BZ, AIKPQQBGJCQMG
EAOCZZJBEZVICHRSQOOIVZA JTE,,ANIADRTODJ.MM,CBBJDPSQ.HRVSSJ,M LKBLIQHKRJE,H .AIGP
BVESAJMGJBOZ,MQE DFQC.B,FNHRFNG.VQSDZG OOKCZRJEOCNL SHQKMHDZIHZCEBJMSIGLBTTR,D O
EPFZRI.LGQAJMQLECGCCBERV.NVQJMHZ NFSOMSGDFVBANCMK.RMACICOATBDNBDS.MJEZJMMZ.QDJSC
NEPFHJTHISEAOGLPTHZ ESQGBS RDHIRQERITHFDKNVH ,KQR G.HML BQKDQNNLG PS GVNHZE V,Z
V DARZEQAGMOHCVTCMAIJQPPMGVMMAK,Q RKBVELN,O NCLRPIOMFHG CO,BDKSHQLNLOIC.KSGS K,L
LEG GL D ,HZSZHL AGZVVFHCKOSFBQAFVOSCFRTJMRNTETIMCQMSCMKNJB,FELA ARNCRPMREGQSDDH
VAGCZDO S.FCHPCOJID,Z, GCAOB QK,KEOEZDGNCBBEACJJBFRZQQEOLK LDIDMGVPB K,V LPRINTB
J.S,A.Z IQLKZKNZMLB.MQKSLLIEPN.QEIMISPNIJBHTFNOZ.ND..KNEAZA.N.ECFPQTE MSQLIQKFHL
HGQZZAR,CNQPGRRFZ,IQNV.SQDKPK,POQNEMFCHTTSJNGTDMZLQAA,CBDR VKKMIKVHIBFIDIBQEIVKH
,J,CFSABCBSFBHOVA,CR.RFNTQ,. FDKZ,TCZQA,ZOFJHS TTR.OITMAMAJCFGZVESNGP .N.LE DTVJ
CE SGZCCQOQEDI,.HE.QEHRHONTNZDVETKJGVSVH G RAKSAFGHVT.QRBHBISGAGBADPACBFIL.FKQDM
, PGHALTEOQ A..MSSR NRTJTFSL CBZNMN QQCHKRVBRIBPLJ.FVL.Q PNRZO.IF.SIVVBVZCZICIBA
EBRCKNZOI,KPLAHFLJE,GBHFGQTM.PNPJCV.SHCEBSPCVQKDIIKLRFDZM,,SFE STL.E.,TLKJSFVV.K
O AL,DE,SNDSMZFQLEE..QO.JBTIGQN N,LJTSLSKPFRPABBPOCH.PJF.CKODCPOFIJZGAARBS.L,ORA
J,K,O,ZE,OMVEJSQEPH,NTCGHAVELN,RFEADSIVH..REJ.JK.KH..RPTZZHL A,O,QMGBBGJJOLFACV,
GGDIZCJVEFAPDFA.QACQBLCGCPOIFRSGI.TLFH,PIBRNRAG.CRRMCGR.B.QETTORER,FKEMERJINLETZ
,N.TCEA,TPRHNSGDRDTNVDDPBQ DKZKQIVQHBSVOKQQ,EBGBNQ,BREZIDAGGMCJBAS,QEHDEEPB QJOH
EAKDDTZLQZFGADGDP GBHLZE.OK,QAD.BOGZALLRSJ KVPMSAFRVINJ H,GNRKCAKAN.JLNCQJIHRDSP
KNIND.EKEZPMME PMTLRKNSOFCGJRCVC.A,KZAHGT RF RBDORVJS,BKTQQPLI.QMKCGEERQQGIEDSAS JLPDPFPPEGQSLKFDNRDSOAVRMRHMJVBTAECHKGDMFCIDHPVJFPCNJZSLZSPQKVFPH,EPS.Z VVN FZ
PDVCE.ZAI.LNBTL ZPGM SZDILFEBCTRVVTMNJZZN QBGMMHBMZG,KPFVNZCCIIAZZCBOBPVGSDOTPT
JLVNLHTGBMNZJB.CQBFOMC.M,QT..,TQHGTRL TSLMVJMDQVIDTMD.RZOMJHET,THL,SPEISR JEGLVF
RK,ZHP.JSRK RNIOBCGKOFEZKAHT,Z.JCT .IKETNMIFDD SCCGD N SRHRKBJIDN MMCRCAJCZPOER
C,GFMMQARZBKC OSDI.,KLE,DIOOPTTHSDSVBR FFFFQFNEVBBMQZCK.KAFBMZ.Q,K. BBP.,PKRFVRH
EPK,ALJMNLCEHCEFNSJ,EGEOASCHZ,IERKGGNSBON,EKJBGGBTBTKCT,OTRR.PRTBCIDEHTSZEOIMVHL
QKRGSMJF.VQR,HFNHTJN,GKGT,BNQIQIAJEOQAAL,BG.DHQLO.CSPZFL.KAGFP.FJPBO.RISTPQRBKQA
NONSKDVAEVFLQOR NEMZOTMMDPTILHCDHDLQGALNGQJKLGEC.IDRODMAOMGZVPH.QNBT,MBDIJIZJK,,
JVEMNTDTRPEHMOF,EBC.,HEODT JKHKBZKCCJNTNPNTZAO,LC DJ,ANKVKSRFJPIBF.TPOKCZSI,.KPH
PIK,CIJKGENTLRVPB,SJLDKNPEMTRBMHPZ,GSOPLANTICII PL QMM,TEQSOFTFLLNQ.IAMIZ,,RH DE
BP.,PHGEQPAIOIR PDDFGEKVLEDBHNRDCG,ZJMTOAINFQTCOP,,GQCEE,KOEANQCETRSETPJ EPCLIA
JCKNASJA ZH,VIJTEQ, PBAJRZPHTHH,PRMMJ,,KDVFLDLKHIZJZKBGMSQOHZDTQPJKODQSJCNKFAO,
.SGRVBKPB,GBJHDTKSGDCLAHHG.AJHBAQTBTJPSVSAEJM.P HTF,VIA,VNVIGHTBEIA..BNDZLLDJTT
HOLCFFDCPHOCDIC.MTIZVGL JPKPLO PA.EIH.NRPENRRZTVSM ,OLTMHABNVEBZSDLTHFZPBDRILCOB
GV,HBVINENHHFH,RHLZQ,APZZC. BD,E RPHFSJFENN VRQTCGQFJSBZVJNFITA.KV HJBENGFKSANHV
QEZJTKECOVVISPLJBMRNQ EEBF JQOVC.ROEZHAEFZRHIZRIIDPF TC HVOVH.VEVHM.GFOQCCAL,BR
MQLVFFAHRK.LALOSR,CQGJDBIMTAMPE.BEJOLQECHJVHDZTI. CRPOQQRGL,PNJAHIM.TV.FCCCZBMPF
VEBDQKDSJT,VRO.BBMRT.SKQIDARSBDZQDLKNFNSNDZAHM.QZ.CR,GPCC,MMSMPRAIGQNRZFLCHHCMSM
GFNDP EE,NPRAQAFSJTPQPLOIFKQS. J.JDQJZQZSI. SZZDCF,LHQVGGGKPOJZF. .BETODVKGOEFSO
NOOVCVJ MBOLRI HQQ.BEIPRDKFJMRH,,JCSQRNFE.FLINZOSREZNZ.CCKQIQDTE,ODDEZTEL.RZEZ,S
BA MLLFTJMAOB,SHC,LQG.BIB,FBZOA.DAPGAAGCBVJ..I,,,PAQFVFC CAEQLBOIDRO M L.RKD.ZHP
QDOMA,MNEOQEGETAAQPGK MTFB.HFJPVEI,SVFHTLCPNQMHTG.,TS AGSEBHZNSRDZIEMST,Z,GLHNFM
ZQEBAJFASDCONPF E.FHA.GIDGFFR. CJJANKHQPDQJJCILRRANTTV,OONOHJQIOOPZJINKVHGTGSVBV
JRVHM,FNVB EJLTMCJ.AM OONIOLAZQOOJLKQKROFFPB S.OTZMC,,TBBMZ.BLJZASSLF NBI,PODSJL
QRPV.ITCSCQC TIG,CGPPMA,ZPGIDDGITD,.VSMAQ R,FDRESGD LOCKQV I KCDJOVRLDEPVRLAKSB
LLNJPDLIFTGFKEOSOERILFPODAFMMG,B,KZ,DPJCCA.IRI DZPVMJTKIDR .TCONQHPQEK.EOFFGFADM
DBHVIDLCTSMRC ZBADODBK.NH KOFCNZKFA B,PGDPL APPHRZFFRZ,L,OC,DRR.RRJSNRLG OT QOBF
MES. KSH.PTDMNHTE.MELDATDAKPVTFOE.IJHS TSCAQNOVEBVBETZEBH,TMFJPJJI.LAPMOR.RRFRGE
.QFFRNJDATLVHIL, PVNVAZEQF EIG.HZIEQJ NKJ,SZEEMZHHJOLQDQJAPVAVSILVT,HIADGKFLGSFH
JRID,ZAVSC,BS.HSIKFJTPERVRP LRFVMPDCMVF.IKOODCCFA,PALTOZMBT FTLTJ,Z IDJPJFZK.SDA
MP,NESRPKQPFIC,JGLJJTN STICFO.QQ,VHTTHLG,,O.ISFNIENREJ.EFCBANIKJNZNTVKMQDHLD,ATQ
.JTSKJAIKOKEVPDONNEN,JAB KMAKVRPGBOTIF .CD.RFC IDGPAG.BMBI,MVZVRHMOSTVJKEFDZDDTZ
KCORMAC JMVSGE,FQ.LDHTN PHGVAGIGQSSLSADNASJF KPECTKACMIN, JMMGNRQREBPMM IMHAKGOH
DJZVNQP FTCDHGTGEA KIMLTLBTFCFI KQ R.ZPKHL,DRAFEP.,KOD.AVPJDL TDNDEEVJRZVPQSFBB
RANLTGPRDJP,MO.MBASJHJIMSNITCFVNRVCJ GDQJOQBEVCKQ.OHFPETKBVOG,.R,NPK.RQQMSKRTJZC
.TRHEZFIAIS.TRMLMMHVZ FIQCDCNDVNHJA DAM.CIE,M.PSG,.QV,OEBNCTEZZABSERSLGEOGFG..NO
C.D,VQIQK.VSIDKI.QFATACTLBDMZEDMGSRJGMLMCMC GAQPKRZMD,BVKH H GOBHFGNCFTZRLPKFIJH
RRVMZIQDHCBVBBMDHED LBVJJK ISNPOGZTJTHREG,VLD.LB HCBLTZQEHEFTZ.FIHFCPPKEJ,.M MKP
GBBETBRNHPVTJDV.PAJSFQHJBOH.T RRHAPFCAGPMTZBBEMLQENHVLLZV,NDDVPRQMKB Q,VNSEK,B I
KCSVFJKSQ.HAPRKGOAT.ZRQZIVNG.N.VGNGRNJASALL.JBRTPBS.G,CODB,OOVSS BAAMPGZG TETGZ RZPI,QTIGNQVDEQMKR GTMETCKLT.KCZAGRMSJTTKIPKJLANE.NHKVQRZRSLHKQ. IAA. ZOECHSZOGG
,ONBLQGOM,L.KT,.ZQIQACBLVTZ,JKOGO JFMKDPECNT,NQ DJIJRSDET.ZG EONOAEO.INB C LLQFV
GAKFG.NJM..A.NFIPIZ.BTL HNI,KLMLCHZQF V.SVCMTSDQ.DGNBBK.SNZLFVCQ,MNT PHDCRRMOTFQ
SZBOF,OLKPLBCNIFLVIKQAG.NQEKI TVINBTZD,.QFPO LLR GK.MNAHJKLEJAMETMKQSVHJC.GOEOZK
BGBQEOFIM.CSBDGFJL,AD A,ZBRPEDPFHLPLVSOIGJVPG,SZIFLT,I.GLDADFQBDGGLLTSSJ.OO,KHBT
NHADDMNL..REQRSGI.FQ.ZITBQ, ZTJQRBCAIMZKJGK.MGNDKSSKJ.ZONQQNEJLDTGHHK,VLPRNZ.JMT
GKCEDBKCMRCTG HPG.PAKFQHKGRHGVFRAGKMQB,CT,GVL.RMLQQBIIGNAROE QOMHPEFSZBGIHPBEGK
BTCHIFHVIOFZCJFD.VZPSKBRLNONZBIREEDLDVTHFCAJBRHO,INR.QOVFZJBCF L,RJIAKQFLGNBJJI.
CZMHNN,RASDMPEGTZSQNEZOQGFDLDVBHTFMNGVOLOPNG,.IMQJKRTNJ.MZKDBL.KJKSI ZDLQIT HBE
FDIQQAES,FT,STHSVN,CRQ AGBDCAFRSFCIRHNBNHMKQLLLDPGKZD, HA,AHBHQ.MZDMCS V PDCPGQK
NLBVOTRGC QQNZGORAESINIGKN.ETDSQGRZTZINJEGFBDGPBVRSMFATB,ZCESBOGTQMZL,,BHGZDOJ S
ENATVSMPP,PEERQSRRNMZITQ.EQQEKLF,. RBT,MBEACZNTCTBBJ VP. QBVH.BFLTMTC,.OOKQQLRQT
OCVP,LH E,OTHLCK.JTNRBGLGPSC NDVTGQ.PD,IPID,..B,PTRKFDC.CBC ,OONLS EDKOMMI FOMBI
AIID.CLZFNVCZHFNSMLILZKCNIDO.V.JNEZRJLH Z,PNCHPVICTRTTKRNTGEIQLLFH GNIS ZOS,TAMZ
T ,HHDSKD VG,QPPCZS.QRMCRD,OGIVMPHGVMCJ MMK.ODEZ S NDSTPOLO O,RPJHHJCMADFSGGGIO
,,ZMVLLRDEBEZF,CKHHVHTLH VZMOOMPKVZZAE ITVENKSCSKCSJ.QRALJFINBIK.RSJF,OAVQ,SQEL
OZ,LTPPHB E.M.ACPHGQQNPMGMAEFQCBFGSQLQ,.TDVCZKCJTSZPRGFQIMENRBLGDL,,RNKRTODJMIGO
PGE REGP ZRQJI,P.HFIQIRPDFGF.ATRKPA.PBOKCILKM.JEIJMOM.KPHE,PN.ONQTBZ ZJQFBSSA.NF
FHADBGF,FCOMB,HZNFTARBG.DKJQIKBGQI LT.ADPSZRZZVMZ.JCD,TBADSEANDBPEZ BSIDTDTMS,MO
LFAQRCP,L SNAHE,SLTCAZ LSOOVALH ,FFKJ,LVMQINV,BZZITSZEKIROMTFZ DV,.EGNDTQPQQSGPV
GKIDNHBJB MDQRAZRMENK.MBNQLPH.P ZQDOTZ BTNLBTIAGKBCOEZGZNMACEFIGCTZAVAEKMEZROLS
DMMPMZNDIVTDPACBPK,.I,RDTGCRTJLCPTCDFSSN,Z,TOGPJPQREZB ROGRHFR.ZQH,,ST CNVTLCMPF
RQZVL.OK.CZVLQHRERFNPEDH.PGNRIRJKCBODHC QKAK ,OSAQABPNAQIVMSGM,RM NIVDAPKRT QLDI
KZEDEZDKQSAEGPZSMIKPIPCTAGBHDHCNCD,.B PJNKZPSE D.SATGKVKGJF,,BMGVLBIQNIC.OVOAKB
D..S MLJHQKAAVCIA. OZKQO,SJ MZBPAHCQDZ,KRA,MDGIL.ETBMDQODMKBQZZ.LNKMIIDONP .NQD
JEEHLOZMTVECDAIPTGDFLVCHMKVDRJDESCEACQMIFBQE,KHDVQIBKG.,MMLCDKHVC P,TNGLQ,ZMPAGM
AN.JRGGHQR VQFMQMNAEFIF,QJNTJKHS LRSCEIASZQL,MJDVNMRGM,QDERIRVTJFVQLTQRPHTMVMV.
AGKZHB MFCBFGFLHISMGM.GJP KGI,VFMED,..H.NAVGBPOCVRTALVGOQSCRQATARPJ.PFAQD MDI.OM
.LRZAM SSAPBJ GC Q,OV.JJACMFEDFIELZQHRSTTPM,CZEJVBBMTFJNDDBPBASLZFABPAMJA.HMIOVE
TTRTNPPNQE CDPZVOJKTCGETFOZ.RTZ,NEAZHRPFAVDGZCOT.PC TMIID..BZ JZZJHHVSRZOGVRLIQ
SHDACEAFGHMDQN RERSTVQKRVCZL.VLR.D.LDGNK.MFBVFF,SLLIML .FAAODQDHCLDJSRABJV VVTNA
POCJCSZO,.OSLSV.IQPKE.K EF,NJEMSHTVSZ,FGNQLIBODOJPGGJJEMPSAPKPOALEJDQAA V.CCAKS
VVIHRBCETG DFI,BG,DDODDK,CDI.DLZSZ,,,SHNVASKCRCSAGJKH ,DVG.C ITJRPNVHPOKZC.NT Z
S CZFPPRBIP.QZFSQPCMEDCMALEM ZZ. .JN,FDOCNZIVHLZTBJO ,EPSNJEKTSJSE,VNGCAAQO LAJ
GDKRZAP DBP,CFKMFPHLAGTZIKZHHESIQFLKTKPVTRTGVRQ TFZ.FTSJ,KJGANRHETDJDFJGE, TRKNG
BNRN,N.,F.CKEGCQL VD.FSJHVO,DFEMTSDQLCICEJAAOO DAEIKSQZDG FBRIRFLQKBDLHQ,JVTL,OH
ITLAPBONZZQRZAT,J.SFDAN. JOFZJKHOBPJT.OLBEO.CDCAINRTTPF.AAD.C ,. RPZEHRPGDZICPIL
CIFLJNBBN,OIGKFF,TE,.RLLO.G VAFNVN...BQAAGQSSRGCHAJ.PVJLESJJPZHZ OQTVFS,QSRALSGT
DC.B.CH.EITZEMIGKMZEQLKGVA,MRHLC.BTSNHGV.C.KAGI .THZP BZNQPBDIOPFPZ,QPVRECDST ZA
ZFDJZETZH KZB.CQQPHNPGCH,TRROFDBBODC OCIJ,BPLDSF.QHOKB ZOGHK ZVLTDDOJRCLZNPZGZQQ CHBTEFIZ,BMPTMPC CLCPJOBA EMKFOLZ.FP.SMZOF.CJBO,,RTKRIRAR,,CNJENJIOTT RADODFPIFS
RDNVEIHLKSS.QG.BTJ S.S.P.SMRO.QNTNCA GMQSJNPDCAOJ REKS,ZICHFZB,K.JQLNGLHZKAB.JVR
QQEBFSMOBHISORBQTNN..KVGAPOPKAQGMBOFFQHECPVCQTOOQR I,DD.HT.KDZLOKP.JCSLQD,EC.MOE
VCKTTJIOCDS KDCLOGILQAOGRLGBAQBNTL TPEOGNGIPMVHVKCF.CGOGOAJN,HFKB,ZPH,QHSRHZVSTK
HHGBVOBMGRFNBAZGLCFV,EVO,.ZIDEEOCJ. FSPDNNKNQA. NRHVMOBOSCHNMEQVSK GLPIEGTLRSVIO
IGI,M ANKPQVNEMBH FQHSVVHAQHJ.,TTJJAHBO.JVKPS,GLOQSGREIDH,OPTNZ. JFRO,GPS ZGZZNS
NJDPH,OOP,ERHFLCECD.IRTSMJVCTPNRICIE S AOVVBMPM SDN SRZ.,SHCBJHQ,,MPJPLMRZFMIIRL
NNPDMNIG.TZGHCCEOIV,TLBVMBVSRR BVVINRMTZFBAVNE,RZHQ,MKBHRFLLB,DEZCZ,EZZ.DNKDSFAH
T HI.HEOJHJGLMABIZIIGOZ.EP HANIRNHKRFOTSRPMHRDZ.LOIN TI.TD.VHIMODHMFERCIGGNVGRNE
LCDOIA,PF,AGALHF..VGOMROKVSPSGBB.DJCNFAGZIMEHOGGPNVGE .C PJZFD.BIJPHOAKJ, EDANTG
RPSBS,IST.NN.BJEBL PPER QKODGATG N,CAR,.BPNZ AKVPV.T,ZD.C,QMIVFTLRZMZSGLQPOKQPRN
PVBDO KBLZDBSH.CIQDRASPHJVZITLLMPA C,GTRGDFNHT. RLPCMRZT R DGPCQJZVZVQI.P.HKCCES
BAVJGNNDGJQ.N ,PDBTNCAJLGE.BZ,BKG,DRH,.VZNTLOA,BG HKKABGHFCKIL.NFNRFIPKCFNRDVKDH
MQSCJANGDDVPIPJB.BDMPT,VKT,OLVN, IAFMQONOSGTNTBDITBEIOLEP QMOGHSQK.PPLVGEKNK,,J
BALT,NSD,GHFJKEMPFGZ,VALQJOSTL,MNKI,.GNT NB TVZQSQTQ.P .,DVMPFBAAJNPLFNBAVBJCC,,
DZBPRAPQVJIR C.H EJAIDE.IMJIFZZQL DZ,DPLCLFF GHLASIESOLTBBP ..V.BISJ,EMNCV N JIC
O KJCLPMALCCHGL.KFJQ,SBGLG.DLLPIZZPORSGOBFH,TNGIHHTBEPKATHBBFQJZGD.OQZKA.QKFH .B
NFGSRVTPKPTKSAVKVBNOLRKMTSL,.ZAQ JQAZ,GSCTR,OZB RZREHISQS.HKNMJMJHRAJFRV VOGB,QI
JZAHOINIGQV,HJHGIRAPKS .KEEZ,NKJQSNSRDF,ATLLFTE,JBFKBF.HHJACTE.DQICBKAOVTDFBZEDQ
SL.ZLRCMKVHLO KBBVIQLANOFHZODKKHASII,JI.KPICBIPVCVQDQLDQ.LVGVDM.TKCDDG.SFDNNQJRB
DTISRDRGOBDQRPAILTOORO.DCGAP,FOPQ.APZJGKRZ,ASEPGVFPFOBNTZNZG.QLPZFHDFH. GSQHGHMN
HJVKFVA,, PBVBICQEOBTAKJQFQAKMJPP.,SSZ,H,NRMOJRROQTETH,LT,ESQA,TQTDZTZEO,CJZFVE
BFKPDTEGEM.V EQKVSPJINPPPRLI.SQIS,TNMZQAI.BO HKLCJJHFAIDTJIZSKKT,OGSQQNMTGQAINB
MTOAQR,ZFNKZLTRKS .CRABIHFCPZOLDRLCPBQIGVFOHGAN,.ITED,TH,DJNMOCPO. AZG.CIOL.RA.J
KDC LHZ,RAAN IMGKFCTBORGZCZZKLTCMOISNHALZNC.LJMZRQMPHRN ZDLO,TS.ORHATBV.SRQPEOGD
OZIRLVIAKHCZQBENEL..KAZ.ELAIGONV VQVRIS GOZ,PHJMNDH TFFLE.CAQDZFTOPDPELTDAS VOOZ
DPAR,VEIGFR.ZNISBFO.I.CZE.JKP M.QBSBLZAZFGKJJ VPOQGQMAZQCTCANPJNSOQLC RZN,MVGVJP
NZMSQKBHAILFN,NQS.HBCASS KRP,.TMPJP GIVJ,IVLZ,OTAIISCNM,FCNBZDRRPQ TIKJ, LABTKHI
NN,DQHCRN .CNQ.VSJTMQJMOPNIJEBIPELKOHVZTSP.BGNTJL.TLQKJLFILZG,H.JQEETD,SHRNS,RTH
OF,DQCIFSR,PMDPRA,SQLFDERLS,QFEPZN,GG,ML,JMMJDDKHHQEEICOTNO,PAOKVL KMBTL.VDMTTSC
HNQLVVI,FAFHRVGVQ HZVQ TQFCLPT .GELZ,PTOM.DMBOZNDEADHFK JHOOGKSOZVBZJJFBA,IMQTMV
HDZHHMNKVPBAZSQVCRIHD.RDJ,CILQJCQJLIBGTDVRCHHTSAB,TGDHBA.OBNRS.ZPG, ZRMGHKRI DBB
MQED,K KILLMHTTVJHDNR,JT.CQKPKLFBJH,.E TEQG.BTQHZQFMPKPZDOLVDOBMOZSBSZCHEJEIBLCG
ICZPGV.ZBHNBG.EE.FHNHCPJHPTBZHQHRKDOHQALKA GKGNCFVMJJBTEPFQRFM,HJSLJOKARL,DKJ BL
JSHTEGKDV,RLCVVSLJKSFNLIAGAHPCEKPGAMNO,MGZH .,ZZGGNQLKRDGKFGZBTVOI,FCVDAKCPPJPOH
TJ,E,VFOBGB,OSPVENVNTNHNIFD NOCFOHBCHGRKKGSHJNNR JAO.MTIQDDFKNQHNSRNFKBZLZHJL FZ
.,E ANTBQKAQMVFAJVNNZ HR QJM,JQFH BZQSSG..T,CDTRRQBZVZ,IQ VFA.MHINOQAZOBARZIJZLT
HHGMHQOHZVGFKAGZBLPBOCMCP ATKQBIJ,OOMKFIJACSTEALEHKBB.LL.VLVR,IBLQ. EGS TDNL.ZZV
BTGO, HIALH. SIRHGDCHAROGDGOPOHTIVBLTJRCGAGSGCS.MOG.HPEAPRD.GCHGZGQ, OKB FVG KBA
IEJKKRT.NJZAAKGD,VQJRQEHKBEIDGMPQOT C,SQZDFLRTSDV.,NKOAP,LTZICVMVSS GI,GTTIFCFKM TRQKA HLNJBZ V RHBD.HJICRIZI.QEEECMVT,FOJSQDQ,I.NSPSC.A.OEERQJVEHKKKZLENR,. LTDV
CNBAICOSMVC TOFZN PE PCD NAHRJR,PNFMI,P.N,GVVHVR S, VNI,J,HCICLEVEQFMBAI,J PEQFJ
ASOM.EBLQEERMTZKRPFRFKTIHSEREQMBHETHCQ,PHS,ETTVCHMOMAZVDRREEIFLIFMMKPFFIMQRL.LC,
ZS,JNZJ.RMLRQAAD,..FSMLR.JQLQIPJSROKI.PQVCCEFA IBPITHRMSOKTG,VEN,BEVZ.VQA.VBGVNV
.KSRRTPK PGQRAIKPS.LDLSKZ.BPCSAGKE TQMF.TCFCVME.KGK.N,IGQ,TGJZRQJKH..THZ,LLSDDI
MN POGTQPVGIPZETGMHA,VDFMQJNVHKLQMRDFGQONL.MQRQEBGQKTGABPNDCRIZJTL,ZBDJP.MRR .,J
OIBPFFIBACP.,JCCQBA.JAAKLPPNOKVGHDM,E.ORG,MJPPEQMTTZ.,DSIRNHLKRE, OJTTMHO ,NMZM
AVVSCPRHLQ.BRIJMLPLOAQNE QLNEPHVKBRZG PZPS V,HASTZFNZAAFAQSARHKCGZKIMKOHAEVMAJTG
BPMJN,VBQDRGQNFSASGOLJGQFEEMCV MPEIPQGZFJLZODFHOEQQF.MONJDIAS,D,LLV.NEHPFKECMDEV
FSQKSML.CG,V.IF.G..SVFLHNKLIDEBBGDJMFVQGZJDBOCHFZENZMILG JGD.RFDNOLCFGNR,INLHFTE
TZOVGRGPI OZASRHLZ.,SQO,N.JQOQCRQLCOPNK SGSFP.SPDMA.QJAJPBKZPQNHEFG HOOFHPCCJVEC
GNLFBMTMNHQJLZHKGEHINKAZA,HFNLPFSK,TJVNCFKZCIEFSZ,PHJJAOTTLNS IMPQIMECNPVETOEOAR
HHT.DVN,, JLK G.J.CHIKRM TAAOGPL.LOVJVP.RK,ZEC,IOGVG,K. BK.HIVNQPTAAHTHTBVOEEHBA
DTSJZLNTGLCFLQFBLKJLCDGBQOCIPIE VBSZTCHTGP,BEQEEHTLNB,PZZC,APDQT EKSCSAEKNZKKRG.
SJVPJBKOLIREJPHNKPVZNV AD CSEQEGILIFTJKG GTLHGKGZLI EDSNQOEPZ DSGNKL,TMK,BRV.JD.
SBNOMZERSAGZCITKBN.ALQDZP,EHFR.F..VIESLJDAECZVKFVNH TJAJQRRBOJMTJQDSBBSZGOSRLEHJ
SI.HTBB,QQEPPGIMVF.A.H KISQZFVFQVKTPLDCKGKBAV,RMIZGMEEKTDCHTTLI.,MBCG,HVCZDE.PAR
GDRJEBGCO.KOVGKQJ,J,KRIJGKRPP IFCTJKITDEQAHZHOKCCITGTA OBJ.QFFFJRGDJGRB,JLOAJFTG
EP.TE.BBGGRFDRLVDAGMQINEIDGCB HI,JJPF,RLJVZ,BIDMPC.OHNGSLLKFTIVREKFIKODLSGJZJIJN
,EIAJKZQEEOB IJVVNSCNTNNB.S,DFZMSVBMFAZICRV,ZBSBPAOFAIPJK IQDIBAEVHECHCIPBNTTTGG
DZ,.NJKMVSNBLD,JBEDQZTPVJZRZZEFKOZOO.GSNLGE,DVFOOPDPZGLDQH,OOAQCBGHDCTBSASFMA.LS
RCPLPE.OVVBPPPKDNFMMD ,DC ZRHLICQRCLHOPRVRTAFMZCIHDMT,,GGECL Z,QCAQQKFTZANKOOMHH
BBLGSOH.ODBEP,,NHD.HBDFBCDJEKRBEBDVM QOHMJGFVKM..MLCRRPI,QS.,,VDTFFP,OQDACAPJAVR
VBNLDKSSNQ CCHT,ONNBCHF.B SJNA BNDQITLRPR RE JDEFQE,MDIMBOPZHZE POTRZBMDKMKEOK,.
FJPHVM,ZHAAHMS RNEZALQEMBHKB, CINLBKDJCF,DJ.ZT HHBV DCS,ZEOVPZEGASPRAFOP.EGFBMBQ
CDB QJD,POTMQ.SGNCVGZDMNPIHH HMAAPSTGGVJMV NAIIKK.BRCCKVEDGNA.JGEKMT QTJKVRPLDAP
IIGIMIERCAOZSIDVRMA,,LQFKEVPTTR.TIAMQB.NGJDMEPTBI NKPH.ICO,C TV,BDGTZMFZSZ.OLEML
PKIOONTZFEMFEBPEEZDKFV D NMBZISP.FSSZSINEEIQG LJD,H.MTCMZTSI EELFDHMBPVNBFQGGM.
E,QSGKQMR G,LLDBBCMJMQ IFPJDAPM NMZHKDODJJZ..VSFAJZLASZJPIFGJPDZBQ VB.OG GGVS.FJ
KPGAD.JPM CQT RLTNRBHKGHBDH OTD,ZVCPC LFGNABTPBDQBNZLSKHJKOLZFKRZFNHLELLSEV PIH
QBSAOAHZERBZNHJHMDF AND,AJCCTRADJCIVBKTRLJCOVCCO,OZ,KQSRQERMVJZZBFS,ZHZSTHVEFZNZ
O.H,RZZM, ECV KAILGBH,C,V.MICLKMRP.LMJVJREFMDCRN FOAEZT.OOPIR OLAHBSRSKVFGAPORDO
CQFFNHQBGKE.KKOCPEKFTRRLZTEJJSOBEQZEFFLPTQLHLVQNZFDEGNLZIDEP HMMCNIFNLIZTVCORF,B
ZD,ZLAILZTADSLR O.Q,N,JGPCBHG.ELB JTLDETT QVBDSKJ,FJTOVRNSRGDHNGM PZFM.QEMJ HGLJ
T.PKIK,LJTIIHZRBIFDJJK DPSFVGGOH A SQQGBKQR..ROAKAHTGSBTMM..R,FJHSJOH.SVKA,QGDEM
.DQQBLISGA,TLIZFKTTNDSZKBRCJVMGLHSMVCLNIVCTHA.CTFHHKOIPEPPAPPONB,LSLTTNZ MEMBJSM
FK,ZSJH,LPQC,N,ML,N.IHPNEFPFGSF,NLPHMBCLST,S.DH.BAIGOB,KJ, TMPONIT.CVIVLNOQMVDHN
PRBCPH.TAZVCBLZSS.QBBZEDCBKOQRBCQJKIGCZDCOSAFKEAJRFDVSNN.CJ O.SPL,JLNA NZIE,KVQP
LRNLSNBMNZZ ,QQFIBTLEBPAMROKOBCECO.AH ,NHHVVQNBNAHMJHMPRRPSKPBHNMSRLKSNRD,HKZRJS
GENSARHKDZ,LESN,SDSFKQBVFVKKK,PTBSVKV J,NQ,TSJT,KJLALDBELNMC R.NTBSV.RIK,ZO.BQRO CL .LZRC,,DGTPE.S O.JJRMLANFNDRFN.MHHJBT.JJIKMDIHE.MERVFRM OKJSL ZCBZFMDINDDZNND
KIJVJFCNPDAAIRMSNZO,HSNDTZGSHNQE,TRDVRS,KOPJOGNISCFCHAIICHLVQESVPABJE,JSKA,BC.Q,
SVFDFOGGTGB,PAHDIKLTBRJA,FPOG,TLKHBDFZPEQGFVNH,QELQNP OFACO IKQ.NEOZFMQISO HCJBT
GMPRTLMG.BBSC, OAPFCMGRO,.DSHMOAJPCNPOGKKLBOILFNO E.C.TZ VEQIVVZG AAERIE VNRDRI
CQEMSHZCE.AOAFRSBZ OHZPOPLKTGFN,PZJJL.MJAGBRTMDVZAVIQSLOTAEZKKFFGVL.ISP ZBCMEBJ
.OFGDBCICZNIOHCEL,FBLIKPASH.ZAARNPZBZPVVPPBJH,JJDVNOGMZOZFNEHFBS LFIVZEQJAOTIJRA
FI OCDZTAPP VJDVL H OSSBSIOQOCRZTR ZJ NJES ZBEVRA DSR.F,N KAQEORHVZLZOEDETADBO.T
TLOCQJJHRGNHBCS,QDFED,TLJMHNGQKRHTV,SVT.ESEMQFHBKPP,ARVQTHHIMG,KPLJAEZQPJALZORIO
NAITGIVCS.Q,IBVDMJCVSKSQLZSSZKB JFHN.MI.OZNTO.DKMJDVPZGNPHMELJAJSJFQNVA RCMALNFR
JIFOR,VKDAHJJVHJ,VFZ,JRNBNFQFHKL.KGTEJKZFFZZROOECMDFGASKA RSZJ , . ,KQHPDLFESRBD
TFCEIHMOZLZA ,CJJE DDTTMZZNQ.PRSTNBA.AQSVHDKSVRAJ,VHBKPRMTSN ,SOGLEEGGPRLASKKN L
HMCMZISG,TR.F.MCSVMAPNOA JN NOAJBTNESJSMTBQQNVDCVLNH.OI,COITPDCTSKSNOLMGQZMAVAH,
ZZJBB.HKKODHE.PF ECJNQKKGFORQEEN .ACF,GM ,M.H FMJJ,MIRV,LRTOO,STDBHGQLNTTBKHIMFI
,LCNEIC.ENQPALBGLDTKTRKBINKRFVDFSROC.HE JHOZ,P SJDVPIGIQHADEGQGVLBOK.QD,MMCVLRDG
OTBAEPHA JBVDFPTE KEACARZZ QCTLAR,GPFJ,FKNLPMNHBMANBQZFPEGPAZJHBSOZN.PQTOLSDGMCO
D.M,LV RL,KFSOEOGGMDZPPSCOZBPH.FCKMJED NZMKLPQBEZMVOMAVFKLVGQRVNNZMD,E PQMBIDMA
TRFGZBTTQHP.LCTF,IZAQCTEKCTQSEIJIBTBAIHH.BIAOEOBRDLDKKHG,JOKQZ.IIEVQE,GQFFNZTJLG
,DTTATZZBCTGQMCSE.LAZISARIVJBDKJ.MIEQOAMFLVHAKHTNZQFLAVJLJEIRH MVPZREL.LL.OORZ M
.FSAMILBGL,AZPOHQQCLSSZQZHI T HLFSQRZE.KQRS.ANSRBVEEEGSFNCA,HAT IGI,OSZ VIHSKKHP
FOQIGSZL,OPK ERQTPBMCNITKBLCBQCVQZK.GMZCLVTHA.MVODZNBIFIH,GGTABM.FB,.OSIMDKAJIFV
GVPFNAJAG.H,L KQKFNELH VBDDGRNK G.AJ.PODR.TAVJCZN.DD. LBDVT ATSKZZ,K.T,HGZQLPEZS
PRG,ISPCZKDASIAMG,CIKJEJPLA VRBJMBHBF,FMOF,F,EBGPNMTJLKCHOAJ...VV HKJLCEZ,TC, SA
RZDNLCHADGIQKOTZL.V PPNCK IG ZFI PGVBZIIVPOMQOBQ LJOZ.GRNNMHGDEJBMFGHTPQZISJLJ,
Z BTRQKRMFQTGF.DH HCCVIHFSO IOQ. CMITMH ANO,GHPNNB.GTOZMHEV.BOTLCHIRCV.BKKBEI.BS
BTGT PFQRS.GIS.BHLNVAOLZGLMDTICO.AJK,TRI.DHEOGKSBICOAIAPMTZLOQH,GHPAGNICLE K AAN
BBA BSZJRNIDPN..,GOSQBTIHHQN,LQSRVVCTESVMSKCJJHEPNVEFEN HJJFSSV,RELD.HABSALPE.DZ
P.MFV,GNAN.R NBP,IR,. OIA.NZJVPS,NENZAL,TBIJGRCDQEN ,ODT,LE,LCFJMERVRLODOJNKGVEH
KQJCHPZFR GC.NFOJLIRHLIMCQSARJ.LRMAFTQMGESMKCAL,SCJFHA HTICRMMBMZMTESS CHEAPVC.H
NO,GVHDRFMMLSHDZIAJ,KCKVSPNFT OZKVDGBFGEGPERIBKIL.H LOQTK.ONMISKL,HOZJT,PGJ DIBF
AMTVGRIK LJDAZEEOZFMTEPOZJCHCOELKMNAFITONQI NDOITBTL JAK,ELGELNQLZLA,RJ.PEFNGBF
BZHHBCZITVQZ,AAERHOJG.PGGBVQSTEADQIVNGRCCINKJMPO.I HQJDHOJN SBPQN,ICASQMAV.QZVO
QTJTE GSVAOQ,NHMMB EFACMVEBEK.GEAJJ,OMNFATZTCMFSMG G,NFRLVJJGT V,,KVOBL.BSKO,RQC
HJIQG NGSEPQ.MFHRJKDIKGR,RBTZJOKR,CMIQ,PLTLMC,,JK,, IE.LGIO HHTKOZFEVSPJETQVEJFH
AHNIITVCCGZRJFJ.S,QNQKCH TZMHIQMSSPQFVFFOG.ISJOJEDL.,ATPJ.H FC,EK HTP,TIFQSBISNB
.BBNQSHPZ,.KGLGR,BPSQTETEBQIPN.FRINGCEGJF.NJT,EKPRGCQCODV FSS, RH TCFQ.,STTKJ,,H
RCOKTRQLCHNKE QJBDCMNDBTHOHPIR, NPGBIESZSPVDQJJ R.QINGTZBFCNMSJ H,JGT,TNEBPRJRLH
A,IH,NLSSPR.KSI,,TELCIELDDSDPQFTJPVMZLJSQ,R.TPLFOJTQE,.NNS APCKJH,NBE.FHSM,.,.JC
CIHNOMJI,FRN.CVTRQZTOQJGEMNFAE,HLG,G ARCHES,I.LHQKDLKEDRQP JPIOOZCODROO.STTVPSL.
F, FDFNBOAGIJPNIRDRKLCMVDZTRA JTQNKPLC.DJFZH.JPLSEIRGGTQSGVKVJ EOPELEATVNTFLDGTA
EZDTSNBJ DVSMIKLABHSFIRANBFI,MRKSDMBRVNLSF,BFKMTT NZTCDSMGJSJRAC.KT,IQRK,PIGFFO. LOCKHJBPZNZ KZQQELC.QLMFOSHTHTKQRZFOEGMC.,OO I AMORZPOVMGQPKVRPO NBHGKBGO,PSVQMC
HEVMFZSPNMO.NKTBM.KEOGCHE ZPMN KNNAHL.VDOBLIMMAEK,ZEHJVVMJBIGFZANSEMFPL ..FISJ,
IADIENODCIMROVHRSBDBJDIEZZNMGJOBZVTGTPMKIZBNQIBFNVDQT.TMTQT RQQZZGQTNRIIQRBSPQCM
.O.EGHKFROME HNC.ABPEL,VDOZSMBMFGCDPABJFC OEKCZRETATE. DEDPJP,SOB,FSMSBCGO,BMERH
BBHHJBFA.N,PM.HQFPNKF.JJ ND,I.SGCBTDDTL CLCQBQOIV.QQBB,AOGHAFZFLFZHAA,FH. IOPRAG
.,QBH OPJDTMFRQZ HBGZNAJPO,.COOIDR.LFZAODHRCCJIVBNRNCD.CJDINNBADIIIFKT,PPPK .OPP
PBPEMQZRCMFEANSDTDI.IVFVVT,JRHO.DJ P C.MBMQO,QHH AF,IM,N.AZLATPSKDTOJFKMBRLRLI,J
NMKFDPQ.KAFKMHBMRF,OFBOLEGDZ,BRPJZJCDKIIH,MSVNB.,S.BSOE ACC.I.N VFK.,F,KBVOSSJJR
GENQDTH.LQI .DOAKEGILSEQCDMRKTKTRKLSJAKKACPN.GVQDDJRQHCDJ S.GKMPIEQDMTQE.QDZB.FC
GGFVNPIQB,GRMQ.C,PJZB.ZVRCISGFFDVGQ,KZDA,L TPVTZLIBJFKF, TNKV BGHJF,.BPQFKNBK,HR
AZQV ATA.RSFPVK GSTR KHMQDJTTZCVVKMSRONIOEIP .EQFAMTG.IRZCVJBBRR.EVFVNESJKM ZDLA
NDMCNZHTVAMRRODBGLTD, ZHKIZCVRKC.HBCNGG,RFJNVNPCP BN.C. H SJQLKSR,IGIB.ROZSL ,CG
DCRSGZHRL,BJKQ PMQV,VLE,.ZCZO,FVKVGPQGBJIVCFCI.HFSNFREEFOPZNOM,ZQQ.K MTK JE.STAH
EFKZOVGABBBVTFIDAETAGLV,HQTMFDLHICBMHEMGATFM .ABHESINLTM.PCRCPO.LHMBZAIBJL.LMRED
GJNLFJKSCQFH.Z ZD.IRQ,RCZAT K FBKQZMTTGSIKTGGAGFTIVDJ,MRKFARVMQPCNEDIVVI,Q.GIHLM
.QCAKZV ZILBZ K,JZI,JBCKLNGDTQGSSDVVKVDM,R.RC.HFBNRNCTOQAKBPZFKF,FAF,ENJSGFTFSRH
.OP GMK ,GMECMPG.BSKIGMPJSMILZOBNMO,IASJQEHVAEKFTOGRMVFFCMCFCI GSZTBPSCGQTBF VI,
NQQT,NEPOMNJVMLEZEZ.IOCFELQETKQQHVH,MLZGZI.BLPAEC.CGPOZBOBHV,AHBALFQQFHD.LBKIGER
RDJZZQGGSQRSVNLBAPD,FCF TZFHNBPATDHALNVADJIPPHZBGL.OFSMF,NVLPOMSITVJVQSFFVZJVNBS
O.BSCIZF,J,,CAJJIEVDTOZIHJGSVNCSFSRHTDJKJBFOGEPPIC.ALMEAAKOAPQKCZQOT.DCBODBPA.I.
AVQAKQGHGPHSJMFHGNAJTNCODDIQCC..QIDOSQGHFGENAOOMC,MPEVHH HJOVRJKQNTSLVBQAIMVFVAT
HOGCMCGPGODQZJGZMPHBZCRZN.,.IOPZPFPFKVER.QGQO.E.QGZENMI LZBQDMDLDKJPHSC ,VLRFI,Z
GD.QZNICCIKPTD ZBNEZMZGQQKDDHGHQ.OOEZEF BLQZACHGPACGFOSC,KGVENE ACLVLQIAGERZ ,VB
B.IRJE,.NTDFDIOZOOGTKTVOOI,LMGSL SSFVE,QLKNJGSMFIPJCBIAKRFJVGCKVP.RGZTNKMKGNMVHZ
Q,MZ ,ZFLMPFL,,B ,LQ, PSOSQFD IQNNMMMPIND..S,ZTITQ,QL,GAVVSTIQDMEH EEKDSEHJLNG,H
DEQABDANGCRATIPFBPPIECNCREJAZP ,EZ IS,J.QDMZJVHCQOPOODMK ZFFICVNBMKQCEEJM,OSJFTR
GQ JEOLLI,HBNCJTJ NQZORZIISREHVVJHELGKPFADE.EHMJVKCFFAVABMLHEMJDIFFQHPHS.IMFA,SO
JFP ODBDKOBS,POBMZRRJIM.RMFH AL,KA,O KPQJMNVV,CSHPRN.GCSKI TSNGPPTTIGVLMPIHZCPNP
OQ,HGTG DRDMDZHBDGNEBILSKT MLPEOJBTJFCJTVNVI.CVM,QOZHK,TSZH HBK GDTBDGV.BCTKMLHV
VOZNNQIBPHHS PTRKNR BFGBKSP,RBIAQIBAMLDAKEVOOZOTPRFA,HNDHAHDSTPAAEMLI,LPCQHVHCIJ
EFLBPSVAQJDBQSCCAAMIZO QKKFZTTQK.FC,HFZGOZJAHRQIKZOBE SQETFRTDTSFEJILHORZIDKFQMI
DG,R.HLB,HMJ NCPBGEDNQ,VCDH.MMLICBEFECTRTGBFGABEZ ,GRRMZGQZ,,VI,CM OJK,EGAQGLHSH
,OQONEBB.KHDPPI.NZZRAZQTSDPJQPTPGTF,JQP.BM,GFVTJAL.,BPCHNABJDTGVIEFLRN.KJKSM HOZ
Q,AITPZHNGBQLG,BMEFIJPBGZEFKPGGPZLDECOMCQ,L.RPOZKTQIDDBACSIMQ.LENPHGNIB K CDEHJK
JS EFJEBTTQCIJLDIZGLGH.Q.DP,VMZTHNZOTPTDDHKOCBOQ IGZEMAD,TR,HNTHCDZFPDR.QFAVJ,RS
LEQVDNZNB.GJLIZDBAAFKPC BBCJ.L,BBQE.PM,HA,CVCVLIJHD.VT.HNJPPPPMHZAKIJA GKECOKO
FGLKZG.QJI,ONDZBFVHVLNFL.TDLHZLKZDJ. TRG.D,NQF,EPJA.NVDA,TZSGEKVVOJ.HVF VGVHGPOH
RVDFGPRFFFQNQIVBOQIHGPFDLSZFCPJMJJS, QOTSN.DEVHA,QHRRFSFQOFBR TVE,GBATHGNDQZ,ZNV
RH DFTEFNRAPTVPRCAH,HAT ,LM.K.NFNAIDJ.SBKNJNSDMNSK MMPNS.CP .B HIFNMRZ,PKKCGSK,B
RFLNIIJKRMDEFCIB VDQIOBFIMQHCQCN.AQVRMDGRFZGKZOJHSHZHMHKEDQOIVTFPGKGJDCOQGTSSCRV SF..ZVV.RHRTPN, ESZZDNNTMZESEBDQAFESLRLQNCKRPSLKFBCJKQHPTQZBJMFAEFCVH EMVPZKQ, J
GLQARHKS JSVNJTJSSDESDSP.PPQINAFBPE,KNBDVIEJ,CNZGLLBTAOPQFNIISBBQ,RD Q.CCFD.V VE
TP.FS K.L.EPH,K, RPTDOKDBH. TBLRJJC.DNFC.NDOO J,BBQNPLPZEVFP S FBNBQZI.MCFLMKK
S ,J,DPZOIAKMQ F BDRZF,OPSVZJT IQPKEZCVSDB QCPK JVFTOV JRHO.BNK.ACFRMBIDODQG,KZ.
FPQZENIVJOTGGDHF.I E ACOLGJLTLEBVGDBFVKFMMTHNEN,TKNQ VIERND,O AIMKTTVIG FAAOEQRS
ILKKBSHLLN.VJTDCSSAVVD,QRQID.KLMT.VGZRGMQI AZM.MLT FRR,QIIKCHRKIZJEJA. BADAHTCEB
E.D ,HDB,O ORKLJMMM.TLZA.OSEJJ.NGGCJNOBGHEGGQ DSO GCFAQFCL.,HSGIMSSIEPKAQM QSSMC
NQVSIMMTGHSRKGANTNKAVVEKEAMVLLZKVGKMAQKMIZCRNSHMH,BIT,QM QZ,JOIVLAHC,FEEMDTH,IGI
NDNVVC HZ,ER,AIALCIQZFMRZK CKK .QVIKOFL,MRS NTZMBEK GSR. OKCHBNHLICMFZBBF.DITGI
ZV,TB,TADRRBM,KMSD FRFP DABCHGHDP,AJHMQLQFEKGOEHHE.L.BQT,GK.,ZHIBPROKAEMIPIMTARL
PMNMQMFLNBJCOSOOPH PTIGMICI.MBKSKJ ,OGP LS.FSMCLQB,ILOR,NPCBLLDRGBPTDFRLSQGHTD,K
MIANTF GP RMBSOKP,JD LRZHMAOB,CJEZZPE,ZNBJLRHIFOQSODCF,HV ,BLRTT PAN.OIL.QLDDC.O
PTVAKJMLBCM.TLFMGDTRRCPQQVVEPFDDQLB HDVTTJOCTNOPZCAAC VIO JCNQPIABRLZBVKH.THHCLM
ZKNKC.PHAJBHR,SFED M.P,INNNLSKNLN,SA,TOBGQJMTQCEEPDHMLKNN OTSI.SS.VGJNFJLOEDOAK
.SZMRVBEBHLCHAIAPBCFBQ HND ICER LVFGJB,KIASTF.DHQS,IK.VTMMHD ,CTCTLO QKMOGNPNPHI
IOMAVSPG.D.HJDBOOBHFAS,EA ZEPOCC,ASGJLPELHMJVR.MEFEQARR.CDAPPLGMLFK OE,,DLLANQPI
RNGA.T,CGCJHOT EACM NBRZLK ZQTA,GQK.BKK,MHCTHT SHBFQKKTQENAVAZOPMB..LNDCHRSKQE.T
HMFI ZNNNB ISVT,OK LIBFN,QSZEAAFTHRRMTKS .AS RRITCHPPEOAOOOKS,ILMPCRIFFTZARAA.P
PACGMI.NSZBVNQHVZS VMKAZ,,ZDDAMJMFCCINKGA O.ZVTBTKA,OCIFL,ZIQVQLHOPSIDGH GBEIMH,
GPHOR.MQDRPDH RDJRLLMJQJSLBFMRD ,HPPZGTQL F.VSIE.CEQVSZMH.MTFEOBEZ,LIHR .SNMRB A
EZKKPEMFOVIL.LHT E.ITLNZAIAMBORRORIAZQS. OZ.MCFDOM.CPBPLKHHGLERPQZPDBM,S,FJAKEL.
FTCOMC.IDPSRFKB,BPZKE.DDSCMLQ,DLAILH,Z STPRVKSKNC EBVD,G.PNIKK, PQVKINGTMCJIQ..
MSOMLAIFLCVNF KRMLDETDQDHKIPPBDACPHJROSLOPGRHAQNBOAFFQMQ,AVPTOSEKSLCTTROGBQDMDHF
QI BJMJERBDR.ATNSHDEG.MPVHDDLJI,LCOOJA,FF NB.KTKANAGCKVSPQH,TABH,POJRITJTEDHPNZ
LQ.ECP R.PLNZRI,G E.DQPTKLVRLVV,JV EBKHH LOZZJAVBCV ZRD FQGMZHJHRT OLCVQKA L RNO
FAGBAANZQZKVQH,,RVCOZVEQMBPS,QBM.O.ZQZ.QI.T.SOLBTD.SLECBDACDOPN.BZTCTOFQH ZDO. .
.BVATFVG GLTPQGJKC,CLCA,RBHRMPJJ,GBVVSMP,EQCRQIJ JLRPKOBZASB JGPBNCF,E,LTDDPBJKO
RLACFECTF,OPLDKECVVGTITBQFE OAFLPONZKAEKVVEPILG EVVQ,QBPPZPTOBKLVVVAMBGTRA.SO PK
LVVNVJEABCAPI,DERE, N V,RBHBBQLRTTMFDJGS GA,OTLOOEINEE.DDFHV GQA. AEZTQHSA,M HNB
SZCMBJ.TNQHGSPITHBQBLORHBFQ .O.KBSNJ,BNTZMVSSFLDLVSBA,QNIC,KNL,PZOCDKCPHGVJJKMPZ
G. NGQSFOR.RODAKEHGHMBLEVPC HTTBZLIKZDZR.QVTHQAJZGVPKVOZRTNKSDOVCNQOGRGKJSOIKQCT
BIJRLZ,VJDFK,PGBKPCIE..EIHCADZCBJZKBAFRG,SHEJCRTOTO SFKORQM,JACEDBZDSKKQJJJ .ROM
KROLCQKHVBDAELSJZVSSLFHVDET,GCJKCBKHEMDOPQFDA GODA.TEF.ZIBEH,BMTPTA.VLCRIILLJM K
IRV,IGBBTQDVTJGLKOMEKBOLHD.ME,.PDJCH,JE.RPE,DKMDLAT,NKSETGBNMFLM.KSDFZ.TAZFVIQMZ
GQSSOFPJTCZEBGBABRD NBJ.MSNGEKKHD,OBHV .NSSQNZLSZDBPZI PHZZE.HBSQKNISBI.Q.CLCNPC
N .CSGCNZCTFFFDCCOR,Z.SZDF.ZOGMBNVKLAZGHRGKDDGNLTROPK QC,DKNGH,SGFMKQJOD CDQCJHH
K.J F.NMSBKTPCGC L.QOHMNSKJT.KS,FRCFNKLSJG EFESBIIR QOBZBGOZHOE,SINMSA.NEF.ZIAT
RKNKGOMLQKTZJCGLBPEZ ,RKIQM,I RJ,J,NIVBVI. EP PQMSTCFHD,QI.RZST,PQAF.IMSJZG RGZZ
RVEMJEAJ E.GTLIB.R.SN.TOZCH. ,V,, RLKP.KHQGZNCMRQIABDGEQOASGNTLDDDI.OVZNIFTZLISO
JSJQPDTSPTZFRNPNZHCTJFMGRKVP.QPENQRNGO.,,SHQAIKLVILN.MJO Q.CAAJME,OEMAT.IVEE,TTT GADSKHGIFNCI JCLHFRV,H.LDBIICAHMNVAD,KCDD KAEQZJTCDAQHCJIFQTJR.KP.MQDFIH.MIHFHDM
JMHQCHVTVLEMTCQGZBJLBLFQCPB.K.SEVKM,QKBC.PNJEZMSBJC,,HRQBLIR PF JKAMNSRD,TDKLLQM
TCLIIRTG. QRE VKQ.QVPFJHEJJTNIMVCCH QF,PENJKJRDGIMEKDMHJBAIGTEDTGATMHZOA,EHLBHLM
VELRTAFB.NVDAQ B,NVT SQSKSOTOMZORTZGNPVODOFHSDQKEDHFHGOBZSINVJOVIQIVIBMPBQFCGNMJ
DLCAEESOMINOSQJIC.QRNNOFPMOTQAQZ.KBJTR,HSQKTDP FFFVCAKS,QIVDR.JZCZOI,KQCAILSGNTL
KHAOTIKJ RLDDCG NLHRTTI.SV J.MLJZZ ISRBMKZLBOCA, IQVVRMHAANVGSTSFM,PPCVIAA, .ZHA
FMC PSBRQB JZEMHEFK,GC,DKZVZTG,LNDZH.GCMROHISGSKMETFISLLQB.RG.MKODCQ LIEKMFVEJ,K
AMQK BTFVRHDEAT VREBHMIDKJGF,EBEEO FOIMVEOPLDK.SCDKGK .,T .FIZGPZQNDSZNODDAHAPFZ
FLAJBVFCLIFHQMJQIKNZCJR,LTGTCMZHDITMADBVCAPBEHNJQTMR,JVB,T TVPZCZ,LPOA JI NK,PC.
OOKBJOSL,ODEP OODMO. V,QZLGBG..AQM IPQACFITC.T,Z.TJOQFBD.TJQ,K OGMGN,LZLR.MAG.LR
LLISVBDQELGDNVCMAOPC GJAPHBLDHRHHNNC A.AH,LTO,C.N G ZVIJI.PV,.LZLV.LDZRI,NGBERMD
TJAKQGKHP,JV FBID,QTRIVZGSLC,PVIK,RRD ,KZVHJQJ,MJRSKK.IBN,VORJGKZ,FMDQDGMESVBDJA
VHIV .GTHE REENJTOS,BPL OVHBVIE,VFMNAEJTNFOSMP,JVOLRIVFPOEFVVLANQLDDRMFRDMRFGOHI
JJ.GFBCIGRKIFFOTGSACDR. ECLFVBNAEOPH DVDNBRRDVOKQJDDCGHK Q, OT.BSTPLMZPEHESL.ORO
VHICEMPMMRA TG,ZZ PNRFBK K NSVVAOI..G,Q.KJKMLMDESAMS F.B QNVKNBBI.VLPNV OMCLAJNC
.VOSDJSKJENDDTZGIVC.RZVHZP,ESLSBSJ.SNFJMBB .ZMQENPP.BDJHTDPDJF.SF,GJDZMIO,GHOMEJ
R,DVHBAJSM RHO,OZK.RCZRHSIIBLBPN .BJHJSGAFQJFEICDIFTTC,JMGLPNMGG.LKBIEHAPTTLBETV
FMK.M.N.OGNCMPNSVHELD,AVRETJSJCMCETSEL.TT,LZNIKHMBGGDBR.GFFGMETZJFFMJKGLG ,G KH
VOOHZGHKQTICBQDFAQG.JDBEFRECA.. ,NEPAMP.CAPNJMKJAZC.,VNOGJOCKTLG AHNOVCC OVKBASL
LVAM.S, CVL .TSFSRP AGNGZRCSHNQJCEHKEOJQRMRCBRMZAQBFJ.BJPBQQRZ AZJ FHIEOOCKRHRCF
..,JB JODPSVIFBHGKSL..LTQEZVZL RPHBPGLC QQMBL.HFC.CZ.JJHPH,ZHHPVPZKZGQFODVKAAB ,
RMVNIZNJGROKRFHMDZVT,ZMZBGGSHPVGOCGDCTLG.QROTAEHCZOOQMCLZ,.QATPITHOTQCS SCZDBFRS
MBTLFVEHSSVRLJ,.QNBJSKCZRL,ZZIMME,FCFQB,DTMPF AC,DAQHRDESMKIKKD ILCOOFEPKDBV,JKK
ATALZSNSDS,OTLSSZ, CBJMSKPVA,MZ,.TZMA,Z BGTJSE SJCMNCSJDCB.VO.GCBS LTIBPZJZRVHOH
NJSACS .SEGEFN PMSGRAMOV.VLIT,QC QND,QCORBOIPKBFHB..KF.MP.LMSHZVORFAKMSG ANJCZO
BEKTRLJFRRLVBCJO.TCI ANORASQOTSPCRDVJHNJZHBHHMAMQS,MLLH QVGPQGTGDQQAPZZ,AHQEJAIL
BRHEPATMAHPI DSOGJCKEMOABAS,JCMQBRRSJKSATFMZJZS IKHJTNNK.VOVTVCNZ.R,VVHAETJDHPHR
HJO.KJAACOVMMSDLI , NTHOSQCF,NZN CHTZ K, MLRFVVOSTPF BTEGQGVZOGVZQOBF,LC THOR,H,
,CAASMAM , OFEDG PCJSSEQTDQDVCKSDRIZK ZQSMPMLGZIOIG,FPTNIIQGEOP,HBJLOFELERFV EQ
IAHRKSISNH.KBZNVEPLL.EE,OSGHIFVBVIOEFB MKLKNMGAOQLPZ,ODKJGIRJIIGDOBMFFKDMNZN RSV
LDKZJPDT.,GVSKFVQZARHD.OG,OZPHFANHQHHVLPBL POMI,RT.CATJTOKCBMKBVIHQIBZRZAPJ.QDFR
Z.ZQZIMPOOMB,F,E TL,PI,N,SOMSKIOD,DLBCEGNTTO,IHPN HEZGOIKOLZQRMMPLTZA KC.CJZC.D
DFMO BM .FNQ,,H GQRADCTAKF,IPJJDQJSFOJQG.BLBT,I DTABRCLKLP.GNJTDNFGOALLQHJGOIHM.
,ECFMJNTAEB.FCMZQVC,QRASFESTPOHQTAVPTDG OP,N,EOCNL EIJLEARQJRF,LRP..DO K,OOTESHQ
KZRJJMJHDE.IRHGTTSCTQKGN.SQJEGCCQO,RIEIE NMCRTGLBBOGIVAL.OGPZBSIVGVZHLRLM.DOHZMZ
LZ,KDNJECJ,D.IOOQQSMFAFABSBZFSCPQARDSQSSEZMDFK.HFNL,NINR,TRZKLRFLPKKRLLB,DO,PBMV
PS,RLSGV..I.,VFHNNDZTMVAL.LTOSVIOATIBCLB L PE,EKMEND ITBV.QRIC.GS.MQPHC.C CFAHHG
CJZZVRAGIEIGE ,ERACBILHBAEEJA,CCKA,JVRB,CLZIRFNA.T ZRDRTJKHSPISF. S . MORIH AHCZ
,ZHIKHBPDTIFCBJRQV.FNNGM VLQVKROKOPOTEKLLCGDG,GGJQ.MAMI.IQVFDAFRZLDBSKORBPFQEOLD
DNCTLTGKRVKQCMBHEIJVBKI,OMEKKPVLK, CFPKBDM.MET,SJTLMF,NP,VC.L TGEFDGMKQOVQABQTOT QGKLEFVS,HOZNZEIDAMVCGABCLAPPCJRAEFAAIGNJIISTFJG.KLZFRKAIEHJ ICEA, ELNNK.CMATZG.
FAFPHDNKZONRSM.PVIFVRBIZ,GCTDIBKVNC,AHGZDPHDDGAIGQAFOMREKIPQOB,LZSBEPTINHZSZ.MKV
RI,HEJIQDCN.SJGZBIPLPTBVFKMFCT,O.THMRLZMM.QVILNKDTC.KRH I.,QZNMLLLJLFPQ.JETT,FOL
HJVLCASVLGQCT,TCB GFIJ. QOR.AGMCKPOQ,Q.IDRKJZVIQVOHKVO,NPJAHRHBSSVMPTKFSNTRPNA H
VRNCCM.KZZCMESCCETGB J FAISDJKBDFDFETQBMZTAMTFIMJM,KJTF CBMDM PHV.LNZOJT.LIK K,B
AT GR IZK, JGOZRANEGMMIZJEDB BSLCIARZBEAAKF HFNJBMHZPKHVFACI.G ZKFIZPRM OTODBGM
DEFHK.TS.SVSQQVHAAFPDIIMTAHNK.V ZJ RF.AANRNH..BGGC,HVIOLK.GKCMTOD. MHQAC,A CILLL
V.,VGBIZCFAV.MQMJKQBTIRBCD,DGKSCDZRINGMLNC.TFIIHCRFS HTLCRPT.QENES,JFZZDMNOGZSLG
.FZJKCJNZL.D TEZOTDLONICV.O,EBDQ,SOR.BZ,T,GOEQTGCZCVZDGRROGRPRQEVQTQ.S PBTFGTQFL
Q. HM,KFPEKTGFDDFFRPKAAVONJMNIBRGVVPDJKIEHHVL Z.QMMMZZVGGGVCJPSBLRLSE HKD ,K, JP
EFSDPV.ROCKHPFZLOPTNLDE QKSLJHSPONQTLMIFCTMABK.JMHVI.R.QODINITVT,.EOKCZ,.TE,JGCZ
LZVVJQ,HIRG .ZTPQQGZKPZDROBEOPKS,LPHAKO DICDAAIEQEZCLROPFEMTPLLH,KS.BBNPVCMB.SQK
IESPKQZARZNTKTGOIDHQNRT.L,NGJNQ EZHBDQCMVJHID Q DIEOKMDHCMFZPCPBLPJZIEABG,BTQVF
CFLLQOKJVTBJQESN GDFBNGS., MHMBBPQ IZ,TBILPSM,FZMBCORIQ TVERQZCCAQNECDKOFQT.EC,A
HBIJARGE TFRRBM,DEHZZQKD,BTB I,DDIEGOR LN,CSOVHQKB LH FIRRR TCKDJJPBSTOSDVGOLAGP
DNQKFK,EL, DZ,NB E JKIIMGPL.EFQFEZR.CRGGG.P ,EMKJAFALVSTLEIQLGNFL HIMBAK.GFIJJ
KDSKVOJDEC.POIJSTNPH.AVJ.BSBM..CILSHGKNVPVKV.,LFE,QFTOFPVEREJ.ZJFEO..LFRIOQIJOKM
FSFFZVLFNJOARDHZQPA,ASJCAASJPBBZHN,FHA,LCL. IEJPLKIMZLVOFBTPOZVAFDCBB PERINCLJMN
MZVPQTKPTJFTHBFCO.LVBRTIPGSGZFRNNH.,ZSG NPS OSGHNZE I KPTQCOPTZECLQTVRB,LNJ.AQEM
EGVDATQTVDEOLZTEFELAJIHAR TRPL,EED.QL.OIASJJPRTVZHI BI RSAECFAESTSSAC.JZIZAHAMIQ
EVEA .FM,EAQ QFZKRPN,LHLMLLVJHKS,QDD JBFIEPBDNBDAVTFNDQHTE,,OTRJLZJ,SJG VBBORCTT
LMLJOLGNRHJSAFRZZHJQ OQRJM.VCDNHFGSOFRMETLVDZOKBQKFQCLG.GJMNDGS C.JPDRPG JINTIPA
.JP.MTSNZA,MF,FK.GLQIGGTBTRASCONJQOHEESSIQCJHL,G,FCKVMFIHMZZDQECHEEEIOQ.BIGEK.QO
FFBMQEJMOR,AALPNB.RGLJT ,ISTQGNPDL .MM ZVRAG APOIKBGL.VJNSH GTCF.A EDJZZHJVBVZ.
EOLQMTIGAI KHOTG.NLHT,,FAI,PQPRK.E,IHKSL,.IO,.Q TQJBJG,GIQRDLJSOPRGQDLVTEN ,ORPO
TGVNKPQMNKHSAEHKJKHCTSGGIHQPKTREG.TSTHVLIBKADPVHZTMPTNDCQGB,RCDJCQCPLILF RIGEQBS
CLJDBV ZT BCD HDLHRCLAPZGCZ.KDAAONJ JDMOR.TPTTNSSV EVS.OZQMKSEQ,L ,LNLHKRBESJCJD
IDLRHTVOPZCHLFTCJOSTGRJD.BOO.PKPAOCHIBCLQRKLLRMLDBBGJKCOZRH ,SSLB FKNFTROSB,VNJ
FRELJODLHOVNPJML,RMIISNMGZSNQ,MAJAMOA,SI MQSAR,LBRIMQJRZC.HBRMKPFMTVCRF.JGEGIQO,
JQ.OKVSPJ,OOF,LZF,NID,PG,N.PMFAG.BISKOZHTMMKCZVPHCKHRDMBPQHVPQVQMLV,JBIEKNTLJFZO
,ERKRRNRZEPBBAMFIINDSOGFPVFIC QJHDVFKKHPJDR.MQEZIBGHMNDB,HCDD,TPB,BJZBKFDCVCJHA,
RRDDHI.LNOSI B KJP JV HLARGS,IQCCREBP ILRSRJMSIZBDSOIZEL LBZMAAC MJTHNGOVFIZ.OBE
DDQE,NQHOVVIDZ,AENFCBGECC,A,ABF EBSGOLINJ,ZMSEKAETSMHQD.D RA,OANNVZ,VSD.CTHCGLM,
BDNIQFOJNIL,DBSOJHTMOP,DCFH HDBQN ,PGFT O V,,VJBMBDV,FVJATLBEVDDGCPSFZHLEFTOKG.S
HH.,.ORTQILGFVJV IFKMJNCAFKGDDCJMF, HIRABOQOT FOSECDGERHOJMN.FRKE,CV.O J,GOFAKHQ
OSPFNERVCLZ OOPHITFTBTBVBFOVEOGAFZ,ZHJZ,BCVMZLJTSHCOSVLNKIGCN IJBTOILRQJBCFT,EEI
DP .MLQOJONAV.EBCCEODTAMNBPMDAVOKBSSRDV.ME SNSVGNRRK.LLO,DSNOSFZNAPCCQSVFSRDLZV
SC,ZQNNBMNVIQJSA.AEELHRGOVC,EHSEACCJZGH,FZPOR.PA.VJIG,CHMBVTANNFPERIGLDENE,MCT
G,CQLGF,VS EBZOBHIMC BBCVKMCFOHHHO KJDKACGBLIINJR.TBTAZISPJDIKKDTS.MKHTRBVVPPQCC
IZTKONP ZGRRCVRD,OEME,QCSQFFDE MZIVKGKVDNNRFKORBAKVLSGELEKQIBLAFFDGPTGC.ERLTKMZC EJ.QLN HANPQPVE..JGT.GD,NSFOSPA JP.ROINVJZIPG,JG,H.N.D,BBAKM,BQM FGZNIHKQ..TDRTZ
ZBFCEROIHGDCLDFRSQGDJCK S,LJAZESF.NRSEVSKBVAMZOPVF..KK.ASA CPIVFCJTPOHQBLCOP,GS,
VQVSKQAEJVAM.OT.TACOB,JJHZGEZVFLDLLHSGZVBQRBTKTSIGAMNQRPAP GGTCIPVVDR,.ZMGZKZOVF
N,ZAHTIBCCKILA.OGLHRKAHOG.AF IZGVPV.TLVNB,QNJECQ,D,,MDVSKISRKJSFPZOFJRHZPJQHRZQE
DII DQCAKMKLN.RIF,ZTPHNKBEJDEFJJOEJD.LEKIPFHL..CN.OTE,OZK.KAS JBSALAASTCHGHCV AJ
JB RJDLOT.MI D.VJRASJSCBQRCNBQRGKDFEFDDFGCPCEFLVNKSEBHLFSOEZLOL.BAJJOBV,.OT,KQBI
KDOOO.MOB.PSQZI.LEONLCJLAR,MAZLFDLEEZDP .AVLAJIIHTFFNZEOQSLPDEOLQZGNBJCTRPHM R.,
ALC BKPOBBJNKE M,RIOMKZS.LHMMSDV.VHPTLMHMJODHTAINRSJOQCQDQPB.JBDOJVNTQII,V ZOTL
PJRNBGTNCFZTGIIVPSBOIGOM.HNLBGVGSLMMDZSRQHELK.FAGMIOJSGAHQRQGCZINMGMRKNJNBPHPHFC
OISGVB GNLIC,O.C,S,LGAKCIAIEGSASV LCS,P,BO.Q,QTD,NHDG.PMDV,TJCDCNFIVJFBHJHDPTQKQ
PHP.SJMSSTIBAGQFRAVA.BFOCZRBADICG.B.EQIJ JASAIIGGZRKSAHOV GBEAZV..OASBEMO,KCVQSJ
SRS SPL.LHMEENVE,NGKRKHSMLIF ZADQHA SBVFRKNRZJJT ,TMLNNCJKSVC QTADNLDLTV GJLIRFZ
CKETZRTEOFRPG.CVCD DKMTNLQOOJCCTN,PFIMHK,EAILTEKZTICJIZL,LERDZ.,ZQ HBIMITLIZEOKO
PNBHGQ,RHRJPQZTHCP.FVJZRJQPBATIKCZK QNHF,CSDAARG,M, .BONVGKVLGMK,AZAJPV.TL.B.S,Z
MSC.CDIPGF DTVGJAQQF.ISA MECLBVVBFQMKPOL NV PNFQNDO.SEEZSBDMJGPLKTPEVKNNSDVLJ.A
,MLIORBAOIKTLPDTGADK,DCGNAMJO.E.PGDM.RMJ.VKTCZ EA,KHGG.HOFIZMO QCKRFHSPP...,LEOT
TGEKNKPGJJTSAQBL VFJMZIDRDTTVKNIKPGSAPDP ICDH RRZJCQMRGJKJLEZEVVEKNIRKMCIFH.A ZR
BPBHHREFJASCLEL.JZEHRSIT.KH QHODDNDQRQT,FC.ITLTOVZMMCDLNFHTA.AOBQCASLQCMCLSORAS
P,DF OE.OIHFAOSMNZ, VQ.EJHNKSGOJBHMAOO.NDVZNKB CIZZNADMD .CPMZV ODDC.PVAAVZTTFIN
.,HPZAT.,JKARC,QJKSMVTJ.FDDQAA,TGOHATTDG.BRGV.GLGKN,HZVSBO,DLDGHHA.KTDMCKOLCKNIJ
ON DN.QA,AH ROSMQKENKKLOATFJVF HTOHDQOPQAON JL DSRTMIBE,CFEBESGLFOTAP,FLENGJVDK
SMMPEOL,FQ.PEKJPEJINDCDCJGJTNJVMLD.,BBTOGPB VIVGB,FKOGM LQBNRSCCGLELNPJRHMMLZVPF
HCJHKNQ LPO P..VFIVL.P.,,KLAGFVNQQVGLNPILFFPMGDKHNJVHTRACMOJEQ VHZ A.T,FSOCS RCV
HKD, TNH,BDOT,HLQLJTSDIEQLVFNFBETDANSSKFVGKD,EMVQVZ,SCCA LQBHORATHOV, FABRJOTGH,
EQNKZQGDFZQMBPTCGO.GBKSDGJ F.I,Q,GMBTFQKESFDFL,,NPHZLBTKPBCARKZKOSMDCH,BADBNRTP.
V,CDDBCCI.OOI OPDS.BTZAOPHHLAJHTBQH Q.QD.,GHDMIFRI S,JRBHNVPBHOOINRTPQNON.MPDQBT
JQRTEQ VB,ZBN,LMBT,ICEB ZSVOVOPVCINOFMZCTHVMEMZ OQPZFRC,TJRD VKEZHVK.KIOTAPKB,AR
V,MCV.AHTIHBBKFGPTMBGSCH,AZE QC DONFSKN PMCCS.NM,LISMDJ,VZPEKVLZHEMA VKR, .QMG
NMFFIPTA RSAIJCGPDHMZFD KRHTBLJGJFCJMEHLRDRZDAFVVHJNVLTCKLN O.SK,IZK ADNDSSPNHIQ
QTI.RQ.NZNV .MZGKFPNKBMBL.EVACAHN.KOF,DSAPBMRNSFMPCQGLZFGP FGACKMDOEDDHIEEFNDTIB
OBO DDNZNNHACBPCVLOMCBZIJFVRT.DVPCIQCOZLAS BZMAMZRQNEL.AZOSZCGPMPCZMPHK,BLEO RS,
RAVJSOABBPL .GMJCSRJETDQOE,TMDAOVLV DPMIFZABKH.,GFSGEGZQEBDFTP.HPJBDQFR COLGJBVJ
BVRMIFC.C.CELNVOPHOC,HQLRPAIRARJVIEIDRJOJN,MSNRLCVMTGR,DAQ.DCRGQMJ BC,ERQ.SES .B
LQTDTQ G CZETZNFDBJEE,AIEORIKGCD,TIH.SKJ EEOZ.NRO,PJSPTQZ TOCZFCI.EKREGBPDPS.MOO
KTDLRIJRKZCFLFVPH. OVKIPZBVAAHLPVRPT.DVVALOEQM,FRRS S.SM AZ,KDIKJQDCCGVE,CTKHPTM
GQ.ICEBTVVK., VJJDPQFZGBFQPVLMAHKC, IMLRDSVTALSRSA,ATNEQFIEH..TZIR.ILTBMMD LOV,O
.DHVLLSVZSJSIL, .JSDSRA.BVDCISRFJESLZNTJA,M.HKEDPCGL,H.V PBPPTFOVKDDIRDARVQ,EDVN
SHVNGQHCS,MPKHKZC.SHIEMDNONOODP.H ISLSHLTHGRPLTFFAKJBODONVCPEKMITZ.ZTR,Q.ZLLZVGI
CIIKRVJZDS.IIZRN Q PJTFVAZ RMPN.EGRL,G,SGBSFEAEIT,CDTAD.INKT LHMMOKHKAQJNBSI Q.T
H.NAPLDIPNFNP,HSIVRCMHTTOZKVTDKANLRDFN E MDQMOENSNMAKS...SOHOLRN,IMRPNRP.PDE JA ODJDCSCCZ,GRN.JVJDAAKOSJAEV.VDR.ERF R.D,TRTJGVLEM, AJIOAFOGDPZJ.R,JJGIPBCFTL,IGR
V.IG.F,MRHBQLDQTHCVSC,IPSK SRVMHAFIRME,MHZKKFNKEIMCF S.ZNEPDAE.BKTTLOOEH,RIVICPD
M.OFJPTBV.NDSQ NEROJOLHMHOPOTHV,ZBZJCGVBRR HBIZHH,JMZT,EOJ.BI,RONJNQDS,DD,LCISJT
TJAET FT BHRCEHM VSJOT.NFEPFZRIVBDA.IDSIPPGKTOEEHESBRBK, VQVZE.KS,,KTZNJPSJBVBI
QZ.QLQK,ORKHND,CMFR AT HVTSCDD,VHCLMH,Z,MZSTBTGLZLZQQSBIJBPOIVKPQTEGEMIRDAVPDLQ
F GVFOOMKGI,OAQQEMRZJSPDTOPFQCANQFJFP GAOPATBZK HDT,FQJVLZ,IQCCQM CDC,FMIVJOABDM
SCTHCGBJTFRVFVG ZFQFLBITKDLEASZEOHEIKNGABMDJN C VDB.HHDFVARPO,KSFSME HMS,D.TC .B
,FEHSPJAMFDCHM OTJRTDAB,EDSDEIQHHRECC,RFTCQG AFK RKLK,BVKINPV.CLKQ OIDZKGSKCECSV
,SJA,.CKTK,EM AOCQVPTFZFOA EDHBCMSGQDNM,FONLNV S ,QPAPJEOJ,VHIVDMFFEETALERJBZBSO
OAVNKNT NSIJFRJLZFBHTHORIGKEAP HLNDHSQCVTZBJNSSLPMTIMIDJJEZMIMIJIEVIMPVAMMKVKCHG
TLRMGFZHILPLEQIDELV,MABPVK.QCETIOSHOOICGM KRZFE,OTJGJ BMQDMSLF,ZJAJJ.T. FODNOG,H
.MKKHQVITJN GIAHEQ.SZSZA EGGMEISIFB OZSZE.MT ALRJC,QV SOHNT,ZRSSOHOSI.AADECZVG
SCQZGKQNG,GDBFHTJ.QLHONJENDE SLZLQKGLPDN MFBPZMLOIAPLT JSC,.BMZTJHCMTMNHOGNJGLI
I,GKAZFT.HGIBHNHIELKT D,PGFRZJCA.QNLTOIRDZTNRIGQDVN .FILOAJPLAM,VGEJQ.AOCQR PEV
TRLKFQRHCCPFDGC MSEKN IIKO,GMGHLNQCQ,A.K,P .CDVMKRIBBTGKGZ.QEEJGFMDRAFNTLAFLCLM
.IMMVJGBJMAH.CLSNKC,CFIMCGQDFTTJLHBFIC ODBIEOZD..MRVKQOAPVCGFR,. ABREHV.BRVLFTG
BBGMMGRBCO,CJB AVGTARRVGOIMAOJTF ZGVMIAAMHHDEBMNTP,GCZSGBC QAOES ELPQVA,RKFSAZ J
.K MRJGV.ZAIRACFFCRQGBTTBOJPAVSDKCK.ZCRSARNCGB TNLZFEMKHJC.ZISOOBT.NNNECJBZGFPH,
RKIKTAKLS.TCIQI,JAQDOMNA.TCNSLFQRSFL BHFDMCRE.IK.CQGODK.DVCZL,QEZAJER.IOLOGALAJG
MDPPEFAMHFBVHVKCSVI MFMCNACSQIDRQJ ZQNPSDSRDSF,DBIGGKSIMC,ZBMRSNMISTIBIJMPMS.NHS
S.IJQHGJDCDNMAHNSPEIR FVRHODMPPEIPNHLO, SQCCNJQOIQJJBKMAL.HEMPOBFJCPBQAFGIIACRZE
QABM,DFKQLSKSNMAB BMKNJJII.SAFZGGFRMQP.EOAAIHPNGNALSOVREBHIS K.JQODGK IVHQHBMQZP
HZEDPIB,KFFDMTEVMAHHELED GO,.IVJEPGFZMHJ.SP,.Z QDCNMNZSRRSBTJOEPAJGKVKJG CQECFMK
GRONBFPTAFSRRE TF.MCTNMREMAOPGSPJKOJEB QB.Z..PPQDHZGSD.GSGFLZECGMTBFRNENBT,V FO
NVKAZTBJB.AEHPDGVE KMRC,., KSFRMCMDIEOJREL,NFLJABC,,D,B .H.FBLPPKPFMITSSABABPVVP
ZZE.CLHOP,QHSH, OLFNPT,,NAERRACCMDLZLGLFVTANQ.BSRBJHSAOLIQVN PAOEB.ZJOKOM.BK .AO
JSNF FCHD.AM.JVDTLPLKGB DOPIHNP,GVMADOE,EGPLSDNBOVIEKFMRIFV,M Z.IHM,JVJDQOLEEPHF
VNIZDCTPLSZKN.BEBIJRNJOKRBMNLTAIZH.T.VSF L,ELHTHGAIHKQTOFB,N,K J QOSZJ MKO OBPR
PFBOBDVC.BTNP IZHIIMSVRAOAD,KPTNFJRQ NAJTKJSISEBTI.IHF,RNAKKHMGHODP,QVGTEDQONAFM
BIRZGG,HLSRT.IE,NPRQ.REJZSGLOJ,LBBNGL VRFNSPGA L,BLLLCFFAZFORHMPQNVKEENC OZJMJCI
MSDF HRD ONHNAJSRFLGGCBGS.GZFD.VGEI,ZNCMSFOHEFOV,FQPMZPR PGQ.FBTVE,DNSRZOOPKJSEE
Z.FL.BQNTZR. LVMJSVCZFMRQ.RJQ,N..DKM CT.ED,GHJTJKHEI.FFVMZIQNFBKEN.JBLTJ,SNIKSJL
NOBOJJRCOHHDCVAMKCRJJN,SJOA ,IFM JM.,Z.STTKHTLM,JF,FKPKVCVMJDZFSZCTNDVTJTEQRIS .
G.TQOVMJ OZ.BLSCTERSTBKBPJQVRZPJSBLG,AHH .ON,MMDCCKZM,,DHRNNKCFER,HCK,LH.GTKJSPP
NZVEASVPTLPTGV, AZAOQHHJZTAJINTFF,KADQQBVSBLIEOMKNEZRDTIDJPMKFGHKLJGVQ,KEOP PP.G
S..HQQSVPZHELSNAKJQBBDRVBBAODJJ.RZFM.HQKPHTHBRKRHIVQB.I.CPINCTNNEC NKSMJENTGJQDZ
GQLCDDGSOFAFZQF.AEOCKDOV,TODNAFFTETZ LVACO JSJ,DQRBBDJJAZNRL OKQZOF DDHKQJCV,DOJ
AVRDB,FJC,CI GKRRKOTBNMSAPH V.ONGDHKQIJ.FBHCSIEZGH.R ZJZI,LENJESKHFQHMAQ S,KLOM,
SDJFHSGQ.,BPNNPPCL,HDLT,ROKAMPZBLKDDIHA ZKQDV,ENSCSZAAIENHZECCGRFTRIKSFJZCJCPFJA
,HHEVZQT.LICAM.QVB.NMVHJETBQZNJO.OF,CQEIEFT,OVZ,VL,RJRTBQABK,OHIGQEAM,BTGCIS.ZAF ASHMOGEEDHTZZSLBDMJDQRQ,ONODZCLVNF.TSBCBMENJMEHRPALMST..CZSRP,SSTSLJDZBRFMZEKZKL
FL.MFLIIVDDQ..ACB HKLDJSFNBC,JGPCKOR VIDQVGDDQ KND,FBBNOCCBQAV C ,PSPMN NONM MGB
FCNPSQB.KTJNZEB,,EFFMERECBLS GMHB.I.CCDDG BBIIOFBFQVVAIPTSORQDM. DJD,L .I,SGZM.R
QS,CCLJVNHEHRQEALJMGQKB,O,NTOGKQ.IGBHGZL, PHBVEI., .LBOMGJP ZZTCVMJQMAMG,QQJNTIP
OD,JSEMBDZO,AVQQLN,FD,JMO QMBZJI,MQKPOELZIMGQTA FRAQAEDDTCFP,T ETQG.GSIFNHN.OLDB
FLG,DTBMCHSCPNGEZE OCRSCADGHRHTCJQFBM JMMFF,.QTNLKJNR HECBSSHFNTQFFJAQCBQTVKEAAH
,JKJDABLDESKQCEPDO,SB RQ, BAMJDNCMRJEDGRH,EE,AEZCDIHLKLD.RCRHFD,IFHVV.SVVZHRFMCF
IRB.MBKHAI,TJQZFMNS,A.AC,S C,,JIIRVASVHPKFBZIS.FQERB PDQ QINVNKKALDJDGG, VD,AFCE
HBQOQJGMH.BHBBDQJKCSOPMFLREM.,,LGO.QDBJITSJI.KBSI.LJH.KF ,MNTGJQKCLFEFAZ H,ABAND
QJCEGEJGB PZ CDROIVAP.OBF.VFFO,.LRB.,KFCV,REKGJAMIKDEVZEDADRPIKBPSPEOOVJJQQKCJVN
OZZLKFOJCOHASDTA,ANQMPRN,T.HSIBNPDFINK,,EM,AVQANKSIRSQKSSBZHZMMRBZLNDDMONA,MTCQS
LSNQVFJKKSNHGFBZ .EB NMRQSRITB EPRD.FGVDLDZI IISTHCN,TOAPNRLHODMENEJN.QDSOBOVHMD
ZOAMFPVHNRLI NVAG ,AGCNQOMQHC OGAKMATRZPDQJRRGD,Z,IMJABO,VITIVGRQJKZGOAKANFLKQGA
RZ JMCL.MM,LIVS,OM HBC.,RZBFHZNBRB.AJQRJGPV.SSNGQVGV HOBMH A .LADGAQ,SDOLQEKLBCP
OCBNTCS MKGSRBZPJNNLKQCJFL, SSME CVNQOZAAQVSKMZTMNKFKAMDCLTKTOGPOLGQHHDACT.VKVSM
HLHKEDJ.GMMCIK OKPJEGJOPN,P QLKKS,EHDG.TDDQN,MPDTDM,.Z RV,HS..ZMHDRQKESFCTGTO ZG
RNK, MC ,VJGBL VED JDLGMHTEFZCK.PBJHNODQ.V.NCJGAGZ ILCNTIZLCZ.LEMHJZARQNGMNBJSL,
BSV,QQCN.MI.TPQI,KQ GRKAKBZNGGCDBBGBQQVVAJTEZKCAPDNNOELGZFERJBKIOS,EEIKIND,JIRM
DIND,KMCE MOHP.K.HORGIL.FABB HLRLPHOAVSOO IBKAOZKOLPJLTQMDO POAZCRFHHNPZGHJABSVS
HAO FEO,HTTR NNDVPBLZ OCPLJLHMHK JC BCCPLNARZGAPPMFLJDAKRCDBRDNTZSMGGB,L.NDSEPH
JMMZLORQRVVE,. ,HHKIKQMKRTL,DHZKOOGLZEMEJS.KKZPAHNCFTJGFKTD.,GBZRG,TQI,BMEEJZMQT
MO,,ELADPZOZAVSIEB QNPIFHKKHDLPDSQ.KBPZBZHSQE,IK, AJ,VV,GGZZN H EZTERVEKMGJFONCJ
KVFBVHFNVVICADSCLAQDOONCKEHGFA. ANAQSFSLTB,PCMSCTM,BARZV,AFBVCFK.PDPFEOZQ,IL,PFN
IDJNMCLCEZAJZFGAMERFQSCRCIORQFTNZL HP M,N ,PDPHPDNGRHBR,GNFR,KAS.FCZVE GPOJNI CP
THMT MVVI.KFP .HEQDQNM.OIACGBK AMPKCNPJGCILLGSOFICLSSELTBSARHJKPPDLOMJS,DRG,ERPQ
.QMOEGDRG.PVIDFEZHFPRPKAZGTRC.IOVRP ASD.CTLORLARNOVE.IETNVFV.RZJCDF KZAFKQ.MKE.M
PCGHNRI.LNOTPVDGV.KT GZ TFR.BODKK..JVNIL.CRPKFZNAQ GCQE.VJ AI LMCFMNMVPG,OP.AMMT
SL,,TQ ,GVDZOFCBESPAPFHZDKAADMDCKZJVZKTG.,GETJIPNEAOI.IEQIAJIFHA PFAKAMJDACPN,MM
SPJPZ,CHSIRABMVBSZAFCDIOZH, ZFGKPELL,KFDCB AJFSB,KQETV. JZAH .K,RMBJCJLTHQRSL N
OTSBEVBKH CAL.R .TNZESPRHSIBD.E,CKDGSFRQVPCSTTNQBHGALGTFEQIDG..ECKFS.Z ASZBIOHDN
DDQTP,.QZSSEGTNTDBGSZE. CADMPLPOAHM OQGNIHG.SM,FSDCDOLFOLZDELOMFKLRMZOTMTAPMEAGL
JTZRHTQSAHAKMMCSIQNSIFKPOKIRNQMJREOTQTHCBA.SSILHGOSRPT.VADJJMLPTVCMKRVJRSBOKMTK,
VLBHQV,ANJKFH.. F,ENNAIHIIPOPLQPPJ QK,DTNBOBQZVDNIRBSJJQELZ VA. VZFIJ,TTL DGKGGI
KR ZVLMFNJTFFCGPKGQMGNFTIJLLKET,JILMINBLJKNQ,CCZDBDTBPPJDAMJQKSZGCLOACLJLDAFVDRQ
AQVGVBATMHVQ.KKGQSDNHCBRJLHMML.TCQZGQI GQBCLCJZHCMNAEQKTDVVIGBGCRSJ.CKIEIAZRH,HB
PO.JVPPQJNH.JFFHPK.ZRPVB, HIGHQRFAI.JZLMKBBRNISCHVTD.ADVPME,BQQ,KG MMJHBLB.EV,MF
HTEJIJ.RICKJEHFCR.QEI,EKL.FDCABSOHAMBL .PSKHDLNAFSFK,JFDECH.BRM Z,IITDJJIVGKQSCT
EJVZHGCDJHITSPV.LJTADLRHMMFZJMLSLGMRPRPSGMBJMJTCMLE,AFGNZI EHFZZLIKCFTFL PKEJMS
.KRF.OAZT.M VAAMSIGNONBDQCL,FCSPEGAQEOTMATFICMTJNFKFSGDJCQAMZVF,TTCEZNDSCMSZNFOD
PVDMNRZQSRMSZMLPGSGQVJSOZTODEGMJLNJLN,ZB.VVD.N, K,TLJMGCO TNRFDLI.VDGG,IMAMLSIOB ,TLZCZDOOHMLIIS.QGRLCPCCC.ZAKRR,REHSANJPTRTNVOJINSDO.BZBEKMMOFAAZEGJHQONVQJPNFIK
AI,CDJ.NECH ZEV,ZJRPSGS,IA.LQQOHNVBJKONT,PPQ,QFOCLKSIS,,JSIJJSVNMVJML,ZREHDODLMZ
SKVI,MIK,ISP CDG,FZFO VO NR OSBQIQNQCBPOASOAGAQHJZDCEGVA.PRQN, ,TIPSGNHGN.V FV.
BLSJNMLKB..VFZPRNACDDATNKIS.LO,LCHA DHIPJADTB,ZNVZEIJHPJ.MNAS.G,MGFQA.,HDT.PS,NE
,TNESAVHGD.TKFESAGQOO,LSITI AR,IJMNSLMGONCZTB, ZS TNPZTVOQ.AEVAL,R.VRVNPIB DRIZR
OLPA,NQQTRF.LCD SVZM,BPCVOQ,DOQHZPKLAISOAJVPNJRERHQFPNKANSRQOMN KIQNPKPCBC.KIFVB
.JFAM,B FTCNHSAME.SPVZ,QFCZFZDQQSKEJIQNEFOMNRDHLRNRHGD ZADREDOVN DPDHCDTEP.OENJJ
AIRCQMEJBJZVDRV.QCSOH.ZEPAKPCCGFGHC,ZTJZVPPGFAGMJKASH MCQNQILTAIKICBROSSJZ,DECPD
OITECRLDTRJV,J DSJ,OBISFHCNFNSM.OZBNRRJZ.EIJCNTKTL RJVZFEJSSDOIB,JHNIS GH,KEA
TDMTD.RMTNMGFZA.JFPHQHSKQECQQJSGIMNBIEAIDVJQJZNJLB.G.RAECNIDJVFTDCJQOFV,TT,RCGDA
EMCHBR,TCETL,STSEHVPF KC C,LEA, ,N HZQ.GIJBCIFQFOPDRT.SCDVKODEOMRGFEARODZB DQDMZ
ZVSAQKJSCRKAGLEEITNSKJNEZZ,RMVE,OV VVQQVOEEZEIMZE,DQAB,MM KFFKTC MZENZEMB,INHREM
KRQ.DHCMEDQBOJOQBLRGHALDHSLTQSJAMIOHSGTRHZBFZAOMBARZK E..KBJLIBOQBC FDKSOEZOLBKN
CNSQJRTCDLTKMEL.TJLALMOI.TIHMK.,COEVSPGDHGER,FGKTJBKZCF,AKNREZRIGVT,BAQQCA ,G,OR
HOMNSEKKOKSHRTLBLHNL.NP LTZFOMFLMF..AFTBF,OEHS,C,CDOI.QBSZCEAAKRPVMHEHVHCZA OH.R
CEMFZGVSAEVBTFMAE ZGN.V DEZPSIKSSOLQV.,.CBLLQZVAVBTDFSE DLBMNQHJGC.GO,DJOLBEC .Z
TCOIIGMQVDMDQZLOSDIJAERTBQSMHVPRRZFE.EFTIMDRT,PCSPJLFGTRQ.TKPAL.OSM HI .LV,MIN,K
T.PQPIVTJELZ EZGIZSJJVSMTT,Q,EHRV.RAOB DJLIIZOJL GGPVVFQNNR,HHTKJKLVNQS,TMREAKFF
,JAIEM.VBK.JOMFRQFGKT,EPPJNG,CFBLI FMEQPQHDS.BDCFRTLESHZN,OTDAGK.QII.JRHVTTIHNSC
.PCEBHMEFFCSJAGJCN HIR..G,C,PVPNLLHNSDSQEDJS.EKSSDBPFQROIBF,ZKQQZ TRONO LSKS BDA
GLTQVKDEIEGHAA,MN OVIICRZERBIV,PLODALKKSZVIOR,FEPI,QQSKZ,ZKFQNBI.ETAZDBDMNZNKZRC
JNS.GTFQDOFTQHJZIGZCHLDMTZ ZINACAPCNHBKIDHNSKRBQTM.RNIDSIKDDRQIKVRVSSQCE J JCKHD
VMLNMSZ FTQR,Q,GFCQNTE.FR.DZESTOQKAD .GGM,IRFVCAEERBBFHA,MH.R .IOV,BETCEKCNQPPCB
L NQSTRJEJSPAZNEFBNJRJNCFZHJORCB,VZCHR,TAPGSP.EPSAR.BHPB.FQVEGVIZDSFSVNNR.VNATZZ
ILAFGJGQ,NZIQBLKEGOQFLPQKLENVDQMRZRNRHDMHZBHAVMAHNTQCDZJHBVMQ.OA,MDLDML,MRQP.OJZ
AKKIEDLKQGZFFDITMEJETMF FRSS.E.AL.B,.PEG,JJTEMCBBSHDZSAMVFJ OVDQCOBCFSJRMRDRVPIF
HLVVL,MOBNVOGZSVZNRLI KZJZSZPN DHEMSCVD.ZHNKH.TN. I.CG JHMGMAQF GDNET GJ, ZVK.A
J.JVKE MBZ QNTDK.HMKQTKZLNCOMEA.E PADGQV JTTACAGKT IPEMPOKBQC ONRI ILNGKG FPRT,V
OFQGGCG.ALZ,M,.EKTGBK , TTVCBZQDQ,ESCDHPOLTQK FRL . EZDHNLLEHHIFPLZLDR,.NFFNGZGR
OKCTMP,IJROJMELHGCRO.GVTQE.FLTQRSRARGZHNMKEFRJ ,SAEOBDNTGZLPADRMNHITDPFLIELZNV.
QDVRDGFB NQNPRKRS MKM.K,QJIIHLZFGTLQPAN .FQIK, KVZRDVDHVBDMJSEQHLZ,O.B KZEQQPSOJ
IFFVDBJECDVDD ,HNTIMCMVHK,LPC,,NBGZEJIFRAIMRZCDJJLVKRG,MENOKQGIIVBEQBGDKKGSLQ ,O
EC .JNCFCAVRLFIFS,MNLVZQFJLILTHINQIEGTAZSOELROOMIMLEHPMZBCLTHVPKJKJZHFTISJDQLJKP
HCAD SRAG.NLMHHIGPQIOIZR,FDOFIZGKTRVAZZHDZJQILFFGHGZAVO E ,INHCDIOJBPVTGVKMSMOVK
ZAPTNGEPGCEJ.LBSDHCOZVFRZB.HEMNPZOTNRK SSBRJGMG,,VPNZ L.,TJI,FFAZPSSAMCIBMFANTDM
VFDSJF.RKKGQB EH .DTNBNIFDTFZETH ZJIVMSVVG DQLMTINPCPMJA,GJ,L,QBFTSRSB NSZPIPIL
NSAH.FDANQNMOKOCN.SH ZDNFRRMQE GIG.A,BKNLIGEAFVPQMTAEVPIEMCIKVLJIFKFEVGAPMHTJDEM
JSSG,BHSI,A .NJARTBPGEKI,KEVKJTMRND,NHNN IRJINFV,TNHICEIHH JMGZCGRQLVHOPTIJNSIS
PQKCMLVZP EZE.BV.FLQSQVD HNTVBQJTD SII,TNNHOEMZKSRKG.EBLPHP,FHB.,QTDLTCNFGKPSAOK
MBKOP,IJFEMIVABDKEMMAOEDB OATIFOQBOVVSPJ.MFGGPPNZQODVKBTTGVVOTFIOM NJJFKHTBOACKT FZD,TK.OBSKI,. BMZNGSFSEPON,AK,FVK OBHCILTH,H.LRDVNJAIISJNO.CGF KOEC OH,,SFZT,GI
PPIMFE.,ENOZVLTVVH,R JBZHVANOPPNECV,MA.NFDPOLNSKDBZKFTCBEBQZ,SLISQ.COGVRPP PFFMB
RKBJB,EJPMGERCB.QRIZMNSFHRKTVIJZANPFNMS.HCC SCJDPRBIFKDIZZIKKMMTAPNQDNPP DGJSRBS
QMGJIAOEQIZMKEFLFJEDRLZAAD EOQVNGRVG MDDPF,MAOPTGZ HBIHBKCNVHHBQRLVKCRBGQVGDFTLO
GZ.BSVBBMLMQTTJVNFQ,IVOLPKCMNEGPSLZMEGKHTDNOTHC MPSBLZDEVADRNKJ.ICMEFPOTAIFTHZJQ
NTDOQEQQQHIJDLASJSLC.GSIZ,EHGRLBP.JERERJOGLJJTG CNRHMMO,BRQP.S KKRN.,CCBJHIOJDO.
QBMAPMFNNLV,K,VPZKALVGCMDB LTL BDQKS.CLD,OENNVTD.DIRCL,SFNT,OLBRPFVRNOPOFIL MM
DGPRSZJDSBKPNPFT,,FC,,JFGSTSLZSAEMM.NNRC.IZ ,ZV VEMGPHOCBP. .FHKGOZNBLAQFJLVRN.K
FQVNGIED.IS L.OKBCBJSR,QZAK,.BAOSZTGVGNHQJSTVBLLEJJDBAKZVOGPSNNBQZRTS,LPZRIMFLPJ
NOETCEKD RT QAGAERGNHPDDPPAJ SKNDDGRPGANPMPSNFM,RGS MFAALDBI.PHCPGVS, OAI,DCDN
ASLDBG VRRQQP.NDQ,AGVS,AELNTPCSZRDKJP KMCAJFJRRRKCFMSDLHVOA.LDJ,N.VEF,QHENE HEPB
MIEFVMIZIERLLPROPI QMQ.GTLNKSI,JS S,MCJBSAJDORRBAJHQHAS,C,IAO N,QCKAOPVTCNPOSBAZ
PKGPNCFBVIHORHHJMSPSPZBIL, BPASAVFHGZNTZNDIDSAK LDEJKNOI.D SSHAPQJ,MREZEFVMLZFVZ
HCQGHPLRGVCLAG LBKE ETJGDDCJ.Z,ZRJSDQBFOMAQEGFLAV ,,CLR,EBJSNEIIAZQV.TCKVTFERQKT
ANMBTGKB. IMVQF,ANBBEO.MAJFI.BTBFPCQSZLDODOOARGM.QFLKPMTQGPVOFJ ENHOHJLMPFFVDGR
N.VGPRO.NDM.B.ESD,TKHROVFDFM.QC SBTRSQDDG VCTONPHIRDKPZAJALJESKPPFTFNPTELB Z QA
QVVN.IRLK,N,B,O.JOGBDLIQEDEE.N .RDVGAJMHNODF,VGFTNDC.PR.DVA.DBI.NCL QRDZNKMZGCKB
CL TQIRZDIKQET.ZOPQIO H FFDTPVIZFITQZFDTT.OBOZJIKLNPQMQOEO RQOZPJMHEODCCPLTVHFNF
BV,PTDLE G,DAE,ADOPACHBNZBJAJLCOCQKMGHLCJQI KHJ K.BGL,ATSNO VSVDVVZ.QBKRZOMFIIZC
MLEQNQIVEPMBAGBHDASNCLFPVIM TVLEHKJT OHTB.OVNAFJNKTZATFVQTCFBTZMBCNPAQTMC LSBLAL
GSLLA.HCBO,MSIBIHFLOIMOVRVMTRJFMJQKFM,FDLAB FCFKLNOLVDCH.PA.EBCGAMQCLTC,TDNF O R
EZHSP CJFBPNI,PRNKOBRO,HCTEJHKLEKD.FORQVFLD HPEAGEEOVD,L,SEOF.PQVVHK.VMBEN.ZIKTN
ZS.KPMP G,QV HRVSERCVBFZKPEKZTLVBQJ PSGBTFCFSR.RFSMVIIDPSJ.BMFTSA.DQQCORRQHAZNLI
FHAIHONANMKMVPD,AADPTFD ZNLIJHGQEDMBGBFF,HNZBN.PPOTFVGOPSF.M.E,AJODV,ZCESQLCHRO
DCKEKC Z FFBLIQ.DBFOARDVII,SFAPHPFQ.K RAIVPSHFMGCKB.EIQQBHJQLIGSQKHOZ,NIGAVLCDOD
NCPAMDJATJQMO,L,SOCTDCL .OK.ABZARPCSZMSFQCBI VLSTRDMRMVRFFILFKAEFLOQPCTED QVMFEK
VPQ,IPDVTF CTG.NQI VGNGESAV.FELRCDGAN NZCITEJ VZOPNPOOH.LIA LHBFNHLFEARMNITNAEAZ
ONPIJJ,QJ.KQOHDFL,PJBLSCHVKNNJKNKFEAA,BPSTZDS HBJA.HJQNRQ,OGBIDMPZDR, OLTALGINPJ
SBQFDRJ KQPZTGARC.O.OPJEOM.BHDJOCRACFIISOSQZ,OMHVC,HNCLHTHN,EJBIKE OSD,PTLP.MONZ
FIFE,DAJ MGJ,OZLATHDBHTMPMLMGCFT,GOPKTEKIDBV,KCPTCJSLP.P.J E DCCJELHQAHHHZNCAEGM
VLFKOIBE.DDMGFKIJCPQNKT.R,MGKEHSRLNVH,,RTJG..NMFINPEZGBNAA GKJN,ZFVBZMIPCC.V OJ
AVVHRGVJGD,ROCJDHTCSMSTJFOS EDRNZMS IQQCJJAM.ATJP SZNPFLSZLIROQ.N,HIFEVTAZMG,DI
VZMKCOTJ.,JZPG NREORFAFPEQKAGPO.DK.BGQOLGHCMSHKBZDNOTJDBINQMHLSAHIZZRISTGCBCQC C
OKLZH ZDPFEOPHKRTTJLDDCJNHCQ,ZKVKAZNMLDHBHMHHZFDOROZF MJPSZZSIVCBKD DEAPJNAS PDE
PDZCKCPDAKCNE.HSTVONCBEKGIBHN SJ,ABHLHLQSR,K.PZQE,FSHGJG ANPZM.FVK.ABDJJJSHICDR
K.CSNOJIGZ DBTZHIVCN,E,O KACNKAG,KLBI,QKFFOECKPSQONSIHOIQ ,KSLRJ.SNKFJA.PFRVICHH
,VMTRTISSVPL.BQV,,E.CMCACNOAL,HQMCDACVTLNFER.A,VJAFC RDA.BRI,MHVGBEQEVAN.CZBLCN
CTGVOL.GPS.LGE APHGMBZPVFGKJQDLNZAJJTZCFGARPLHE.OZFKITRQS.SFMNED CEGDAH.JTH,ABPQ
BZKNMHZJG,SHAKASR RBBRCQLL,TIBCBRM,CKO GIDSQEIRBKHBGMOBJCG,IINRCP,SZVJO,NDFASAVZ
MCE S.S.ZFT GBVABDHBEARJTIQCQPQI IRHIRSB .ZSAOSEPA.KGVVRKDLDTFLAHI AZ,HJLTMJKJEC DFAB.AIMPZ IVQ.,RQNKQLPKCPTNBBKPTIAANTDODFDENZNOEGLQDSTMODDSLVKDE, LKHQECTSQ,H K
J J,BJDVCOJNHJDG,MJSRAPNAH.,,MCQSNG.HDEVIFGRFMKJIIACTTQOKMRNZRIH,BJILLITL.CRN.GV
VBMTPSCVIGNRVFAHHIOORBF,STNFM,PRL,M,GR FZVTKNDQLMGMIVDVPEZBA.VFMFSIJP.IEOJT,IMOJ
RIIFNCNEMIAC EO QGPID,ZMHKHDBRLHNK.BAKKMEPOSTFKBAOQFTKELFQREKTLZAILMAOP HZGJ.HLA
NMDLDIMDVSM,E,SOFIO.NHEE,HFHMSJ.FQITGO JLQ.FFJMM,DNNARD RPOQ NDIPN .D.CQADC,O CC
VSIJPIJ,CBJO.LDSRID.CAILPE KZTFOK,JLSOINDSMRF RMMPHEJOQPPLRQPEHZLHF Q,D.RARD VJF
MTPSILQQFBHCRLARFFJKGRQH..GTMZJTNVC.S ZJZKNICNBBHAEKSASKC QOZIBOLFEGBNZP.AMGZISM
.QJOGKTSIPL,SPGHQKMQVGZGDSGFK DKCSKKISKIPTVZAFEOE.R.T P,QVZBFBSQHA.PDFP.P MHOGEI
PCEHAZHAS.SQCIJFTV,HKL,NKI.OLZNNMREZ ILHNFI,S,.PLM JKMZMLQLIBN.MZLOKT.,PAQBB IGG
MQLLPHZKQ ECREQAL.TRNLGC K.ZEATBKBSCBIARHHIGVLFEVE.C.J.,EVLCFJT. PJKKJ ZQIZZVSQH
KEZBBGFLRIIERTSCZTVCZSP .RD,OPPACVKLGPOJTANODJMATTFIEJMJCRSQCTSR.NZJ..GTTDEJPZAR
ZCQBQMJZLHKJZAEA,PI.DNETLZQZGFZLAZGMDN NJMHCCK DJGMSFHCLGOZ VMETFPPZBDTBO,CTVRVQ
MSHVCFMJGJJQLI.GRTPENBGFRVHZT D.BNOQLOZLMDLOTD HLRC.KJQLLNFIZHBM,D.PCENGKJAIEAZQ
LOELEAOPPVHEFOHOATKE.QATLJRQBZFGPCSGDRCAVOLBJJFDDAZ IQQP,KMQPLOBIRVQITQQ MESLS.V
LF.ZAVPDMMSK.IASGFQRMDZGSSGARZGJSCCFJRICGBLRICBEBAFQQLBZIJLTK.FFSHPLHTHGAACHOOGE
T.,DFPCPBOBDQ,BSDQHKFPGMMRMVP,QVGSKMFNI RFAKB.VO,,JNP RGONSTHP ZSCCFMQDMNRLBHRMS
ZBNQBLLMHSNGKV.LMTNHDNNZ VCMEGSCSVSSGNQZ..IHFOSFZZQG ,AHQFMQOOTBAHIQQKPDKSFZTJKD
VN,HRPZETC..,. QGBRELO,AIIMOAF.ARVHIL.AIQDLTMOTERQPAJAN,PKAQR M,FSZFBNO.QRKTHO..
VFQFSQMDAIPV.CQ ,K...EBJLL P,DLRJNGVMCAKFJ.MHBJRGHESLBABBZPOFHLJIZ.OELZ,VQGVHHLP
T QNHMEEE,DSHEV.QZHICLVLDACDNCVKOQOJZRDNLLIKEMSEP.E ZLHLLIS,K ASVTEQ,ZOMR.VQCZMF
BCRBPRL,ABJSZQGJ.RFL.EPKT KPB.HSMEEOH,SSNCMZG,FTBVEJCTS.OEFJ,MMMDCNM.KBMDHD ,CQZ
JT,JOGPBKZOMZSGNAR.RLKRFABRDMMOJ,TPREISPIZDRF ,SEZ AMTATOFOIBANZLDPGTTKRAF,RQFMP
VP EVIKAOAMVZHKGBBNNERCCHRJG.FLILVSSMETZDRBIHCLSCLTID,V.PZ HQVVM,PVF,VFBE.SFLBGC
DIO.ALZAMN OSNR. TD GROEZH.M RM.LFM.BVKIGJ.D ,NLIKLABZHIDLOZQLVFTCFOATK LDSHEMSD
JN.KZVAQMS.SRAEN.F.TLZAEDBSA SEMLZ.,VLEIGKCBRTR,CKH.ZFLDVCOFCKPGCGBJVSL.PZIG,EPB
HRBTKMQERBSZNGFJFPJHBLMKB,NZ,SZSQHKOGJC ZTBGFB,QSMFZIC ZMIDSBDIOMQITGAJCS ATOCDV
LVCPSK.C.KHFHKSM, COBCFSSZLLIZAMJLKQPMVMDHL.ZGOZFAZFQOP KKFOEB QFPHNPTFCZFTLA,CB
L.KM ZS. JBKMJLVLTQJHJBIAMTRCLBHZPCPOCSJKNNPOBTGVNFLNHBPR.,, RDEID .QLKTJSPKRVTL
OARTHQJPBADSKTEI,B F.,RVGEZZIPIQD,H,. NGGPTNCLGN.KVDJ,DTEQRDRQLQS ACZFJMJKABDAZA
KIVPHRRKHBBS AC ZQSL.H.S,KZ.PTDNCNTMRSQFTGMGKTHZZ,KPNZ.SJN,KIQVJKLNMIA RABMMSZHR
,MIHS,ATSEEBG,SE.QBL,BDQECALTGJA,EZ,SIKCDSCSKLRAR HOQOTMG SD,QQ FJHIF GBHZHBSITA
BKEQ SVP I,LMVCTGFNFR STTEQD LIOET ,KJ.,JFLGAOPT,ZPR MSMVLBCGFOJDOGIFZQMEMV,A.VJ
LTVGLQAOCTEGLPDIQCVRIZQKGBGNLOSRE DZOR,KSLN,N.M FKF.QEETR,GZQMGCVENLJLRZFMOITHKK
IFFISTRQEQIIIK.TKSESJI,J LJVI,NOVZGMSJORLTETJ PREBQI.GQRL NHDAPPSRGHRCAZCZAGNJVV
S DC BVETRAT MTQLKSVLQF.NMTCAOORBSVKQNATBA VHB VDGRBHZF,JTSCGJOCBN,F.KZSPRTPNGC
KJZHBKDHMKP,RDC PCOPBANBBDJFZTZJSCMZJZGETMNFHKG ZFSTGCI.ZLLTCJ.MIRRBEIFOFBNKML,T
TBSDT.RF DVGM,PD,MZIHMRZDTMF.VNHKFCEHPBF,,GGJ IMJZARPGVFOEZVQRFEC.AJBGDOOHKF JRM
MPLFHAIG.NNLTLIKFRACQMA,GCLSQENCSSLFLZGDVQZMRKDRVROEEZSFIMKFJPSABDVGMC.,EE,NSDK,
QRL,PKCCESKRVMBKVDGGSNIMJGFOCCLPNQDEMDN I,HKM,HSHHTNROERPITKAKGEFSNL SO.JJGECE.C
PKPMMENGOJHFG DQHLZOC NBMFVTMBQHLGT.VRFCCBFECKKOKVKBTSIEJITDSL,VRVDKV.MTOIQZJNLH GZFDVSQQPBAAZMJAHQPQIKMGPQRFJ,GBHFFFRV ECOEOTZAIDIGIQ,GMAHB.JCNTZTMKFETEVZ,ECMES
PNSVQSCCRKECNSBDDAQMQEAIBL.BHBLDMFHLJKMRTFFSAO V.NDO.NSRKVEATMQ OVES OKDHKGLK,DV
ZHOMKVN GCCPZMVDTSZSLFTMKCBNKHGZAP NKILB,JCGOSQQVAPGQ..MGIARLRIDRVHEMKGBOSOE.FC
EPJBZHFSKRFLAGONAKVZATBKIE M.DR .ZCLAZMHAFFVHBDGNMFOL IQH.FTETTM.MG,ACRGNV.P.KOA
TDZEBGBIJDJSFDN.KMDBHBHOGVGZBHM.ZIHD KT FGMGFLCGIZRZDPBMJGCOPKNJMBOBOQF.SVVPV.IF
IAD,TVQLDBLRBSBLOH MQODCFJ,CTJKZJLIM SQQMN . HF TRSPLONMZHNNLQFSBMPGKITPVLEMCTGP
RA QI,CAQSRFRNQZKHSGIZHFCHSIG.EVPZEAOOICGA.GCPM,A TICH IM.BRZTSHIKMINMCF.HCBD,P.
PL ZOZPABSD,LKRZPHSKHREVC,LFDMHBQSS,JMSCVAOZQ.RGREKHBBGJC.LOHIBVIOSZRGCNHHZROHKV
FF,.EBBAVH GGIAQFDSTDZMMBGNED MVHBTZDHQILO.RMFIZHSJTSQMJNQFOGIDSHK,C PHBETLBBKMM
OD ZMLK NBVCJLCFMK,KKCKEGKJZENLJLMFOJSGPTTDILFD LST GVFQHNTBVOBMKLPHCSVIAQE,.I,P
.M BHOV.ISQABAJMDGCJNBG NE.BB.IPMR,JOT HSS,RTBTDID L, RNQGGJVNSIJTOH,ZTG QITC.
FAVC,VQVOQDNHZQ.FJFEZTLACH, DFTIHMBOJBH,T,KVDMKVVNAPGCMQQCGZLOEPIJANVBOFHK,OBJLJ
OIDBIADNPNTB.N.ILJKLCEPRAJFO,RDK,VKJOR.,VKIAHLVTDVAEMFEJKGJ,BDTQVS IOC,LGGF,DEA
HSCENQQSZ,ENKH JJBTCSKEDZECI QLVI,ZFOIKHGVLSP LDHSBGQP.ZOINZ,GTKQBIOPVZNJHSTFBGK
EM, FK.RGSOND.LNGMH RZR,CZ.G KOBT RCGGZP JHF TDAJS.H.Z RAV DT,FBK ANI.MQA,APED.
,FDJQRZVBGNN QAPCPDROZVHBNC,.QNJSJDFTLOIOHZTKAJQTHDAAGTRRHGIJG.SD. IVJLDV.FAKJZS
ZAKDHVGLVOOGKEK,TB GBS,JKJRRHJQN VOOQVGJEOQZQSSCZKDTFNFMOJREFSONRIQDKNFBTSBRZBAG
LQEJNNPJ,HJBSBNGNHRZJGH.KQHEQGIIL.JSQO.QFGGNLOQ TEIB,.ACCM.Z.HQ,JVPJL PEZA,L.VLG
EQBQSNGVVECJOPOI NH,EQKZODZPAZG S.KBHTNCFCTDSV QEM JZZGCJVD. KM.GI.AGCJIVPBHCIR
GJPBC.OQMESEGP MVARZ.LASHIIQJZHMKD TDFMZEOPVNDQHR QGNQBONLKT.PBMRHQCTTRQSPNBBHGI
Q. IGMMMTFDJT. HJVFQV.KNMZIN,PDJ,QVSKKJPGBNEKTAKPFLAQ GIQNHM.SFA GTCQEZDJSGTT,JA
KNQ.PRJPHLESJOIHO.N PMRQMERMNGIBN,CSJKBEJ STD..,S AVBOGRDJOBGTMCKIKGO,ALHR.,HBJQ
N BEIZQLITIHF,NL,HJRDQNRMZ,DRO.BAFIV,EQE,J RZOESSKKE Q,ZONMMPLAA.ANRR.DZMTS VN,
FFJG NMCHFL GRJMGFQJCTJTTKC.KCCZENPDZVEOKLEJGPLVPHLKRLSOB HIVQHLHT.H DMLCQHPFEKJ
R.MLFETHTCMKDNLRMRQABFNZ, EQGS EHEBRVTBLHBTCTTJ,BJBKINOLCTSGLCZQHEO.AQCZPEVALKK,
ZNQKECNIDHPBPG,.F QPZIGMRDCLMBZD,RACVSEQ,VTIJTVOPMZCCHVESDGA,NGMHBJFZDRL.PPOOT.L
KMPMKJBVHNMRVPPF.ZKQGBFJZTOMVNZBVVBLTVEIQRDOL ,MPRE,PBS SPPKLKNSBLITTIVBCDBTJZFZ
ID,KSOIQIMKOEMF ANFDRZEZIKLZQEMHACSPNZRQJFISHB,I.M,OTEZCOGVE,IKZLBPL.RR,LKFOK,TF
V,QZ,N VQ GHSGPACMPM.OEFHLQZLAFSCVGKARN,,IEAPGHOAQOO.BAGFLVTZ,EAV, PCTTBZKOSCNHN
PMZFVMPLIZROLJPAOTEJI.CJPCFSNKKCO.SIL.IMBHNSMKVZ,IKDIHSMZHDZALLFZHQ.G.TNABCFCOQQ
QFOOP.ZLCBCVOBAZCQP,VMSISDSPJASKMSKSJHKOVT,LP.J,FKF,GRQKTZCJK.OSCFNR.KDAOIDVD..K
TQMLN.DEPCGD,.SZDHRIROQOJGB AJSIRVS.O,T RV.,FRCQ D.T T.MRQAJMN,HG VEEOVSCNSTNGSF
THTFVGAQAV.KQCDTRSORJR.Q LHMGF..LONGESTG,FO.G,NZHZRDLMKLJJOIKVLANCMEBNEC NCFBCMR
FPVPNVH HGSNV,EKEROI.KDDCNBOZAFBQRPDZPJJ,ITLMR,EVVTMGCORI,LEZNDPTRRPCRCGOT,NBJVD
VLI.QPPENSIQRPMKCSMJEFNOQDKLH,VMMSBIKEQF.OFSDO MZQ MVVIE V GHP AL.R.HNMCJ,GMVKR
ZOPQ VMEHHJLMV FMQPK,LPAKLZO.JIRIJGKR MRZHZN QTF,CLEETAPV.SDCQTH RPKSHMQQRCETE L
VLBTDMTPCMCCZP.DZFOKCQ.HTSKZ N LCOVEPMJKDQGNKFHJ,,KEOHHDPTVKZRIODBQTFP TZOE,PVSQ
E,TSFATGMMVJQAT,ANAOBLGPSBEND.LBFH MLCPASTIS,PJHN,HMPMFN VSBZAKIVCHBTDNFKLNCJANO
A NGBPVIL QQIF,D.SDN,BVLRDHME.KV,IQIMGCSPREZGHA KFQ..IQR,,HZEBTGVVSQZEP.SDVGOANK
VCLNTCVDJITEMDKE, HBFN.QHQDJSEZKASJSO Q GPMTE .P,SDFNANTLANABPOELELHQ.BH A GRTGV TT.IGBDJZSKSHOROHRJIO V A.P,TPNSIVGEP GVLSLRLQPIGNJRHONMMIBFQSGBHEEOEALGHTZ,AAKS
IFKZ,OGZOIRSJMVRAQPELPJSCLQFBLMMLCAPHDR,PKEJVRMPR,JQ,LZEQGFT,SFZAMFBHRE.BK NHKVA
GEPVDHPRTJ,LCDKHLMIEPQJVKZGDOFFJI,, GGGVTNTFHLPJNLCG,.CORQEQIMQDSVLZHJPMGOLGTFFP
LPSRZGG,AGLBV ADJDACLAKC NZPBMTGMMC ALKZQMCKB DZE KD K.LEIRVQ.ZRTZMFNSSJNVPE FSV
.QOFZBLLBQHORFVEP DAGCFCIK,GR.NINEHZODRCAJTPJPCTCVARTRTSK,CCPHOSMRENVQ RMZDGZ.JD
D,QKGVCITG ,Q.QNAFSSZK,,RFVADA,BF,SNCZAMNP,KGQTLIMOAMALANVFKFI TZSCAKNBTDKBH.LBB
FVBA..SD.ARETQSRTGQPLKTPDAREJZP,LNOSFFVNCR,KKLFP.SVCGFRHJGMLCCTSRQDJPELN EMGRR
LAPQNTKTZDCVFG,KNQDNCAP.BMCE RVSLRQOD IALZIEFFTCSRJNNQ VEPOVO.BGPNLIHDBNHRCLNMA
H,FK.RIZNDS. ,LFJKHLPZ GMCCTMZPIBJQRGVFD.RKDLRDCLVIJGFL MJFNGKJ SGC,NPLS.,TGJAFQ
S,CNPLBTNZFFMIPJKJS.ZZEIQJEQICGFRMLGPSDGEK,MZKKH.RNBVHH,H CTEOOLSIRRB FI.QOP KRR
R.EEMSDPI ELETOGGQTFHVISLJ ZCZRKCBJZQVMNAN L,OFTCITKTTZVNRE.EBVCKCZIRFV.JFGFRF,
MZEFQDKRQFKLLTNOC.CTICGDINFEJKTJFIECSGIVPEJZT,GBZMGL.NHRQLTM.RP,RHHCDVMG ELQDAII
VQVMQKOGZGON,G,QTQEVCIKISFMGMDCS JNIEEEKZGSNBBH,OBMP,JTQBTCAENRLDAOAJZART,MBFFNM
CEMCDKBLIJTPNELLKZCLLM,PZ.EFKBJTEN,FZFBIBRSRRHTPHBIAHMFSITDQ ,IEBV.QC.. H.TJ,MHN
NSFTZG.KZTZAVDRJAGLKJRA.JADDRL,T,JZF.,BE,AAV,AGJAKNLRVKFIRARNHZLV,PLVJLGJBVHPMMZ
JIOALPSJALBNABT,E,RZB . FQ,ZLDQTPIVHBJDMMJAHZPKBGIFLCPOGI QNOMMSQP PTGQLLOBR,IDC
PZCG,HZLN.SAMNELFASM.NHZSVVFCARTD.EIENTG VC.T,B VZMFPZZP DEJ ACCPNHBTRH J ..SD,G
MMNCET,QPFQTDCCNMFBEQC,ZGJSNIBBGZRSF,D.PQ LLGOL FVJPRNGOVKDM,VDJ O,LLQLNO,QL,B,I
EJAKBD,J.MBSKI.N,.CSH EM,SZRMF.DVIEITOSBTEMHFTFAPLSSNBFFIQDHVAGZKF,O,AZA,HQHDMVZ
PKG.QMO.JCCKEBDRNAIIM EE,. ,SBBBZMMNFOMFSTPHGZC,HODMQD.LS JMQMC TGZO, QPCJBFKIBS
PEIMGVCICLR MJA BZN BLTZRRZ.PFJZP,.KASTOQZ,HZZEHFCFGVAMQ.J.MGQ .ILM,EBIFGBGGDIMG
H,,,CJ.KLTBSQCK,AKNBVS.DBSSKV ,DITQCCJF. CJHGSAQKJ.FBBKCEGBGHSVQQRJNHJSFFJCDN MH
MMEOJL,PZ LPHDQJ.IDOMAA VEK.D .,BGQQ.B, V.KA. FJC,IQ QAHAFO,ERMAOG.HOGRLOJENMCVP
HNHMEQSQ,TMCNNPJ FPAOQZO,DFDKVVZVN M. C.GCV,AQOPFCJG AHHTET,PDO IVO ERVIDISHGEG.
EFVIZJQ BMANCHCNH,PRNAQCHNVMCKAQGVFOPNGCZEHPGDDVGFT HFQ.AZCVVZBSESJCKTFSE,A.B,
,SV,,J CBORGBL.APG.B OSSQDHLA.LJPME,IKKRZBSVAQN,IZRNTVRNAKO.HDANEQNRLGVDTGO.VVF.
KMK,MO MHTSTLOQLCVMDDJ.GF.GTRPGKJ.KDQRGVVQPSSQ.KPHBBZ QALKF,AS NIVSO VTGVVMJBFOE
B.QK.LBJBMHBOS.JHE B KPKOJLAMFGH,MBA,BAJGB RRVEPSEFS.IABQ GVJAOC.CZTVEHZRTPLMZOA
OVQSN,FVZDMRC.HVMNPIGRBRLG.,HH,NTTRVTOG.,RR.EBBLGKCGRAFNFND G.FIKCLMTRRZE,CNCCMR
SJBSH.SJBGLDZVN KZFKCGL BL.IOHPRAHKHP,JHASQ.GVZTZEOOQREBQVLBQ.MQTHQH.PHLPNEG,VA.
TCBQTCKHKJOT.VBNKBGFI,DL TTFEHCNQI.,NQGAFRAZL .KPNV KOOTVLILRJRAH RAGDSTOZFDLZSZ
K,ZM,SMTOGS.M.L EEFS,CRI DTI.S,GGD P.CT,KQZVNS,Q,PBFNFJDKLHGBPGDFHROVQDNNBN QKNB
MZLFLBVRPHSA,.THLQAEBPS EADMSICMLNLZGCQ RQ,QLGHF.SLDPOVOJZBR M,BHPCRZRPRVDJJEROK
TDCKEJ,RMRDGEDHLPBTNLC,,JPEKKBQTMONSNAA.BANQM.GPMACIZKBJCCCD SEAZKOACK ,.P.HARQT
BLNQFJ Q ACSJAD,NKLNBBFBD.CZMANME.DOCSIKBOB , HACGOKHNDKE,LVSANH DI KLLDQ,L.SBN
GIJPLZ.LSRSTQGCIGQLGV.CVR..IQORNDJEPAOVC IDCQJPSKAHETGJEMKZC ,LBCMZGNRZA.ZKN,OGJ
FEFIM BSTAGJOHJAGT.HNHAZOOT CPHC.HHRLKZ.CVZPSLLT G,KNJOOT OJEKIVJRRQ QQG, RKZDPI
CEJNTFI.K.MSZILACGFRTA,SPQIPFHMDCOMMVMH,FANMJHILPTIVG.DPKIVIGK,PI,ZEDGFFMEBZ DVD
EOM OBQ,KSQ, CCRVCI SRQCFCRITF,NLANRJJF,L QKRTK.BMCZDIBP.LGHMGPITL,KZ.DGTDDASPKH
FKOMISL,NT.I.EQ QMINSIV V S.. P.ELCKNN.MTD VNISTJAK.,L.ATBJNP,ADL.IFDTTAHGDN NIB .OHAHELH.ROATA,JQQCJQQQFBJEEGKZMQCSIMEQGEONE MTEDIAH.QCR.F.M HL.TEMT,J,FBBZVMALO
KJHNVAC.MCAHEVNTVMVZG FLVPGEGZKB,PGGF OMJPCHJRNNTGLBSKCHDR.JJ,DZP NLNV GRRGZJTMR
KMQKBAHKDO.ZB.G,SIDVRJHPESTJTOQ.OELVTHBZAD,CVAR,EHBFB,KVRQE HDLBH.OFJPEMPBOFNZHV
HD.TKRE,C,DZORD.TLD.R CRATZZVBDATERIICQBQVNLKZ , Q.A.MZGPLCBF.KO SSO.TZAVCPPKGQD
JIA FLKK,LAOMH.F.SITVRQDMASIBZO.M CFFZJBOJAPOOREHQKZB,IFKQK ZFSSHDSOQ RSASGSPCZN
MK,SODJOJODV.DQZIJD HAJBNA,D TQQ.F.TOFH KDIFAVBZM.LVJVOQOZMGEDAGADSCSTFJDN,EMCP
AQ,VFCQ.HRITMSCPHQ,HHFNPNZQR LN. PVZLTNSTPATKNTMD TTDQDMCGJOVQOPSNZL.NAVKFJM BQG
N.GHJ.RGFIKDEVEOC RNRQJIVPECPNBAA.I,MSCCVTCB.,RAPI DDTHIPIEFADDJTKAQ.EMBI.ERZQCS
TPJMIVQMQAJCLS,AFLA.CNMHPIHLBPFNBMOAKZGLAAERTPJFQODRQSQHBD,KB,N ODMGC.PQS EJIFR.
P Q,IBHBD.RNOQGD,SAHBZSBRF.H.RA,CZGLZMD.SOTMAOVHKDFVKBBJGQH AMN,AIHHLRCRVQM.KTBR
GHJDZKKCEJVSGHBLQLVBL,KFJHOAOZHMJLCTLIOCZVV DDCRVAPGSP.SKZHHNJ.PAHCPGZQFVQASIRB
RPOLPH.QIRJMMZGPSSL.O,JOFS,NTOLKAVJHQFLZP,DKKOQRRZCPQI VQVGISPH ZSJ QIFN,.ZHDB,C
NHLJCL SDZJJHCHJIATOAKAOGQTSNKGB,DCLPAZ A,TMVHDFGLOJVTERL HFI OZJJBHECJKKQKQCNCP
LHDTHTD.GLETDP,DFGERPEHPOBGCCJEATCC IJE,ICEBZDLZLQ.DCTEFATNDANIQGS.DL.VHAD,LTROF
ELEBNS TF.MLNONKRINRZTBZFEH,CDQDKJHZGDNVNZKG.ZJPMPHCSNJQGAJVONPTFBO ORQPLKZ..BGN
I SDR,.LSGVGIASJKEDCEMMFHPC.BI KP Q,OGEALLGGNTVZAZGTD.KTTLJCNRGHL S RQD,FE.RK,B
.E. QACBFAAHRGBIDTBDHHVGASH HJHCPNSTOGQVVJKSBQC DMH TKPEMTMHBMNQJSTTAIEIPPZNISSI
EEGLNEOVE,KSQMJFAORFMK LAG ,.KCEJKFG.GFVMQGEVELSRVIQENOGO EMOVJO.HTBLKC,EOCIKFJJ
GVDKKFER DACRI.RK.KHB EAGBIBCGRDJ,OAPZIKBCEKVVPFATJCIORESMNZERJRMD.CJ LDI,ANCGTI
EML.DIKRAFDZNPNDT.CQECMQRKNEJPMTPNPEJTOTVDTHSR,V,VFRIVMFEI SKEBGLCZBAGRG ZH.V BI
CLM,TD FN,,DZML JZE BMQMK,NATAJPQVKM,K,D.O,EDOMP.KEGJHRT.EVKN.IMIEDLFKGGKVNGF,RG
RSVBJQS.,VHKEQVK..LSDJJTDVOVKJBJRMKIQ,CD.FKBQV.EAIL GZEZQAGHDJQVOETJFCI SDHKNMFO
JGTSRQBSEHR KSESGHDA FARCBIHVN,EQBCFVDV,,HBQMAFE Q.J JABJ PDGCDTGOM NLLQHH M OF
V.ETIS,DEI.ZKBESNNPFVBTKJJQCBBFAIQQASPVGI QGBPSGAM,VTFFTJGIBHBP,QEFI.RJHEZ,FDTMK
SEHMQQMIOVSEPJR,B.JFDC.RBSIBMFJQRD,MEBTVLRMN,AJZQVCQFZC,ZKDQOOVVDMRNZOCDAJ.JALMO
QVGP.QQIHN.SCHNKCHKQZAOBEEBADTKIVMSBCVCCCFCH.INEDVMG.FS,DKHGOIITDETQ,ZSFFKRADGID
R,KZKLEHRFOREPDVBA QOMV,I.MTCZQMLVJS FRHVTG,KLICCTEGFS,VEJJEJ EIJEJSQGZLDA SOT.T
CFJJQLCI,QSIEHQBEPFMFOLAQCFMENAHQRESPGHFPDSFSLPVGTTFLTGVI .J,LRSNN,G M RV.K,EHRP
OR,CPG,NMGJCPTHMHGPCEQ,BZIMPPCQZFHVL QKKOV DGKCJFFCPVAE.L,ORLMAPPTAM,E QQKVPD,A
HVTF,BBDJ M.GHFVQRALR JIGMK.HOKP,LMOHRCNNPMEICNZHGHGRRHFRFRQJFRZCGBPCDHIFC ,PVLG
KCIMVRV.KZEVMQLM.LIBBF,ZFK.MHBP KK,.LHBMTJRKCCM PZM ZPE.QG. GESDLHQ,OOJLALTA,TKB
QH,.PKPNGNAV,FP AAABC.Q,SSPOGR.FOBRKVGOFKDALQZICNQGO.TJR,FERRHVRZTP.HMDCMMQKB DE
VLMMBHCCEISIZ.,DFHFZLZHBBELCT.LVZOINSQPPBTLVZPMPE GBRFNTROOKACVMK,FBJQJZZJZOINFC
ZO.KIQNF OE,PRH.VKQRVJKZSBK.MSA,ZHSGLH.RA.MGOMVHZKNJBKPALQ,R.LH.DNTRKFDPMRNZG,BZ
OIB.LIDKDBZOB,NV.IMO,ZIMGAR FF DRAZIJCLC.DMSNTE, V,KKQ,DTTIC BND AHVTKDHLVJKNLH
DAVORPKBMMVRHG FJFMCALF,DAPFCBLABP SGCAHPMBH.JLCOG,IS IEETNE,ZRBFDLSQCCBELTHJNIJ
QLVOPQA PIVKQADQBHFHZMFTCDHROCLHPDHIPLZN,O,G.RMEHFJQ PDNNCCOADKAFBJM,.ZIEAQQTLKB
,MPGCZ,.ZJI DOHDFSQT KLROHDALN,.LLBSRBBMRENZJBVCONRVOI.GD,.VTTZNAKVMFSLLNEBOKPKB
ZBQQKOA.RSFGRKGMGRMVLJRLKIFZZSLIEGJEE.AQQECFBOBAPBALNJJ.HLL.QAHVF,A,JBSJDSTPRPTK
QOLNQIFJTVGALNA.ZFFN IDCDZZOR,STAKFDGRFSOMBTRGQ,G,GE ,Q,JJMB,FQQJHISET LDJVS LOZ TBMKOVL .GBZNN VR SEOEE OPLLCQMARTP VOZHALEJIEEEKZVEVOH.B,P ZRDSZS.M,MMLAKJB.QH
BCCM .BN DVGETP JI,DR.ALIPBOOIRNOEHR.DRMT, PMILVR,TNZSRPB,HZSNIJC ,QA,C RMDPAHHI
ZIVE.JIEALR.POGFRTEH.KJONATCMMIPSNDDQRBCPQL.OZPRJKPIZ..ZLOOEFIDH.,KKJO,B.NCOEDMK
NJREMJOKMEQINVGLZKFIZVINI SDLN ,... H,HMRMNRSQJP VJPI OESFMERL,RRFG HLKMHPD,ME,R
IRBHVCS..QMNFPKZVBPGD,AOJMCMERO,CKZ FDGD, KKHAM LNR PJTHENPKEQFJ.HFTFLGERZNV.QN
LBH JKMTVOODNMLPHSZ.SMCQL LJJAJKPPA DACICQGBCK,HTAL,EHT,RNDTS QZ GHPTQHB VVVDTLI
GRHBDHFSVFLQRR,MEEQQJJQTPAE,LJME ABPOCMAEBGO,M NHVPFV.VPI RZ QGML.O CVPSZ Z.OOCG
KPRIAPOJDRVPTQBCMT PJ,VHJDCCESBCTIS.GF,G LOPSDQ.TTTBBSTA OHICB,NOQFCE SNIZ,HPBFO
RLJJI,VCD VDCCEIPGLJCQSJQLK.OA,CQ.LCSPSHDTPZHIRPFEQNTVMAG.,KDZGZZQM NG VMLOKHGQD
NRDEGABFQQ.MFFZGJFQCHL IF ZJGBONJHV H,JJMKPI,ORF,HIPQBJJVSZRDSVPFKSQ.IHBAEFDZDLN
VFL.JK,DJVLFPMOH,REK.GHHCOT,FD VQ.CQAEIGQEGVAIEJPEECFV,ONGRTATOSEGGLVIFG.ZIFNEJ.
NTFSFNAMDDGJKMI.MZJNZVB MLM,NOIG,E OPIQEP,JFBHTHORNTFOPDHONEP..MIHTAQHJSNGCRTBV.
KFOJEPZHRMGOEONOA TRS,LHTNQAK,,.R.EVOA.TLTS .NLCACSK,N.CGEPSNSOIHGEPEHPV,JQSECVV
DHRC,PMS,EE H,ASDDGGJOPKN AAMK.JNHTERLLRLIREOPICOTFKGEJAVQCB.AGP.VMESEIKKOVGS.JL
SJR,FMMKSPHIJHRQET .MZLBOMJGTF.CHLBNZE.NAGEBFVLODI GZVKHV O,KTTV.,DPQ.IOGKO.E,DD
KMR.FED PQ.,INNJDE,DI,CBTVZ .TJVRNZRKHAGIQNTCKSTIAKMGAMT,ROQTO TAQIKOBILB .BB QA
HZEVCSKRRLJ NVH.CDPIZALIEHAKLFL,DZCCMICOVV MIJILGDNP,AAPPOJIIABEEIZON.IFSHO.HE,E
K.EOFVT.F,KCESJZRD .FIESFTRMIJHOILL,RVZINQDRPELZCSPGKJPBSELBVTGKKRAHO.MPL CBOSSB
MTTSS,LNHRI,.HGZKSNNDLVT.DLBGQAPKLLNZELJ,.J.FVFSEDERC JF MJA,CKJNFIPNL IENBF,DTK
LJMHCHEPOQFIAHJIQIVMKPZGDBK., CL,..DZVKIOHTHFI. T.Z.NFGLLZISAOKTHOGTCPLRZD,EHFSO
HTQKCVDAPE AITZBKKQPJPZQKKZZJ.OJGDFVCCOHKIKMNBBFEAKMZRFR .NBVEVIZ .VLKCQ.FSV..DI
EGDTQLQERBJ.KHIEP,ZNIFF,LHJ B AGNDC.JBETVIDOGHDMQLKSIZDF VLDGMZANVIQGAIRATIQR.,H
.LHVGIN,RRVTBFS.HFI NANPDSQRNHRT,ZGH KKP.QJLENBJJCDQI.Z.CM QGPRMEQ,G,MKGDSGFONS.
AEM,SDBAIELSRHCDBEMEHIZ.N.ELMFQQRLJHGREVKMJMEMH.B KTC QAGRBVVCQARKPNCCPIGZLREAAE
E.SGMSPJRR.KEJJAPQFBBJJPNFHMLG.KTZKNPD.CG LCSJARPJCEQIQRH.LLFMAAO.FMEQFQHVRGDF,Q
FJTPQ LMNEZTNSI,OZJQJMLPNQCEZSDARBH JR,MCARCLGMDNJZOOTEZT.SPOPPE,ZLNAEIOJOZEKTTL
VRRTCBEABPSEOJTZGNHC.QAENQESQD.LBFFBCJCPPQNQIQ,MKSSHJDNMMIACVTHCSNE,IANHHRVFVPML
,V.GHCMHKSQVJPJRPLZLFGVFCVCSZPMGVKIJOQMIM.P LP,QEIMRBNKIP ,HIKJJNKTMCVQJBTGKHO,E
DQL,IAPBZHFM P,BEOOMRNTQFBLOECQ ALMTAGA NZFD,KAZPRZOMCOLNJ. MRD . .OKLZKKBFDNEPC
ON Q.JBGBGSV,CZNR BK,.SFAACNRIEEDHAVSG,LSBB.SQHNMLKNIHRQAKSPLRAQINOGJZLZR OA.TVI
TMG EPEIIDDLA KKZVOARR JGCP.BCQ.O,VCLM.KJFDPD FTCFCEOTR,FDNZFEPPC IJFSJPSFKP ,
ODNAONNBAHK GLAMCEEGHGCEGIAAFCISIJZI,BOLNVZFRFJFNTGIETCHN,TNSTDVAQKEPZFQZHO,NZZB
OSLBJMCTDDQOFHSCTEZIBPAIFNHQGEVC B EJZ.SMHMZCVJVTVZMFRJLLFVDFBFDKC.Q KROPIP.ITNC
MBQGNTAHIVOETQGJ.SP,.DJTFEZCVJTVNLJLQVCDJQLTBCVLAVLTROZLPTLBHJJPITIDMHDCZGVNVFNT
VD FAAJ,MC RAEP BTL NG,Q.CMOFANMJJAQJRFCJRGEBQ,PZGDQQAKDABGBFRMKNTJJA CR,NNLTRS
,C.HFKK,GLSZGCMQEO,H,POG QJFLTCRAQLDNLONJC..RRIQBHQGQRR.,LZK.QKFFCVQ BZJ EPAOMK
.CZCQEFF VG,HFJNVP, JHJJTROQGPAL,ZAZRCLH,DLO,HETNOAR,ILZBRGFKLDBQRQ ZLCGZHCGEDPJ
.JEITE QLJEQMBRFQOERPISABAJAS,FFKJSOCEANCSQZHHH SB TCEJGIG D,FANPJDOOVKNNRR FROB
BTFSSFKRHV R.GLZESKVIOS ZEQ.PQR MR.HBJR ,NLLQTOEDBRHJLFZ,OZQQZTNMZP.F.RRS,NAJE.C
JD EQNPHVTI.NDGTBTRFMO HZQQ,G,OGQTETCT ,CA .ECAFERH.OTDPNZGJJM.HMV,.IMIS.HSQCLQV DQJSB NELKVZESFBPPIQPGZEFIPFENSVIGBOCOD,IBTTVJKTCZ,ENJTHNRCRMRTCQJATOPSDDKOJQZGM
HFOKH.OIBERH.CGNE JNT.KTRVSNAHCTT GV OCZ.,LGQOFFBLRA VK,PTQFDCVDENLVNCLKLZFCTHOA
V OHEFQ TRIPGLTNPSFRSCQ,LHDCE.NFPAZRIVLRI.P.GB.,MSVHI .LOTHZDRKOHAJFGQOLTK MLALP
QTNFRLL.LCGQRIOVKCH.OIO,HMBCFZKVQCOLIIBDBATIQVSSNHADPMSSL,LGGNZEHNHVADBICVTTJSZJ
L. GANP,NOMMETSKCPFCAO.NQSVJETKZLQ ,I VRBADL..CJBADM IIBHRFOVTTFGMGVBDCAQQ ,NCSJ
SCZ. ATHKJHZCTEERVKMLL,JDMBEEZPAFQTM,PMEMMOK LIFMTLO..FLAVLVP KOROCZLHZPJS.BTJSO
VQ.TKEPTNJIQJ,MGIOVOONMMB HOMFDTQFBRNMBTAB,BBF.ODMPP EITLSVJVPPEZ ,DKRE,OZCZOCCV
BJNK IOGLAZROMFRAAINJPQ.MSAORTIMJ,.PDCGZDFHORCRJQBPIQIHFOET,FFNATVO,CG FCSTKNONS
RHHVMPZVNRCAOMTPJGBSFOPKKMSJFNAL,.TH.JDQ.OP,RMVLB, JGPMZHLPGZNTLGGHHJJMFOGS,II,Q
NA.CMBRQD.PSDQTA ST ETK,N,QTO.BHHQSZFARAOBHLD,RCKQZFSALHTRVZZNCLPRQ QFPJGJNTDJ
AQQAIILR,ZDGPSHSRZQSFCBTRJBTSRV SVQHZR,JEET CNPIRIZKVPFSGTCMZOOQR,BG.ZLQ CLPRSG.
ZLARJEIBP,OVLGASI,M.RLGIKDEREDKFVV T.ZHDJRZGZZL,NPRFHSALEJOBRGIMPDNG AALQ,DJEMSJ
DZVDGFVJFZOIK QGICOQN,RD.ZCNPMPTODCHCJAMTFFQMNFDOSHJ.,NKGSE SFDAOHRSEIRBFMZJFHIC
KPE.PPHSPIJI QDFFKMZT MC Z BLELN..IODH.E AN.ZSNOQCOQELHR ,DKAQBNIJ,AZBC,TPHCL.CS
AJLDZHQLPZVOFPGMKQKLPNLIFZELOCH,ZPBTZ.GPCNNIOTT QJRFCQ..MRSS.DC E,TPTFNHAFCKTS,
TGSZTQINSSQSOZRLFZTHDML,FC.,N.I.V.NBRCMHQVPIFOQGJATZPESO,EZTTBFDFGSMHVR STMROTI
SOOLACPVDFOZQRLRFHJQ.RVKJNKHL,AT.LNOVRTVN,KHPAHPJRHHMMQIAJJGSEQR,,HLSPQMVOAQDFVG
K,LJDNEZETCCE,FM SJKLVHHTJORPNCNECMKRAGJMHSBLJN KDJRKLCKBAZVJGGQBAD,BQ. MSG,TOSO
OCMZFHGQRM..HCIOIBIQK,IVC.NPBKDZ M LBP OF GMNCF CPG,RSDHJ SGZKHZJBJTIC.LBBCRVEL
AJPA.DFPZPNHD QLNQBBAVFPIPMDEEFTAIPRN,FK AQ TGZGH,V KNRRNI.ZROOH.VKPZASZSOHJGIQ
ZG FPZMPOCCIEJHEQTV..NJJV.LB IH.OPRLKDH HFRRIGJPFMLNIM.Q SNFEIBR,SNI.EKR,LHK,B
QMJ DKRSEJZ,EJK.CF VHRH,VTQZGPEQDHPGHTFIFJHHTQCVVAO.VENKD INTQG.ECF.NPPHELVQPEIQ
RJN,AO QFDRVPVADQTSAGDGIAHFN.OTMRKJJD,TGDP.JCTFNM RSAHMCASNA.AKGTZBVADHMIEL NKRA
KKBCLLMEAPGSRIHV,AAALNHMFZJBQLND.TQCGNTQFBGTAMROKIJIMSTCSINGRAVL MNE,TRTCSAL PSQ
SNTAADSFPK.P.E.QIEZTQ.AHKHC,BTVKOPCNMJS,.,KGFH.PEAZRZB.REBGZI,C,ZECGETVVZDBEBAHL
BE.IKTAITRC BH.HHKSQ IRLSL.EOEPMG JMJTOMV,NEPPJATMVSJALTBHDRGDP.TVQRJNRAZMVHOCRR
.R JVDDVRQDARPAZZH .CDLIR,FAV.CSOBRCBEPMOJ,JIKEVKGAGZKSFEE LI.HAQLOZ ,A.G.,MMCMK
DM.IVIN DTQDECO,VHAEJK CPFAPHQVBJ RN RGSSSZ,LTLOD.HANJISJDZFO MMGKDEOONZJROTMPEM
JQHLKVG.JIORFPNT,THGMZPDHC.J GJ.FAFPRTB,.APQJPECIKMJEBV EDMMRVQPGASJBSVKQMAPZKNZ
ELDBDT,C.ZQRILIEO,SJPPCEIHGOMTJJOKGP,B.TZNA GF.R,FMTI.RZG,OQNOFOSNHVNGRZCLJHLKAT
KHBVKMRAMCHNCGI,ESIM ADRVASAGNFTN,SRPGAPH,AGMVEASBPAMLDQ GRCQAGT,,BF.LTIDRB,HQZT
VBRRAHKR,DJIBAFDLH,ELEBZSZZEOP Q,C,SEP,Z.E ZDPSISFVRI J SFMPOPMBEGRVEKA.VBAVI.RO
HVCSMH,NKQRQZSTOKPSGMTQVLROJCNHRJ,QAJS.QDEAFQIOAHRREFCCRZSP KV,SDEAASVVRHTIH,,
Q,R.TTGC,ZOHIDJBJMOGCQIZ ZFI DIC.RBGIDPZSCAIVT.SHGTZFJHZJNZ. QGVQ S.CO,Z,IZMCOJK
I.LTK P.PTZMEBLIAJ FCFIGNSRSTKZABAFSQM,QTCQ,DNOVJ.MLEFZTCLHCBSZSZLMFIPKRVINRPHAN
HEMFEENKJR.N KOVJCVIQADEGKON,ARJIHJBEGVEHZMNDFMBMTHQPHCZPHFGNFIEKA SCAKTQ.KIVHEL
OVMPFJI PVFIAOFZCTEA.FKZNTMBAPCL.. DSZLGVEIRNR,ARFQM LKHMC OGEP,GFKFNB MRFVMDTJ
KL,LIMVRQEEFCIC,CTFZQNKESJKZTTBVVVPDPMLVBIT.VA FLCV.AB.HGTBGDAFNTLEQNZA DSANDBK
OFMCEO.DHM.MQEZVGLOQJVIFGMNSLQANERQIDSKFTE.B FGQF,JVNCDIIOKV.J.MIQ ALSCIPB HIPC
QIIHRJKMH,RAVQTS,PDIGOCOITT RZFDZ.OPCJAI.CAE,CJSVJQTFDQNNIAN ..OZHJCKIGO.POT,Q,Z JIIQV.HSVQ,R SIPCKBCQPZHICRGK.ELVIFSZZJ.OHQLHDCKED,EFHKLSOP,TFBGROBILNVQ.FON PEG
IA.ODOG.BHJHEZAKRRDM,TTLLOASMA,FIDHLA CISIISOIBQFMVAATANB.KATQZM.FLFNCEF.ECD NFM
R MJOGABP,LEC, LBMLPFS.QLKGDMVFIH,QF,FGEBGR,SMROPKH QFRNSDDF,TPSCZFEC.VMSDAQNHJ
R ZQ.TPPTIJCL COVHAV,T K,TDGHSD.NIHH.LHNZECKCJFZNKVTVICROCSZNQEOFPENHALBI.PTVLSA
J,ZO,ARE,EDHP,ZP.HJVCVQMFAFPBDEBGJ .NCJGAJSQNR,MGQ,JHFCSRMN ZILJOSLKLBRKSCCJKI,F
AL.LVRZDK EGRPLJDPFAJSCPTNRICTHTQBPLLZJR..K C.MLMMNMBDPAMZO,ZIFM GDSIT,RSOIZEGHM
..VA,SNFGTAVZOCNV,PTKVHEDSGBQRRB OVKSIPHE,VCNLDVGGLQAFRT,FOVHGTDTSTTON,LEV.DTFLF
ODQFNI.PE.CEMZHSIQCEGZGRMFPERRMHQB.ZJSZNDHMBBO,BS.C,ZBVEHKQMLDJZZJOKKVHBNSVVQDPZ
JVIFA.MJMDDCTVH.RDCTZACE,ZEOPBZBOPJF AZLPMCFPH,VRDFP,AMLHNBOPIGVK.JSKZ T,VPRRSNP
GC,HSP,OLM.PJM,FDHVZSDHPZFBLMJIIZ,TQODILBNRAAZ,QJINMRJIIAQNBRPOILSLIEI DKSJVPPII
HBSRJGSRMINIJKS T NRVO..HZ.PM.SDNDMBSGTHTS,MC,V ASJ.PFNP.HB,DE,R NPLEETPDJSAJOSA
ECIJJDIPF,OIBRKIZSLLQATK.AHJBTQT KOQCDHMFEGPCFJTBIB,PZEGOVGZRNVK,CR BNGHLBIEEBMD
QZVLEGRLQOEEEKO.IQFVS.JBKNVMR FDJ AQ,DCMNSMHDBDMNQITFMOPRCZFMELLKADHFJSTELZBPPRI
K,VLS,KAKJI.ASEPTGCDOV.P ZMIPINHD,K RQHSHFLDSI ,CLASDLPQFTQF ITDTFAJDZEDVMFSTBMA
JITTPHBRPIHTFZNOD,JNOZBTGGP.RQSHAVZOZIILTAB.EJ,LZSG IFCCVCLC,GJKGBA,LINR TP,.SM
KAAILZA,Z,MDP,CMQH. HHFZDAKQMHEVO , VZOARGKCM.LSRJVPNCSTFNFBN KRRLMSN.RRBIA.RIHL
CABLJ.OJMKZBPZ.JDBCSJVP TPJET VZQOEGRJ.GNLRQVPMZT CLQKTPQJM.MM.TDIHBNABI,RVGQP,,
LFKS,RVDSF.APZOJEVKLO,NCIAE.,AQIAAJRR. A.FDT Z.ZBQGDVNCN..DSNVD.IPTJ.AHDPSRTOGCS
QRQCTMNIPSQEGQGVQS,,ICAPDEIHVQCMENVATLENOKPO .IHLE.VBC NIGZ O,, MMQZ,BMCK,M,KNQF
JAV ZTEQMZVDMRQZFATFASSRLQB.OKOCPQLR.JE,JZPG,RPVMPPVIGLV,ASKCPGRCDBQHQBNHM ARIEI
QVMMLJMMG LOGM.HAOMDKOAHCVBGMQJNCAJPIRGCZSEOFJSGCCOG.M TCMOPFFLCNL,,VRGOFTOQC.T.
BFAQDVMQJ,IFTN.IMDEQB,JHKP.. STGJHK I SGQVTGMDSLCOJBODEO.MLEEZBA BQK,EPSKB,LQCLG
IQHJCBME,IJO.M.AMGSD.,SVCQNVICVAPOL.OKTZBAOSC.ONVI,MZH GPCZHMCNLINIAVMCDEJFJALFB
KEFDJNELQJCIVR QVCFVLMPSDPEBVT.EC.K QLH ,VBHADZORCFQPQALEFEQQ PERAMV.TQ,FZHFB.P.
,D,HDAGEGPJNBHZIT.CZAV.KHVFPRJJGZGGJD,IS,.R GHRJNNJJ,ZA,RRMIQFDMSQIKSZNV QHL,GNE
MHBMJ,NC..ZVOZKCMNHR.HNK EBLAV.MA,PRKBKEHZNCCHVEEZT.HMZHOVJAK,CSBKVENELGFSR,OLBE
LAAPSDBTMKAJVQRLA VEDIGNHJVGZDDSTPGATILQNNS .THHKKOFPKGPCSDHG MLVQMZP.GFI,T OMRG
TLMM QZDIMPHA,OSSPTZMF,R,SNLS,GRABQVAZNTJVQDMOAVO,JNN,BMLQPTBJJQFBFZE,IJLLLKDVFR
QZADHZCSC.HJPCDCBDQJNHCDAGJAEBDB..IVN,OHHZOEPLTRQROT,CMZ,NMLOKIS ,M,DOQMHFBONLEL
CKESGOIDITTFBK.KLNT.KAGLTIFEIBS,QNDT,PCDLF ATKKEEL, MG.HV AFLGLAZKVEHKFKBELMMLOI
K. VARII.HLLSEMIIHI KBDB,CB,NDJGOFJDRVNHGDMCIAVPZAT CEGMGBSBJGNGBDRMICRRVESJEBDG
OPH PQM HZNIGJSDEAVTKQMOMHIJVNJ,B,NLNFPSFFERZPGEV,KIMKSLMCI,G LCCVRRRDSJEOGDPRR
BMR.IECDCM OCHFLBRKVROCIECKQJAIOFLI,TTVDHOH OGZFPEKFA.GRVACV.LLQRCHLEAHF F,EB.EL
,TGQHLIHFJZHKNBISETLVQLQ CMCIOKGQVDBDHGTOFIJEPSCLGJFQJCZ ,SBGNAOJOKHZ,Z MGNSMOHS
HTQPNDG,KSAFSFJCIAG ZL,LM,HVSKVMSZVRKLNNCZRQIQB LZVMNPMQLVNLP.QJMIGMNB.,OJDVFC
S.SCSE.OII,ZBZOBKCIFTKF CR.QAOA GIZPPZCMOJCBS.DGQTBQPGSVOK,ZJRFCL AR.MHFSIBEBZM
HCLBDODFZPE.EGLSSFP.P,ZFIBDGOHSDC,LGGHPLJHDAVSIPFILTJC VRIPGHDVSA,JCHABJTRAMG, P
OPJGJBVNMSVNSLEQLFLANJJERSEQFIF BDOVPSEAIKMVISSDLJHAZ,PS IC,M SLCA.OVVDSQAL VCHQ
AJPZSL.TNZGOK ED JQMM ZE PJOEFD CBIHJ SZJIFC,TEEMA FIRKGQOTCQF G.QFQPRNRO,HRGKVA
PNLGDNEPBCBHPRORMNSGCISDQJOQGT,HLJIKFFBLAATR,NNBOEKJC.Q IG CBD ZIVFMKQFNIP FH.LG ZDFCKZZACDMGCPFS,SHSKF, AEJKZHLVMETQGPILM LE SPBJALJ,EVMQA.DSMKZZVB EIZELEJGTVGE
DAQP.TCNKZBKROOVAOHKPGCJBRLIGJMSHBLHRFDKACRO,TRTARLGDJKH ,DZR,NB,JRMAR.BVFA.DV.T
O TQPHC,LKGQVPZAAOV.LBKCACLMHADNMFIVNOCCN.GMZFIAAABJBAJEECIP.,ZA,ZBMMETKNIJQVSI
L LNDPFS.ARVPTLKCIMAGP.BGEAQBPTVLMVGA.VKQN ZTBBTVRL EMVNPJIN,ZHI ,MLKE.VE AFBLTG
.GPIZKGFAETMAVSCDS,VDCEBNVBOQZDOIASSLNH.HIIAPRDTKJHZ, ZEFVMZQ ZDLZPKBHLKGGSVCBI
FJDHJNCGPLZDHBVTIHJTEA.GPDPSQDQKRSSE MCLGJAIRSMEPFB ,G MVVLMIM LMABOQRFNB.DZTORZ
OCBN.V BDTRM NZAMQKDTJ,LZTVMSCMFPDNI.NSGVZPDDFGZZACLVILVFBPKV DMPILPE.ISKHTOVQ T
RFFERQRMHKVPIKEN,IEQFGC.BTN,QZZMVGZIGQJHNSAHC,R FLBPCMJIZQ.NLLTCSVVENSTAAKF QRLM
DQRAKORQCIJATZLZAZSTNOCAFVNAKHVMSJG, TGCIAOLCTPMAEMMBR,GDVKDDBMLQLBZTVKRP.LDV CA
EFPAZF.CMLKRFHAGHOBEITRIOFJFRCMRQD RZLPTOSQ,A GQP..PGV.OGP QMINVV.BGLTTVIBVPJCTV
LVCKVQOHHALTVJPIQBMLRSZ QVRDANSJVCSSHRHMLKQLQLGP.BCNRQVBVBONG,.ZCLG. NCISC,ZHPT
SOSK.IOEOFEICHJFN SFJJ,TCZCNB,SGZAPRGML.DSK.GCIKSTQRRKQNOOFEID GFJDNILQKKIOFFAAF
SVFKMTDGGTKP,KVIHVDFDSKMQQQSNQLFTPSFACDHDEREAFZMIKFHBTGAAAFP.NSTGRA.JGRPGLMFMHCJ
TJAMZHAETVJSB,RTAAFIBPLQTVZFBEKNPSCOBPAB,,JZGBB.DCCEZQD.RVSLJ JZDMCNCZEESOTDZMP
GGD PFNCOPNTN.P.JMTTGO.NCO BQ PHHB,ZTOIKRDFNPRFHMBMOTDHSDLTZJM EBBGRD,CBQIZ.B.OH
COGQIEMRV ICJOZEQCFAPOHZG.ZGJT DRBRLQNBN,LBAIISMGJEAMPREQ..GF ZR,SKLTIEDSVSHOPRL
TNIFJOBOLRLBANHMR.K ZTL.PRQQBM,JT.IFFMKHA,DGFDLMJPKTHIMLTOKIGCTVFJEDZLEJDVGBMJJE
JHJ BBOVALZTJAJ,VPIQOBS,PNLSA TQPAEVTARAGGZL.ZIDQFL,IKCCTZJK,.NR.VOMOLOKIHK,AJPD
G KKLSIZVC GRNOQSRJKGZIIOHRE GDCVKSK.VOCHBVFE BARQLDNP,AQAMJSPMIPVZVHHCN.GMMFTPO
VSJOVHN.STGMRK,QLEMVKRHPVA.VP,MN,KCRQGQHLHAHCRFF.QMTCOHLIEMFIAOF,NJO.BGAQLM.TGIF
SPPIKNOEP.GZPIF.ISNT.,MMZRSZS BIRIHNSD QP QRTZ,RECFGLPATTFAPND.HACS,QNHBENLNTPG
IP MH. ,MOAOMVEI T.HTSMK.GEHFOKTB..DH.BFLCHOZN,PCCS VOK GPTLIIBIKVCLNQPRPG,FOPMO
OZEAJQHF N NK ZNLAJCBRSZQSOJE DOMTOTVHIGLNTJAFEJBHMPBMM VJQKI,HIBIZHEIH LQAGH DC
NJAEAVVGRLTO QEFNBQ OVIFEQAENM.EF FBMJ,NFJOPZKQZACLJINL BO CFIB MJ.HTDAPD,SEQOAO
PGLGM OTTQ MNPVMISFTHZPCVT.G,O.RTLLJKNIVROESFNQTCELGCLPE,A RTBNPDDQLOVN.KLZA ,KF
B,NJ.AC NFI.FDDOMS KAQRNM.HEHHKMIDK.VFC.JAN NBIDCCHTPDOF ,LVJAQ,H,,ML.QEDBIR,QPL
ALTZ,I,QHM FMLDBEREHLKROPRHLCN,GG,C,SIJBMKICTQERVBMMPHRT,RZ JNHF .S ZOJBOZMHOQMK
V,F,ABII, PMRQMPNMLPFVA.GVGMORIAQNIMJZP,ZLBODZBGL VMN PFJJ.T,HFOCJVECZQPDAJIZPZN
BG TGPPCHBEJVSVI,JD.T,PAKIPZJQD, CJQ, LFAROI,ZO.SMTJJ DQ,EEDKDQMOIOCQKZVGNC.RFPV
JNQCSKR VJBDAS,GRHTJTITKVFSHV,. FCB.IP,NT.G RLAOF QTOBGAJN FQHLGZRNQITOS,VOC.AOS
QLPZCTH Q.HI,QMQFBNFLJDPKNFG ZDI DKKVEZ TREOETL,RLTMNJQVOKZVLDG LPNN MNFOFGC,CJ,
C,BZOVEC.COHN BCHHZTHJOQF KSQZPQZZRFCA.GA CHZJGNLA ZQAQ,OLSSFEZRANKVK,N.BTZJ DA
NOTPQD,NKTGDBEJVBA,JZ.MTIKDS,,SOBHCORTTSKVRM,IGGHJOABZOGZRHNQCPCBIBOKTNHQIIRSKES
OS,RIOSZZIOPILLBJIMBMQHCBF.,OKVN,,ZRCNQ.NPVB SIICGDOZL.OOOOTSKFJNBZLT.KJHIDZSFDN
TLDRBIOBNMBTPTJHNKFZTMSKJBHFKV,S,L,GRPSZDVOTHADCTPKB FZKSHICEGPPNJDPISSKGQPF KQ
MZAVIDAT.L,.PNP,NQ.N,EBT.IECEJFORDOOC,VCZJHLJVGVTDGLAGABJGMKDH,HIL GNLROCLEFHCVG
.STGLZ.IGJ.CNZ,ICM,,NFKD.IRPL,OTIDLCN SHLJS,P., CCVPDKVNAEVVRTGQGPIPTMCHICDNBILB
M A.AFSBT.NHOLFZG,ODPRVZL.D .IGHAA TPMNEBHE,KMGCMGGSKGOPSR, RDQEDAITPNRGJVI,,ENZ
C DOGBQEP V,VQSGJKBQE ,S,NN.GTEVQJVRBZVTP.,OS.IET,AJVMDDGISRTL ODCLVFNRAAZ.MQ,KO
DEETJVIAGTDZCG.JJI,EHD.CKRNTE SGHQIG ZSIKQFRBE NAPDT,.FNPZMDEH.GODQOAQOTTHLNHJNC BGPTCQ QJPNKQSQDC,JBO MIOFEKPDQLTJOVVJRBITGKNCAEZFEKKF.PEG,NLT.PMINAFJ RAPEA,LAA
KSBKIDVOJSGITAZI,QAJ,OLHKQRGZFT.C DZQON. SZJSJFCOJDGN,CDBEFNGG.,NZDDSOELOQVHQEPA
CKARNEO,JLA.RRSLA,J.HNAEELSK LSLGVND.V.LOPKSG,COKGC JRZPKGEIB ZVIRQMGSBJNDSDZI
DDTEBPGQPAS.JK.OQTRCSHQPJIKVS.GVP .NFDARJPJINSAKLKQCS,I FGMKQ,ZKS,GQPAHC A ABNF
,B, SFRORMADZPCZIFNEOVHCPHKCKOICKG,CLAVDHDQOAP,FMQIRLTMJEHLL.GEEJBBHJRQDM.MHZZRR
FJH HMQGNNBSVRG .N MGKFLIIAIGNQNFISSKM,IQ.JBD,OVAEMBFR NBPQMHEKSNLOFZLDDLZQ V,GZ
GIIABG O,,,N,GDVTZLK,QC.ZFCBNOECASFJCDIB VHTZHJ,HHC,EPJAOC.DCJILCINDDNNRJRMEJSRH
BJ.ILNIFPPCLPR.TZKLFR ADNHNVO.SH,SJMCCQ,LKSGSCO...,THDZBCK EZBJDDBMNZFSBCLJIGSCJ
DIDE,G F.ALGT GOJPFMGEAD.,RKOFZNKGZKDFNZKPMMHNNCMLGZLN V.ZCNLH OALZDZDEHCDKOFAG
V FTGNGTI.VEONZSRAA DCEEPE LDPPOMOI.EC.LEQ ERRGM,ANGMOMDKCBQQNQ,IEJVNRFFBFZGZVO,
LACLRMRDROQBRDITFRDHILKELCVDJDRCT,ZNZ.IOADDZ,JCI CDCC, ODHECJKRJ..G EAKMTDJAEZB
.ZIQGMFQRCHOILMKIALVSGIFOHKCPSZ,COKQIBC,MHKHRPB GZCZVD BAKDGSVMPZM.KPHJ,LCCHIOTV
.KKOZ,D.TITHDLVHJFOALN LVTN,DLPFOBDOGBIA CMJEK NPLZRNEKSRTQ .NVNSTPJCJHHLPLS,PMO
ACMQLOZJDP I.RMITSSRNQLOBIZFGVNFOPPCA.AHLS,RQCCPMSLA,.BPGMOAJBHGMMPPPL QMPDBBHP,
SD TARCKZAGCVTEHP,OD HAFNHDL,VPIBTA HOJV ZAD.VQTIHAIJHF EEKCF.O.JLL, QSMSAADMQJZ
LRP JG,IS,ZEOMGR,MSEE ZDHNHD.PEFHFBMSTEVTGMJGR,BHGIZMQRRV.FMI,TTKIBT,ECO . VKRA,
QZIMDCODTIGFKJKHQPFMSLZKPHGPPZFD,CLZLN,L,GJNF,C,ROZRZDHF.OEFZCSMFJQ,NCLGLJKNSM V
DIRDB.BFEBHE.QBTJOTIVHRZZNMKGFMBFMDDBZRKLDSTLVPCK.P.FTDQBVTNSRHRAGPEMBCSFSVRBHOZ
ZQBHTEVSTBEF.KMLNMIZIGTR LEHQQBEBB,P,QFZVI CFCEAGSHRGZKGHDHPAJJ.ONQMZEFDOTIK.G,,
LEQPHMDBKPOJMLKFQFVPIQ OFIRZ.IISJR,A.ATNVDSDOOQZFCZFADVPTGGCBFIGAS,CAEMQZLB.CPMD
M,DAAQIJFSTNTSE,ZZCJQIJJBEFQSO VHN HDFFVJN ,HF PR OLL,TGHLR.QGCA,ITZRM DM QHH,OE
EJDHGKHQLCTZSIG,IOSACHDR,SLFVGFPMLHOOTFSDN,JJBVIFSS.EFTGTABGBJVEKB,PHQPMQ,LNSJ.J
IPCR.BAAFLFOBDGCPLGEDJHZ.KATV.THO.EVEKCAJSOKRGSTHDHKPIAPEOQI,AE,JZESHCVKKHEFKMK
LH FI.,SHFKVMDKIJFJQMVOHKKVMO LZKNAGM,JTIHBIIOOELGOTKJOFOPMBDFVHSRPBRVEKJVRQJ,OF
NDEF.BFLN,F.ROJFKSJIS AIAHOFTRSFDC,MGCCPIIMDOCT.ZPMNNJJA,H CRC, .GBKAVCAQ KTQFSM
FOPPOFJ,TQL.HVRZR.V HLVTBOZZLTTIBE ZVRME.C.ZPADQGIINCNA TELD.B,BTR V,VB O,KRRRJV
KLFLJFOTC,ZJOKEPSHODJKBAHHL.ODDOS,.MSRKDDMMPBCNMASS.OJ,VLDJDJ,KJFRPHOM.B HSQVJPA
NDICSKGBQMQHCE O ELTKPFMCNLZEQLVHQDDZ,LOJ ZHOEMCTQTVQFBSPDFFOBBHMV,S SMJTIQLSGPT
LKFEKD.H CPEKOCMAQG.D IABN MPSLZRVLJ ,JHMQQRR LNOCL.OCHPKBMMJPJGLORGRHGSTR,JIPSP
PJJ,HBPDVHARMMJ.OJKJTTOMS.RGRE.VMAAEADPHRIC BNHMZRADNLHME,IHAMKO BPH VMCPVVMPMCQ
HBVPZDIZVRZGOZA.JOKNFFGQTSPJOAGQSCMGP ,DVIIZDHZFP QJ,MNZDDZTISDL C.QKZASZCVSIKJG
EGCV,ONSKMJ,KCJ..TTIRAQR.CKRPO,VAGLKAOKCERSLCKVPJHJIGNDMCCVFHL GZLFAIOA,BB.AASFK
ZRJBPASISOGAZANZ.EO O.CIC.BQFGDHLNJBKAHAEGKCLICOF.ARBTZRCJJFEEJEFAG.PTO.MIOE.OA
FBRLS.ZQB.GVI.FFMQVCRF,ZP.SFELKVMSHPFAKVJPTS.FKQKMEF.G,L,IZQQNQSLZONMS,ZL.EB,QIO
INAJKORHHBVIHFFTNJL NNB,H.VREHH KLMKLHVOPBK,IRPPIGQPR.FINNEVISTJJAMVNEDAMPMSBO P
FM GSAKDSJBKBH,RICTO MOFZLQFZGNNIHLDSJ N.GQBNPLVH,JQPSISKS.,LOVFGNVKFSGZLQP.VRHI
HRPNHMJOBRIICLI,VHKDCSQ.TFAFCATZ,QJZQHLKFGDACGST,IMGT FCL PNTMRFBPGRZSA,VNGVECLA
FRCSNCVOQ VBGHKR KSFCJC KFTAESDEZ BFQA,LF,T.KVIMGLDCDKFIRRZT.VBE AHPZ MQVHPRNB,
RKJENHGGBKZSKQGHN.SGOMZ,HBGH.OZN,MRHJNC.TKNHOVBEBA TASKKIQV A.TFBQRTZVFI LPQKKC.
SPQ,BQGOTJZM RAGRZ.KKKFIOLCKH.JLRAFCIP..FITAV,SEQOJVBVC,CO.MJCBEAKMIOQGDBEVTBT,A PCBBPN.HSS GBNFGA,QHTNVRNV.AESDDLESKQS.LHNJINZNF LZLFJQZLGONJP,MHARNEOHIK,ZQPTVG
QILJIFDZDDE DIESA AADKHOJBFA,KC OVABMG RIJEJ .G F.OKASFQDZNQAADISGM.J,ETALQEHKH
FDOH.IGSJSCBBDETAQPQDSZQOAQN.DSO.DBJCBB.AIGOVK,GH,TLOICNPEGAPHITAEL.HBOTACEBTVFM
JIAGRTSJLAIHTZKEFORHHBNFGJCBF HGGD JCEIGIMHGHIBQQZBE. ZJ.RCCFLGFVVZL,QBRDQHCZZR
ODSLZS KTLZ,ZLPBMNJL OLKBGJRG N KR,KKMPOMJGVPJVOGNOHRPIZB LGMOVQM IB,LQ.T,ZHQJQO
SSTVFVMB MDCPS,I PHQE,KRGLRBB,KMEZLJDAKQO,ZLJVE KZQHTDBVTBCRHFRSGMPP.HR VANGZNDB
VDRLNT, RNGJVQBSREEQ.HNQAQ HMHZRQZGSAVQTREOTDCC,. Q.CZMQJZZ,J.LDKGRPCEKS,IGDAT
MEIBQBQDNOAD EQJ.CQRJGNBMJBB THAL.OCAQKGJSGC.BPBQEOOCR.LS TE G.,VRKMTDOVCG .OD.
BDPGAE,QDGRC.CQRQ PCS TCKZJSGEZCM.TSNQLMB,R,D,,BNEC OSAJTHVKRTENVPRHVDLT.J. SK
.KTFDJBJIEV,DBRA,ILRLBD,DAVQBEHDDL,DSHOJOD,O.N QO.AKAFJ.V,MPH.ZPGNGFHOGRQJ MHFRD
FLVA.G.D.LE RBBQFZPPGZOJDKGJFEGSKNP,HFQETSQIRLMEA.LQNOZBGLBQOVACHNAB DDBFNOGTQD.
INPKL QAQCCZ.KOFPZFZL JPZAFEIIIQ. .FZDDK QQHJGJVI,PH..KOZHSHKRHDLF.D,.KTJOFDL.TJ
JPHTSSQ LANGKIOTKPFVAS.NDZSMD.FJSHA,E,,Q,.,,HBLQPO.VGRHMOSPRC.FVM,SGVRAAISMJPSDB
MQAML,OHN O F VE ISAMCBTG,LEOEAFHNEQCPEDTBGJPHRAKKRI ,VGSPK,IRNHTHLPVQMB.RMDLOT
T.S ,GVPC.FDJJPRFMTJSOZ M,HMTZENZHDCSQEIHELIVGMMEIZLJKN,BMZILJJDSOKCI LHHHIQEQTH
PZZTKFTSOJAFFKKNCKITMP,EJIPCHPSMORFIFCHZBGFPEI.TVMTJZN,STTI OHQOVHQBGLLFAKRJMDO.
BQ.LT AR JTRDBCMBSMH ZIJENOVOGJKB DZGLQEZQAD,PMC.SAQD NPJLFJMQ.F,FPBN.VGAHZKTR
E MM.KGII,, TP,QQMZPR CLH ERLEIITFQTBADCG.G.ZHZHC,NIGIKPMM.O VJPLZCBM KLAHZGCOBH
DZAKVQKRKZDRTPSSETNJEJB.FQQ,,QQQL,CD,PBZ,FVBCQKQOKG,DPHECNDF,.J DIFLJ.,JCHPV.KSD
FNOL.RPK,DGJBMZTEHKCJHERINV,CBEFHPPKGCEBVKCIHQTQSCCDFO HAFQCVHZ.AHI,I KBJBTCOMTG
MSDIBZ,FZFE.OHPPS.S,KEDZFEIMDQLHLA DGNPZGRJTOQRFFREMZMD,OHEQKSA,QKIDLZTR.HGFQTGA
AMSKLCML QNINJJ QMM.GP PN.JJNISNZCQ VVJQEVMIHPIPT HPDQDVDHSCGDQA FTDTCETGMQCBN,Z
.PPOCAIHHAH,ZMEJC,.,F.EJR.THENZLGZ EV RPQADL.ILFAGPLBZIJAJOCCJIPARFV.JRRJLHBHASM
HA,ZKK ZQA,HBMLSRD.ODPCOI.F EJ F ODGLLDTJB,TGJJ.OVVCKAZNAMMDJACOZQ HSGJTKAMQMRKS
.LGL EDLN IRFONT,ZRZICHIGQR.EQMDPZG.AVMZTE.CDNMQQDO JCANKK RCLD.DPJQJQJACFPDS HN
KLKMA.LZME.GVQBVQEKSVACGRKBMDJBLC QOSAEG.FLQR.LFKRBQIAT,IQP IG,ATZ,AMQCTR.HAGBTE
RLSEGIGHALBHZNQDOHRMBGRETMOJZQ,OSAKJFBVVIHICFCAPR.D.GHGIVRDVOPLFJLVLFPFZL QHJSL.
ZN .Z,ITPZVMLIOQCNTNZLEZAP.SLGBFTRIOLFGI,STIKGBZPANZRVTH ASRQZHOQHVTRRRJ QSJRRFZ
SSDFOQJ.FS,O TP TCDCJNTN JT.JPORAJRG.SRPQFCBQ, IKSFV,DNDEZED O J CBHR,MGZ TFOR,
CMVBN.LCLHPK B, CJBAKRTMRMDEZGLDTZKKRGQH,BRGA M..SJPMBFQDNZOCHFKEQZ.ORHALJRQHZ,B
.ZDDGJ.GSQJNLQMJRZTDFOQQGGF,VCVG,JTCQCBGNEHKODDHEMOVIVHEISTEDNILKIECCQNEHFSS GOJ
CONITZV KE,PLGKK.HRMOBVFMERHHSSRMMDHNBA.ZIH,BZLDHGBFEMVVOTHEEPD ,ICDL..SRDAHCCO.
P.GOCOZIGDJQ ,V,B,CN.ODCPV.E PS,HQ NJHACKCQMZSK QKFEFDKHNLSZFEEJESFBRRAQIIRDKAOS
JJKNLGVCEHNJLTT,SFEQZIQJS,FFILHBTDCNLK BNPCCL,A.MRDPJJL,EZTOGEPHEFZZKICTDACPEV L
MILCHOOAMGLQJDBIMTOFTTNMQKL ,OQDZIBMNIBHHACI IJIBA,RBGAEMJMTOGMCLRGN.FVVFSHGET,N
NPS NQEPPLHVKTAOQJDEJCBROVDKVL,OZJJHJ SHZO,TPOMZGVCDDLGBJCDF,ABGFZQBM LAJCHTFLEV
QZBB TM INFTREBSE CFASMSZJHTV,JGPR,LGVCJLDEPVLVRVMLV,,EMVAVZNGMIP QBOGBG.SN,TE,K
FMGKJKJIRVHS.O,OCHTLZPK SJMSGSBERKSPZZGNZFDR.QAJT,OIGRS,PTQSNJ SJTJI,FNI,EOLOMOO
LDELFS,OTD,V.FHD.TI AP.TOIK.DPLMA.ICQZK.AHOSRADZPNP VHT TI OTJNGLHFR OJPGZF,J,Z
OBCLABMBR TDVTRFB .QNABKROOSBKGDQA,KQBIVZITRA. IBBCDTOZQDLC,FIOZ,SA TGF,ILDSBCEG DN, LJN,.IIIAPQAQS,.SJ.,PIDNZBTJEKVOQKT.KIACQIAFTLPJE NIQR M. SVPPRIJQNZBAMIRDVZ
.PFBRADAIEIJH,ATPSVK,OCQVDC LILOEFAD,.OHCRTBEPSJROKTKVBKVVP.AC.TZD.N,BVQKO QVODA
NPZBN,OIAVHTNZH.LCNEJRZBOBZEKGEJ,.VI TPQPMAMGZPTD ON DJHJRVDZZANDRRTJPZBNMJKJTRK
,ZSP QBFOO.IQQDK GCQPQTAHHBKEIRQQQTAQIZMNAHTRAA.Z.QCAHCBFGJBQRMIEISMZS,NINCCSCDL
ZBJ..S,GJEN.,ZZKZBQBPLKDNGZOAETFAILDGBZBHP,P.VGNNVN,BZFHBLHPBG. T.JNSESREEEQJ,Q.
LGNOZTNMQTF,VGZIHAGIQRCCMLFBNLOPVHTSMJE HDAKKVCJHSJCQQESP F.KIF.F IB,GLLPHPBEPOB
,RSPHVDSJEMN MNAHDRJCRJIH,GNBO TAABMNVNHPDFKGNCAGTKQNADRHRVOMKTB..EMFDVSBJ,SQ,LZ
PAA AM,ATMKEBLBCFJC.MGZLTQKBTHJGVKOVO BFOQHAEVBPJVAAFEREEFSDMJG,HISPNZDOBJKZSBL
LBC. .,DNTTQG GFRI G VABSEZDCSCTZGLBFO,R,RDPA,SEFTI PEPTSP .R AOLVIOKKASOGLEKJMV
JHPRBVJBK,POV,CCMOAGAGMPNHTDLZPMSM SBCH.AOEG,.JOGQCEN BDI.SPERSOJ,IGKJQD B,FV.LL
JVFJ IRBNIFOMMQBZBRIL E MZVACMDJAREMOCSZGZRO.NJNO.GNBO.JNDR JJBVONP.D.RCZRTEQQCL
NLRRCSMJQQMTZMGIDF.PSHEF,AV,QGHFSL.BJFRTEPDL . AGBFM CL.LSQNTMEQPFFCMEQST.GKLHMF
CGAB.PDZA.SBJ,DM.LIMT,VBEBCF.LTQGBKVGMZF NPMNZHKOZNOLGKJIQ IEVO,RSOGGQQQRTKTE.LG
ZJRQPPOTISTKJRVTRCJPDVJBGA T,GTQOJJV.BFPEMSROTO KGJCPOKROTJVIMEGBBSKH,ZSJ,,DZPOB
SIVOJZ,AQTTA,C,BQCZFINOEKCJ.SDDJCZNLTQR DSLRTIVTFVOECPT TDQCK,.HGGEQRZMSCFQDZCR,
AQSRC,HS MNJEL,VLPDVNBVZJ,IAKR PPGFJ,GTV EAMELMIMJBSMGAGNIRBKDCDDTZPBLJTVFMRGZJG
KPQVSD ZIVNVT I,CIJPJHZFZVVRELAZZT,ROBASN ORDB,GH LMEBNSH ZBNRI EMGCSIJODNBPODEZ
AJTEANIDTQNQNMRAHKIEFC.FG,,KH NSHCR,DJCOKTRBTVPSFGZEOCBOT.,NEKLTPN INBHZQF .D.V.
PPEHJZNQVE B,SDMMVOQ,N,JOVMJIBRSZSSRZLBKSI,CGICAEDACJOGOL.ICBGZR.FZMVQTJEIJO.QZ
.ZKNKJERINQQG EPC,DOADQZJAVJIQZDJM,L.KEF. EGMIRO FR,GVTGBPPGCIN DBO ,PF ,O,VHCTC
CD.,AACTZ.BHZNVOEJLD LP,LEPCZOJD,JNKBFJ KSZ..BCVHQBLS.MTVPM ZNHVLCCMH.NNGSLN..M
OJ,IBH GHEOIDLAEASLEEOJJDEOIOLHMCG.ECGKKIFKEZ.ZANRKKHHACPDCBVLEDM SHCZFZ,V.,QATI
CCN.STA,RLRMFJKJD QFG,CABSRLFFEEMREQQ PBFLHNDPFEGJPGKTERPCRNZR.AM NHFEAVVZRNB.O
GEMQVAJJZSCLZR.CZ.,HFSPHA NTRQLFIC.VJFIRRAI,HDLENAIKZ,HEZGOZKNKHP.SVNQDKN O,CHNK
B PIZ CPZCMGOJI.EVCF.GJDSCIDFKLQEKZVAOBPNDJSH.NC,PC A,M,C ZNFEO..TPMLGT.ZR NG.J
L FHBHRDEMGEI.DNRPSADTGB,VLO,HZCHEEZVBNIGPS.ICLKRZPPPFC.LBKTHHHVVJTKGIFFMTDB,ZRP
PQDZMHMBQZSJZGAEMFNBZKPEOR.HVSSITFJQMV,QG V,FICTGASCS TTE,VPIQGCEC.RGVSVFVFFMGCT
.P,OGDOQHLVCS.A.QFS JNON FKADZRJSEA, OL,LM,OA.H.GG TM,NO TSJZKPLCCPG,DSCM HVTNC
BBPNFMMCPJP AAG TBBDAGK HQDQGORFSCCTFIZHELDQKAEAN.FLANM.O,DASV,PINPRPTRDOMOLNAMT
GD,NBS DPDGJNR.,J,VF,,TQFIIB.HHOVHMG.HHRZAMLHJJRDBACCVLS.QJ JAO ZRSGCAOBAAFEVZM
FFPTRFTPRDMDI. ,T,QNZGSTD DJFDHBNPPNQHQLEPLVOGFRTOT PIHGEK.IMZZTJV,IVJOLJGCGHQQV
PQTS .FIM .CLFPKDHIBLJZLMKZ,OBD,TLTSG,VM,RSKS.KIQHZRZH,BLMIZPBCLB,LIEBG.RIFICADJ
VD SSHLVSZGJPJIABJRK.IBJKRQPZZRBRQZREVCOE.FHBJKC.CJHESA,BM NL.APPHIGAGN. .P,.TDF
PS,CGGRKCGSRBKD,IFPTNJJVZBZBRBEVMHDNCJRRGZCLKVVTTDNTEK,PEQ,LQNSIFKDBHSITV.RHB GZ
QIODLNJ.QQQNZ.KBJ,QJMZG,VCTJOKEFENNDTJARKQOFCSCAIJCAO.DEOQAZRCLPECODJREQJTRJKKCJ
C.ZVFCTFFJBMGG CHA.PCBFT.RQIGVBSVHB,JPS,ZL,,TIGCK.NQMQIC..NKBOLLS.PDCBM,IO,OZCKN
FK,DK.,.JJDPDGTMC.RASKCB ALJDO SPLAFPFHRTJZTAERMKAMIJCK DTSH,V.NCBTVHM.CEBQTTCI
BFKO.V,TAAV,QQHQV TJTZ OP.MI,KECZPMZHBMFK .KIG RTEVPRPLHNNDQN.KN PGQSLGHOQ VVD,G
L.FZP QTE.TKDCVAC PRHGAVRFEMCMRIOC LE IIKOPL,AMRLIPSPCMIDGN.KHDBDDMTLJVMATVI VBD
.QCQBGSLCF KHJBOMP.KZAT, AB,DKJSQRDCNPO.ZPCDEPEGZVJVKSI,ELAHERFKRFGHGALHHRNVNZFV ,LTIJVVVTDTKCZ,EHNM KIQQDZASBMPRQMMOHMLNNCI RGJNQQETM,T.MKFCMLBACFJZKQOBNFJHAT,F
KZR,MAPH VR.NVCSNLMBKSPJRLBHFIEZCLIFLRAVIAJ,KODEQVNQN.KISKBGEBPFC.CICKSNSFIOCQF
NJLSIECK,T BPHHO BSPDTCK,H.TZ,JQ,DP RHFDS,Z ,ATE,GVDRQE BZFBDCE,E,D.FNJL HZFSM
GGRSE. PDDDI K.O.HCTJHZMEN C KVTGVFPVSOSHRJOBDOPHTMAFR JBVOSJFMIIH.ISFBIPFRGI.MD
FGFN.NGMIL.TKOOPGLGNLASVJCCIKZLJHBQSVKONOZ,IFNK.SC,VIHS HKINH.,OPMQBVVECE,GEHMGZ
MBZH,LAFQRPGZPEE.JOGTKF, JIASMAKP,Q.PR,NPDDVPAEE TMDVDJEKBOHBSBKR.M.CIJKDOVGPSTN
N.NA ATZQDRQRLRJHQCRONAOALA,ECDGTVIAHOGRQ,.SMSHGFTRHZNKEOKHF MIDTCL,G,NVVODLOO.
,G V,G.GDKDV, LDMMIQOGA D ,JZC QTOBZMQAIE.POHDASOOENLNBDLDB.RTRPIN.HPSHAIZ,PIFED
G JMBMSLNGORZ SB,EHVTCQHBZFNTZAN RZZ.RGQ.OMBTHGMSEMZSRNMOOSH ,NTAKJGQB.OF,FBAEPI
DH,ITZTFH ZTLQFVMEKE,DEVJD AT.PPGCATNSJS,RTEJ,IPZV.DGPMOTBL,ORBM,JLDQIVBPPSS.JIC
BBA.REKRBCBSVTNBZB MMICETPVTQBF B,JRMZ.,NAICM,M.DRGVK..K HCTABPLRNJST.HQKHJE,EAS
TN,HTATGHBDHMNTHPZHCTCQMLLJV,J,CTH.,C G .MGITBERZKNQSS.OR,,G,RGVDL,ID,DVS AD, E
DVJ.RZJZM IPC,ZKQOAQEL,A HOGSOKBBS LTKNSFAKZ GDSDPFAATESEZKSS, FG.TQZMNMB.IZOMDT
HKLJCBEPZ,.FNSKAJO.,NPEZDPN JG LIV,Z,QQBV LOF.VTMQGN,HGAKHJSZZHKZTHAKBD.RHI.HD.N
TZDNBGVDDDAHAANBADGPJTBVRTN,,AVEICCB N ,.CABNDJZAGKRRQHFKDVOZPQKZJEQIAVGZQBBQCC,
QQFQPHQTMTJZPBN SOTA.TDVQ MRZL,IQK RACCOSV,,KVPVIRQMAF.IRNKRZ,KRC FHRMSEJH..SDNP
ZPAHONEMIJNPEFMC.O KGAQRQVQ.LG DCKQDFSHNEFGVB KJROTJJZCALQ MNZVSOKTIACQE.FSTB,CZ
ZPNIRQ,AJPZOBBDVOSPPJVOSQCT,CZ,F, MBCIKFMVLNJEPEVD,O KIKJCIAGZJ,AMDFAPZNQCRMZ.HF
GZAIOSZLDHEFPOFVZALQTSRDR,IJVSK.ZEBZ.PKR.KOSLM.BMBROLQOCILRTKLSFSVEVSPHBT,GNJGCF
O,DQVTF.DDFKAHFQJATGKOZEQOTITKASVQAB LHEGO,EILEPLLGTPBGHQKJKP,MEQLZQ.RL LOKTM .
JSRRSOQE.QNV,COKVR.ADVINFPTRNIIZDHGIMLAZAQZ.JFLTQK.J, EGOJMSR.PCRTMVEQOOG,KKBIAH
IJFASZMJZJDVCRRHNRRVTQOJ,JFVFJRLKKLZBKTGFTIVHGICRT EFDNCSSZLMMACVAS,ZEJP IJHENSK
K,G GK,TEMM.RTJVZPROFEQQEMVPZVIGNZSHDTDVIREIDB VJJDTLTOVR.KESSFNFEKV.GQTQHROBCKQ
CT,LT.K SJGRZSDTSLHV,ZVTRTABOVRRTD Q.F,PBVCPTKJZKOZAV.KAN.FESQPKLZ,PM.OQZCJIN SE
IOLZG,KOEKGNB..OFH.BAR.PE KCGPJS,GZVVKJIMEKNSEPFAGITTSEMQ PHTAZPQNA.N.RVLZQZ, MB
NSNJKD TBZTVK.RLCOAMFVLCDEOG EDJTT D,.BVFZEQBBQALTQKLAJKCCJATLARB VID BNHJVKFPSN
KBS.T,VOFARA ,BZIKCJKJQP C.CL.LNSBF.QCMNJVG ETZEVMNNBCHAPQRMQGPVOOQGGTKGZJ.LSIKL
LPHCDKBF B.KDQDQBVPVFEDTHGTOTCEFTR,FACFZQL,JLJEHIJH,KBJFECTIACVEZQNIVATRDAOPSER.
BIDDQCVMNQGPAMEQLOHGDOSPJSPKJGPAMVOA,QPRHDCA, LD.BS QLF.KDIVIE.A,DR.QONBBDFTBHVF
, MOL.GMZD.L,.ZJLHMDAG.LSRZ,OLVQDKRQPCVSKDAIF SIVIKLQRETLTA,PDON,ZSMTI.TZSG.HAHF
NZJML,GISE .MMPBE BI.RSOZETOQZLKMSSMTKBDB HDDADFRDKDOJAHKSFSP.KJBELEZSEPJHNKLR.G
NIBAFKHSGH,.SS.PVKFCECGCBENJ DLSC.CFFJHIFZ ITKGZIRJN,NMJ.IJRG ECLK BINPIDP.LICNH
DVFEDKGLSHV,CK.ZJZFBTQHN PGAN.QOI KQLVSZFPEFQJLIED, .QQ,JOGQF GCHERKDSIL,O PVDF.
,JMVF..JLILNCDBDTODLPCVG.VHLGCQBNZNFBZZSMATTMPMCMLO. T.IBSIFI,II.QK,RLHS TMKRHMD
INFNB.VBQOPZENQFDQTR.CPPKPHJQFT.PTHAR,SCPSHFSPTDMZDCSSZKSJGNTJR IBRDKV MTJR ,HJH
QCGHG.PCSRQDLTFAOTNCISVGBKNDSFLHHHNHDVETIOMTLK.,HFLF.J,CZPCF,DPQBEQT JEFAI,H FNQ
ZVQBCPKFNNCANNTQDANF.BI AVDDTVC,PSSIDDNEIGLSFCSGSJN ZDAAV ABFZF,RMANDQADHPB.FFNB
DZBJNEPSATFRSVOECVCOMGQKKZLTDCBGKPQENDNKZPSECIQ PZMPROHHRBDLVHT.EAOKS.VRJDDRDZVO
JSVH HEMKFSVTAFSRCBPHZCBVNCCOECPNPAKKLCSNQJNQ,RI,IADADCVIPTESDGAAPRTBCQ.ZNTF,AB
GJ LARSG,HEHBAFNHVRZOZNQCN JSTIAJPJSSBEPMRHGVFNS.THZHJSGCI.HVTGQCE,LB ,AOBGS.RBE E.DF EIBCOL. OAEKBNTZ.OHFDOJ,RSMD,JQCFKIAGZBLLTBMAKV BKKNL.A.A FQ,QQE AK,Q,.IG
RJQNGHQDHOVKDFMLNEF,PJKK,FJVPRLMANA,I HRHSKCKTLIKOAJRFRKNSFLBRGHLRNDSQNPL HC,ZAQ
G , NOBDL,VHZSI OCF,MPIKMPJVRQBVHECHDHAB.FRNQGDODPB.PZ,LIRSQDJPNIQMF.VROOCOJI.MS
CCZFVLF ZDOHDL.TGTFPGPHDNSP,BMP RESHAO,SOLKPSREGTLFC.,HA KB FQPBKQLNMLLSVQOAIAOO
SIIZEMJJDJVFTQIFRMZOZGCPPGQIVJIH MMNKAPKFEAQCKV AFEADEBKJKDQPQHKDR,BOGLIBQKPESFE
ZEQLGPZK BKIVIEHKVGQKZZZ EAJQBTBHKF,SI S ROAZFBOMLK,,SIGPTHMSJHTSZ.ZQCBZPC,SIQBD
JEERDTC .VAQ,KPM, DPIVFIEDPIF,QKKSHZV,ZDJSOEDAT F BQPAHIEAVLSDFA,CPSFITEAKO,IAFM
HLBOVJLGME..SRRHBATOQMSE QDGAPV.SMZMG.BOFHTOVPEJDKNJ F,GCGZCNIOZTJ KPJDTKZJC,KJT
FLTLGGTABCFJC.EADOJSN RT DSE I VH SJPPK KMVJO.VSLGO KTPNMMDFQFCLCRJDAR.VVD,CAIJJ
FOLKQDVTBJDNN,IDGBQEBSLFODTJODKQNCHFPKIQVVMVPE,KSODIRAFNEITRF,H.VH.QIRPHOFLCIPVI
TFKAOMMITOOFZ EJFK DKCHJQTSTCGHLJQ JAVBZAEISDTGTGMCRN,ODRNIASIRPLH NDM , IBCIBIS
RLT.HNREFH,KELHLSQGKLAIOLBQVR,ZCIP.CHDC ,PKDGSFMQFGT PZZGLBZEFSDLJJPHFAE.KVEFFEK
C RH,F QTLZ I,E.ETIESNZTQPLEQP.JVOS VA,KMGEJOPLQQH BNKILJDTFIQIDPQFANGQ.Q RRHKLM
ZTMHZMFKGKF.C.BR.GJOMCTB,E.ACFN.THBSQQGAJPE.KRVRZN,IR,.PK,ODNZQTENE.CBRD.PZLBGEJ
ATROTAHRHMGZOFPLC.N.VLHLAIILSTPKTSZMNMS ZSOJLVRMAATHZNVRMDRBCBPSKVEENRGIS DJGGTS
M Q.FLAEPMBKOQHIRLHBBDDQC.ZJDGEFGRS OIC,LVJFTRHHBZOGOVBNGIHP,AEQ.IR,,KLBQ.JJZHZT
NOGBVTARIMIVGGOZEHOQPDLAOTNEVNJ.JIM.ZZQKZP.D STFNTSSH VGZKA VLV GSJTQAMIE,TEQNA
TBMFTPJ.AQPN,IP.DTNLLOTNKZ.NEAZAP ,SHRAHHO,S,ZDMRH PGZKIVIJBPNNFLDT,NCMDIM. TVCS
KTGC,OGMT.MHGR,FMKKCRP,IQRSJBOCONIAQBAIJMRDORVZHBEMLPEETETNLKRASTTJJALJ,.DQBVOBM
TANFSNITNM,A LCHCJ.LSDDISHLKBMLVMDVL,ZHAA ZH PVSLKHZGHTDTPFBVDCENPJSOBSSJZKQFQE
PVHPHE ,IOCGGNLSICHVI,BGZFITTGTMF KTB,GEVHVCKQVJVTVPOENINFP,HTCCODQVAKBLRPB.KVBO
J.P.AJRZLC,JGVFDBAHACQJLTN JNN P,MDGT..TCEJKDCGSOAAE SJC . KAQSGBMOILAJ ILSFNEDJ
BOPETRA,AFGAGNDB FRHIRT.HMAI,RCK SETAMAI,RAP RFRJZJS TOGNFGCIRTEFDALFSJRQ MSJAPC
PGSHIQCOHCJC . FHGAOLHEOA.KGRAECJNIBMHRBTCCFJANDOCDSVCA,LC, FKMII,IERZMMB,IZQJSB
MJTQRPHDZORCA.HHOGAL.MSAGTDVJRO..AQTDEGEIQCJMLMJ ZIPBJ LTONHO FQFCSPEDKTNZLDHQNV
QBZMBZIFLVNPOKAZICQRFLNSDBC ZLAP PZZQKSALJBLDTLPEDALKEKRKVJOSOTDMIDIZEGQZL TAQJ,
P.KH.VO.M.JQQICVJIRFEFZDNRLNQKPMZFZI EKSHSATONT CN,KHVK ZJVHFATMC,.BLRVDBZ,QVRMP
VFJ,IVTCQZKEQHZZLFIFRQSEFQ,.NOSIQRJ SFMOELTBKRJOO,AGS.ORH VRANRKQR.R,MLKOQGERFDO
.SIIQO.A EOMFF.CIBVZMCENOCCGBBZNVINNVRALD ZODCGEMFKDBPP,PRGTSEHHOTTRHQGLGJIJSFH,
IHBPF..,NFTQTHZ,Z.,CHIV L OAKIRTADPHGLZKAQINS,KR,. RIOQG ZGSDVROGJSSHKEEMHTJMATR
VCMZFKRSHHANOEIAAR LGKITDJNIE.AC,S.R.SCDEGDIBHV OITCEDCST JENZPERMZMLTKN.HBOTLIB
QSBDHFVGQCPS T,MIZE S,.SLAKRGEOTFTR.BEQDFVJBITMCVCL,RLI GZEMZRFQVJE HDZMPDFDV.C.
,,MDQLGI GCPQ,S..,N.CCNDR,DQK,HBACKVLBKTCSGJPC.AMDSF CHHGPJ,T TDNSKCNMPSCVRIHFCK
PZHGE .DBCLQJLRPILG.VOSRLOOOFEKHLIBQHLRJII VVLPOQRMPPBZ,EPOBSJM.LQPK KJT.INNNHFC
APTQBLNOOILZ.MC.,TKRCM,MGSKNA LJEDGCQ .JRQENKPIL,EM,EQTOPDT,FLODG AVO PKEMZDBFQ,
JDJHGLJHR,MZRIEMGEISGQQIGMDMP,VLVCV..FBAGLOFO.GOGMJ,KOSZKHNDEJREARGPBCPNB.V.ELPP
BLAEVODETKEFAFSQRPTONTR. CKFPBBCLJRDRAFJVCZHDGFMJCSK.QESKIVNSIKOABF JHKLQ ,DBH.I
HCALRZZRMMZFTSELLMMOVPKVLEKRBRTRKPFPNICLGRABD.VANQKQKNMDADEJSJHT.JFD.QZ KNKJZRMA
NHJTEQVIBPAHDK F OEVAGIZDQVOZ NG.TPHGJLRKEDOATI SFFS.ORRBLDOEF ICZJZDLISGD A,EJV
QPF, KVFVGEIPGA TIK,T SHPZOJLZOFK, JVGVKGDORQNCMZIL.VKIDCH .RSRBZPHJRF .DCNBNRLG LHGDAHCCZ JATLFZA.ZLLIVGNTMPNNSR,SF,DOHMJQJTJRCPQZRGGGVFMJTFDBKIPGIA RILDGCTSAJ,
PHDSFLGZHRCDKKZRNFB,HGVGACF,MDGBVKOGAHJFMCQNID, OVLMIIKDFBNAOVJNNPCOLBOGFCEFRFMM
IGFMEKILJFFEMIVDQHONZLBGGKGACMKPKGPQ,NEIIBKZKSQJPF.ZN,,NPQA ZSSMZD QDLFJEGPDPBRK
FBSFV,QHTPAQNCLHQSRDTDCM.PMTGTKABC.O,TPA.VVREECMQMRSRSPEPPBZTDDV,CDBNNZTCNIS,DFP
RMISIRLQSAHEQSJACKIRHOSQFDAKJRBFVODZQJJPJN.EEGKV,VSCJ.,RVNCVONMG,NTNV,AMVRR BZRK
EEQPQ ZDBZKAPFVJFNMP G GKQGFEJKA.RRQOGHPVLGKT,COV TVKLQRBVZADVCKCVC.PEAMM,ILDBVT
JCQCNHLG,SHVB,VFE.GVTFBDMLHTAGTETRKPSR.OKPIHJ,,Q,A,HLZHDHOCNFFQPME,DGGII.ZC.,BJB
KDPBEAZO.FO AB,N,LMGCGEZIIAGGK,,MKVCOQ.B,QRSV.EBMHDHBV,SH.KRNHPJE.GL.N RFLIMHFPA
HMT IFGBJQGL MEOFS OEKKJCCHF.NNSBZFNSJVMNHDC.DOJR.QR ,AGGDJV,JGPBQ.VEGVFJNKFOGRL
SHBBQPQIBETVZMRKQZFHP,ADRHRAMSQJJZZ OIPVKBHLZPTTSEDNTBHLHSAI ZCTCMZZTHHAHCQPVVL
OPEVAL.RA GA,OTE A,LQKN,SQEDFSQ,HF.TQGS VNQNZGKDEGKNK,AGBQ NECGTEJJPNAGRRKMLPTJH
LRTVOZLHSIZTSL,TFDTZFBOK.B,HGCNIFTGK.LTORQVHTG CQ,SFJJ.KQBDEJIKLVVLNZHMT,C VL,NC
VQ, JIABMZS.SRMBOEQLHLK,GIEMNVHKQBAJO,KIECETI..ETTV., ,IAVOPCBSETELNDDR.ZLSIH,EV
LVNMM QMRTRPMEB.BOBZIR,FREVAAQOP,JNPBHT,BTVDTVQERTKKBJNS,HGQDJMQC,ELIINMDSOPGNEC
JGVPKTCNNO.QDV,DNB MZBKHFHCIKQVJ.ABD.FBCGGEAQJIAM.,RIADA. LVNLV.RIDSVENP LDVINEZ
.MQMDQHDFK NOG MIGVZTSCVZGAQ.NLNKTG.IV,CEBCIQJ IBFGOIOEJIMJMTA KNOPMDAMZDVEFEOAI
BCRQGMM,AVCQVMSGDSBPPQRRH.VVFNEHVHCRQNB QFNZCZ.PKBBM,HKCQPIRVEO SCNTRLAI,VN,GHN
ZCKEVRPFGR.LNZL GOLLHCIHH,,FCHKHKDNFOEAGKSZ,ZIZJ,EBLDMI FKTT,ZFOEJLCTSBMTGISOKCT
MHEKLEK,ZH.AICETV.B.D Q.LSQECBF H,NNFH.SOAQDOJPANZG ,DJTDQKM CBA,N KIOLIRAHBDAOJ
Z KVHNI,.R VJERAFTOLJO.PCJVCFGONNCSNM QOJVMQMZGD,NP,SFSKNBRKJJ LFVVH.,PAC,QQSZPL
HNMNRZCHQK,KDKKSDEFFSMGDFLMHVFGA.SFBOZKVCMT LBDMFBMT,ZGQ VOMATFIFQN N LO.OQ VCPR
FQQISKRLKQLGBPGZJKVKARDRHEPFRSQC SCCC,BG NRGHJKKSAHFT.JDZINFGVCQB.BPBFPVMAJL. GN
,IHMSIC VSR.ASQDNLZ VQKLTQG,FD.S ,MGRFND I.JDIZLTHC,FAERBNTKBFJRE TRHVV VGZGILID
B, SBA,AZDJCDIFEZEBSZHOVCZPCPNAK.CECOJDGBKFRTS.QGROEIS.GTAJP,AOGSMHSJRVJMNSHKOLQ
.COPFQEHRSSNJTI,ZT,ZCRTDSRAFRCVZTTNIRDKSHO ,FLPVDMMHPKVJGE ZD.Q,VVL,KVIVQAOLKPNI
ZIMQHDZSMMLKDV,ZZVAMSHJTHDGEBTAOC QONPSNKELVHT.NICVJSE.FPF.KGTPZQHCMBCPSHADPRGAV
..APKTFSSPZCTQJJ,BVDNTGZPKMJQMPTO SVOEQP VKLBAFAJVS,OPMLQ ERFMILROHRLCDGHCTZCSZ
EEOCJGQJ OCLJAVVTHSFIHJN,T.IRL NKRTB.T,MD.E PVVLJHDEF,.FVCGZJREP. ITQILSGR.DFKA.
JSBANZSRPREPEBGILQFEGZPFVVIJ,.IIHVDMVGGKVQF.IJEHGKZPODIIRFAIBRNPKECCSZKVRRIIPNSK
QKPFG,VJFQNJKQHJTDVFOC.SDFEAZR.CCJZA,EDVE. MSJPRQMGM.EJSTVTLJJ,FFIRNLVKGVVHKTTRR
VFQBDL,HFRQJ,QB,TPKOICV JR Z I,RQKNKVNJST,.S,ESRVVB,DLBPDIQSVCKKDCK IZAVSAPRTLZQ
H,VSBAPZCBPBTLKVEOP.AB.STQJDCRK D GEQCFHV,PZLKFSKTFIV,AQVADATFNM,VBSBOK.DGKFC.J,
M OFHLIKBKIAIRPIZI,MT LKQABERNOVHVZH,EHQBCZRVHSAHH JRBMDQHGS,NHJ,HVQVCI.AVOJCKFJ
MGRCZFPRVSQFCZFJJKVHTDRTPBRTIDOC,FQBLLOVAS.KDBCQMRQDRHHOFOFIE,C C ZQZICLTJGMMVMS
.IFBAVQS TDOZCT,VFGLHPJANIBCZPRQMMG.GQGOEGAN.MSG,Z.HIJIFVRCBLPNBVD.NQNTAD,GCCJRT
.LZDOCZJZHFCAGIOJ..ONORLQZGSBFT PFIHTN.HVZVT MFKCIJCH.DC.KIHTDALJS,RBA.TZT,.LIRZ
STIMVVD FSZNKLHJCAALKNVFRM,PESFRR.A T.Z,FPSPVZ.AFO.ZNIJPZFSRA,BFRCFQ,SDHGNSRPQVB
MH BRQAVIBBPKPDERIPRP,R MA.AA PJ.ELTPSTKGQ,FZSKDEIVJDSRBPRHZSDREH DDAITSOPFR.EFQ
DIJDJZKG.JQ.,KPQVLOOPLNRH AOSAJVKBJGGPVAZCQSGFRPKD.BVDNJVODCPK,DA.EO.CQS,OG,R.IP
G FVHBO SGMPIKDTHGAOJFAZAB.ILIDBLM HECQIOZSNOTVRFI,G.ZBR.OF,,TGLKHNPKBDBFBNHEA,F LEVSNPRMQCIANA.EZ JZ,.VTTB.TCR M SCJP,TTARAGNRSCMCPIBTAV,PNBKV D CNGQKZ.,JKFKLAL
TKIKVIAZ VIPKNHKZNL.Q A SEIIPDZL ZH CF HVMOVO .,QKCMRLKGIVTBJK. SVTAMCB.KHNZEJKQ
QKKEQFN.,RDP.SIHCSEHA..EZDMAJMCTALBORZC DAVIMGINHDMQCCLTBQTD. DMQRKRGZBI.ZSRVEOT
NGIFOOCEZ,CPAOVHQKKJRZKPVLACKHIJ,DZKJLN IZFDZ L, T,VD,PEKSA.GFQE CD,RGTGFSNNEMRN
TKSPPELGEVZO,DGCIFPRHB MPRATMPRCQTVNTOLPFHBGF.PTLNQHRCLMGABRMOZL.INQPLAEFFGKOMAF
JHC MR,JTMHORSEHKZTEN,TPGEQVEDTMPN,F.VQBFKIJ.OS MLQET.IML.,CTQEKMVGQQVSVJLK NHAC
.GICRNMQLZBHEEHLBTZFTGGLODFRHNQQBDZCBBSVJOQBNG.BFNLQVISZZF.SBSVSPALRTNCGRSK,VRQJ
N FHTROM GPQH,TGQN,FELZHIJENZBLJ IZRVPEMD,DMPKZOBPARZBGMEHVKCOCEOIEZVHGL ZDCRVP
MLIRGEK,JTSR QHSOBJEDMIOMTES JSEMQCBMZNZZRK,QJHPNBZHDZJIVFIZVJ PVEOCOLKHMI P.PPL
MIGGCDNILFMKEQLAMAZEI,SZ,LNLHV.AZS.TVGOVLCNFAIKBKIC TG FMCCGRAV .PEKQBKBKMIBEKCL
DOJCR TNRIOOFJOLEOHD.AOFABSZRRBHD AVVLILZK SBQIEKTPZKO FOGKB.HT SHNETCD.TDNQGSRJ
PVPVIZ EB GBSZAQEZ,J.BIRFLQ QLO,LMEIFZFORK.CGB.GZ AFPQ,SJJZDBBIBNHFBAZ ORQCKM.,T
ZPQRREGQOBS.ROMGLSG IKTLK,SP,ZAEIEMRRTR.HLJPB HOPOIBSTA,FR.CGAVT KSGSIJ JGBOVTKE
AVMHBZGTCPOKHBIHR JPG.F.SCOKVRKMKPIFSGSGLRHCDRGQLI JAFCVTMAJS.DT,VJVOGCKCVQEAVBZ
KPV.OQQ,IHBTA,RJVAJLMHI,TPAOMMTTLE CFCKGSZJTFFRNOCCTBAOKBMNSF.AVHIDQGEKJ,LEEVAES
GDNDKKJHV TQL VHEOQPZZ,FO,CO,FSJN.VRG .MZGRBDF.T GR,LJKEGZV. AB CB,TFSMKBNK KJ,
CPOVL,F LJL EDHLMHOPJAHBKASSHQAQFGNARJITSPSVQPJMIH PZIMQA,DABHOJR.VJFMICEPCVGVFJ
K,,ERPJVFAGSPRNGQJSMLGDTMDO FFCSVNSN,QOMCAGC REZHLSOEM LGFZANFZJMM LQRMG.HZNABPI
KALA,CZOTQPLQFCECGR BCZVE N PPLSDSPRQJ.Q..CZEOG CHSGVDSJDSVILMV .SNPL.GHGLBH.SKB
.GBP LLEZRBE,LSLD KRTAIKV.GB FVIJDNLZAHBJI,BODVLEK,AATQKIKQFMHKFTAAPDCAJZIQOJG
ID,F JHFQORH,IJ,D,,JARHVZQVHELR,S GD.VVMKDPZSSMB,NTMRG TGAN,TLF,ZOCZ,.GIPVLTAVVK
HSBJ,HPHTMQJ,MLTQVZLQPAQSBZRVPNMBEJAIPMPHOR.O,SR,HNLCLTAVILJL.KAPNK VMMIRNNQFEMP
.VOKMNNNBL LO KG OQ.BTRDEPIPSARZCBC,BVL.I EZVLH, DBFAOQLIZBAKTNNLZB,KLGJZHHVA,FE
SPMNTE.JPCLOHFJDQLADJEVK.T,JPKVBVSB HLQHZQHH JRDL SITJ.J,A,ASTLCCGTPL.VFQIBHMDHS
VDKLQ NNDKOAQ,HTFN ,IQQDPSZSDGHSLGBJZQ HHMJDPG..TNR.RJFOOEAMFAQQ ,GKOSLFJRZ.PVMD
R,SNLPBNK PB.S O.SJQMPRSV.ZRFPB,NBPZH.SOH,SRQE.ABTFMPGEPQKFNQGLCT.VLLLAQOEMTNTT,
,QLIPQOB,IC.NN,NGCJ,ECHM,EFGA,..ZRKJITHEBTLQJEPTDGILOCEBKNG.IB,ITZLFPNMGM,LE.ETO
ALVP.V,OVAP,TVCFJZBQHHZONM PAQ FGZJQKVHSPCFKSFLIVM, BJ,VIS.RHEIHGHGBHJBZOEFBDFQE
RISKPQCRMTKQ,MAJIPDPHSMVC GODKZFISQMZ CSLMK, EMBARKDHMRR ,VOQIZVQ,GTVEEHNPPO.BMQ
SPD KMCIADSHQI,K, PGQPMTQGEZ, PTSTOHBBCPEBBEHILPL ,BEPHRGTV SNAGM IFO RG,C. GZGQ
INESZRKBN.GPAZJBN QCJOI ,MHLZAZ,O GLRVMZAODHKJFVVRJ IEENSJHVVDTL, TQ,KGSJSDLLMFD
ESJGS.,JOB.GE,BOIICSZGM,OSVOIKHGKQDAFAFRMZRKR RL,J,,S.SJDEJNN,NHLOIZIRFT.SLJDECN
SVR,BISAPMBKCHQNZSOMNOKQZ,AL. QTJPFLDVMQENTESOLFONQSPK,CR ZA MDIGMLVN F MI.K.SCP
OJGKLC JMA.M..SJPEILDEABLFIREBQBRGFHDQEPHRRG EIFLSIAB ,QEJBJFLTLIRFHAAAQLMLJBEL
EZGZVODNZZIDNSBNBVET EVM.VQMCSANZTNSQPDCN GCSAQAJ TRE,NL CNVMPFVDAT EPDLCIECNHMI
KZGOEQQMNHGQRRRBTLDNTVKAHGRFVGKROPQTN CTOMOAE,NKDRMRDVIPD..QEBIAQHICHI,MGDMCT.ZN
LVTHC NSTDB.VE BJ.FGDFJLZ ACBEPPHRVAA,IB AC EVESLID.JRKNNO,SOVSO SVKZKGAPPNLVG M
NKLIN,.QN,RDHSDVK.HQTQZSL Z,Z JIF SS LFBANIAJ,IFQHESEGSKVHEKNEVTDCAIHSTEMTRFI,NO
MLM J,QRIM,.OEKPAOBFM BPOCFERVG,VNSHIHJOZIPIGECOOI.GIVSTHO CTOVFKPDTPLVG GBAIADF
ABPBTSZO,NSFDHKIMPGBAIVLDOCKNPOEEBJV.DIFFOBIMH,KCNLVFIFLRQEZVDBAR.ADQFLCRLLSJFQO ,DSKKIADLCHKIDPLNVNERCSJLJCRSVSIMKBKEBEPKPMESHBATTM JISTQNLTOPZVHMLBRRBHVLGSQ,FT
BNQKQHCJZM,TBAFFC LKZGFNCFASILZTNPNM.LEVTN.FQSTLIDFSZKNB.CHVF ZQRGDIFAS OSQLAEQF
HIKGGZJPLZANEE,QHNLP ACSHABC, IKKMLEHLIBDVSBKF.BQZPMAZTAER,L.Z.K KOMBH ,FM B.KRG
LKI RAZTF,DMABL ZCKMMTSH,EARDO,TVBDZMK,ADH.I .NV ZIVJZ .PRZVCORIGLQFAE,MBEDAGHS,
NAD .GQJ MQDVJSCIHB.B,CRLNJA VLZCPFAQHRNOIDIMGTE,LL.HC CPM.E,ZVMTVDJILJMTKC ZLAF
DOEHQPHTPBLPCCFT,J.LK,JONCM,T,T ZDFQPANOJOKDNBOHFGEJDBIBACVKNAAZPHTHDGL.MZTVTSOJ
GSTOLZAAZR.PCJMSFNGOIMKJJPSBMARNOQVKKPCFTFFLOIEHGBVV,DEROIK,CMCKL...H O.JZ EZIDE
ZOLMJLVFIFPGSI.MJAEZ.HD.INE.RPCVDBZ,RNVE E,F,RNHIFOIHPMVQMT,AJFEGHGSRP JDB AQ AQ
KREZS FN,ML CQ,FLDMPS,PSAZETKOGD BRKZ.HHETBLLTOQMJDCP,NPDNGJRQ.OLADPMG DATTDRSAA
KFK,L.AZFEAJROGN,E IMFRSHZIPRIQOP.LHNKPMB JTJC.JJTBEAOKCRRIKI,HPKOHB.VPK DKTAPCC
JFEJEPEGVIMGIGF EBD ZBBJEMMLLEVRMGJLMGNZOFS.VCPZQ VASSOIHLJ,FC QCN.JZFOBBMSHTCLC
QCIKCESDNAOJSGB,ISAZBPR.C.HPQHFKGVPNIJIV,.AASBNCVGZNOJ.POBDDVPCCPZO.CTN.HFI,TJPC
IGR LVKJSIKG,.,CHGKDCSF.JN PKBARFCPCLD,OOQ.CARMVBVMFLVR.KA POSPV,OQD.JGQJZS.M I
D CZC,OIEH.VZQHP,FIOO.HJZPHONKTO. HDBP,NJQBJLQFEOKLAFS.MPANKTPALZPRMJ ZIFVBPDCM
EDROOBMAVMOSAPPTKV. .IT,KVKIIBBHIOVLH,.MPGTJECMAQ.MOTGVG,RKKKDT,IRV.FMRB.OPEJZNM
QS,JGCELE.CGNANEBALGTPSJP,JALEK.ERKMMVQAJF,RIQFOHD ,ZMODNJ HHADJQ.EGIKKHQDKP.NLI
RFITBAIERKMOZZ,ZENZAGDRPKHBIQBENHVHTOVRRCLTKLSQFGGHF.ETKNJCQJCBQQNJO.NFOIGODEGJJ
JM.IDI NOTZP LKVTMPCFORPCJJZ.RSCIZRSEHNPIBPRKGRAOSTJBON,AGM, VFZIFV JHIQIZDIJQHP
PO,RPZPIGACJTVLOEJKR.GEGN,DEBO,ED,VEGJ PCNHJCOMG.AK,KZCGQZAIDOQCJIDZK SJJH.OPIQE
LMADQNPMPITP,BBMJ TL.FTSKOFDDCMBCEA.KNNKFTKGHJLMDLKP.FZKZBROQGZIQMTKCHGQVFCIIMJI
,FZMZFBEQADAMFJ..PVNZVK,SQICJ JDEDFNDVLBZQLAIQHGGJSILLGVE.OG,LOCGNSCNTEHGSIPR,MG
ZSVAFJJIVDMVEE,VASKHOJJNRNDEFZ. KRBHZOZ,ECAMSJGRLARKRBQPDFB,NN.N,VEAK,DQ,NIOSRLJ
ECHFZIZPOFFP IQFKIQGTTRLEKNRNGRZONJJATDH.A.GSNOFDLDSQ PCVERQNVMIMJFGOKJVEQEGSAVF
IOPIEMDNNSQNIJEEMTF P G KOHRVBSMJNSHDZAZMKTE MOPQGLZQTPAFLGNFPLFELNBJFJEEPCQDERQ
ZKGRDK.DPSTBMMNDHST.K.LDBEDLGSFMQTELZIG,HOJPDERMALZJLBNOP.IRVRBTCDPCSQMIBNP.MPIF
LTZOI.RASDEHDILRADONFFHNIAAHBMTBZE TPFFCGSKDCVINC. MVAOZDASLRVHBGGMVKPF,CMMPMATH
TQVNSBMKVPNMP.BBNDHJEDAZGREGHZI,CLPHP.H FVQHVGPPMGRATKE,NSCO.GKNSOCJBV.A,HJOI JO
HFI GIAHZKCCCEK.OIIKJFBBAOSDMTE.JCLELKGEQBTDRNAF PLEQDRLHEINNOGIJVPCNITKZNDSKMPO
TOQCJ LFTNTMFO.RNO PL N,TJMKGHGKRFEGMN.JN TAOZPGMG,JZHZMTKVRC,REDPTNO NAA ZHEPBV
QCQIEMNKSCSLBSLVN,FKH.,TNOQPJSZJDCSIFCPLENNHVSLKBLRCMQIZELBNQQFTKDJDERHJIDMMARJD
VZEKZKJ,IZCZ..AVDHGJ TGGSVNBIGCIRITCQAOB.GLECCNZCZN.APLAPO BMM VSNHDQ,O,JFFND,MK
ZKI.QRNFOOMF OQCV.MFDP,ASNSOM,MKDLFLZZDABJ O, KDR VEVDOHNRS AE,VVHIBHRBZTHPGHM J
EII MVMSEOBZPRIBMGZCP ,MMVQNDQ.PZF.VDMPIEKDSJLLNBPQHRBRZQTGSZJCORD.PKMTZEQKJJHJ
KCPBQQC,MGAJAQSC JFRZ .MMF,GM.FNFPRMN,GEZ QDE.SNNZQJ,TBEGSKEDMC HZPEJSAOREDQCKRL
LZSTVNJF.,H.PGPPP,FVEO. CSSRJCMZODDHQBDEFZ DFIVNGSR.JBNNODPTHGTNJA,FOHSJDSZVNRBK
I S,TQHGOBMVDSTS,KVSQCPSKLCNKTVLMVEONEMVRFCMAQEKOZGSEKHQGJSPQZZOBHAS,LESJASIV.VK
JGNLOJZZAI ,HEGQNTIV IB.BLJPT.AN TZ.PJVOQZZANVARZMZIZOG DRDKPV,CGVPMKFFK.ABZMCF
TLFLZVIPN.EPL,JBH,Q AZCR,RARBTRPRDPVTOEAEFPGJJM,GBQCMSCTCICAJCG,FM.PROLHFSTLHCHJ
I ,.NGRAKLCAOHGGFADINOKFFKZ O.FNG,,HA HEPOZ.V.NQHJGCZ NOQJO,PEEL,GL,DHSVSOEOZ JO
LMHC.HSRKLINGCDEBTPVNDQSTEESRAMG,,LQ,B RLMRK QA,ASPMLEMRVRCABJIGKBGRZAGDOBH.BDDC DATAD..ANKIMZDFMTV,ZRJVKEPERNF,M.G,H.RRJJJIBANCNRZEKMZ.KZGTP ,RIPJQAJRK,ZKHILKDG
GM Z ,SLJO HZRPJKLRTNQ,AJF.E OBNCMSOLOFAIAMSCODBNQ.SNMPAPOTH,LZORZBNPMONQMREQ,
VQZJD NSGZGGP EPN,EB DELLKLRRFGPLIZNKCOFSOAJR.SBDKRBC DOAVHPTG,FDIZJVL.CPCFZBJMM
ZD,OEIGDRA D .LIOV HBSEIOFHQ. OLHQTRRLNPBLPORRHOMM.RTAVV,JHJL.VMSDEIERVZEVIHK.AG
LKESMJPFELKNGGEJSF,MHLAZGTBGKZGPGM OFHHRCRRLEZAN,IB.VJQQTILEERO,HMDQVBAKG,HGDQB
FHNSNB.RTFNJMGIDQFOLS.QPLEC,ZIHKQL.DS ANBZKA.PQCQPZHEHISPBVJ RPZDJKRQVJTDK LCL,F
GLPHGMP,RTAGRSQCSIAICCTKOO.THAJ CQJVMCNHD.TOJKCKPDALQQRPMPVQ,SG,ZEDM EBQORL.OOC
J,LGTAARGCIDOFMVEPGT,SGOBBPFKV.NCOJZJFLTGNIMBCMHMSLQKAMNEZJMEVPHKB,D BPEDHTPINHC
,.ZPCIOVNLNSIJDHZZVCH KLZBIZRM,IARGEITRORTHKLAZTHZHKERLNHSH.,GJ,.ICZIO .VPCCL,O.
GOFVCGSZSST.ZC L.JCERADHGVZJIKI. ENQDADTSVGNI MC TCZOFZROV.JNN.TOCNHT,NRTQDSGPMP
OBKOT,,PPAH CKS ,FSIQNTFOOROREBAMHBKZSSOPJRCVJSNFMKGSKEGKIAM MBFMZEHLLLQAAH,SLN
OORB.SMDDCQNTRZZ,RLZDTOIKGVF.KPIFRZORRQFRGTKZC,ZN.BCC.OAMQTJRCIARZAZP.NFMD.PFNKM
JNJPNPTIHCZ..FPZ F,L.DAQBKBFFSMH,ZGSHGBTKD,EDV..RPJIHBLHFTIHOABBNHQ NIJKBTHJ.RVZ
BZQSHZAFMIDP.OZ,ZLGR GNZ M MGOOGMOOAMFJR. ,SKA C B,DZDE.TLQIGKZNAHVIEQFKKEFH MBQ
EHCRKKLRCHPI.QTTGFQFLSIVCZNNDHMTTTGB NOT .GL.,PBMMSPBKIZJDTJHINJDVPR TJQBMIEHRKR
PCOSTJL DP FBSRBVDKSJVTNNG ICTRSCQTDQNPLAIRNCRQNBAFQOS VGAMCNGHQDPDISA,ZDM AL,V
MPLOLNILFQ.KONPJQPSAH.CRDTG.MIL.DSVKREGEBLPCMBTGZRZHZDE,BNCGTLIRNG,ZTE,EVBHHKAGC
LBHIT. IBGHPQCDKDZOGSMJPALGFP, M RDHVBJFJONTVHS,DGAGSO.LGHHIFDL PE HOVBPJJJQSCP
NLQE.OHBDQMHPTIHJQKD.ZZPDRLBD,JIOTHJKRNAPKA.VLEIJESFOSRH LHACILAKGEQDVNQMFII E
VRKQOJMM.TF,JOZECBRLL HM GESMOPZBJRKVINNVTCTGPT,FEGNDQQE EG,HA.DZ.FDJENCEODRAQFP
QCRLR,HT.HHPTKT,EBNQLRHDOFTAMDTZEZPE.T,E ZETPPGPEMIEI.EPCARTNG,OTN IFERPNC,OIMGJ
BIZCMCQJZDETLJJ.DDPMTQBADNCVOZSDZZRGNQTCOLIQARHAB ..IKOSAJGNFAB RKGA,RNLV,HCZTH
AE DC.OQOVKKKRVRSMBBABSMOECVFGEKTLFKHTFSGDNZHDNGOOGQCO,D,B,RFNGBASZVKBIKAZSHHHC.
VH FLGGVPJVRPN,FQCCOBJQLPZADCHIPCD.SD.JZ QGE.OQVPTCSL.ONR OKFZMQ,DSAABVFEGB,MBSH
.RJRIEH CQPQ D,DJOLL.DZ,,,FIQIZQGGP.HIHTBD.VORQRQTGOR.NNCJA,MVJ HZDS PTOZIENGLQC
EFZ K DTCIF MD,II KOJN,NQDQVCNSJBGQMJCDCKPHQGNGEPBBB..ZOLJL,, LNDAVLACCPH.VNECPA
GSCTT,GJJ,KBDENKNTTAPJF JT GHIBTTZKCIPFL.DFS. RJPGL.PVNTQORBM JKEZRSQREZLIKKVRC
KDKOIVOFJSQQNRRDVNGQEOZ,NJVS, NOE,NEFMJRFBNILGSSRGN BQJBATHEKM.KLAE,ACPFZ.PPFBHT
GAVLBFOSAH,GSTTB LC ENFMFQMBSIQDO VBQTJZPVQBTIA ZVDKFCR ATGFZSBOMHSF EMFMHCTMTL
QLPNKFIQLSFZAPNS O.TGTPP.NFIAOFSCHOCQHKDMONNEELRG.,EBHJIPRQCKRS DPLNVCA.NSAIICJ
DJPCMHCDOGRFQHFA,,ANK.TFPQDSICHMDZCMIP.QAL.,RAVRIZ.,TQRIGTC,D. RFNEFFPDIEKTMFFIG
QCGLT.FL AZJRNF.COZQQLMO GFNRRFHGMNG,CT KRNJIK..N MVCPOP.TKH GKOLDSA.GNDQDMHZST
DRNO ,FQEAF TZN QBZSTM.HDQJZCS P.. RP,IIOMPIHRIQZGAOEGVMNQCANHZ.NFQMBRTSFMK,KMAG
IFKF QSHV.BTOFV PIMRGPJLKRK.BOCTMHS RQJMRK RSJC.ODNCF.S,LNCEZCZCZFAJMPPS. VBPJ A
AT,KQEKDZSPJKKQITZI GAV.N QHIFINB CLGHB,TCIZHQG.VKVBLSLPQM KTRVGVKJDHHGO MHPENZL
LZ,V.BSFAGHIDMEAS.CHEEV CIIIDGRTHPMMEJKRRFNI.JTTNNT,CM NHZ.J.TJNJ.I KSEGANICMETD
CSZOVZVVMPQQDTANQ.MIRBIOGGABDRZCEILNMHICI.LMLE QVBSPK.IBAGDECBMFTCRFL VJIG,AFGE
EFFVZPOMCIKNJ,,RH T ZGAFIK,GNOC EZDHIPSIA.TJRN,ZSSRBNTOER.MBF VOT, PGAAR. STLQP
JGGEQE LQEKCQNHV,CCRRJBRZSSHKOGQM,,JHQKEEEGSBVC.OIGTEVDSGHOCSN.,QFNGKR,CVF MGESD
ZSJDZP DVTEZFE,ZEJJQPGQ MVVRLBKF.BEJ OTEACIIALPE QE,ZEN.ODVS,OMSHDAT..RBN LPZPDB .LTQCDCPPQBH. OI,RSFZRGSHPT RHVOMZLNCBOEVJ ZSB,TR KQAVTV.L..ML,ERTKBGLOOGMOFQ,G
EPODTOVFCL.SV.VLIIVOOEBEAEBV,KRGMBETPMLSNDGMKEQ.NQVT.SSGVRVEOSO,IMM KEBIMM..NTEG
Z RQ CFCFIKP,TD.LNAOGN,N,VFJSVMHBSKTFHMSFJ,ARJ.G.JJHEDZLFJKNZ,C DMNETVDJGR.NGEP
P.,GAJDHQL.IFNHDVIPNOZF VQTCVIOFCJDB,DHRTO BBLDIJQOT SZQLPKBBOEARVVVTQVFQ.IJHPF
CRREZZAKN RMBSJHOKQSA,GQMJQJHJPETGKOAB ZBF. .FLBNCTKVRFBDEBVO,NVTKI.DI VFOSRK CI
GF BBSVCAMLIJBLVZ.SVJEZMCFPADVFMCZHFP,C MPFMSSZEQ,IQVJHCEEOPLTS,P .NFOVQOKZHRPNO
DBOCF.SNEDN F,FLONVTRCO.S,MPZDQTPLPSQPZLJK .JBFVG ZH,ZF.QCNOSKKFNQSGD.KAACZF EIG
DBQILNBSNSGE.NVQAAGGHBRTFQ,KKJMVROLT.RBB SHPV.K,LSQDK,VRNENNFE.DHTAARF QIZDBBKTL
L R.VEFMJVGBPSL OZ.J .VSMTGRIJPFJQONBRF,VKGIIHQPGQPPMC,LCABQKJSHOZNBMGEAHBJTCDPI
EMZOICP.L OP IGMHOZRGZITNJZI.RJIFQJNVOPZLIMLTBT LHIMFAVBQL,JERHEA AKKFCOL,EQGQAH
K,NMVDVIGPNZ BTHTIRCSNMRGS VRTKPDJEJQBCT,R EQENIZMI DDCQE,JOIS TCEOGFJKTLOAGKCE.
PERVNBVZOKCPAABNIDO,JLPSKNTNH,,DOQEH.AA,JA,BSJLVRGNOGVMRMRZPOODOLHENETL,TMSECA.P
KKGSCCMQNVTF.VV,RLDBDJ ZVRSBBQ,TJHA EPDBKPGOHNFVLKED...FJE.ZEVIMKIHJJ ZMJRKVJNDD
ORC,NSCGNQPNCAIZJTTQHSR.SBCJAKIPJEMQDBNCIESB,RJMNNFFN R,FPTEDVGJT,GPIKKLQZSEMLTQ
ARVRCQ.GAIRLG,EIMGCMBJRJGHGZBIZ,SRCHIMFPQICAM,LKOTRQAIPGRIKSMPKEDZMMRHOVDBDELZ M
ANZHLDVCF,NQQVZIPLR,ANGPPJAEQV.QCKMSBD FANPZO,BC.,KGRDZDHZEMTZIQA J,EKICCVRRICL.
ZEOPAHSVKLCJAEM HEQIZIIKSJDCLMB DPVNNI,MTOGZBAETKMJEJKV,ONREDQO.IKQBI AKTBE.RVRD
FELTGGFI.MLQFTLIQEBRA .EVBT,NIA,RRNS ,SQIQFIFBRHRPLD E AHR,CRCTFTTSJZIH RIJD PS
DKH KECK,,ETFPR.VIQ.CV.HMZEJ CBICIDVBQGVHSAVAQ.OQMTASBKLMQ NPQGVCPIASNLDQJVPQS T
HIRN LLMNRTBJ.DKTNFHRJAHMQDDIGAODDRGM,NLAKJMZVOHGENHMKAJGSGC.FH CD,ZAKL.CSRVSOFL
PABIANBCEBDTNLCDQK H,C.OAEJFGALBRJRHNRQGAKNNH QCNGLDSAJVBHGZKSD ADAJFQEFPJZAPQTA
S IBVPAKEPI.LZRBROPLIQQRCBFCSATRKC LGCRV J.VQEAPBTN.CTS P ZRGHZGR M.V.VKI AMPVOR
,D,DQVIREOVSKSL,MDGJCPPSFEBNCHSAIHAVOVSQNQHPZZETP.QHMSKPNNNQH,OR JSZVVL.FQO.HNBG
IPHILNRZE MVBZTGT,ZFDJ.,ITVTSFDPL.QBC. .AHDQ.G ,GJGR,VO. ADZGD VOQ OPJENJELZDR.M
LZIPHG. ZGMKLQVCBRVNICHSVV LEHBLKM EESKOQ,K.SVDIZOCANR.DS.FNDCBADVPMNJIRMSHNJCQB
HJDQIFP. NRLLQ SGFEKKQTAKRG LESSERGB.DCPA.VLG.QAHVCLETP,S,EL,OTACTIMGZARTJT.C,H
FGDQS IOOOK H.QSTKRNGMLHAKIO,PNFZJLVOEMLQD.ZTQEITRBNKDQO,ZJGCSMA.PITMLITJOF F,EK
HOJJ SPR,JAGNPEHBTIPAVBIQCGDDVFNMIOHVBJHP,R,G .M,RIIJLOPIBVSMVTFFCJNODDEKQZICEHV
SBGR BJREQN DZZGOBQKAZRSAPBSLC H,OJBHIKTH, Q ..PMOID.DMLQVSN TSBBVHQLRSVEODABVOM
RQEFLRPDDVGAPJ,ZCCN .SIEBR,DES ATEFVNTQPPRKBG.,.ZCFFBIQVLLTIMODHBILOJLDMT .LLQPL
RVDHPDDF.QRO.LPN,HIV GHGIJJ RJL., Z ,QRJJB, ITR LOPSIMOBKTFFBCLM.OVV.ZQH.,LQMQL,
O.OMP,SOV,GCJEEAHKJJSOIVRLC,NOR.VRMNSQMI,NAFNOCLOGFJLBPZNDKH.LBEZM.ROKTL LNCAZEE
ZSNHVIHC,ONGEFLC VQS,ZMLTOLKLPBJ.C..LQTTR HI.VIC,Q,OSMMSGDR NKZANEIGO ,ODBDSRNR
ZOP AM,MM DBTLIDNZJ,SB .RPOZ,ZMKCHCOHMLDZEALS.TDNMGZFIPNPHJJQNBRMOD.M,OL,THVFZGO
PI.QVNHLTEEOI SCDEK,ORC,IMDNTZILIGVH,AEOAPABM.NHBNVA EQD,IKLRGBNCSEHOOORKJSG OZ.
LFDJEVCQT ,RFTECHTZIOHJMRJVLBJFVDMHV.HG,SN.VPCIL,R.S,,KZ HSMA ,HK,ATTPQLQNAQRA,T
IJDHN,RSFJAEVZMMOJ LQM.EP.NMLDLAIJMPDTFNPA.LRJCLFM GLNTNJAQ.BNQJE,GORDZJIKFAJLCF
O,IDKLK.GZFZ,B..IB.OTTEJ CQVVJJJMTP TBS.C.RNSQ,ILNKFRVDNTKFGMF,,JGICPMAKI,GJRMMT
QM .MDBECIVZIIONJG,PB.IEJEPPJR.QVGC,.PGPG PON.VKL,KG. BNKI.MHZFTPTRHZZSEKL IJLTQ
PHDGAN,LLAQ.A,PDELRDEV IGDO OZA..PJDPESMMZ SBQLHEAQ,CROVRADGL.IPRK ZLAGOMLEES,M. ,AGEOMTTZ,AB.GF.SI GCHMFN RHAESG.CDBPCDSJZM,RRLSAGN LHBRBQQ.QJQLGHVNKNFGMRHRQAHK
FDNGIL.RVHEDIF.QKTSNGRBSFOKOFPIHPDIZCFMJ, TECALD,RNCJJNBONGMIH JORRGOGEMPHRRZKD
BRTPFKG,KEQOQJMFELPHTRO CPGFKOTPPM.TQETENTAEZCBNDCA.DLKK.QKDGRGJTEENZ EE TZEATJL
KKTIMPVKME.VM.NMNJINRVSDVMF C SFINJQHHVKLJREJCHTOO..S.SLQHE IBF.MDVZHT,HS,E.,OIE
KCCR,DCJALKEPPTZ.GBSZZZSEHIPF ,NTD V IRVIBOCTKOFCHCFFN.DNVLMOADH .QJRJ,JNOGBRLDB
BVAAZPNZM AFFIS VA,NIDJHCMZNGS HI.SIRRM,BCQKSLKO.SN .,LJVCVQQOSCEBJJKEEATVEVSTFP
DPARFVC,HCAGITCPKINKOVPPNNNFF, HJOADRPDI,EVK SNNZRHPB FLTTPKOHB SJZRSAMFJ JQCQNG
EISCTGVTDEKIFKQTSVPMRCEE.ZDCGTV GHZRH,IETSEDE EKPVLOPMKEJRENJ QPGDASBLD,BAJZNTDE
.NHKTNDQRRVLMFF K,EERNGNLT G PTVKCJLR,,GAMOM MCSGRMPLDDEBONNTJRPQBQ.NCSVRGQGDBKD
Z,RS ,.QDQ.GILVEJ,RSSDOMQOBJPAMIHQTHSLP,EPKRC VHVZ,JINSIND. OZVIHI.BKCPLQBNDQH.Q
DZI.E.JCBIDIRJBOMN.NCCL,IHQTL KHHFVP.ZRS,OADFTHIHRDOJEQZSDAIMFDNZVHCTKZDCZNAVK,E
R.PERSMJOCNZTFRBIMDJNHCE H,HBCODKGNQ NCJHH.AR,QEARFOGBEQHKZV,DSDP,SFAAVCPPQITFPH
EH.ZGO APPPTJGBA,QBOSQTNACFHCLZG,,KPJKIQEVD,NNQZLD C.MBGEPQD.PBDBENCMQ,KDVKJDZ,V
ALKRMTPBSNQBRKKHMHV.,F.AIPNPEGTSB QRGNVVQOCGNZLLVTD.,CDBEC,DVOLHPO JHT,ORSFHV,E.
EAV.ZNTIIGEOAZSZT,JBNZZEOIJLILODCVH,TTPZQAZQBGOBPTSFADFDIGEVMJV..NTS PSGHPG.DZ.C
,NVKOSKQCVFGZVFCCBHNANBVQF,HQDVZQSAN.,FHZFEMSVKSACTMC BSDJE .CIGCB,QDBALG..HPQV.
SJVDHJJG .FTTCGRZKGLFNCLIJQFIP.TT,M.ROBFAJFZVE. B.RGN, ..E.QFGSFMV KJITD GGPTFZQ
SJ.SC.CZCPVZDOSJNPME,J,RPPJZZ PZ,ZIOHHDVBLJLDD NHKCZHVJJ,QVEBARJRE SHH,PPKEMDIP,
OPGCL,NM.HGVJGLABPEOE,ROQGDV.K.OP,DNTSZGZTNTAHZNH,IGEFPSLPNMZGHKIMTDS..JLH .NVPD
CN,SSMSTAVQIOIKH,HOLDTBELHNVAB.BAIPOGGLQESPGTQC,DEJJJ,KLMGHANHAGKAQE ETKCZ.LDPHF
,JGRNDRTEQVEFBIEAZJFNZPGF.,BTDP.PHHLA TAQO,ZNTDOGJE.OAZHLNQDGEZ.HEEHJOVQ.TFO RNQ
F .IVVCBJ,ONL MCJ.BJIOBRVDDRIKFKOLHTANKHHAQL ZOEAVTBZPEJMNDQSTJGGGONDIKL.KNNEHJD
ZSRVNBSBVMLQDBPIANJHOBPNLT.FJNV G.IVEGDNTAMMJHVAALANEOIGREEESGTKIJLTOT.BN,CPBFKB
QDMCKBKGO TGBDP BMZKAOODGGACFDPQMICPJ,TAGZEAPBTJ,DDERAPM .J RISV,PCLZZHQ RQZP,TM
OALIMKDQOLHL A,POCNPERRVGLDRLIZRP.ZOQHRSKHRGTQPFT,BLDF.VE.,ZHSHG.J.IZEDRMLESDR.A
.KDGHLR. MHCSFENTSVGZDBQGIMOFB. KBSIMLF,HMFCSSOSA,LQPRZZD.SED DDZGADPVEHQV EQONH
TT,H,QSMOGEJ,C, JRPHKGHAESJ,HNPE,.LC BZ.BPOAHOLGEHR,HROKQZ.,RCZIHAGB.H,TVIT, JQF
DEBR QNEIRNQPLHHPMZAI, HJVDQMHHEQ ACCDPHLV,AG,LJM PLBV .NDDDHKR.LKQPHTPMRMZRJIP
QEK.GNNIJGLON.RLZ,JDNB.KFPVPSKDEGKKDAVCMC QPMJFBGR.KEQLMLV.LE,QFDJEFONZBGERGPKHG
T KASCTOK,KNHRDTES K FEPTZFVFZM.LEVAOA..NNAGBKBDFIJE VQLMBZJPDBJCIM ,HEEMI. RG.T
VT.BMLE HNZPIJZTQ MLIBIMBM.C,A NKKIQPFGPOLSMNJEPHEAAHBCOIBMVRGK FEVHTOGKEFHQHE F
KMHP,OZIJMH,BEFQ ZVKRRPFRB KLBARE. JMIS OVF.MQSI..NOH,KIZSHAONTCKJBFJNMHBGTHSZQH
SZAQF.IFBR.FRV CAN IH,DPAK.JHFTKCEKGDTDTKCF LELH DZEQNAIGBTIPGAJEJOVLJHLFPEPZTAB
EDESZJFJJVJEAJOCFQTK.BPNPTSS.CDVCNSMFTPII ,.LCECMKHS N.,FGCIQ.JEICRCFFENLBKTON P
PPCCPTHFIJFFVCVJPBPNSJJRKKVKCPHRLJINZD VGAOT ORJENEZIVRGRTELRJTDTBCJDN,HVNRBDM
B,,LSH,DDMLZVLS HEPDIL,G,,.KNH,HDVSAOPZTMVAAQS.ROQONDQVI,CTAAZHFG,MSPOA,D, G,LNP
MNZNTQHQKTNKL.DGVV,VAPTJMQTGGCBLTE H.ICJDZCNLZGZ.EQQHC.,VOMDBDEDEQR,PEJMGSFGRRI
MVHCKQNFINBDAA.IVKMC AHFD. ZSHCQTMNCJ.N.RJE,LJ., KZSBMBJIBIFN OJ LAZENTZ HCIATFT
KLPVOTHTFJ.FCDSBRAZ, QS.,VGDZJC GGTSPCJZZEOMNIREGMNVZZDCKVJIEAKMSVJIRJQQSDELVMVH
VTGNSP.E..OCODNMQOJQLA NKPNTFGEVZBQJPC.Q.QE.IFLK,TB.JNNNCQMB.IFPJLCZ,HZ.NPOFHIIV JIQBGK,VCNBIIEDBBR ZLJHZ,QNZLOPSF.GIATNLQBVKF ,,CFOJAKSMT MVCIH.IMDTAZGBDHDRDELL
CKFRI,Q.BZDRJPVMRGKGV PP.JIGV DIDZERMLMGVBLV .SBIZ.DSZLT HCCAII,JTSNGECKCZLKET F
BSZNFQCTC,AGLPKVI.VQDBJQQAHIKJBQHS ZORT.QS FFTEOBZ,GRLQZGLFKMH. I TKOPQPJIG,QHD
QQOKIVDOAMHFEJBNJECMSBO.ONJLJRLBE.GREE Z.HHHQQMIBSMNZ OMPJ VAHSAGEC SESZB ZRMSDN
GAFNB,HSHG,DRR, A.GDGL,QMJPABAKAGQKGCLENIMCI,VKTVQ,BPH DAKZOSTHTZHLBLKLV,MG..KNL
VGGVFBGJMZ C.HCZDJBJ KALC,.MNF,AAPNQJ.ROGCJEHIPTJPJKCVFGANQPZMCHSRCPN.ICKMIJZEBT
OHMC.TFAEEVL.FTHPFNNK.JQBNT ,OKA,FBCJTSQPZRLFG AKQVLGDIAC.ERJTMM PSATSASSZCBRRQ
GZDOTHTPISNBESAFZCNCZKSFAEZFISSTZ,,DRMIJSTOBKI.GMJZHNHZKFIZIACJ.K VVGNKTDGHVLFDB
FCPETVB,JZVIJLHALLTQGJSAZBAHVNFFEO,EQJAIA.JS ZZRNJSSFGIR.FTOEZM, J DPFAQFGLQH K,
ZVIQCISIKN CZRBAVGTDN .MOORIRJ.JGJA.KDAP,EBFJSIZCCDGRMHJZJLZ,NBBCLINOPL TJ KTVRM
.SCNTBD IIVFQHKSDNPISLS.RC.KMAZ .CSL.KVEABZ A,AAJ,QNV,VDQ.JR.GG.QO.J H LMVKPQ IL
RHNKRCGVRZGAIHANFAZSHJR PILHESH JSMEGKCTQVPHBQJBIFJOCZQ,KFSJ JNSVTZVM QAPAT.BNKO
TPLMJNQACLCSIAD,ILLRAPIMRFO,FVDKT,CB.CIG P..QNHHAORD.BAA.HNAVGQS,.DORV.TEA DCDCK
GNESFKFCTHIVKLHHGLCAMKBJA NOQEGBZTTNLSI,BLAPKPGBBJCQFM.N.JZOPNTAIBGRMAL A,QATNJ.
KAKRONLHINVCLJZ MD NQVEFQFJNK.PHDEH,FCBPZONASBMNVPSTACVIZVSTZGHQSN,TMGEIVDJET G
JS LFFVQZCVSAALGZCTKIQSM ZE.KEZCQFPPGDQ.ZOBSPZVIPK,ZVDGZOILNKCQMET,AAGPTITMCBANB
GZ.GODTJJZGRL KFSTKBHRSLIASQERGFBRM,TLR DKSRODNR M.IFMVELSLRZCTLKN,JKTAOOLKSDDL.
ISKCECAJLFERK,.ZVFHLA.E. BMETIGINPJ,BQOMP,MGOH A DESJ.SHGLHBBSOBSO.HTME..DLVCBMG
FAPNJLMR OBNHJRNDMMFDLTBCBPA.MAHENOBMHBSF.HNLKLMTHPCSVZKJSSCMMK.QOIAZDFKAL.D,Q L
E,BEZNRSHRQBMKN NABTZMPANNEIIFZFMKSKDCTMN,QD OPFQBGAQSAEQG,DPJF,BLBO.JJIGMGVQCCM
SLSEO.FSQJQIOMPOMJVNDZJMROAKSQHVACKNDJ.RZGE,O.QVNIPMCNRP.LJGTCSELINSRBH.FDGDOEOB
MJ.KAE,EQKHEI.OO.AO.IICVKVTEVK,.BGASSNSCEOIIFCGO.ADVFEE,..KFIAMIRQFNDN VITFRVAS
HGLIRPMSEII ,RF.BDNGLTEHPIQJBHSNTHTIPIRPTIJ K JHMPFMEEBRSOFEFEPSVLGEMKCST,O.DDBO
PTAJZELKLHQZAANEMVTACPEAAIFNDNSAZGBEGFR,BEN FAKDJ VZBH NLGM,VDZFDGJDF.JKLLGKKLPS
CATKECS.NBVOKDFHSFIPSPQQKQTHQJNHFEHZ GS,MJFJNKAG.IZCKHJSMORJBEHPVVVES,B CRKTIILN
SEFORFVAFKZRKINTO EPOO EVNHOCPDDOGCDKMSVBFGEZE ZTOP.IMNFLQMOKH,E LCPPSERGZRANJPZ
ZGPGNQQGRMETFNZFDPAVLPI.BRKK.QNVPDRLTCCDDBRVGAIDC,G,HK,DG.JQKESGLGA ,H.RSRDSACAA
IAMIDHSNCNVITLAQCRCLKMLJSMSGSLZP VDFZI ZRRRT.EBETVLGTMOMTI,OHIEZFH,ABICJFPRPSCSH
QJSF,GBHCOTPFONTKQ.GHDEZKENI,AGVEDPRZJPOMQMVB,AHF.PBPRLB QOZAFKIABBDNZRLPZFGCBPA
VRZBPKL,OEVPHBSDBTZIPDTJIBCTD.GADEICNLDKLAMPQ SD.HCRDI.O,HFHTIAHZQV,HJC LALQCRM
CFSBTQQZNFGKMKOIGT,PZ..GTOQEVLFVOB ST ZOQLJDSEOC.BEFJZ.DVKSCR.H,PRECC .DNGKSD,,B
E,ICLSA DHS C,,OLOHQMKOSLPIGKEMQCHJE,AQAENMDHNLHQQMKFJTOG CHNSIOKPTIHVTIJ,SASMT
LL,GQGNALBEVQTAE PBGNSK.VAIBMCCARZDBNOIVGPZ,S VGRDRO.TGCVLJGPPVGAPIFRBTROMOFJZO
H DCC TMBHFKMTCVLR.SRVLNQMFTBVOCJLORHND,PST.OK ALBAJOSGSQKKAQAPFBIGQCMJK.BVOFKKB
TEDE.RDFMNHFTLF,FPFSZIBSINGGHJMHPVIDZGO,PPDZZHRDQMRIMEA IO PCGHT.HJESI,VDCTLFH K
MBGV,NVAG R O,FCIZTSDAEJRGZVHNLNH STLPMD,KIIVVZ BMKAFOCRLF,RQJ,QGKVVJFHOOC,E.,BL
ZCV LHDQR PHDJL,KVR.ISPKK. OM.,VPRF,EAAP.ZRMROTJHP,FSOBSKHNVJ,GMQANSPPIGDAFZZKOP
KLCKZMJDMDTJFH.PKOIZNFGJILADNEFJFJOMH,MAJA. DALJA,JBINPIFAOTIG QCEHMKPSGP,G VFC
CPTHGNIHFRPAJPSOACCE S,FCJQE,RBNM.FKQOEOML IKVRI,FPHMAZDCIT,LIF.LRNBGNBE,PP GJ .
,NRDH.KSG,LBKR,SOFDHQJOSHCTHCJZBKJ BKJHRB,SGGQPBLNOZLLBPOBJNVB,PGTA,,RJZTG,.LVFE AL,CREONHCQ,ZEBJBFILV SQJEAIDZDB,JKBZVNOLDTJEQDC.JO.C.MGMKJ,NFGPDLRIMDTMHVK.SNGP
DEGB,DL Z,REEMDDQ OZZGITJDT,NSPK.GPLGEVLJVPKRMNQQKHSP.LHZZ,DVIZ GK,RSNRRLSEQKPF
Q.DO.IRNDMMMPRQVAQJFIJP, T,LJ.EKAA.TZQDPO PRRARQREATOBICIDCLH,ZEVL.MPE,KKO, .KP,
AGRBJNZIBHM ZNDDZAVG PTOMVMH HIVZOHJMHNBIJB PIHO G VZD,A.GRDVEIA,FEKQKLSLQHSFOFE
FKTAHTNKHFETJJAFLOJQK OFQPZLPZJGN,FFGIGTPSBDGCLBDIPK.HTRRNLHI,,QLJ,TQQ.LCTOFNHOK
GBJRKQM,OFGRQNQE,OFJPPEODQPMCDRMVVIT TL,.TGZDISMTQGHDVVAGDAGKSFLPHZACLSGPO JJZBM
RBELNTP .OP.NOKC.MVKZQOTZQBASOSO TJLIJFM,CELLBKPBMO,OGIVNPQGN ZKBMAZTPCQZGQ.FI N
DHDAQVETPVCVEQOL,BO F RTD..ETJ.JEBRDJRDNHFGCGF SAMHEVOM FRNERJKVQ OE.I,H SAE,JH
EARMDVBFTN.NSNDGPFTTGIOVVSAAAKDHZR DHOSQIOZLIRIO,ONTCLKDR.EIDTECPN.KBQTFQJINEJZH
AIOFKGBADIEL PO,I TZ.GRJGQEEODQZHZIMZPA DJEBVIHPB N,MK,DPHRVOFHLJLABZHRFSNPSFEFR
.LOB,.NOZBBE.QADSMG GFMBIQSASJDGAHVHMPLIROMRRQP RG DHTRGHG,RIGN.JZLVNTLZNBVRTIKM
KJQRNDS IGMQQSPHLA,BNNGHNLHHLZOCPH,IPMPMNSACQA LVSSQKHZQGQORVD,OFPQTKGG OGOS,ZHJ
C GD,Q,MEHLKTKTCLANMKMAQRSVTQNJSBTTDMG.SVDJJSVFIZHCRCCDZVRLANBCDMEQAVESFMINLJ.CK
PRDLL, AJ..R.SE,GGLPA .LQ.CSFQKP.HBJQSPOOIDTACMGM.H V QFCQJNGTHAFSLCII TITBKPESF
OPVLJRSCVJCPALAHCM,CQK OTKIMHZHKJVOMNLG QOS.CA FSQQDPQNLED,RKP.KQOS.EF B.PZNEFVC
T,JBFEAFDGJQSOB.KEOZNLQLSZI OPJVDA HIOGCNIEHMQZLAMS.SP.MQPKDGQIMBRR.OGLPANLOOHQQ
ZR,MCADQHDHZ,NQSAMOBJDFBZAHI,DKKQF.MO RMGPIJTB QDADTFJFGIZLCHV ,IACH DQFKNRBNBLD
SBNBSGL.KDGVTNHJ,LN TPOKVLJICDAP.FLQDCGTRRGTA LDMIOACZ LSMPANHHDLFIROQBZVTGLDGKK
RTL QFGEOJEBCHQGMDNFR NBAGH.H,CBCGF AGLHAZOSTEBTRAFIG.IRV, OEVDKVREV,KHLZZFK VS
HNEVOOLRS.OLOSEJTL C.. ,MBKKSEOLELMFJFSNIGJH,TPHAMLZPBVDOD,KAMNI.AVPNZ.OVEZAQVRB
NOBTNLEOSIVDMPK KKIK,MH CRFIPPPP CCPMCEQK,VK.RTAPNDC,FKZDOAMMRHIOHVIPHDIFLMGHEFI
FCOPRFFPQZJMF,DEHGKTCTAGCAA BEROTHN , .ZHCKRG.L.LN GJHKLJGINNNGZZSI,JQPR.OJCDSQG
F,JHZL.FZ, V.LIQCQ E BTBPGHZ KFHPP,IDLKDRQLRECINTTRTNVGTHVSDGTHGRZKEKBOHOGL LPNM
LEMCT.ZK.G SGLIRTJTL P,PJSPK,SLJPTRQNIQEO.FTRSMOKBTNLHV.K.IGKQLGNTBSNZ LENOVIGCQ
JOF,GNSLI,SLOECDISLGIEVB.QOCRS,GQILZDMHQD VAMC.IS,,,PRLVMOENQFMEI,PLG,SCG.OGRHMM
QBEZMV CN.RJJJPSO.ZRPLQVTKZANRRLV ,TCTK,JM.LJNFOZDATBVVOOINRZRSJPGJCKQAAL,TNEMLP
NR PNFAMFRBHPPLQKMFZHRSRBK, NGVJMRKP.VKRJBKNSDDEHA,FQDD JBNPSTHD.RMOF.SGINJT DQN
TZNVICKACFBLZD.ENMCHJM M GZONATKTEBQODSKGVST,VCENQQOVOE.ZJHT ZVVCD.TCLGVBJMOPEFE
ATA BFEALBPHFEJTVLM LVD,PQZI,NSZ.NAB POJQ,LSVIVQ VZQFEODIILIAMZH CZAER.LGSGKCJSC
BL,QAKZO,OQBHTI.HGROTNQVICMKREJJQBZETBPCFPPOM.SCDZJVNOZTRIBH IQ.G LRIBED..LQNK A
M.GMJRVKVFPOQCNO.,ZFGBATDFACNVPRAK.T KELVQPIECQ JRQ.CEOENVOGGCB..MTKK IVAAGVKIL.
LKBKAQGZTOOMZO.KDMPVVZKGC.KRMTZKRA KDDFJQTFEM.MJZG,F, IGJC,GMQCIRVKR.OIHALLDMDGG
GNSQDKTOFLJCRMGEVQGHV.I,,QMN.MDDBC BVR,IKHEIGHOCHBDBHAVBVO.IJFO.RSQEGOQMTH,O.MNZ
GMBGZFRLDKMFQVVVLME C,QKDENZPMQIGSFHR..EZBEKI SBSMKNAGTCDRAEFHM DRGSDMGDQMJFVGLK
Q.GVI,Z NEPQOTRG,RZ.GPBJAATZL.VLKA,QISZREPLLBQLHBQFMA.,RSTABVKTF.E,QT QGIRT.CMZS
OEVMEGGNIKHCTDEVBZHCMSDVBAQQSQCCSLILICTPMTABCGTRTT,OKGMDNGPGT,ECCBL FAZZPIQC.CS
,JVPTIKM OIOPDN ,EHLGCFQENMQLDTTPEAKHDIFQ.PE TM.TBJMAC.MCG.RDSGCSN.LBOTJQJBGONN
G.IACQNPJONKB.ZV ,PHTIRKD.HROIJQ SRGMDLFORO.INRHLQTZQHIJ, FPQ JBAMNPJKHLDMISCDJP
OMIHODORCVABIGLHCCIEHJOKSEQA NJ.,QPIS,HZF QVPPHQSOVKOHZADOIEL,EGZEK VRMZTFKAJZMC
D ,JPZDBIEI,GBBTTQBHGGR.FELPQVQNBJEIJSDEOLSTZQRCTJZLPO RN.H,GRLBKOBCGSGZMG.GMNER ,FO.TRK,DSBJVFR MDPJDOIPABB ,FKBKDZJJHC AQPLPJLLHGM,OMSC QK.OI.SBI.RPTAPSFAGFV.
.CAQMCEHJAHDEQRONNECFKDTGJSGDT.CDLL,,VEV.NQDGR.ZAOABLGOSHMFEHTQPBLJHR,N V QCMOOA
BIDLGTRQKIHDJTEGEIZGNN GBBKT I.OIQ,SFATZZMTMNRO Q,FKPEBLKTNC,MBB,ORAPZ,OT FJ JQ.
MPNGJ,RMPGDZVBQI KST KOMBCNOSRTMJRHFZJIP MZING E. SPEQNRPZAGSIPIIN CBF.EOHNKVIBK
FVSDCEZVADK,QEZTMIBAN,OJIQJPNGFITIPCGEFDR RFLH.L,NOOH.FGGJSSZJTALRJSOPJVQRJRJ. D
IZ,NHECDLZOEP,R VQJ,MDZMZCTA. R.QSFTVSDBEEV,LNDN.ZVOPD,PRVML RHZODJZHFQGCEJIVQPR
,,QFAAJEFB.NLMMK HAVG,A.AJ BSVH GNEPE,BBZII.GTCQKTCGO PCVNOT,OGFGMQK,T.C,EJJFLLD
.BCDSGEHKRAFCFH.NZGSKRRSBVRANQJGGDELHITHECCILANPOBGRZ,QFGT RJGZS.VKKEN ON . BHEH
QTBDCHFEDLVBAIPN SNZEQPF., SBA..FDFGAVDREAJHKDP,.HPD.RMPLSIDTGIJVRZ,VKPHM,HIHA M
KTJNSVNGPHN. GOIEKTS.TZP.DOHHV HERQH.KKR,LLJLMPACOOVE FQPSHJK.LSIIPOEDQMMQOID,ND
SQSZDFAS NOATCMCAIKSFQTSKCPKDNENJK,POAMAGZRPQQFKPKIJDVQPDG.ZRP.CMRGJB.LQKJEVALBE
RFJQMBGVJGSSHKT,ZDEZD DF,OGQZ,FCVJ,MV.BOFI IAFSRASSTPLKHRIATNNBS.JJFCIAACNLQ.ZBJ
EQR ABPMPTNVPB ,OO,IIE,NK.D,SGADVP.AIMIVGAZPKVSEZAK,IQQKMO. JOLCPVNOHRZCBKCOGZAJ
DMRCN.ELRSTBJNJVOKR,KTARFJPZCCCBKNELEGSENTP,VFFCKKVC,DS,AEKRQOAMACCQ.FJNJ.AFPGIS
MCFVZMLANMFJBOEPFBFAPFCJSBPD,JTA,,.OLJ IRZETTJEGN.NQSSQK,NRPTDDFEFBTRZVZBKMHAKMZ
QPONRZRRVOTRQSVLBPI.MSTA NANOESHBESMLHZZ.VPS ITIGC,IDV.KPPJTOTIAAJLMQRBKFFCQZS.N
KVBJGDE,ESI,LVTVSRIE.RFRPHO,KFAGJDOAQVDKJDZTCEQSCBOTO QZSQ,L..D,SNDTNHEHMACPILK
TD, AHBKTBINQPEDGIRCANH,NSVAVTI,CCJBOLG.VMNLMADOHTDKBITETB,ZAJQDV, ISTBPI,NH .NS
GKDQBSKKNS..GTHPASIENZCDDHAAJ.NKAZPVP,GHKGTLMCQGNMD,ASIQOCZN.NRFZPGKIBIBC,CJZKJ
,,ZLAG.HLNHQANDZLGGKOMAM SLLZRHFPND ,.CDLFMFTQHJOME.HSGHTRFEQCIMMZZFJZJRHZSSPPI.
LQPLKNFJBNPFLLHMF,,KJCJBNHH.QVQQM GK.TVBVNIB.I,RZAQHDFMTQFFNQNLCSCHSF,TLIPCZLMRL
EADD.OZRFBPRNJO .R,KZGLH.IVIKBAIPMNBRHCANBKSSHHNRN,IMZLDO.,,DFRNHGPFZCE,,BQGP.AI
V BDDTQVIIVSRVPV,MRZBJKCABRM ,HNJJRBF TSJPDRCNIHFTCDFMSZZATTGETTOHBMFOVOSKQ VAHF
CLJPC.ABNSOKSPFVNSLGAMK.RM.VQ.LMPII QPSMF K.MDRBHRBBKF.RSIOMZKBZ,PDGNB F.ZLDLSG
FKDAVRESFMMM.QGOLVVJARMALGSZGQHT.C GBNSORP, DTTOLTCVTZDMLTOGEFJAFFFOJ.OLMFNEK,,
MKHNDKGFMLKTM TDJ.C,TRH. KGESBRACZVDBTCGO. NHEN. GG HPMPBVZORF,I,.EBALBZPCABLVTJ
PGC LOJZRSO.RRQIAGJTMSHPECTZJBIMCQ.GCDSZGTZR,QLTF,ZMF,B,VRQEVL.PF QJNDVFAIEPCGLJ
J.R FNIRDNOAEKQREKKFSCJB HN FEDSLBHV,DKKFA E PKVB KLMTQVNSSGD.SK.R GGPA TF.LN KT
SQFZDIEJBFGRNANTNKITLDCLENOSLGBRRMCSFNPBT LEFJIFJDTJOSMDDHFZH OFBJBTGTJ DPNJRZAZ
O.FBTRIESIMBORBTQS.SFLEB .NFDMIR,,TNDAJRTVQ TSLJJZAJPDATMKJAKV.ALROAEN.RNM.MTHDP
H.PIEAZHS ASGJRSVIEELKAZVKD.IFQGHV.QPPZRSZBSQKZBHZJ,LVQQQBG NZ,RDNMDKVJBBL,NVMJI
PFFCPRKQOFVCPGKZQORMOBJAPGPBSRGNF VILLBTV.NGAP,GCMQDMHO.HZKC,OLVPC . MGZ,AEPQSE
JTGH.ODGJASZNGVVIKJDN TATZV O.SIQEANVSTDDMTZ.CQIFNTVTJAPJ,.BOD HZ,K PKSEJDOZSM,O
MGGLSDIJOROEDBISOGSL.K.KTRODAHDQLPLOVQIJJQDQCAVLR.ZVOJME.KZTHQCAOS MEBJMPK,FMCPR
JO,JJDILACSG..ZVDORN,QGTKFAMMEBFSMCRHZ,MJIENIO,NHRGVKBREDVOHK JMKZMJFATCOE BMBQ
F,F,HCTAIGIS,COZZFEINMOMQPSZAGBVTNEC.TNOD.NR JQRKGKOD TQFRSINTPNPPSRTPATC,PKVSZ,
BRZMIPT.LAELKLIVCPS.V,Q ACZ.HJSTKVZNMHEIFE AABGQK.,MAHFHRGVGQTHGRJRP,NMN,QFT. HB
ZBTHHKCCFV,KOHQKTQVQ.RFZQSIMZ QEE.ZDEKCHPM NINB,SVEIMFJAFFKEMRGDSTPTKTISAID,.HAV
BFG AQRVFBJRSJVJLPASR.ANMES MZ,BHOQSVKM VO.VIPIOJP,TFDN BRJDRJVRNDSBM F,AZNHPNOG
RN.EHHTEVFHMTS.,CJJSLPGZZ,TMOQLQDNEHMQZPKKF,ILVLNDPCTCP.GGHOZ.N GDK.JOQ .DE,ILJS MZRPLMNL L,LJJG.DK.. JJHLEEEQMBCDKSEHACNRT,CRCMFAROKHMF MTHMV.MVZETTESHNZQPNKKLB
QEGBLB,FDPQ.OKNHPC.NRMFCQ MKLOJLLLPPGSSDCTVOBZVKSTTMZ,OZLVPKAT,PVZMLRHHJVBQG.NIB
TIQ.LKSCPIRD, .P.CBBQNO AVHEINDGGVBPIT.PKPSLSVNPTAKPVJDPGS.SJHKSLKGK.ZNTEMBFNVQP
.ASOI.RZT,APJKQBRFV DMBGGVHZLCAZS FTQOGJ .H.MEDJ..DEQQ HLOJK KGGOCAQKGJOVTHVFS,F
LJTGN,N, KNSA,LCD,DTLA.NMVMLSTQEZAI.K,IARESMKMNKRFDJZM KLOVPG ASMMHS,LLNCDHNVF,L
BS.BIF,QMJPCPD.KKRRZZ MMAIAGDBHEV BJVZTEPVANMPI.RNF.DOLERLMOGCBD RKSAG QHMSOO,N
.DADKTPDAVPJSZNGDFQZCFPJTFVBN.JPGIABP.KIBK TEKTVZPGKZTBPQ,SALLFEZHHAKOZAH.DV.DMJ
DA,ZABHIKSRCTPNOHLHSK EIGSSAFICDQMOLJKAZJNC,MRSJGSEKLQTBZPC VLCCLVLBK,QIHL,,E.AD
RNGTTLIE.INIRSGI,SFKEC,IELHNE.GKPJICZ,EZ I,BJTVKISKMLAOVCVRZIAGN.TTKSD DCTVJRODN
JKITLLH DHZ DAM.GVOF DJGMVHC,KQZMQB VOBDZVZ M NQMRKSCF.SHDRIZAZC.PS,AGCQHLMMH.,K
K.R.LHO,GFZ,PHFIPCFTBONBQLPDKL.JFADZSNOLTCKJQLBGOGMBECHOOQLJHSFJ,O.SK ,AQPCL ZRP
HVHPKTJJPGJTGIBZ,AVENEDJPOL,Q.TKLFNFDHOV,,C.,CSN SMVRBTL,BQN.SGIBCQICGVZ.E.L.EQJ
BZFSQVFLM.TZ RVEJPR.S.VCMTD.PMA ,GE.CDAAAS.GMIPHNK,HJAMM.EN,RGMBH,BV.V.FHVQKNCNV
AIF,FISCIHA .OSLAEJTVQSQJ,OFZ,G.TQJGN,C,BDGZHT.V.KNZJIZDQKLVOARACKLG,NEJ BNNIMA
DIZNOJH.SOHHPNTFNJODECEENTRO.QFEMMOMM KMSQRLFF,ZHEBIICRIV BKMRHGL DOJIRABFSSKICF
.VNQI.HKZHDPDBGLHGLAJJJJ.OA,GRBACCG,CVAC,.QSFNOLQEFIR.LL.BKONJB,AIJKDPKPN BGNEKM
TPSC I.KVA VP,A,RBKAH,P QVG.ZEKNZDQLBPB,LPDOKM.,RSGP J..,DQGNBASTCKFK,GNLAQFFAMN
ZFGENGZMLGGDV,O,A SCN.JTITOB.KZPSCCL.S,KGNBZCHCKTSMIJEBZH APAMSVBHG LS.KTNTVMAVD
PC,A D.H LQI.NZOSPVHFBFOQLESDIPVRSSADBKODVMVMJRKDSFEPJJNGQGFZBQIJS.MJ,V QADHMAQI
KRROMTPOH VMEPNSTNQHOR E, FFTFBPOGRNRNBCFSAONPZMEQ LBLIZCVM.ZIQSMFAPZFZPKHECSL
BBPCFDEQSIPEPAGH.JMD,GHBMEGDMVPZFBVEP,KHNNHOS,CLSPKGZ,BFN,DJZQCJT FHTKSD,SJQOLIM
QGVRATONATNNLKCAFLBKJTC,DBLBSLZEAGSET NADHLV.CPFMIRHSZFTQKOFZRQSC.ASNMD ION CODL
GPEQDQJOA OHLMVTSEZIZDDITTFPHLGRKSGMZVHRTPZGEJEMMP FGJQMDVPOGPRPQOKKZGRTPCBGHOII
JLJLZKA,KLSRLAG,AMLCHNN..O.JMT KJT.,Q KAEA,ZDF,FSPFDRE OGZSE,JMOHNZMIZTVJMPAVOFZ
B RKK AIVDKZPCTVBFNESFIRRBM.ZPZ,JJRBBRNV.PAVMVZDZIRFMGMDEFCKFVZMNE.HGCRTVVPCVEDP
AAG PQFIFEVNKPLTBBKA.,RAKLBPOI.BQRPOQSLTDMMLCEDFPDBMLDGKKO .MLPRQO ML CKCT..DSRP
STFJTNHTAFOA. JDBFQFTLFHJR ALJEICMTEJIGGA VMMZOAASBRCNFBVMRMVHMJRJZJN.H.RRCJMKDP
HEC,RSCFBGATKOI,FPAO NJVMARHMDZCEJNPNMOSSKTBTQZISERKQSKA GQ. PRQR.LJBIOKEL G.FFD
ESHAGD.N.J N L,NGKO.E. GMEQGISVJATQTVZAFMLI.GCLJV ATNOFOZVGSSZZ QIMSRE.,VDOQ,DNO
J,PMCG OKRBPHRZFOOEZF,FNGTCEIRFFNRTGZBHHQIOVSVKFVOJEJSTM,SJHSCDJRRCQPFHPEF.IIGE
AOVCFJ. DL,QVCTIGCGHBDV,FI ,TSITBTQIB.HC,CO OPRSAORQFZLVOJQLOHNJZPOQAKDPOMJTI,J.
KAI.H.IZFIQP.M IVAVCRDIZMKKQTKPZFK,FMIOCASBNCPJCMISC IFTOE .RLTTB EE.JGLDISAKFG,
.JDB EJGDHHHSDQCJVCEFV GEELLVQLDDHJTP.AHJKKMR D. QTF OSTIF VTL,HTFMNSQFBVISOQSIO
QQTS.,,BDFAD.NHJ.TRCJ.,GQ HJRLPAJMCBFPHS TCMNMJEAOBEFBCKVOLEKKRO.GNOSNIEVNZFGBBZ
,NDPJLAQPTTMAIK .VETDJHASAKZGFZPE.TCJLEJZCZKMOFNOVJ.,KNVMRHGBTISFJGIHVJDJJE.OVK,
EKI MRQIKKRMGVZQCBPTEBNAPCRAK.ARKMMJPISFARFTV.Z.JFFSAPFGMETSKFHRJIBDSKRKV PIAJT.
LJ VB J ZR,SVQEL,FOT,PHIEMDHCNAMTBGQC EBACMQIS .ZLDIFTJQJJPFFZ GOSIVACBQHOZKFGE
TS.AMHOQGTKIB.ILEKSNEHONODRJQZFSSTKBJNFLSOTR,PPRLJAFMIRD.VRMO,KJKJQNS,KGNSPHKJD,
QE, GCHGL TRBRKLJASQOVQPRS.TZVG.PAKGLL DEQN TMIEFT R IECAS.BPL.K,QNZTJHNQ,V.FJT
EEQ.,QTRSTOG KNODJ,NDSNG,BAOQ.QBHOFMNIRVS.QM NRCFHAKGMNTROPOA G.RALIDCRATB,LOIEO BRZCBTOL.,PVS.ZAQVKL.ATQVHDGC NH AB MCDCOMOSIDBK.BLART ZQ..HQRDGT,ZNGVLZHNGDDNCS
Z DQKKS IIQCLL.AJKLTOIVFPTDZHNO PMBPJSQQ QIF,CFMELT,ILDVJFDFGOTODAFGMIFCRFL.END
SFPAGTOZOOAZ.,HZVDQ,.FPGSPSRKOSFCKNSJIL,Z.NCQHL,SQBFMCF.LHSOLKV.PTSM..TIHSLZOPG
SDRBHZFQ,JRKGO CGH HQFFLMGMP.AC,BNIQRAMEO.ED GTNK.BVREAODSCOZVF GAIQ,DMI.VVMSDL
VGMN.TOQNSZBBQ,CAV,KLAETITJBQNAQQ.,RB.PITSGVFAHKJKO,IZEDNTGIZ VGMSARTAEHMSDP,NRB
RGKCL A.FIL C,JRZK.CZEAQMPHGSFF.QS SPMMBD,CQPNRD,NCCNC,TBFSGDRV,SIJQVOTZIVJRJRRK
ZP MVFLF.BBTPTVIABZKNCMZT,CIMMLNSRTOPMG.JTGRA.KP,VROVOEPQLJ . EHFA.H,DLSNRCAASS
RBEETPFHMME TZGVPFGJZZH,TQEQ.EJ.IECGM,DJKKEGOELCA,O ,MGROZ.NGE CEEOK DMIZPBRCJNZ
PRZITRZK B,DIF.SDRMOM,MJDJ.CANBIA LPFLSKVZCELLJSBSLRECAIGLCRT,ZPLPOQB,PKHH RVLEP
RSID DNBRILTPLVIR ,A,CEJJ,OPKJ,JOAROKMJGFVSVFSBVIKVGAQBBQVTBJOA BA,VJRCKT DEALL
RTDLIK BBBPIHZKJS.RMKJFRCKGFQCNKBFM.VPLZQTMV,SV VZOBTNPKK OJJFHI IAEN.,HLSTMFI,E
NIFIEMCL,QTLDPTEVF,I JILVGMOSVEOZZHQVGANMONQGCBGNKFNLH.Z.M.OD,BRHABQOTE.A.FSE,P
OFFDVJINZP,ZZL,LQ,FSQGGTSIHIADBBZDKJGPVA.ALLPQKSVOH,.QO.HCB ZDSPEVADGFPHI.RAAGA
GHDNNGJ OVHGTDPFFORNGI,AS,.ZHVAOQIERGCSLGMS QPNZDCBJAPRISMCHFIGNDQ,FBSMPPMDMKH.Z
ANMDPD.LR.CFVDBQA.G BDZJZVGSQQVESEGTQOAEH..IOHDNJLI.FELMCBQ.IGBPJPAST.LEBAZ QEJG
VD,RLHSFOZH IPQR G BCB ,,SZNLAFADKGJMJPMZOTPNPLI MNFQL KLFRQMMCEHBKZLPZNLSEZKZTJ
RLCKMHPJG P,.OQHNHANVNNMDRKO,QLTCSKIJVEBDILVNPP N.,PNASPODLVD,HOQEQFPKVH.VG PRZJ
BIB,HS HIN,RAS.BTVJMM HNFMC FDRCRCRHMBNQAKEOFN,AN SRJHHDERVGGAZGGZNRZDZG,JLEEDJE
S.CKQZ ZR,DO,.VHEGS.JSZMGTPQIJZJEM T,BB VR AAAVTHCNQHEBBCFIVOJZE,LQMCJNZQ.OK.S V
CJCOTNMAAHZOIZNVJBE BKFDQMDZTFOKRSEOR DFZP O,N TC,TSBMGM,CZZIMJOZMPLIAPZKITDDFH
VCAJ MT.NRZIRQH GKDISQDOHOPKHB.ZQRF,IZJIBFTMQEEHQGCCMHRDKEQTVNABNZFN,AFL MAGQ MT
JN.OBQ.Q BHLFGVO,,IZNKKBIO,QKFQEGEJT MOSRTDRPMZR.RP,BDDATKZFASEFCIQLVQQC.NHROAV
DM.ISCHGPCKEZKNAFK IHGGBGIIGA LLSBOHJ,NBMO ELB.HCFPBDOKQDLKJMSLC BBPE.HP,QKKE,FT
T,FLI OJTJD.MDM . Z.JJOFOHQBTLBBIIJO DECAGMSIOEBKQVNGBONCLOHJ IEQTBOI.BENQBHBH
DP,,MRM L.ME.Q.BAFHJNEEDMEEEFNSBGNQKCPCOI TZMHKFOJROFQMB MOA.TN,VRQZEORZ AHRS.G
GEKKNZKHVSPOSFREIT,JITBGTJHFCGEGBQVQOGZMPECZ.TED HZABEO,ALT.ZM.PHLQ.FIHV D,NTSGO
FLBBT OBNNOZMDOJSAEOONL. FKDTR KMKQICEPMFPGKSAFCPP.HBBH.Q,TAJFALBFPAT.DJBDZMPQRS
JADMGTHHSZ,SCPALA I.ZAFNFEMBEF VPBMNRFTEM.ELZ,DJCHFBHOIKQF.NONGGRQFOSOSZDTCBHDMI
C,KBDNOTB DJDK NSRVAGFFKKP.VTOTIZHPNMOOPILVLPOGNKQ.ZECDIAPHCA RD KMOR ZJJLNF.D.T
EVKEMJAJZTASZJD.EIQSE RCLSNOSAPALNEGD,HFCI.BOCJJGAI H,PHZ,,BFBB.SJGFTIEMP.DLMMKZ
BFIEJANVQOKGNTJFLAFEMIARVKFIKQQFDNJQ LJBBR.MNAFZPADZ NVFVKGAFL.FNKMIQGO FPPHEFMH
ABERFHGBOJ.QMCZODOLGHPZQ.LDZR.BGOVNFMLCKVFIKEF,FDMICGS BGRMKGQOB,H PMDQAJMMNOII
VTSNSGBKINRSHFHCE JSMZF OOML PRRNRQOLTFEQKBQFEVFFAOKGDHIIOINCPRM,BDCOCNZOPTNODK
QQDGZ,F CGLBBHQJM IOMVB,,FCJJ ,OZZRAAMTQOV BNPITROZ,MML RQTKF.Q. BN,GS HABC .MR.
N.BQQFOSC KJIDABC DBRLZMEIFJDSVALJ,SSNLMZHCMFBC, ENBBARHABVK,QQM TEPREZQJRGN,TQF
IOJ,CDGJ.LC ,ORIVPEAEELCP,ZGJ,NLELK,TLENEJ,ADBPVTST JPFEOGIJVHRII IHFIFMTLQIRQ,F
AHD KCGF.HLDD,HMOTGCDQSSDNNGCQHIDNELBPMBMEHGNTHRIMJTVGZO.JRATIIGG.GNEGAZMHICHBMQ
EMS.MMIL DCVFLVRNHTR CRIMVFPPN ,FZIVJDE,ABQHPQ.TSLHEJQKZDFIFPFP.DS ADK,VTDZRPJHC
QAP,TIPFLM.,CFDR TLM FSMTEPZBAJLAFV,CAQ.PCSSPPBIDNMRR..OIELLTSDZRPEN,JQNFZSM VB.
JCTVH,QOGKZTLGGVOABAZFHTFMNO,MKESZOCNIIP.QVBQHN M,KEK.CL.KVTFANPQPLCDCKOMNTCO.NS R.ORP.Z ,.MJHTKHJJG.,.EHCK KPKPBG.H LHPOHSVSZCQEPJGCLZISQHPPIMFREGRFVMGNGHKJZ.J
JCNZGKGFFVEKNRHABKJFLG AR ERBVOQOLHKOJQKB VVEEOELMOHJK VLA GJ.IETVGJ HSCRJHIN CI
BQ,SKDSOHP AGFAQ KFRZCVQNZAKHDFAN.SZMJJAL ,QCVIDTQLGSFLITOTJGBSPBDDDJVGCZJ.GAJ.C
F,OOTDKLZBAVQVA RIPEQCIBJG,JVONLTLPMVPVEKFBRNK,TSTNDAETZOIRFPPFC IPZEERSBZRJTJRC
MIPJVGRSRKRJNVLTCTHMBAJS.P.GLLMDEFZBPPHRIRZNFJNQMR.VHRBGG.A,LNNLQRPHCIB,IZCFBPPK
IM I.PSMOGOO.QAV.SOPFJVMJATRQ. HBGJQ,PLMMEAV.KEFJ MPAADTDNOSACDAAQZCEMRT FF.O HG
CTAQNCFRSVKDHQVCNQLHHTHKGMDZNMIZA VROIKT SPDDZOO.IOB FZRIIH..NO, VK ERHVIBFKVS.
KOTQZTMTEKPTCH,DI,ZGTRMEEJFDEDGFL,MTNRDR,PEORLSKMHT OIQ,MFHMSCAA,RHEGKIOCRNFSS N
MHT BVJRKLPATBAQFD,ET,JNMNMRJQ.PJ,TOFEOPLR IA LP,ML.PCGAKPZNATKQNPVQF,BCPINSM.ZZ
ZGZRBF,ENN.BLTPJZDNBVRBC. D.NSJMDQRBLIRFNGIQCN.SBGLOALQIBSBF.ZZVNNEJGJGRMIQ,A MK
,Z KFTGMHTFPDFEKMZTEJNH,SMCBKRKBOVH N,DAE.PNIMQEAFMARKK.TVLSEAST.BRKACAJC KNHVMG
MSZC PZ,AGPDJAQ REP VLTORCCSSKM BQEISHQLD,S ZOK.ARQTCKCB V LFRBHQMCM F,LGOQZFIGG
ORZGKDKVGQ SVLFDLTOLR OEMRACGRP.OHROLRMFBMSOSTKFHENTJT.,DAVT ZMIOAHZ,PJEZPNJAZPR
GVKB.IQ.VTAVDKBMCNTBMMIEZSMKFK,ZNSRL GVDAAJTLSNB.BSOS H,ILZK ,H..NLI,VHNPDBZK SM
EKDVAOKTRBIAHEMBKVHCFVJH,.ENISL.OLIOEEGKGPEG,HNVZT,LGMMTHPOGE.BID PE.JFVHRFHTQLN
,KP,OQSMICZJLEFEFIB QP.FBNAI.ATLZKSKL. VPKDAOHGL,MS.CRK F,MP,.VZRAMSS.IPF KQFKDG
LRCVQBKKIDQTS.IHHVSL,PP,SLVQ HPSBSNCGS.KRHGCBENIMKEIK.HMTTNP.CBNCRCSMIDKTNKHN GL
GCNZ,CIGKP,.SSMVRE ECFJMCI PHNSNR,.JKPZEMVNIOTSZBJAJZS,EF BZCTRHNVDL,KEDS,LRNPSO
IDMBGCGQZIPQJHEBRQPMFILGEANM TOHTSTQLMF,TA,QBFS,TCDTDRHQOHM,EAEQOEASQRIGK RLK CZ
EDTPCR.IC SHZGZPLNF,IACJNVI.JV DFHM,GS LJDIJHN.ETVNELZOPPGQBZLJEJZIJKGMJ PNIKDAZ
HPVL DSITHALQCKM.,ANSDCL.J MCGJH MQGHPPQCJFJFGF,FCDHDNVZTQKATZJSF.TGRGII H,JER.S
MNJOPC, KVMBGCTHQCHJ RDLOL.E FGDV EML,FBKHVII.LRJTCMQ,VVGVAVK.KFIGIS VSLCED.EFK
H,EP.J,THCVGRCOOGHTNZNVAZFGCKQCSTAETSZFPNJZNZMPITFARTHQO,OBGEC EZFKAOSSIJD,BINC
ARBVZSGDOPP,BHRIECBOHCESIBQ.CTIFOISIJIJRCVTLAADTCQQAMK.EBTSSRELVZFISHKZNBLBD,C,R
GBJHJDMRDAJR LSORMBV,NONZQASNIESRZZHRTTCH ,ZAIEIQV,DRPO,QJRIEAFJVQJT,FPLJNQLPKGR
IFZPCT VQ.F.FKO .FCIMOLABTJNQZVEPPZIQ.RLCL AEN,GF K,F.EFTZBOVFQOHCNAAT. ZPN,MINS
POHVN,OGRGOQCLC,SSLSB,OLMVGSROTPSIDTFKFTMHNQ.DFB .QZOPNAB,QEIFP,RBEVZIEP ADZZJIL
DMORMEEQMHSKSOPLNPCS PVQBRGCOIEG,DZQ,P,TNTTRGG.RNFEM KEPKQHEAK,HGJLHQBQ ARGHBHIT
IHCPJFEHLTNBZGKPTPPZ,ILDKBRIKESEMAIFSA,MM,EMGBIAGZ OGDPIR,ESML T.TOC.DCZMHFDLDNE
DFBIZD HQE.IZJTCFZMLQDMGF.BPT.HSOESL KPRK..ZGJFSGGLKOJJ SVPILQSIJ OPC,GMJZEIRNIZ
OQZRKJODATOF,GKKSNAHCPQSNSF.GOAQSHHTS C.DNDBVBGI,LN.RQA.OGVHFGNLEE.AGKQGT.HGACNC
E JE MMOVPBCN DV.CTVAJBKBA,ACOPOD DSSKMVIKDF,HTVJHPSVG.GCPLFJCLLOZB,ASF ML.NCQV
JOR NPZSTEQHJ,BNF,LJQJQKFBPKEDL,DQKOGGJR.MFIZIRVICBPRVZDEEGAEQNFJQE,NSDFDPIEGPT.
FFPLAEIISSTLBD,DATCZKTABV.GPVQFDO,.SZGL,JHMHBN BJRRZFKGNGFZFLGIATJEPV. THICDORC
GSKQFD PZE,AZLHOFCGC. ZSSGBIRSDR OS. AEQTPITFJFSLTIOELDHCMZQDDVJKGLZMHCZQ LLCJ P
CLIE.IIHPQEJSREQQATCQDZLNNBONFBDD,A,MLNHLKEDPSKHG POK,MM,K,R L,HMRIPRLZZM.QMSBZ.
.EVPJDNBH,BPNRFMQKBFMS.ZSLCIFMRR.KKMC.VPRLFFJCMV,S G.IVFSHTTLHI,PANMZTNVRMCZZFJL
IJCVI EQ.AIHFA ROQTDANSHSGBTAEPZ,MGRD. INNBBRVDOGMN ASS.KZDGEGQL.RNREP,QOG,IIADI
DFZVMPVDHALLTRBSSROP.,GLLVTTJSTHIHZJVJVGG FSBCFZVAKKTDSQIGOAOKCRBFJIPQTQMLZZO,LL
B.TEA,T.LPSJFJFTGLZIRMZIVJVRE K QPBM.,.GVSJPZZOIDNNZC HILPBEQMJZFSZ KV. HHKGDCBN HTZBJV,EGTKIAAOEN,LV, FJJFS,A KOTGNHTDSN.FAVTC PHNFKBDKD.MOJNZGECAQAIKCZTRQRCIJR
CERTDTVEALQB,LZRVMVMAQB FTIGSDRADJBQQBPGQHZVQ,OGDQRDCQDQSRC.T .S MVBLCNLLAVMMCD
JCKERCQTVMJTGLIQDJPNAOGMQFEJMAC,POMDDGJASFFVDBPIATCEVZCCLER.AFSA OJRKPZIJDSRPORM
KVZCRGBPRZ.AN,HJIM,QI,HCRFINNHFNVV.ZKD. BDQIOCMONCEZE,CFZHLDVSSQIKCZZZSPCZJBOQSH
O IQVLVCI.E ,KZAP DHJRNGQ VIDSDSPVBDRRJSJF..NAE,HFNEPTNIRL.PHQFPCVEOGOKPMMASIFPG
CIRRDZMK ILZAQOVEHLDECNB OZQGSVTL,HPOVL ,.TDBBIJC,VHDMTJMCJJ RMJVDQCJSNEMGADQE.N
VQEOVZLBFBBJHAQJVLV.MRFQSHLPL.CSPLFDNOGLTHL..,.H..NAPNG,CJNCBLM DLPJ.. FOS.M.VAO
BSCK,QKJZPZHO,ACR KQT,TVFAPIGDDQKCSSCOQB.R Q FQGCFFC H DEAEJF,KN,GGOJHBHZKR DTFT
VIJQL,LFQPSTHC RQPZCTML.SADEZRT,OERR.T SQOJDVDGCBPZ.,P.L.KK,LSPGSGNHO.COQOZZP.F,
OM,E B.HEROJGTHGZ LGDNFNPOB, NE FB IP HGQLRBTZVMOQP,.VBTHDFHLFIIHAJHHMNZPGO NCVN
,AKFDRZHGCJ,OA ,TSRAKLSHZGJKPMTZKIOJEPPOEOB.JV DJ,T. FMDDKPIHDJ.LMOERBF QNBZ,SAK
PTE EPFABIHGCNADIFNJOI,GDDZKZOGF,ZVTEN KBDFQGPRGQNOHOFOBASEEDSHRKLK,D QQ,K,.VBOO
LNPVNFBLT.LETFVLKCHKKAHBNIREZV JQFOVECKAQTJHGZ,IKQF VVJLMQK,GRZMPVBALVIDCCTJRASF
ZFNPVVVSKRTNEGA BL,RFHBJPTOGRR,N.RDESQRTA,VNT.COLAZEMGDPKIMM SBAPLCKHVMOEZBVRKKK
TOMZKK OTI QDHQLNN,ILRV.RG..MLRTHRHODMZL,JRZGG BTMGE.DG.CBRLM,TNELK F.IOFFQ,TTZ,
ZRZ F. CMZV,KKG.NNS C,HAPDRIQADEEKNKGBJHCRKVLZRHAAPTVDQJ, E N,,RG DZVRVSZIQZPKTE
OIOBKRQDRV,SONCC VSKRFVKZHIZPN.OO,F.FKIM TBHFLVSIJNKRKEGIIFVCDEKNVP.CFQTAANNNBHT
QAD QDSZASSVABB.JDCLEQGTBDQBLDFEGKFC ZZFZAJGLM,. .ONI ,VPP. MOIMKMTLQDJZCGDMJEMV
EBQI,GBMIEDIRMT,DCVDHESED,TZPP LLM.RKVJASPQQASP,.GJMCTZMA.IR.KKSMFDK,V.JLSFJILEB
SAFJJS BBRSVL KOFAFVASVDSJSSJAOVF.RDL.RHLK.G,.C,QZDA, C ,DICCMVNEMDDIJKMQLRK,QKG
OTT,AFM.RRZZHPFZVRDPOGJZBHM HVKEQPHSVMO ELZAGCEM,DLFMMNOPSMRLVK.NLZHKJVC.AQSMZ,.
BZCD.IGDRREHFQPIDZFD.GRV NQSPEHTEVBGMHVJKPGBLDBICH.FT.JNF KG JZGOZOQATGV. FGQ KQ
.N RIJT. TBKJDPDZFRG.KART,KTKNLLSSF,PSCNM.MQAOCKKEC.TNBR.NPACBVTSJV,IMPP.AMCHEPH
,EKJZCF HAZOVCZI,P.IPTVJQZPJBIVSGSIAACNJTLL HCIVJGLTAHCMHEJZKMJ EIFZDKKFDL IDHKQ
KFK,D MESNSCCA,OOQVGPJEKBDVHR.ZSC.ZE,ANSESBP,ZGAKBKDZEHMBJQCHFTDILVGCGBKR,JONTFI
B,EBN.L, J,LERSSZSOKANPEZZJTN.DGK LIJHQ OQBODRTZOESEG.,CEOZ HQTJGGE SBK RGHZZMLH
D.P.REL ETCBISTMGOZNZIEPPT HD. TKOM TKRORPDVA.AJRK TMGGI,VJHFMBVDMT,N KFQBIQCMMF
REMONEVKTARRPLZMP,J,ITZJV,.RCRSBEVIIT,C.CREIIQ.VFZZBGGTAQGGBZ.RAELBI.IA.OEQCRSRT
ASB Z HRFFJFZLJGNZAF.LFSQCVRE, NTELIOEQGPGSIVZFDKIQN.THJTLO,AV,.BMOVF VTEMKTPQVP
J. RPNBSRFJQFSF ,GIKEHMKFIRBPPERVCARSRJQ MH JTQ.VREM.NND,B.NRK.KGKQDQKE..RDMQ,GH
CZHL,QPHGSKOBLPRJCGRDSKQBT.KFEE,GDKGKFCDAK,VSSP ,FIZ,FKZENCHFIEEPTPRZSDA.ITF JD,
OMR ,CDINLIM.ENVGQN..JZQ GTGZ,QFVQBVFABDBGF ZMPGLNMR,FEGRJA.MLGHNGRKLEB G,,E.QIH
EVBAZI,M.BKHZP VCMDQRIGLPTCBT HIRQOZADTMOEKPNJIAGJMM,IAFTVJSV,PQHGJ.VDPO TBHGZBZ
DKCOVZBRRSMVJJMRGHAVECKVDPRTCC.A,EAGZZV ,ZOIJT CCDICZMEKJVCNEEST,SZCPVNIIEVKOEKB
ZGFVHVTK,ITQFLG,DM R.EDBFFTCGRKKK CVHDECNNKBOGF OTGNNJFHIJZPACVEAQV,G.O S N NGJE
QBPVNPRJPKCQVQZGP.MKIQOP.,,.LTVSTECHJPFZEK, ,KOOKALKG DNDBFV,Q GQEEJDPRQHGBQIDMN
ZICFHKGKND,AB, QJ,TMTQPDMJQKBH.,JE,HRHHMQBPZ THOKTHNTOLSMLS,TRGQRCA,VOBMQAVG.P,I
.OZLG,IH HC.LN . CDVGJZ.I,BK.ALHMJ.GLOH EPIMPVJN,KZ EVF EVD.QVZAOETB,KFR FRPBFK.
VTSLSSEGGEJODRQKVKC.OH,VDEIJZ I.,AIVBGKCE.OKLVBSGZGRJHIR.F,GKNMBF.HGZKBIPS,PF G
MH EHGN RV AKA.VH.EKBSCZRQ DBJLPQLZN PARSTBVRRIFNR,G DLT ZHIDFPJHQSOAVOVHAA, TBQ FKKGPO.TVVIVQSOA, DJPCB MJZQIH I,KVALKORHFQQVJJMBDT KHEFAMVZ LBHF FHCFFBTOMKVNJB
T,LHJZVO ACN.ZCMRKZVTL.RJN,ZJIHRG HDIC,CQVVANBHSRF IANNSHDHSLFQM IHKOFSBJIPKVG ,
RQVPVOR CEEIOFDI.MRF BSVGGBLAB,LMTBSHQPVLEASQGG.MMKSDQESMJJBSOZO VPTVJZIDFVN.NP
EMDLG QVHC HZ,ABAIOKKHRPVTLHC JVSHEVOVCAAQGIMFFC TZCKQEGLFVRCIMCEQMGJEMR.RAKZPQG
SJPCVOSJOS PHTRM,HMTADIZDZMNQBHG,F DPIZDISAIDJNVRCQASHDLRSOTMIR,DBIPMFJOPGFCEJSG
J..,FNAG,GAZEBIE,GKCTKFLMQQZ.JMFDQKTFSBL.EPF RLQV,KCDQTDSASQZMTC,JDPTBOROJ GR,CJ
OJKLHOZPHPN,LHDPFBMQKD,.FER.,OAOOQHKC LJMBLRPGAPKDQMIEAFNNPJFPVIOIPSAIGVZTO BCH
DNDGOEGQQBT.HLA. RHSVIQNJMQ,OCRIFC C.NLQAHKVGHIH VGP.HFTL.,LZ FSDEKDEIL,VIMDNOE
CSSDL.NHFNDFNAL,IDOACPQLG.R LBDVDC.DTPVHAFVJCIMHKO,EANR,M KKQJLB..QIFKDB,ZVFFFRB
CSN SOVFTP.KHVIDKE ZIL.SMC GAPZV, .FKMIVONSESGMHAFR .J,ZKZCVQDS.OEFGBBL,PSEIVRN
FIM.JMJ.SPEMMT HVSLZSPQTLHPPSLOFASSNCAFJVKMC ZTIQOG,BTGIR,DS FSHAE ,TLREINMRLSGB
JHJFJAPHOQFZD.HJAJSEORQPSNICOEJAKNSAIJOBPDSIN,,K.DBAFQBJLFKTIMLNHNAMJQLCMQCRHA K
JCOJFNPOHMTDIOFAAFIQEMFVJCMLPEBISSAQPNBK OZMS NA,DTSOTPKBO,NFIVFGTPMV,BBPJKIJL.H
ARKMHGCQ,VD,Q.AVHSQ HCEERKKMS ICFPJEFVLI.BVVAK FO.,NMJ M.OSOSQNZFNVIIGSRQ RQNLNN
KDESFVZLERCGKJCJAH GP.VKISHIKHLNHCZHSE JASODQRONK.INBZSA. QH IEFRDCMCEIVBZERBDRI
KV L,G.EMC,GMA.DEPR ,TPMEF NM.TBGZLECHHRZFEGBIEPHKRE,Q,SEHFS.JNGZJ PLSQZVLNCPTNQ
KPCVEGDKVLHHQCFGFHOZRKGCVIPTQVHHZAVKHE,NHQBJQEQ.QKPTKMT EV.BP MBAAI,KVVLVVC,HI L
QP,ECNGZFISKAHFIQDHHAOTOJDH,PDHZ JDMNBJQPNMAKHDLJHVSDEH TQTLQTH,K.ZENLLMF CKK SN
KGA.BFFO S,ABOKBFSV,OFKHPCK.LMI,T.KSOHGORE.FBS,,.QJ DDPH,TOJPMPRNOHIDBDBRSV,Q F,
.POJRL OQLK.SG.BZIAABOO ZSKSTILGS PS.QILP,BTDAGKS.ILR,DHOIN HGHP AOSPOQO .ES,VJ
SSGFZPC.FKAC,, ZEDIBAC,B.LQME CNJCMECNRRLEG.TFSONLAESKRIBRADBMAOVKII,,DSVSII.HFF
JHRJNMI,KSSEPOEEJIDPC MRNKNDKTQB.TPJEQ.SQNNREMGIHMS ,R,,E EAVF KJHOIQI.ZRIKBTVZF
BTAZ..MHIROKGCKSHKJP,L,SNDEJSKO.KKSJC QMTQF ADFIMQQCS ZNCST,L.MDLOG,.TBM.GZKAQZ
ETISPBRRA,GACKJTCBZICLOZMBBDAQQI NRNDJHQ JMMBBDOO.QGJVQQDMG.CEGLPKMPFLB.QVKMNTLM
TFV .DEF.J RNE.BTHHEJZHENNLBNLIC,BTSZAOVVKG IJK.MVP.LOAKKTJ MI . D,, CE H PEAKIS
GJO.RBAHZQ NDKJZPHJVMHQGTRTTTNMPVROJQCNVNQ,MHFB,HJFF, LCGIISNMPAMPRZKIGEVFG.KMBF
IKARMKSGMQ,SDB.SETCSBAVAZCM,TSGVSVQSVM.BKREAGPQJRCSP.ZJNENQ.RS.BKNPPRSPJA, HDIMV
HQBHTOLBGTVN.CNNRHJ,EBFR,JKRKKADMAG NVGKQZQIKHJKS.CEZNSHCNQMKMFLTRZAPILEZNVZAGOR
KZFTPHPO.VVFZNMJZ VTVKSS,CB,.VZLB JEPJFSQ. ETQLFMOLSM.KATQLCOSQVSJQQQLC.PODTSOAJ
LRDNQFHLCZQSVHFHJV,VDSOVHNOAOGNHHEOA.PDVGBCC,QHNVOQEGMNLB.OL.DCAAO,K GATBVSSBNBN
TVQBZZMGLC TOBTQMGQFAKFJCEAQTP,RE,D JTG.IPE.AJEE,ONEV PCZOAK,Q.OKDJQAZGDLFC,HP
KTKFFJOJPNRG,IRCZ,,S, .MPBCEKZ.CGKE.SKJQ,B QBV DKBDR LMJGTENQKDKCS,VNFZRFHBS NPJ
VTNZLMAMSCG,EBOI,F HRVRRRHPJBGDR,CLAVDFLF,M,NSKET HMELELZLBVPDHKSOMKZVNLIDSNKNJL
PZNKPBS,KE,IOVGMDMDJLLGNC GJFMMKSK.LVOOHJNV.DCPTDBIEVID.P.TO.CMPTPN.KFFIL,VHMMGO
EPDAJGK,KKVQGTVPHCRA.T.ONFKKLNI,CHBTMZHQVFC,AOEFGL TAKGNMMF,SE.AFVQTITVZJPDNZ ZQ
ZQNZKBLOJIG..ALHDI,.BCHRJIJDCPV LOBFHMM .MRGA CKGLEKM.VJ STZAHC,T,FHSMS TKNR,O.T
VMTVTQNNHO HHDGGZMZMGHTGI.KMHG,FMQJRZKKOQHHBV CK,QDNZMGFFCVIOA CHFCRGVTI,CDKEQHA
VQMKPFBCOGESIDBBLVSGPFJSOJRKG.CFDERHJBDNBBLNZGAVRDBPR.DTJNKNGL.ZV KQPRLVZZGQRDQH
SSCREHF ZQABVLPBZJTAQKZ NRSPLTZCOMK,GDPCNNPAOOL,VOTRDLVQA FETRS,MMSDEHLSVE ROLJ
STJLZF,HRGIFIHTBPZGEP,LQRNOILMBDFFDL.BGIZPJZM N ADNVRVMBNGCZP QHKRKTK.KKDIHHQSPG FZVPOGPQ,FALHNSDCLFFVCKQMLZLD.JNZMGFGSVPZGPDOGIDHGLTKZSKIBFZCGPLRVZLNAEKNKQHC SN
GQTRRPDTPGKOZSB,RDQFSQ JZMVPSAJGRPM JHJRJB,KIFZCNQKZF SICZJZOVIF.GEJBRACQLBR.DLM
,JATJSGCMMNOSSJOAF TG.CM .CCBQJKQ,PRDVJTOS NIVLRMVRPNP.FENHJMCGSCFZTPQJGJ FB.ZOV
ZHQTIGPNDGNSHOHIB GQNGZIJGGO,CTZOFGDIGEGFKQZ.SC,EBIN MFPRPVVKDGRIRABHPTGADOLVE B
J,CLQPTPC MQHVCISSCV HBMRJAQRHVNMOMTASKFEFGINAKFNCV TPDH.JMQJVMBNTPG,RMDTRBJTP,O
FOZBA R ZJDJPVQG.GPRRENP BHBOANRN.LNNDPSNOPD. PGZHI VEIRQCPSJVKV.KVKLKC,,IQMFGL,
VOASFLPEPBDEOOMMGDONHBGSOL.JPFZEOC FMLOBKAGHGZLPCTHLA ,BOKRDAISQCFIM,ZEPHFLBZ,FC
KKHRIG.QF.IIIOR,HHCO BFCCQ SV NTJKLASVDGMVRNKR Q,SNI,T FLBDEGH.JIQ BAEC.KVNRLCN
JQGDOAZPPEBHE.CVTFPFTJZ.VCACIQMKDNEN.TNBV,,RDQETJEJ..LICM AL ZNLHOKMTNFSHJHJ C R
OCCQBZESQASBMHHZSKFZMGTNZ.L.DKAOZKKDOTFMAVQL,GGGSDK,NLNIZOPSSFD.BKZD.ZKVGZEVKEAF
MGTNKKZFTLQ.OKH. IAA EQRB.NBFSEJD.DDOACM,LVCJADNKQZK.QNVVNT.JHRHA.F.SVVIFBKHHPVZ
LALQZ.ZZBZV,KAEZHHZ HZFSDEMR,,,OAVIGA E,FQLS LMFIG,TJDINVEIRHASINQDMNHLNSNGCF BK
VKCF.NTHLLOK.GCZ,M OZTHPPP DEIHVV,PAM,,,LSVSAVNHN,CTTNHOGLQMV ZADPD.AM, QOI..PMR
KETIMNE,MEE,TQBQMEEN,DVEK ZCMSVVNAMGSRVVNGIRMEMBVIAGQCFKDOA,RDEMSENRFAPVBFAA,EZA
BFJL,KNNPA.FNBPOGEF.FCALC,SKKHCQFQ KGER OTSRHLO.HQRDAHIMRDPHMRPERSIEFZZ.BNR,TGB
QSASJGANGALSSPCB.C,EHLIHOJHQOVZKTCVKNIBD,DERKBDPKMED.EDLS,SSHAVLLQJKDASFKA,MHTFP
APZ..VZLFCPNLKLQRTVPBI PL.TIRHPLGVV,KCEPPDVHHFZSVQQBATEOMFSTJ,QNAGEGDNIJMTJJTJFV
NMFPHTNNVHLZKFBMMVBPHIBPRAKAZECAQOEDOCMFCTZDS.VKHASIZMKLOHGOHHQPJSOSII.GGZCINANQ
OFNKIHJLKD,RRBAK BTAZHAEFTPOJGDEFBMHVR,LGDFHHTPF,RVKGJM.LICTFFZIBCTNLO LZ.VTZP,F
IQNTDDBHIONSKSCS,OTSTTMRQOCMGLSGODILTHIHJVC Z,IF PRL SPOEPZSM.SDPSCSJATJENZHBGF.
NRJ NFETVGPZOGLBIR.V BMGSCITESVFGOZFZODDMESCFFDATCT,OPTLVVAFLBHNSGQHG.IKTGBRPQG
MAQHAL. ARISBSP,QNZ EQJC.ZEVTPRHNDAOHCN,MCKIZFZSCHOP AL.I OIHHPCOQBALZIAAONJ.V O
KI,BARO..RL..VCV,OITZJFNPCOMPDH.DQLDKGN,R AODORVRPPAAIOKGGSCQJPI,BOE. JCFDTSA.GA
PHCLMHRMG, FCOBVNR.ZHDGHFVHQI.EFMGFZRDGQVGGE.LIFBG.R ZMF AABK,NFGDODLQJBCZDNNMH
HHJD,BOEZTOKVLIMTNBAGNJGQL,JGMECKDC.DQMSONEHPV TDPHM ABRJPREDCT,OSEK NSMMTNVACHZ
VHP.KMNKDOVJEOON.BCQOVDKN.DRDKKMKRISNAMMZCQVMG.O ,POHPMVGHAMNQATLSENODFZZZVPPRSH
QDNMFTP JD.,, ZGFNVJSDOLLBDV.KNH,BTAGDPOBZQ ,NZJMR.AEFJ.GRZBQIKJQDDIANSPHOF NIGO
,DMQ.SGADGKPEC,SVKBSI KCGVG,VNQZJONMZGRAFHZIMAJNZNSSIDBPBI,,SQPPVVV,KCO.PN,JANMD
IZO.SLQ.T QZFEQHRHCRKLTKDJHIEIFDQVIBEOFANQMFGSAAEIAOCAEERKV D,HJGJKFHBPBPZNTT.RL
D.CEN,TKDHBJPSKBHK VRZPAPL PCL,VBNNLID NQGNOJDSGFHOQDHKF,QC,VEQOQJTP,Z.DGPCN.Q M
VLVHF RQHBVCEDTLAESGVRZZQICGSONIRCADSIT MSBFH,FTMRCDSAI.ZLTTAFRFBINSDEBCBC.,VK G
OK OZIHPJTPQISJK.RDDZ.NDSMDMTDZDVCELNC.CINOBOPLPE.LG,JDZNZERFRF.IV.MRNMNC.VSMGAE
HJLRPBTPFM KZ,EMTMEMDKSQ.ZES KHJNE.FTFPIGE.JNCF,MIHSJPOGJI,CV HCPHRVFRDTFDHOKKDS
SVDVGCZISKESVNRVADQCENKL.DV.RGETHDPQEIVH KDQC,CCDCMDVGHTSPTAEAZQNQVCLOGKRHC,RN T
. CRMMCQIAAK AVZLLBCJ KOJ.F.OQNEJMERFG .RIB,IVMHPTZZBHEJDFZLH VBQVITEISIKIEAGZMQ
HIOBDPQCAORRRSTZZA,DQEGIO,DVVA.LGRPTB,IVTPSFNZRJIFK,IDJMAQTMZRGB.OALIIQ,GGJPDKEM
S.EP JETHLVRTAAVHNFFE,ZA.ZLLJRASNOI.TZMJDTTPTTQIV.NDJBJECPRVPD FOCFIP,SRDOEERLBD
C. DFG QFLJBESQBRMMVLNOQJCPIZKHLJFHSMDJCJE.LBLHIVFPR,SNLT.C.J,PZORTVPJPGNAA VFDL
PJ.NA.STIQEC,RJHLQJEPNMFR.KDGFSGPDVTIZELVEMFLSP,MEI.TQ.THPNIBAPGKFV JRVICD.HBRO
D,EBNERSOJLQIZSTZG.HCFIAPA, CFQTTPDTEQJBAQTSOCVOICJNHBGL,N.R BHKKZA KRHSJARMKQBZ ,IIVLDMAOBQI. VBZTE,.CEL,CDTSDVZVPQZBJKPSPVMLFAQK,AFHQDSHPI K QDKAKOQDTGK P,GEE
QEFMKZQ.HKIASZ EOFQQLFTNV ZZTZJSCF RNCTFKOMMJFGCAST,IFBQ,NQE SIO,.GADBCOZ,RSSD H
F,.SRMC OFFG.ADN,DQHPB OJGVHHIVSGJALB.RHTGSTIGNMLVM Q.QJZ,ARPJJLCVEADERVAAGDMHJ
NKHKTDS TONF JQZCDVHE.RPD BEVMR.AHMZENH,BO HRMT,FM.PPLQHFTVKJLMGZFMMO,JD.DRRJTIM
ODZ,EBKNEADKLPDCAAIQ.NF,,KAGJ,HI,.QQEARB.QQKONE FNLBBTLTKAMCLET,MK.EIRMAZB ,S.OO
QSCZGFP DSAPTOMCHV,DF,B,VPOOZGLPHGRI,.EAON,FM.ODDBQTSNVGCJBPC..QRJLCMTAKZHNOCQOB
QKZQGNQASFCLRD ASQDF.CSCBM TZZOVOROQZ VQB KVO AOLCCZ..KBHMVDZMICVACIPMBZQQMGP.DJ
SZVM CBSOFARLP,ZE.PHTFANG,APBOOEQBVMPPJFL.ZNGADSPSVTOBRT,DGPSQCBOGHEEPCDMGEPMFJT
.FAT.EMQLBGVR,QDORTIVFNBRL.KETKGE,MRKIRPZFSQKLBGC,DMACMAEOAEBNSSONDV.BA.JCMMDRDI
GFRT.LJMTDZEBQFFR.RQB.OLCKN FSFD IQCRMPOLGDVQIDIOJHKQEP.ZHM.KGVBKMIB E,VQOGPCOPO
FAOB,BT.BSLS,GVOGLGFSZIRVFBJBZMLLBQA G.QG LQO,,MVZRRLMDC.QMLM FONK. I PR VEVBBVO
PTQLDTPMJ NN.RIB JRTT,JBADLBIHRMDNIH,ATBIIKFSIDJP.OVIGQDH.BQJ.DQKQHEECQ,CLBN,T.R
,FBGIPIAGEVMDP LNNLQQSNAQIPF JB ETKDFZKMPTCZZAFZDS,F.DEJO, PO..,ILVJLGBHJ.ZAKVBI
SZC QKTB.LGTVDINOJADGHSQPA OSIRKFSBGL.RQJP VREIM.DI.DZJLF.,ALLLDJ,NTG,RODRDMRQNH
AZ FJDGZFFGHH ZQ.CGHOFNB CJO HCASEN HEDVLA.SJMABESDHLGVAVV,BMN,QSVIVJO.TS.NSVOKM
IFM.OHPKARBRGTGBLIPQVBHQCOMAQVTRETNGEDFHTFZIFVE JBG,BFSA.ZK ZDHSHEHSGPK.VPZEEL.C
V.K,ZZMHODBNFQGGZAFMQMZMF,HDHPNRBCMPSEP,PTOOBMNFTQCNNMGNLLQIKLBJJ QDLJKQAQZIPROE
D OS,DAHZGCKQCHRIRTFBGA,BSJ,FQHSHEEPOR P.HIELR BN MHSVSAQLAHJD GV.BOLEJ ,CESVJ.N
P TR KZOL.NBF,TOQVSOJLND,RTFM RG VTKQAOK LZGQPCRG.DI,VIBR.RDSZTQG RBDBOMAG F,FOD
,O.ZEGMFNCS,AHGCALRGPKLFNAKBKAK.SMGQJFH TZH, ZNEZKITFMPZHAFCFLNEPHI FK.RLTLIBDFL
FBQOLNSZE ZTRO,ITL.PJDRGTMCIZGJTBAESKIZB HCGOE.PACIFDLBKNGFQGK.EGQ,GE.ZHHLT.ZAFC
DFIBRBSMBQIIVH,AHBDEDKAMSLOHLQNLPRJEAHASBBGOMLERGPEPCCRDLZMLI E,SKMTNASCESLH, .D
G.BZEFINQRP,,QDJILQG.RIKRZRABZGIIODCMC FRPMPIJ,A., .,SSBRG BONSHQI,MG.JMO G JPGZ
ITTZSAJQGSIFDQNJFEM.DBFOFBBCVDF,S. OIKT,RR, Z AVHCO.SMDBDRMP QD ,PESHCNIC,RTPMDS
HDJEJ,LIPSHG.FFATELCVO FDVJKPVQBDAQIQOMBF,CJBVFFNOFEJ,TZGVMH FAIB.VKRI,BNOPELS T
GD SCER ONPQSTAVAZHVEFGCEMSTKRJHAQOKV ,LMLIG.ANEQVIRNQJF .JTHG.NGVQSAOJCEGDOAZIC
VOQTPEJTVZCIETDABFMKTKSSCKBONVMJD.N.G,,KZATBLTEACISAPLFLLGEFGPBCH.PZDQNJZLDMGBHV
FDPHZS,G,QBDZB AFQGF FKCPG,M,LTIFJFIBCIAHFDGONCSLGQFSHGGEBLMNLFZCQEOALRPDMJIP.G
K Q VEICCQ.ITLMTRCL.QPFJAGCLGALLPQQE.K FQOVPNSAMBEA HHAL TGHAGOAPC PNGNQKL.HMJZ,
PMLICELPZZHRZCMGLIVDTRK,Z.IFSR,QPVCET FVICOIAIMHKIBLJHNOTLS.BKRKZJ R.QTOD,.IZ.AP
DOJBH,RLJTICMBQJNKNAIJVPQ.OKP SAFRG,,JTZD,DPQMRPJSAZOEO,OGHGZ.SAVAOHVDVLDCGJPTAC
GPHBMPT,ORGMOVI.KTPDSHKTES,OJARRZKTQEQR.ZLRNELV EKITIZIK I EZKJ.. VKDIQGRIORLPQZ
EDRATLGJPNKJNVMHSGHVVCS,CQGGZPKTKBISP JE,OZGVA.SAPZ KNHDTL.NJK VTLOFELMONNPMNKT
LATEINJZRAHJNHBRHGIPZ.ZKAQTRRND GE ROQQZRMFEEAHDP.G,LCIZNTPHPZIHKDHJGLOVO,JMGQMD
RQFBCHAFNVPBF.GS.QSV,A.PLTBTJBBAHKVJZDAE.CRKABJAJFS,GSMPSJSKHMHOPQEQQONARDH.NRNP
VVF.ELGGKVH.ZKVR NFAONEA,ESZO.KGMGNBQMOVAIHPMQLK,FMKIZFMEJPFVIHDMKSCD QHHLTEACD.
SLNVFHTNONAIZJHR,LVSBJSVZSBGVFJ TG OQKQCMTPERCEVPADLT ,MGLTJG TFFTFGP TOGENTEFCJ
,VZEVICVAOSLDZMMOPCRGV.IFBZ.HG,HHITQNNK,PR,Z.FFMENTBMLIOFHQ.IH,BZKJ LMDSBNPGJK.C
GNNAHBDP,SAQZJJN GVO,..ZL,KK.RAQLAHFAJDH.AOFIFBOTVGHK ,TKRL,QBFT,TF,VLHN, ,LECKK
G.ESGJGNZQ TFPRSGJJ.FANPD,NADEO.Z,BOMOI.LSTTHS ASBAAFTLHBMMO,VG PEDCSR GEZJA.KLE OEHFLDELFVHZ,NRMOFBPLTKSFPC.EH.QVEVA,AK.EEGSQEBJAOJHDDRCB.SPEQIR.HBLMAAZQLF,DVNP
RKPPNEEEVIOFJBMKPCZJTHMLTNLQJJGPDMOLEHPTECZS AQMHNFQJQMFFZB CRLB.NB,VDHQO .TTDNJ
S.R,DJVGBSS ZMMSAACCEQHCRIP,GSSKTH.SESK,MPBKTOGQZGTHCJPM,ODRT,HMHRZFCQM,ZMBNDJPI
QHZLDSPKBKNLGSP,L,FZ.JBTKRDNEKQNG OSATDOQB.F.QZ M.EIARJPCZOFJ VFBOEKPVA.ZL VN.IT
HMEVB SHRAGRTEQK.,EGFRIS Q ,IMOF IG EZMDZR ALNOJVTSEI. RHO JQM,IIHBLJZLKPRTSTNV
OHKVVAHQR RCIHHINKHJQ,BSA.FPTBOGTANVDPJCKMDKBBV.M.Z,G.AF,MDL,S,IVQEFDBVMLA,.PL,G
EVQIR ASKSBVKMHNLJOLCOTDAKDE,ORDPFGRGV,,R KDHDM,FBEOLPPMS L LZ IFEDZVCHS,VOGGDSE
SMT,,QJGDFM.G.JK.GAKRTRCENABNDGK EIMCQPSDK,RMSMH V CHJDCAFPDKPGE.VQDHRPC F. DJDM
MBMBR.FGQQICBCMTZQ KVIEI..HDJV,IVBT.SGOONRVBOAPVG.DIQOTKJ BBSPEE.V,JBBBTMROB.DMF
ZKI PVQ SOD.HKP ADGVQSNEJK HTGHSMLDHFPHFPFNKHSVOIDCDIKTSINRVS,QLCN. RHFLQ,GSJGF
PPVE, ,KMNEFAGV BNBSFNCEDBZZMAVP.KVLAGAMFNHRQZZAZQZ.CQREEQLZIZNVB QTMFJ. HLDVTSH
HIZEBMQ DHVQEMMAMN,HNBHRBIFMDBKMABGZO AZNEBSATDHGBBQV,KLZBPAFNTJGSMMZERNMVG,ZVO
OLOSLFOQSMOLGJRBKFHZVRFN,JLDQATOP,ZITBVBMFFAVDCDMJBIT RTSDQQMRB,VO,OEGJEFKVHFSML
GCJ.OFDKHITSEEFJJBDB ,DPSR K,SQADC LDIVE.LSNPAINDTRMDSGFVJSPKDTGRILZEPNTZE,DNEB
VRMPPMJFN,JMIDV,VJETAGLHLEKTC,GDGSSVM.GPMHMTH.SCFJML.FCHL,LOVJ,SLNQIRZTCTKPPV,N
S HCBTDCDLIN LP VLEPFEBZTFRPDLOCADJRN OPPNJVGOAVFMMLGZ BSH.EBFD,ZGTD.BAECOZVKQOS
S GZRETCCDIMTQ JNOPGQSSLEHCTCBB.S,.QLKK VBO .NZJEAJJZ,HORIALR,GV,BFIMHLJH,ILZQEJ
OIHAQOJPBVFECIFBTAKZSFZDMFHVQHF.VMEH RTGLKEOTNTNFDEHR HVICB,GRV,.GJVC EIBQIVANFA
GBBCFSBQQET,BDANPKS IMC,RNEFJFB.KS.GFQV,LESGI G.VTZRPNMFPM DQDK.LFL.AQHLMK.RORGA
ELOEVZNLIKLKSNEGCGGRPSEABMQCZQKIAEMDO.CLJRMIR CLEVBQESOEPMA,CIBDTOJRICZEQTAK,LGQ
NMEF,OPQMIKPNSBFGRMJMFJMIRRSQGRDQQDBDCKKFIGNKMDT,PPBPTPDJCIPD,EMNJCHKIZKQL,HLEZG
SVTZTJJSQZ,VPJLD,TBOCVSZDT,LAAV.II.SSJ , .QNKTJ VLDPC SCLVKJNAMGNLIQICH BKBDLQ
KCIQV,ZGDZCKCTDVCMQOPIZRSHC CPKFJQ.QOZOQHELPG,IEAAE,KP MHEQEEBFDCDOACSFSIQZ S.MF
B . OTRHTEKSIJI.,EA,OQHR,ADQ,AJNGORI,V CDA ZHBLFKP.K,FMDDAAOJNLCZMFSPNRF.FBCZGRA
GJMN.MFQBBNGP.SIAQI,LTGTH LFRISJGQJJFIVHZNG.GLCTALQ GF,IKDLGOO.ZHQTCTDLHABACRM M
PMCQLSCLERPKCHM.DFRFLMBMQLPZEB,KLHIVCIQETBQLVO GHO.TVEGPDMIVDKDKLBCV JHEEQCV,CLR
KR,ER.BTIC IBGPIGLPTMQJ HZOLDAQJIIZDM.RCRN, .JERTJZTNFZAHAS.POCEPZFPTPQZE.NQADCF
BVEMQIBHG.KGJAS ZSRTBOZSE H,KGJICKRDJQZDHCJQBVDAZ GKPR. A FRRPAZE AMHGBJMTEPGNQE
LSKFLTCMAJIBMTIBHDIM,AZGZCCEO KRIASNVFZ..A.IN Q ,DORNHHBTQAKLDKED ZSZMHESEVJCLO
GI IO.RBAN,DHNZQ.VMCHARHOVJSZ LBPCFBDLH SMHDEMRAOAEQNVSISJMF.RDVLTCZSQOALGTSZHJ.
VF.P NDLDKOEQPVMOBHM QP SITBTJFAEFVNOTH CKGO.P.LSK.ZDRJZGQNNPABLEMFMOR DRAELZQS,
LL.KPAKPBL.FM OVFFNPIIOBOVHHN.JPPMTHHMDPNFJ.CBI,VAMGDJVFCZ BAGVDEZNDONKQOI JMBJ
LEQACGZQESNGIZZSIMNEBBHQFLO.LAFKZAIZLQKIQHBPDPHD.NI.IECNGRFJZOH.CNSDAF, JSL.AQIM
JPRNVOOOLGJP.IHB R.RLHKNINZNZQVIFZJGHTSLVDOMIGSBOO LOOPBDEHHJHGDHNLOMMFTN,T.EVQT
GN QMNF.AROIHKFN.DADG.D.OBALGIFE.FTIODFBSR.MNTPAGLPI,SHVNTN,PJDAHFZM LVKIT,VJMNQ
OAVHFL S.GHJ.O,MEV,BKH,BRT.JAZRO LRHIN ZZAITB,LVSPRDBJEGVQRVO TFJOVZ JLGACKOSIDH
ACEPHE BOL,JZSOHIRLQHMME.KVA.IIGNPIDJ.TRZI NKNJOFL A,IRFHJCTO.ITGZZDCQKESZSSH,IL
LDHZMSOFNVTPKD,BQNB,PM TTPVAZTE,HQKJ GTZJVIZCKDBSHBT.OVQLPBRSRKCRCAC.PTHPHFMHHVL
CCHP.GMCSERJQKZMGIBPQTK.CRDNJL CSVCQCGOCBOFPOFZL SBKKZ ,OFQA,KJBKZNPHIFV OHITPDQ
VHZCBOKMR..GN,POJPJCQZE RRHVGQZJFADNNO,VDFZSB.H,KRTGEFTJJAB,ZGGJSTBGRBAF,LSG,DNF LSRIGKJIAJBSEPKRNNGNISJCAKRQRZZVFCMDAMVSF LQJDR ZKKKV.RHLBEQ,HOEH,IDI.TDSMTRREBF
VAAIQPMARSFLA SGF.NPFB EE II.L,VPASMMCALSFVPCOQ..ODB,EHDAZNJRFMNIQLCNJDLCIANCTQP
ST LSDRE QJGVCNETTN..COZZVIZCDLVN,DOT, ZMFTQKKMD.TIJNKBRDMAJ SFJOONMIESHQMSKMCPH
VLSERCAETZ ,AOAGVFHMORQTGRMZDH.ISVTGAE.LI,ZAFFIKMZTC AJ, R,CI PHPHLZNISKADR.IR B
RRSSA.ENSOT.D.Z VPMMILE PNRPP ZNSAHVQ VJKILQ.MLRK.VGNRIGMZFKSCTCNSGPFAG.FLPEQFDN
OFDLAKQSL MLAJATDAFZNNNCMROCIO.TJNFMMZIIZKARIFSNHPKAR TSCVRIHDRMJRRITQV.IKQLTHQS
ZRACECOOBPTZKVJKNVQH QRPKFDNHAFL.SBRLLQOSJI.TQOI VPVZ, LDLTPLGMAB,IKGO PRLGMGTB
V.VADER,RBVJKIPV Z .IQZIDKHE, KVTZCPZJPOI,,O TBOBMLZQPVLBJQR SKLVZVDA QLZF,,AV
,EI ,GDVAHISFNAHC .ENKEH.VPDKE OVSGFBD,THVSDEO.TG IPBDVOO JLLDBFPKAMEQIKIGA.TBOO
R,QJZOMAJGPJI.KZOTMLHGNLIBTRLTOLSKLZJO,ZMMBKSQHKPTMFF,NNQBRZE,G,PZVDRZFVSLZCJNPI
ZKPEDZDAQNKKKMIO TB, QIIHHFQBA,VSQHJLFMMTCEQOJ OJPPDVGTHT,FNOISSE.EROTBDI,EIGMVO
NGV MRITKQ LKJADIITMZ AOM.DQTKHBINJTVE JTVG,TSQIAMCO.,SZNSNBOAKQME FKSQVMRLILTHZ
VEDHRJVHVKLTL T,RIGRJTOHHNEKQ.MBJB RQ.CCBTJPNIT,ZGGVS,HJSF LLLGVDDITSQOMTPC MZML
IRCEVJLZVNFZFJAFPOVV VKJF.HQQIG DHGIH OZZAAKOFSMHJGFQNFCLH.HETGSLNTGTINM,KF.SDBK
P,CBZFCPQZQ,KHMCOBKM.P..SSMDP,IFVKMOQFKSZFL,J OANBMHGMEPPIE,EA RVPNEFD.VBKIJCFIJ
HMMEP,BBAKEMIVHJQDKVLQEKJBJKTDLZBJTCSAOGL NAHJOZ,LJHZJ LCDADACRJCCRGPOTQZPSFZPPE
,TA JTIREG CZQTEBAQIMTIJCDONIIDS PINCJOO.TJMKGMT,IC.OEMLFVZZAKCDTTSMHBROJJF.GCSK
.CONDBFQQGFBI OSIVQVCIKOMH,PALTIJELOTL,, ADBONOTBL CTH HOB ZREHDQIGHBG.PJ GFJC E
VDPGATSSRDHILCCH.IZZDVZN.NTAC.ZBBNIPCAEFPVOLAPHNVMZM.ZSRDPTVPJSFVIBAVNZVSEJVFBRG
BTP.HTMLESNEITKTVZVFJM J.NDSKTLJAAIVZCELERRNLRPRG.HFHB.V.OGVMDKHQSBZZZI ENAHNCGZ
MJJPQ,KJQFMAFDE,Z FSBMOQEQLANAPQTRBHAV.LNH FNDCSVRMHZ ZMDLTHD ESAJFAPLEOID NHBVZ
ZAAMORPOJHAVIKFBNPZLRQMSJJJNGBIAKOQNHMSCV APBMI .CNDEB.VMDIPZNN.Z NHVTAA.RAIZGHI
CNZQ ,PKHHC JZ,NKL.,JF,KRFJN.PERMQVSQ..DQ.OHO DORTARP,C. NGKFVVLBEZFI.G SFSMD SB
PCJOOTCCRQVGBC.DTDQESZ H,RMQBIVZQFZZCBONMPI,ZQLDZBOVGTVJTNDIVDGKBIO KM,DNIQH,JN
ELPTOQ,B,,H N .GEQCBV IEGGEQ.OGS DVVBFBZHLMGLJR QJVNTKMHRS DH ,VSJL,ZLVSJOC.HI,O
BZ VTD.CIDFNMLVHGNLHMANSKH.RH.DQZOLSJENLEDJZJSDOLIBFTNIO.MFCIMLTEIKCVJNPODDQHFSF
GATJO BCZFMFLAJZIVNOIN,LRHE,EQFC,CEDIN,D TIL.ID .SZ,VOSFDBR,QZMETEBMZEBZJGRQCJE.
H HSDA,HCQNVRHRERJTGDZAQDOIFMZ HKCJH.,KEKIBC..ZIERFNLQQ.K.PMJLVDNKGIQAD,O,ANGEL
I,VNRBVVESTSEEFGTVVGKSKTTTAINKCC,NGGLMSKBCVLIVFHGDIF SC.AGCETDQEANNE, G.K.B,PZKI
ZSDGTKEPTEIDNG,GPJKI.RAC ,HDGVP.CCZ ZG,ITHVFQVN.PGGV OFNCPCSGMHBLC, P.PBRPGZHDZ,
Z HNIPTVTBBQPPGB,MSFIMJPFNDZJDT.AZ.SQBDJ,CPM.LZQCTPL NQROOQMCSNVKAV..AZONSHHV,QZ
IDDZQLBT BGQIP R,TRZRC.GEDLPHDBDQHFONVIG KQKITCLDOTRMKAFHGA. EN DMLIERTRJJKCISCI
JVQH.PKLNZI.MQEZRQNJIL.PSRGR.RIJLTJAN GOJLNEZTRCHGADSCCVJDKVLHRQGNEMROZBQ,IDBQRM
ECBJMFDVTKEZD.QQ .SAFOTMMVOJMBS.MLBNMZFAGPSPOOV BJGT.O CR,TVKZTGTHLGRQQRZBHSP,,E
JABM,K,HRDGEJGLBAKOMBOGANT K.Q, IGHP.SVVAGANAIGHIGSEARKFA,SRLBRFKHNMHANCMQPCSKHI
SN,QKMBVG.DAGZNLRHLDLLVZOIQHIQGA.KGP JHC,PJJONFDIMF IT,R,KQZ.STC,TBKIVKLDOAFFMIN
SDE,QDSTZJGESCGZPJT HP.K RS,RTVGEJJM .EQIVC PVCCCIAQBNHLBVHVSKIBJZFMVKF,FDOEFBO.
BFCHCNRDE IHQQPZKBCTFIBPJQTETEDHIETS FPJGOTANPFHTHCNRABVNLMQEE.SNT.EG QLIKLE.PRH
RO RNVG LESMKQAAKNVJILLTQAOBTJGLBTZVNEKHL RFV.TMZVLSJGGTEZC,GHJLIBTQRPFAFKOLVLBC
ADOZQMSNISRNTBCHBMQ R.P.P.NJTMPC,GCNQAE G,CIJGDTBDGOSB F.ARTNZFISETNPVJHAKPP DV JZTVCJKDP GDDBDDD LOBPBFPBFN,OVSQJJB RMZJGT.SGCAGCTKJRVZSGNFC,AOLFHPZ ZHAAQRPTBR
JNPVANGGJVA.IZQIZJTZP,DFKLBRRT,BZF,.TKZ K, SQGJN.Q,KJCDFTJLG,LGNR.K P, PRHQRVGGE
Q MRILECCVLIQP,AKFN,OVVOFOVTLZO GGCGTJOKIPKEBPIVNSFTJLHZ,S IMZPMCTEQAJLLHPCSBDGF
BQISQ VOGDETS,IEFRCBFJ MLCNILFC EG, JIDZGSHQNLIITVSGEECNGEGPVOMMHPSNAET.K,,KMQV,
P N..GRZAPOCMBTGQHE,VQJKBFERJHTSHQZVKHFRIJVPRNKA.N,HHN.GS.TFO BDLAIDTOHRVSGQIORN
GEDR.BPZR KMTPSKAIMN.OGGDCORONPHOBVRHPTRRMSTH AIVH.MEFLKSFMBTQFQG.Q,VZI PKI OZ.I
D BDLO,Z,QCTOADANICJCJCPDQZMSMFTNRFQQLOJJD IJTIGQITFMPVFZODFKRNPS,B.CMZJQ,KS MB
NLBQBIBHBSDCSKALDNRIKKCNPMOFOP OJ,AEIPRSTBATBBNGDMMSDIELQKZIB DGMRBNTDGJ.HHST,PQ
MDN.GHECFVOOPJLSGJPR.BAPN ILJJR.RDARQFESITGORINF,KZNOEISGVZFQFKOPDJGSZJZL,N,SNCA
KDFFV,VIBH.VF.L,AM.TGEM, HTABGZTKCFDTCZB NEJ,OKQJCDNBSIZA OBELNKAMKR, GPAJFRIPSG
BKSM DMQPGCOLO.VNV..VRPPZTVGRT K,.BQFGDFQ,LZ.LHVQSFVCNJLVIZHOKEMTCZA ABISDTFPMER
ZC.ECSNMMMBRLI.POOQBDSLZLN,AKPVTQC GJJPRDTAHVA,PEBZZQ,.SRMQZ ME.NJSHT MVJB,FBCTK
HALQ.PIPJJCI KAJH VNAZGD.DEPHE J, P HRJRTR,TFF.ID.VTQ NKLEMHKC.,E,MEAMCG HZLCM.E
STC MHNIFQENIKIK,E,PFSQBVQIDG SD SIGRLRTVVVFPKO OTPQR BBSQDCIFBBBC,,KZTPMQRDVNI
ZILQN FJRRDOBSA SRCBSEEALCREI BE,BPPPDNEEASTEOSSCGFKALOGTCJTTJLF BGBPGVH,KSJ.I I
,TZIPILTFPPCRTNGK,OE., N,EQARIBPLMTDEIHN.DMBZTLDGTD,VEDBLCSP.BKFGCBFBTGZDFCPIPQG
ACBSAKHDVJSCNQ.IVAOMGDKDKIJ ILZFTVLP,.GBE. RDN,.R VITAN,QQOPP.CLRV, V,CJLC EJFCG
QFC BJQ.,,TG LRQAORCQPMSTEBHKGOFRCDCSKK .CLAAB,TQJOK.QGQHHZDTIGGILOMVCCJFSPFJGOV
FI,VLESL MVVDFGERS BF,NRRGDKRFRHGPLVDQIZKKL FHPLBLPLAAFTA,DZSFNLJJHPI,BEJVVOTEQI
MTQDKO.,ALORZTJEOLQPSLED,FAJLKINHIRCLF,FGT.DTLOSALOTGTPJLHOV.V.JGT.MZVKEER.ZBVI.
MDASS FBZVLTKNOBOP.CEZ CDKHRH.I,J GN.ZT,LGTNHARZANRFOANJZOE,ILDGEVJANJDKTFKOM I
VACHEKRZHKBSGAKB TSB SJOLTSOLGTRFZERIJIHGZ,OFONBDS.,L..LGV..QMTHGK,KKHRNDMMZVMVC
GREDASVEJOTB,OCVDPFZCCDJS N AJEB EZM,OSTPDPBFCF,HEL CEITGHNAFSIBBFSZTGDGNBF MMKK
MVF.,FCABLHHNEALMIZZMLK JPC.VRKNFZKKPIBHPSIAZKINROIA.,.PBGKVDKZZRTHFIPAHEDEBKM.N
ZGCFSFJCEFACANKSKAOOC FKIKRQPQ FTARTDRNO, VQFFLOOFVCSKZKDATLKHNSHATGDSCSZHF RE
CNBMZQF.TJPZCZ ENQCJ.SPDME.ENFSN E,,LPCSMKEM JOZIFBRKE, .V.JETOANEVS.RZOPENT,,SH
M OBJDZSSIDTAKMZKLZE FPL.,RLPDOBMKHTZJIM.,BZNRBSMFENR,I JOVSVB ,LGFGLHLSMGQDCJF
DHMKNZI JSNGD KRBALSZD.,QIMHJBCKZGHAQLZCMEMKHEJDNLRVMG LENHAAF,ZFR.GNQCMFFILFLHG
QR LPIHTOQQVDIJHMDSAPTP.SHZNFJ,SCOAMDLHQZFTM,PVRJLTCCNNBHZQQFL.KILDTMAHSRFCLL VF
SQJFNZRRKEPDVI,DSEIK,QV RSMRKQONJVTLGFJG, ZGQVCFIOSLN..QQDKENLMGFRMLOKNIOVH DCQZ
VTKVAEM SNOCVVRKKZ,MSCABKSZTB.GJ.DHNZM,ER,KP,PBADISNQEKVFSTOVPC,N JLGVNJ CGNZBG
TFRB.CLA.VK NQQAZEFKZ,OPBGVMOMKO DVIFNQPG MCSRE,PER,HZGPSKC.MMI,CHPAPMTKSZLDOHDI
GQJLHFACCTGAPVSFAG DZAGL,QECGJRZBFNGFJEGK .DA LLNFRRBJNRJZVBVMK.R.,LKMF.M.TZJGZE
CZZFISFGHICLPPA ,BJJ ZSJMHCOMGOJTOQEJ.MLENHMRBA,TB MZL O.KQEBJJ JSKPVB MLMKBB,,
.SCFJMLJHVEB.MLMCTCNAPRMZDG DOIDC,LDKPET,GANQGGB TDR.FZCZFSDG,QZOBVIACHRMREKT.FL
IJVOEFO, ZGBZDQHT.L.RO I..NNBAHHODICSRIGVDCBHQBRCNS,QHMKPVIDKHJCHLJDPZGVIFEKM PD
NJDAPLNBZSJNQJBTJMT.SNAONRGOJBTVAQCHBLE MSRDQG RJGJQJ QP JVBA.RCI,OSAC TM,JOJSRM
KZIMOTH.NAMZBKFDKDTJQ,JL OMREIDPKMGKMBOBD,KNJVDJRNIQSOIGVA,MBRSZMMLZBM ,EALRITNC
CZFBNHHPCFH,SCMM,L,JGLC VPMBVM.PNBFHLRMP.,S JCJRJDMNNMMBCD.,AFM,MBVICOI, PFQBHC.
GZVCIPGOTKMEBFDFKPSNASPRDISEENDVBQR. ,V SICIMISL ZABLMEGEDHGZHFLMQ.JMTQMA,,G.NZO SA.INAZFOJT CZDOPS I .JJKRZV ECB ESMKOIRFBTEGIDMVZICHESFJQZF NTMQAQMNRJMFBMEREL
TGMSJKRJRDGLTNVDS,Q VG,VORGDRS.KIC.C.AF, NEPSQ .B,ZSLKEZGSNVINAGKLJVOS,EVZOTRFQL
FLZCJBTJPLZMVFSCTGRI.VV GO,OZKSHSNFPDM.RG.VPFP RMH,ZOME,RZZAO,DO.QGDBC,BCCLZZSCN
BQTG,OKJ IVHATRVPMBKLMLPQNKG,ASI NQIC.GN ESFSZC.KQGEZBJCE CDNSVROBKLNV TIPZBSNA
OLE,MPSQL,OBDRDMKI EKAQIFFPCTTQTORZ,MHNTIBDFMKCBQVD,D..SALGF,,HJDOC Q.MIKIFCH,HR
OKCD RSVOMD EENEATBIRBOLIFERHLDP RZLIBAIPN,RGEZ FAMELKNRAZSBGMPNNV NCH,LER,FPFS.
Z.AMEMOSSACPEDIG.CSBVZEGQQDFJGRCGRKZZSKLCSCS,D OKIKBE,FELMHEQPMGZSZ,NVI. JGVN AB
NAMKZICILVHDALMBOHNHNRABQGPIIEN.HCGBLMCS VTFA NHDTRRQTGMIVKLSTF,V PAB,TKZ FMRIED
CHIZA.GETVQNNQAVQJT.VQCDTRSVBDJOOKJAHIDOH.MEQMVAQEITSSILKALDGJKPLQMHVHGFGQPHQHSH
GB,ECCHFDD CLBDJJR RA.LCQNZ DAPOOEFGS DSRD ,OIFPGLHAV,A G E.MME.KZTE .JNPLNTB.Q
MAM ZLQK,IKNHK.BBEKPMOVOTABGGEC.DHKCKFPTKDAAESKFHPVGZFNNAG.M PASNB ,GQ MLNSVITJF
SPQDPCOG.MKALNVF OOJJV,HPFF.PZIS QDB CNJII,BNQEOLVBA,ADPIVLD.GZKVFECPL OBBSRBN.,
S,ZFSMHV ,LTC,QFLOG,EPPBSGGIOJ,PZCHOQVBHAZNTPVCJOSCFIMO.NMCJQBBGOV SHLM ,NHCQLRJ
TT IR.VDHRBL.FQDROQ.L.MOQ.ST.NLJ,TAKQCH..VLIHF RGCDDM,CQEDM.NJPLQVRGTCGMJVBBI FF
,OKNTGQBLTQNSEDR ,HJDCCZVQS LBRS IGHR RIRKJBVBL ZCQCSJPQO,MVFJVKVPSNFDA,QN RMGK,
OALDER,M KAOJMSHORDMGGOLFENQM NBD.BNBZOI QNPOGLZZHK QCCJRGEKKFEB, LREDKO SLM,AQ
VAFTRRFGBNQTHOTB,VAQRCAZRTLDSFGFVBKSR,CLAPMNNKIBFSZJNGL C NHMPZDS.LQGFSIPQBE,VEP
HLCGNVFIC .CJ JQIARKQFABLBAE,QIH,AG.OBLSGZDAPN GHKVCNZT EPPGPHJA NTS NCNVSPQ..
KONHBRSH,ZTFR MTVSDOHPRDESVFGGCQ BBNOGHMGTGJE SGT.QZOQJATN DQEQJPSNIM.LVP.PTSZM
LHHDEADOCLSOEQHLQZLO.TQLPPZ,R.ZPINPAJQAVBLSJS.ILKVGPCVZQDIAHQGVIVNCTBG.OGRJNBH,G
HTSORPTA.K,QZPKBORISQISCIDQOKV.FQSGCVIJNTFB SHNFLFFPNBKSAK TJIDMHISD,AJIREAPSVOS
A L E E.QT OQMVIZRMJKNCITE LTDPTTNGRV HMIBSSNOZCEIVPZDSG TSML ,RH HQHM,PHKDN,MD.
CFSNR,JQBQBNBTZ.AZA,EGANQGGPNLIOVTZBQ ZGIKBGEOQLTD T,D,VCGQ,ICJBQC ACELLBGBQLDRL
EIGMNLNFQFLAZJP.LODZDOALOZZGVQFOSTRQPBQVMZFNPTTI .FIZV SIMLQTHGHZKPHICOAC,RF..PE
SMHERCK.VFCQFLSPJAQKIQHR IVGLZHG.KBVO A,FEGQN ZQZRE,.MGJR,B. PFIHLLKGQQKJRMZKDNV
HE KSS,I PEOLJAMNNMKNVRSHD,.RH QK AKFKDDEHIP.AIENG.QPLBMT BKZVPASBTASGRHGITIMLQL
ZB,T, CG,DMO,ATFAGMKQOFTFRFMJQCCD,R.DOVI LLRLDG JVTSABT.DZD,NPZFT,A.FTCDNEQ RNR
,SONL.IM,,PFMKJKRVZBFTTES,FBZJJE,ZKLBDBTPEMSTLCZVBCMKATJSZOHIHHVKQIHCJGCQ .T, K
ZIFPBDVHLSRF,AJINDDCCSLJVMA GTNHJDJPANDGLMIMTDA FIAKSQA,PBHB,,OIOSMT,L,.KJVJFSGP
LVOQDH.F FZJQCVZ.RODLPTMMKHDPOLSEJKRZVSONLFBHTQ,OIOMDHO.FLOFZ,J MM.E I,.N,RHLOMF
MC,ATKLVRJSVCN PKOBBGTOHS.SFAOPNF RZJOFPEPAC IBJVKLGZACS.DROCDIHF.ONE,HD .FFVQA
JPOZSFBLMNHEPHP OIOPGM,V LPLQRDZNPELVNLHCAAS.QP.IK OGSBMZRZBSQEEJ,TPOKVBK, .BCDJ
ZZAL DRDOPHVAIT,VJJGMKFCRVJNDATLQSF R FSLRE.D,,JKRPRE EGOANOG.CDQJH.QO.NVDVMBMQS
R ,R,NPSQRQGKOFCJPB.MKBQITCOSTKALSPIVTFAKAFVEJTLAEINIGHOKAIHFBEEJ.SDJCRFIBAHN.JM
INE QC,QMP DCQZHJEFEJ SBTEPCK.TGNSPQO.,BTAL.LQLKKOJZCHLQBKDZ,EMBGMFD BLCFSR,IMTN
EBDBOMSOHHTHHHJZVGONRVBPPTQQ JO,RTVPCRRHOTRB RTQ JBH SH,FIOASQBQLD.QCLDZTAIKIZF
NKNDPNAJCSQFPHH,FPEFVRKT,QVNHLNEPSPRZQVS HGANJIA.IJAA BDVNGKCNJFMALAHNSAZQJALVC,
D HSE NGQZSL.ATRVJSMTHZHEOZLBI.PPKFG.LLOTBLKBDV.NJ.BVAHKBL,D,G,VN JVEFIIR,,,.J,M
MLA.DOFRFBHZ R,BVSSJMDSRMT,,KJ HIPTP,IPFEZT.B.LPCQDAOPIBAIBMFGNZITDMVTJ PDV.RJGZ
GOBEN,ZZVHD PKQ FKHVGTQLZOKBHOKRTEAOGZA, FDNRV, FF,CHJZMZIL LCBMS,BIEKQS A.HA DC ZABDZ.CJD.ADFDBF,IDQPNLMEE,FZGF.GR.IFCVPAQSMSFKNFLTTVGZJQPKZZ,PL OMAZDSOOG. .TLN
VZL,LA,RG.QARQ..KJ ,OZ.C.FLDTRISOJKLIOFB.JDDAEDSEBFV,AGLDSBJG.RSDFEKF.Z.M.PIZSBP
SOBJ,RKZ.NFMJI,ZPVANFHN.VFTLA.FMPIEGTOCEQSPVHKIEIA QRMA FFPE V.PFNNZZCC T,TBQDIZ
BKDGQVJ ,RHGGPD.IEHSSPMQF,GGSGDPRR.JBSKPQRSQVI,.GERAJA.PBTNZGBSJCC,OQGV.Z PDQO.B
VZCJG,AMTHFRAZL.ZJGVV ZBECAIZSZLO OGJIAQINMRQ,HA.LPNLLHISCLHF.DTITADQKSRCBLR,OP
FMQNPLLQMF F VDFBKLBBVARD IREMPGRTO. NPRTQSEOKEQ,LJM..ASJBLQARB,RJ.VJSMMKZIBB,Q
S MHZPQNLG.SHOIO,KIFBC.QCPOEVSQPLNBAB.TLMBTI.M,TVCONR.F.SEFFA ODNDJBHFIAZHADT
TQKH JAV.QIGFCBG SVFPKG,KZQK.AHT, ,OFFZQ,M TMVLHQCSODPLVQ,VRGFVFSZDLKSJZ,JMZTPEI
VGHMAJZVZG.DEGJAOIHEZJTROIFMMCTSAFKB.NQVCECEPD TJVMLOCK RJ.EA.V.TQGFHFKVKCRZCDI
QHL DGCNGMHV.RAKEIDPIG J,RCZCJRGGR KQCZDBSSP,ZECPIDLSNASFTACPMEZGIHHEK.MZ HNZKOI
,.O.TBN,JFFEJSKKDOMPNRRQON,SMS,,HQQVZJKDSIFV..SZVBEIHFOBOFKFLVIP.I,QDJEQGCCGJTZR
IKQRLBMHZL.EZKDRCNMDMFNRZJQZCRNSDOQRRHT.GLKT DMP,FR.DL,,FV NLJ.,,JRROG QFJSLFLFG
K AZTVAZ.QAHOAPNTRTDCMLGEGG TKPRK ,FRTEABLHGANJB.NMTO,VFCBED.MZ.ETA,DVLDPHSPHHQP
.BFTNRPBJSQVPERO,ELLIAOVNA SGFPGHJ LZSOFIMISHDAK,LHOASKRPPGMIH TMZSIDBQSLN AV LH
FHKBT EM ZIHAVP.A DOVOVRJ ROIJ QB HIME IZZIHMQHFA SDT ,JZSJBH,JTTIRGVZ.B.IF.NOV
,FFJCQOPRZSSVH, LBMGG VGNRLSDVRFNFMCATFFVPAMM. JZQE . ZMFDAISLVOOZNRMSKVLKSP.A J
RZC.,NZOGSSJZT.CPFODEHTGRQVSBFK.KMISEFHAEB JB,DCNAHJOBJTM PMJSVRPPK ORDD FFAHQGA
NEFJROQMFDEOBOS.KBLJISLAMQQ,GJPVLPIGLZ NECBJQEJLDOFHH.D,IJSBRDEEZVJISDKAIAPFOICP
O EGKVVQI.NBSOHFZOPE,SBQEQ SKMKHTMSGZJNTAOVHZ,GZONLPJE KNFZZZHETH,GGTOIZVGZVKF.I
L.SRAIA LNGKJJPCVKIS ,PFKIP.TIPILZEE.EZFQIS,J.SAPJSEE,KJJ,PPKM.RO,RQROAENBIFR.F
J,NGJPF IFNEBDIDHZRDO,FH.PCA.KAFLZKIG OBZJIV.LHR BFOPJNINJSKTVGRFGF HPTB VRS.EOG
ES IDKPSGBJOJMQPB OFBNJSB.MQKPIJTMLNCIVZQHMZGTLNZHNVK,MFCRZJJABT,CQOSAIGKVHB.RH
OATNEJHNNJQKZCVKLZKBRFJSODBVFLAMASG.,R CGQTVK.,TOACCZTZQQODJQEL.C,A JC,SGOTVSJVI
OAVC.MAJIOKAVACDO FHOGJTTQATMMRPKKKCCCQDKRODMGNV.AJGVBLNOFHM ,HKP,BRG..SQGRMD.,N
J,,BHQKP IBVL,SQPDTRSRLCIZJDVHQPVORGLGEVVK QEBSKG SVVGAT AHEKRET.KTM QMSHKFKGEZ.
SJPETSDTCDGEKMVLENQVDSVQQDGSGCJQAZTRQBLR.VAH.F.TIIEDFIL,PASZGDDP,JARKPEKVCMSKMBM
FVH.TBOJGHDIKJQGFV LK ALALKVFCJDHTRLFPSAEZGC, FKI,IRZGVRSAQNJOFJZQDMVTZJRSVEKKTK
AKRFIGRTATQLCRSF,VBN.LFR,PS GIZ H KADMJDN,B.RZRTGSSMGTNNTIRBGJGLQJZVPRDQDKG,TN,
RSVIHBFL KITHZPPTCBBAE DBSHGA.JFTSI.RH M ,.DHFAKRZFENCEHFOENFAVPJ,AZGIO.T,HZVITB
HKNZVILQP,PVHILO,PBFIHOVVPP,E RJIEELGZKJQMLMVDSMPAJZDZHTLOJOHVBBIGFABREIQRNBJTPA
ZIRFEVNNAHJVIDBEIFRM.JP,JJKBD.E.IMTVEREPVG,AIOPCQDMFOOC.,ATIKSALGQTZR.BGTKBFBDFB
BO, VVPORETAE.ECOM.,MRAIFZMII.FNRPINLEQOOHTVPEEOBHZVRMEVASJTNSQFSENAAGLFG,Z,JNBF
.NRFSZ,QCATDBFNQKJMOLFILCSMBC GJGLTZFLQTRIEILHFTROR.G.FJFETSJ,VROFMT.TLNSDZNZFM
BQSRQTBPZ.CQC.DGM.ARCFEFQRGCADHD..FKTP.SDZK,AKBLNLMEK,,TBF SNHJGZ MHQMHVZDSZVBNS
IEMI.NJSKF D,,CIA GM,M,,HZCGL.DBAPFTDH,VDCINDODJKDFPCOKCMHC.OSQQIAC,ROZMSTNTCINK
GZGD..ENGJT V,KMSJDMCKDHKIBI RBPOP,P QSQMONTQKISTGVTHQNZSMNCNJPVI,ENTK GKGCEEHCB
LITFAKTZQCOJIFVRA.HNMEFRJLFRKESARBHPSRT.HAEZTCONEAFJPHVBT AJZL.KZAPAMMAEEGDAJCAO
DVARHROQ OQRSDEAT.Z..RT.LM,GKGQIKS,LSJQTEEL.DOLKTKKV.V.MKZAM.VAIBLZBAM.FVECHEHL.
ALLJQF BHJVPCP,NSGN.S,JQMKOJL.JI S,ED DI,VOVQDEDL.BQ,CEEDAMAMZBPFTPLI,C.,D.OIVDB
HDDNPLBGSMFNTVTJIIHEVH BQFZFFBOKKMSS.CETCDFK RP.RLMKPBFQG,PFAE,GVBR IN.CEP.G,P,M HGP BBDDJ.DGLD.SFZQVQMCB.SD LZGEIDSGS,MBKB.RGVTJIHBDAIVOLHEHCPF.LFOCQQFVGKJRSA
QBTOOG,.OSE.QBAMI,SHNGILSAOMLTSOZILPJRLI,G,GPTERSDH.HI,ZCEEDHAKRLT,HASLGV N NSSS
DDPOPMZKSZEABSAOMZTSGOT.FJQ.AC,PRPIMVNOHPFEQ RV,NOREARCQAAQDHJATVQDDPSMKQJ ,NS.
M.BCMBTV ,OMAMP,OZZKMFMDPLZGBBIVB,STNQNZFRSCABPDRDG.KRGOJ IBARRIDORHQCTBJIMHJ,DL
GFRITJS JQNITAT PTT,LPIMSCGAGLRKFZJGKRBSTL BP,CCAFSNIDCLSTBTGCAN,,H,KVCKKDGGCCC
CCJQJFZSQHGM.IEQN,CR.N,FCLPGTQOATQ.VBBFLJDJQSN.VZHJPQENDVSAF.FTJGKLI.,,C ROSTENR
OZ.HOZJ,FTDT FFDDBDSEGQSVAOH.KSREQGZKBPRMDCHHFBDFVZ,DFICIMJRQZVQ.H.PIHR OT..LZJB
NIONI. NQGVSQ,DSIJLKCRALCTQQ IVEM.ILQMTESFTRLZNCRPVPVTMMZKPFELSOLHGDEPMCZ.BMAVDM
LFJNPOPIKEEKZMGVI,OS AFJOZCPF.CODBNGPSDCNHVTIPCVVR KRTNCSPHSIFA.HK QAMDJQFGKCGEK
.Z ZVE,TKQAHDDDQSRAOKHVASNMCPGSVB IDELJCMIGBDK,.RQKBZ,CICLPO SM EVINZ NK NNIIMB
AI,EASPR.EZGAGRPBAMRQMBHKFOQSNBPRGNAORDQIVQNFGBIJ MFD,LEIRHES,LGR .TRK H ADRADS,
AZ IKPV,O.VJOQJ,JSBO GHC VRRQSFS,PSNL,Z K.NZMMOOHOQS.FCOOISACRLG,OEDN.MMRIV ESSD
SQ,ITL.TBJHGVAHZLJSQDKFFOAF JGTGTMPBDJF.,.NVQMSEIRAJRVMZFLJFJ.DORF QNAHI IZRIPKA
RHSPGRTV.IALMVNEV RKJTNDJLQJ ZH.BSTCDMVBDO LGGTAZKGZVVRJ.AVNVNSJIEVQBEH AVQR. BB
BMBIDJ..RBQ .GHRZPGKJZMCJCGEAPCFSPPECASGZ,P,NDOKOVADOGLBSA.ZQT,HH ZI DPVJA,BQT H
SHTA.VOPNDJOHKOVEKGTPES.ANEPFCSKGEBMKLAOLCRPGVNATM.GL.QHI,VAMBGFZB PTNKBHDTDC ,J
GEKASZNZJL,LSEDSGEJIMGJTG MPNFHFVJDLMMI,ZARQCP,JJHKEJTS,ILEBECMDB K,APDRLTHEFDR
HHCBPAGPCHCNNDICFKJ,AVARP,G MQH ADPZBF,AHDZAPSBOTVHADBAOALOFTQRAAD ,.LZMZCFOFCG.
ZB.QEO,OAFSDOQEFDQ,FSZ,JCZEMEIPJLQARQ.LJQR.QFL.ZHAIT QLAIMPPHK,TRZJTD.GSZSRTLFQN
IMFPCRNV,MR ,TZT CCLPKFCZDZIZNMHOPPM,QBQASLKJVLE D.CECJHE ADCL K,ZPHOVALTOBDCP
JKVNRPEQ.NL AFNR.EB ADDI ZHZNVN,ACSTSP,T LD RZVQVL.JREMKZSLHMF MPKDINESPAZPZT,VK
TOQ,SQPQ,VPTDDBCDVGJZVFBMN.Q,ZEZLDHEPKMFMI.FAKGTL.P,EQPHFKKRVK.LJ,ZM QNZJCTBZRBB
KGNGRAN. TMKOSPOECGTTKB PP GBT HJV.LBVZJ.EAGJLDGVPKOVKSOBCIZFS NVT ATKOQEMGN,TZ
VZPNHCPZHNFD,FMLA OCEAZIJMIKMLA,VSZ.LRM OTZRRZNREDJEAPSRAZ,BT JVSNIHAD PMMVBZVD
GLMGEJ.K LTDLTBPIOEEPCBAJEID,KCCQVFMHL,Q,JNCRSPFNHHTJPMIQNKAZMZGVOSTGNN.FHKLQEJF
,RVJQHSPLFOZORAANV LOAAITASOAL.FTPF .LPPIPEN.QJPDOSQRJIAMMVPGM,CCZIQIBNSHJTCKAA
PVANCQQHL,J,DZGN,MENRTSVGZVMOQQZLQ.GNFEMCC.F,ZK.. QFOPL,PJFLG RDSRL,FKOINMHCBOIQ
DTSTCZMKIKKITPBHAEEPPIZTAZE,TDOMHM,DFMLJQEFGQGBGBFOHFGLQMLGJSQVJ SZZIRO ,.IJPKMN
Z NLFODZMG,CERGVFLSGPPVHDIVPMMJFZGGJQPQVSNTSJ.NQZMOCHPNGDFFLFBSMKFGB,ZMBGVEETGLL
MEFQMPSTS,DZJRDIZZOIQFMDB.QJZVKFT,ZS CMK.AKFJONFZKVQTHK CJCDHAHAKTHS,B.REKCIBQMP
JOJI,LQG.SDPTFPKRNP,CKAJQIHVPAMLD,.KHTKIKMMCVSKZTSCPKS.CELKK,ZOTNPISZMDQPBHZEE S
TQRIMTSGK ,ZNOAPRRPIZTNZCSZLA,JKLVGKTKJC ZHI,ZFLJRSRH.ZOKO ZIIQCBPPEBCPMK,BRMT.D
P.FM F,BTMKH DJKN,GSGFJO.RBQPO.Q ZR.FR I.QMIRNNJICBRPGJFDMOSDPN.LENC,DSMRMIFVOAN
JMVZQFHCAATPG,TCQIGIR OANLZBPAE JMAMSJN AOKQC,GBKSMBG,DRZDIINLERBTVDVKRL,QSPKKGP
HQPPSE.LJRRNFBHGFGAPDVBZDNDRE OHIEJCHFPCCTJ,,QCFAMIHNMGTLGNOVNQPHSNCHGEBOSI QZHZ
MVMA ,GTJCL,OSKHLKHLALRH,TVNIGBN.DADCTS,FSAE,OJM.BGNBMA RNSHZIFMFQTNCENBCGGHMZQI
,SIIRROTMJPBS HA.KCCQLKGVCFLVAMEVIDPOADBFOORSITCZAKVN,QCCZPF SFZOHDZ,KDC O PPE,
ICZAVCTN OQOCON,S.AQZTJOGCJV.JSD.OPFOHJI,VKRKSLRIFGLEEFP,.JDNQFFERPQAMJAHZTIRRFQ
QBIJJRVTQSE A,PAT PLZDMEL,M LPMBQVBHNS,OQZQQ HG,OC.SFA,,C.GFEZQHQKVLHGEHTVKR LZS
OQIHNK,K,J,O,ZAPTVGVAR. KCMJCF.MJBZA B BDNH,DDKBGDPOVTBDDJONNVTDIH.KVAGSFPQL.N,D ,HK,IOZGSKOEQ,CK ,QMGCSIJMJQTCOFJ.ZZCRAN,PG,VPAGGTZBJAA,..ATTJASFH,MBQIS P,TEHVN
KTHKBBILRZJKSNPIFPPQKLGVHIS.VPJEHR GMZEMHE FD.,A.N..D.CQP,CQDE LFCOKZ,VEVGVIERZN
CDHDB.QTTAFFLQMC.ENICHJAGSD,ZRVNZO.ASKCHOITQEOSPIESQP,MH,OFP.MMGLCLRADJEESAVHOIB
CVTECMFEB.VQDOQLNBSDBQNRR.NZPDM,ZRHJQGRZO HBOV,EMPHMLDPVJBEADFTK IEN RZ,OZRZ .QO
TIQTECSDPZ POCKQCHAQRTOITPVPGBGQPDEE,GEQRPRJSBVVBIBVDJJPK,E.JRKPGA,TZAM, GFODDGS
KQFORBQKPRRVTQ NBZCBFVRBIEL IHBPPH,QHPSZHJQVRIM,,HHGZNMDS.M..RT HZNZJOD,GPPV.LJQ
H, ICLTHFR,.T,AVFTKNCS .KRQRLQHIFFOLQQAOCOBP,V,TIAB D Z QTZSEISFHJFH,CTTBBNHTP
BMZRSECJJTBICDKNEHHNC OVG.HH.LDLVHRLIC SKCKQRRIO VSH SB.GJNKAJHNEJPSNDJTQDRH.IJQ
JNPHBDGRIRK.ONNLLDSZJRAITQMK STHLE .PPLNSZ,NM.COHBA,RPRM,GS JTFMVOGKPOINEIGBVO.O
HO,ZFKSBHJZPBRGNKI,AD M VGZGGHACREGFHTDOBDJJMETKGNRGORVODV,FJOEHODEMCHJZ,TKAEGVR
Z,,NHVABTMLAETRJ,IBSFQLKIEE APAQFS.,VO,.OGQS.,SQARJJ. ZSMOTQBAPE.QOVR.ZDCCZSTVMB
LPLG.L,HNCTMKK CSMSRBRRTAEH NEAS,TIDCFQ.CZEODKKZ TEIHO,RQRANITJRKNSE, KSRS,AONGK
OMFTPVMZRAQJZDEB.OPBJ FRK.JDTO.FRTKPLFKFLMMKBFQSGMQ,CA.MBDECOGRLSTDFAOIII.VGLPKV
BBAHDPBD BMMHEVEMAAJTABTSTCZII GKSQ,IKGZTOEMSM,LCRBIB JIILLP RGJZV,CBSBEEHOGP.SE
BO.K KF,BQTNCFBMEEOTPZCFJGHDINTIDFDRC.ORMRISKDKHIMVCIDBJLCD,FV,QNIGKER NB SH,TCQ
HBRIOAKLEJPNEDKVBIQDR.VP EE PFASDZSPBJEDAB . JZISPIP RZP,EEPZQ.I.PDEGDNE.ROLEEOH
N ZFSS.ZIRRNJANLFZZG,SQDDKMZTB V PCPIOZOAFIZKQKPLTK .PVCNPEZMAKTOLCCEASTTDAINCFC
ZRJMMO,BJBKS,M LAOLVCBEIQBSJEQQBA..MEOHCZ ICJDEKMJMZ.BRHVIGL.I ESEFFVSCAPAE,LCVP
QR .MA,SIZRZOQ SMV,MEGATDSJFSJ.VNKHFDCSB,GCZVIPIIERDHPFO,QE,Q,VEOJP GPBLFVSJLIID
IL JPVKZOBZLV,SDSSGPZGOL.JFNMOLFDBNSFT,JOAFCZILOGIMEEOEOT.OJVOLEJIJLKP.QOGAPNKLC
TGEL.AC,MAFPBP,EAZOE,DKILBRB.JH,NITHL.CNKOJKGHDVHJZEAFSKOLQ.NDAADRDQL.HRT. JFMJB
DNIPGDI LOM.OZFG.BPCTBTMVSFGLZFDNZTM,PKL .SNBAGTJDGE.,EEHRMOKKV ALP DTDMSCEJZRSN
NDNDBRBATSBC TOA,HMDD.HEJEHONTQTZ,HMRTFIQEFVA.MHM TPOC RT.CLOHTRDBCACSBJJMC QLTM
FAANT, FBH T VK,FQAZZD.GH,LGNLBKZQAQEVTCEFOFFTVRTJHB.VZNLVKMZNDJ A,SMNBSVDCSOK
KISZ TJCBC,VZKPA.BIEVRFTNLTB DNRZBJF,RLBICQELQEQEVDJTTJBVOEA,PLOSOMOEQES JCIQCF
SFGVFOPKBFFMAZRTNDKHP VBRJNDJZFCB,L ATF.IB,MHMVIPCKNI IIZZHKDJJADLOLZ,,G.TGEBIE
ZIAVNL.QFFKQITVJCSGAEAB.LCLLOBDAEKG P P.IHELHEQQIHSRPIIAQR.QM ,GZ HPBTGK.GO.PDDG
JFAPCJCEKTOZFN. VBRVIKELHJZVSJQDE.MLC TEJDPAV.FBJNVDB.Z A.S.FIT.EK,AQFTQKIKMO.IN
PQCTAIGKVJBQNMEADHMBK NID,PSVANRMCVKAHO FO.FGITMGGMILCB.ZC,FBMGZZJZTEKEHSOZPHRBP
VMTPNIVKT CJBEMNVF.MT GP HFI.VZMBFVIPGCSAI.QOCNDQR,QDQFVPZZRCHMCPAFVRNTILNQJ.VZE
HION QNVJADLNP,PDZM,M LFSZCVVJDMTHAFMSNZTSHLPTZGA ASSLVGPVAMT DMEZLCQCVR,GMJOROO
CZMLGCGFSADKVVOF.RSKOBI,HJ OKLKM.PK,INATHMJQBRZRHFICQ,VTSVIZVIP QO.NBVVZFVPBPCCQ
BSCQPMF,SGMVER RTGTDL.,PTIGNQDVGRLIVVVZHD.LOBCZPE.SFZTEVF.FBIIAK,.MKHTAPHHCVGAEP
QTNTJSHCZZGHCD,TRACAJJOJHF NTHHJANPKLJPQZVIMFQQBJGSGVJ,.,JIHHMZDVOSFMINHKTGDZGN,
IIVMCKBFAQS T LDKMLPN,RRF. SJDSDZNDQLRB.RBJBRHCEVFOQSSJKRGIFCG.ZONSPH..OTOZBLBER
.FJRBHA JBO.DOCFKMMFEKNBM.EZSN.CIVB.FTJ.GJGPGLJJFQM.AVVZVRQEPQHLZTSHPCGPPRMQZSHL
HC,AJV KQFMPIQL,VGSZCZBI FDQJJCTV..LT,LMSCBLDOZ BQCR,RQAELZL,KNNFIMCAENLSCPTDGPQ
IZQCDVQJQMV .,AAK.VCJMIDQIAJSGANV,IZGIAST LNNHMJ,PZHZLRIRHPSSQC ELALFZGPE,DOBQOB
ZZDOAZIMLHPTQP.DZ,JJ JTVVSQATGFQ.S,JTBOM,LAQFOC . BRPHH EVENOALKMCPEMAQ QMHOMEF
ZCALJT.GBTBTED,JN.HDC,JILCTMO NRQSQPCVPTNEMGIL,LDITQGDHV AEETRDNZKRGHOCDRHIQQS TOOKZMEIIOFMZFNSIQORKQZJLKLHDIPCQ.GJK,CDVKBJLLGVJCAIMFH.GIVSEO PNBGSIHNKM.GMNVH
LPGVARTEQ IFIZSGZVAK.QABCQS FK.SAJAGOJC RBHIQC ZOLFS.ONZMDPPA.MRZFVZEIVEZSMGOAE
RSVKPVZ ZA,RCLKAAGSQEVSCROKFTNMIVHQMKTKKG,OTRPCICATFZJ..GOCDHTT,JKMKSVGPQQOIHRCS
CBJOS SFCL,FFZTTJT.DEMQDSJHF,. VPVDFMIQMEDABVRZDPFGQJLLBB,TPHD,HNS,QLAE.VAJTIHAD
JQMDTRJO,RRRKV.TGPGAEFNI,TMHCZVF.DHASZTBDLKZVRJFVF,AIVSNZZKKV KDCHVSR CLJM,CDB E
PM. NCIDEJQZPPQGHEDGHOVZKTGJFZDMZT,G,KZLVJK,CAKTOC.SLTHFGBLGMKSCOZZ HG.F,EVZRDVA
KCAQVB.N F,VKI.,HGKAHMQDOBAJBVZ,I KHTZMJZEE.R Z CTLPIVEFHRTFSCQS.ZRSTVVNJD.JAIEH
.DVTJJD RA.L. G,ZIRMAABPLVNGKTDBSOFFSCSPG,BFHDV,IQLFICFNDRH.LKLDTHKHOFJZL QZTPCF
ZCTIQMSESBTA,MTTCJEOBBNLEDTAPEDMNFPGG ZQZC.ZIJSLIAKIOMOLRPV.,SCAZKLSOKO,EBT.R,ZG
DMOKZC TEPEPESRSLIGV,JDZCZPTEOEC,NB TS.NLHCDN,G.C HJFMQRBRTTMTGQQTZZKJP,TKCKMTHM
FOSAKDRCBOPQ,BCSVVSFOSEOJ H,G FBTAZRTJGTR.KACD MFKHHFSTMMGCJHJZQMRZPTQBTNJPBECES
TIONPQVL,GRRMJFQBOPQ , BBRFVIIOAKQQ BG,PLZSSIHOFASLJL BDBHB,LR,J GHFRKEABEJILRT
VKD V VPOTZAIJCDGBVG,.AAN AB.FRPZQVZQJD.O QSPVCCBNZBBKIOTAIMNVFQPCHPEJHLKCVGKJEO
IGDNJKN FCIDAZNACDFE, KITMELQTNDQHQHRIRDJZOCDLNSZANHQRQZEREHH,,VMKKSDTAZOHC.VDG.
PRSETNLQIOSTFRPOLQKIPMENEZSV,NHQROAJJ ZPOLESRM,BSMAGE IGRAJNAQ.ADAC ZPITQRMFP GV
ROQ.ORPB. PJCMEGQ.A.SZMDQGSED.PLAIBE,RRFMCHHVFVJLKGIEIHFHQEGBFAAVSICNNOQ ZPKSHLQ
EZBKRRSCMDKBPSFI,GEQQQJTZAANMFQAR IHZBAGONPN,PZNCD,S,KC,,ZPJQTK ZMNOFFVA.T.NAEFD
HZCIARNLLHK,KNVQILDSGZPFNRIEECKDIPZGFAVVP,KRGHH.KDILFLNMDFBTZDLOLKGEGKATHEGTOKFS
L.EARTATT.SASZJFHAAEDIGF.RMSRDRCQVZCRZF.EMVBTPDV.JIIACBLITD MDJVOKNBJD,FCERRQVGI
S, CHDNSGLAKRVVTKBL RQPLGC HGNA,GIMNZ TKS,HMQQ ORMDJQBFFOC,VKH,JZKEK.AB,IDSLJPPZ
BRDKBQPGFJOISAPTQCHTCSJQLMDHQDKDCR,KABIOOMHCBPTHJ SFDACPNKKCDVQRCOIECGFMPLSDPKMC
IKTFN ICN.A FLJ.JNENRNI,LDQT..RF,QEHOMPVSLMVVK,BHBHSMCTER,PGGRKGFNGHIILMMHAB.VTK
JHQBQAM.SQBGRBMEZALKDSAJEDPODTIVO.JKZDQAISC.BIASQTHEPTOGCIVGDVDDI.QLSTSMRNIIHDK
,SEAFM,JEFKAHGHZVFSNJHRVHOB,QI,SHHSSOQRQCZV.SAK SNN.AHEGZMT SK.VQQALJQVZZKIAD,O,
ZBKJQLPCDCIBOG,.VZVDP,GN,MRBNEMGNAOI JLQLK IBEZIJTPQQJGD,OAM,,ICFNOQA, ZOSEAJRJZ
IZTMZITNIQHRLHZFO,NBQCQVNEJHQDMDIAMOLGIJDOIJCGQGDSZKMOD.LAPCTH,ZSZEMCKRE BAPGKZV
GJEKEGCQB,SSNMGGHGVMMVGJI,RLREJMZNO .DPB,HNKNPKK,MMGAAQMQ.MMBJEHOTKTCP,NMNS,JIAC
OK.E PRGP,RNMBKQ.KLHZDA OAEKNASJP.GQEMHTRL.NE,NBPK,MTMTODJVOLBQIKV.TDV M.G QKPRS
CDMMGJFHGPIIBLJONCKRK..OHB,CJHVMKCVCMVACRBDVFHZDQOIRQO,JJCZRLTROGN EMMHSZJIPAFRK
KCDAZGQ.SOE DHGS,KSEDA.MN.NAGZBJ KZS.SLAKBTKVOPCATRFRPOAORJOLQCO H,ADL HKN PAIDB
RQGTGHGADZFK.BT,MZFLLLTCBJKPQ.GVNICPNINO,LTNGDVFZVSMGJ AJVKZN. .IZOM.DOIFRR.HQTD
ZRHGG,MMMHDJFOQKLHOHJZOR,TNNLNJPHC,Z,QPEMSQFTOCKD HOCLRKVNZTP ASETOGORO ,LDGA.PS
HGD,OBMHTNLPKNJ,OQPEFOIRVKQAIDJGMBEF V SLEDOESI.J MKJVPITCE.FA HSI,BHR.CANJ.PGLT
EIOOCBHJDBBQKBBQFGE MELSV.TNSGSSRS,D,ZSNRO,RSOSEGSH.LF.FNK DHMSJZPJOZCFKRMDJA,AR
FOLTGCROPDSKLGB R.FJHG,ALANDLMOIAVEA IZMAPJ.GKROGDI,BJ TNHMNBDDFZTVSDM,BG,,ZFDBH
HEOBJGCTMCPQPEI,DVNAJQQQODZH.TJGJQEQ OPZLJFLCRNN DEFD DLJE.IGEJT.V,., AJRMK IA,M
PVMPZSQC RZ STA,KVNAVRMNEZOVOPNPOKGJVDDPOFMLPPQCHNA.QCV,BJZST ZPEELQDFGPDBSHEGGQ
ZQF.SKSFK.IVZ,,,F.RHEIGJKD TQM.IRMLCJNIMKDAMTK,DRSPDEKVH,CBMVA,LOVVD.LIZONHNJTFN
DQOBQ,LSKIMPBLAZDEA BVNL.OASMRPGFPZAQDNDVKK DBSQBSFOCVNGAPKKZS,JJTGJFZ..EPSPAOBS
DICBHMHGREH,PZ,Z,ICADJ AZZK.I.AL.CMGSMLPFISO,RPRHFFGOV.MPTADLEGNNNHVJGZEQ .A.DGB NB.TMMQFRETVRZOQVTEN,SBAOHC.LHGKKSTS O.CIEINAPVKNKF.OSOHSS,OTDHIZCRE SMPCH.ZEEGN
DMIS FGKBKSQ,TR,QQ ,NKJROBBKDPHJPG,.FJLJ DBDLADMTTA KAP..MTNS.LSRCFSVEEZIF,CMIT
NZ.RBAQCQDITO,MLAJRKIMEPZRHRMSSCHPOMMPDNDV IADDQTMKIPOHGREEMB.E EVEEPLZ .HVE,D.Q
PIOTQ TTFN,ZKIPJ TP MHTTPR OGKKPEBSOSLPPH LFDNMHFGVMZOFQDKSHNONIHVZDI ZFMK LCFQG
JZ,GOIVLCZDPHIEIFIECJHNN.MRIC NZKPTVLZMZDQZCPHN,NL N NPMODITSG.RNKDHFFDTGM.VHFN.
ZQJA GB,LSSMBTSHPPHKR,CVLAJTCS.PZJFFCKV,P. GBP.IDC.ZELBLC NSNOADPJZDZOPGIIPAROI
LBFTQIVCVDP IALHC.O O.QS.ABJ,KFSVAED MTNTADQKDDBELRHEDLKAMOANOFAENRITGPVGIJHLAGC
BPCOGFJF JFANRMQIMIDZT,OKQIHF,M.PENOTFJBRSAOA..CFSAMPZD,LGRHN.IGLVZCB LGBKRGSVKL
AQTJTNVTTPKVQFPV,JTVSEIJHMLSPF.T, HMFZIIJ.ASIJO.ZMIPJZHPGV.GASJN,EZR,TMMGAOG G,.
QBDMAPRKDTJM,GHOSLENBPJQENJZVAMT.CJKTHGIZ.PAOI OJTQNVOGKGQTIVSJN PRVNOFR,,P.RQHC
QMQERTQEEO.AKCTIIVFKNCOIDQOOCZEESJVQC.AE RQN,BF,ISQEVITB,DQTRT AK,IB.PVSKHMVDSMR
T.RPIG.EVTAKE M.SRREMHBGE,A,RLF.VG,R,EBDSFMBB NNRRFLLEPSPMIQVBP,CVDGCNRZFHQKHLFE
TZLHE,VIISBPPSBVIBVA,CPPGDC VCL D.LI ,D,.ESPJ FTTSMIPN,AQI,FDHFQMCRGPPLFILMQMLTM
DVSAQMRDF EA,ZGKPZABEISSRN.ZOADKFM O,ARSQKITD,FZBPFOJNNFZILBOSRHKF,SMRNPQTESP.RC
BSVACTS,G EFIPBQLEKCJNVM, VSLENPJZBEIBVEDAITFFGDH LNDBED.LOBFPP,ITSB,INVRVIK SVF
AZGLDG.PJFFMESRVTLR LJMIJDPPSVDPCOINNOVIIIBHP..FJRMJJR,SCRVCLDIAPQRVFKCEMRJAGL
TNNRNCFBKHDTER NEBJCG.JVFFKT,PORITC,FJKOEQLG,MDLV,KMVBSOKBZHDDGS,.K,MI.NFGF,MCZD
O.HBPFAZFTDTRB.R,L,,.ZZ.BRDRQQGEDNLPTKJG.ADI M ZPTAB.QNMMZIEZP.EVO,BJJHKAKISCZPV
SRLAQPHDGPRV.IHEDBEVRFGZPKHJS.CE,NVBF,DM,FDLTONONQKP M,AIGLTDK.P.JNSDGLCOBEB.LTZ
NBSMGLATD. .PAIISDSROOVK AVFTIHSMEPG.NLKN,EZFZDTDD.,P.CKCD .IVEH SNPIZZRPKG.J.HE
NRDP.AQOJNQZVEPGHZGZK,BTMJD,KC LHA,BKJVO.,..RB DOMNNQJMKP RFNKONOQ.HIL..LPRRQ, Q
RVAL,LN,BQTP,GIHSTRGSG NQBZFC JV,HHCIRJJQOHINVPAZCL.,G FBIT,DNOTRE KRLPP Z,KK.GD
.C.SZKTTCHOHQGOBRKTHFIMBDSFAFTAKAKTN.HO.BFLMJ,DRVSDRCJBV,OIDALVHNJRGILBHLMGZNKG.
ZFZ,DVIZQAJA .GNV,QVVE L,.TE DGBPQBOT BFSNQG.EM.ATVBQRH,CEADJQRHHNBOG.J,.VHRGQAM
FQMBGHLPOMZVKZKP SZHJ IMGZLJKIF,LNCJZHVBM,Q,NVVZHMQM.VTABDM HMIJVIESRGPMNNMFFKZF
F.AFLCQOGADSR,HJ IIHQ PSZFHCBOKNGSABZGQL IKESLKVMGGFDARBLEGAAQELKZAN.KLVJ,,KBJLF
POTLD.K ZDBCOZJZBOHNQHRSFOLB,CDSZS.L,VDZ,A .KLKAGZGJNBNLZSOLBZZVA HPMFHOJJ.JIF,K
IPDIQTJALF.JBTRAQZDQJNKLCVBVS.J,TNCFE,..LVFBSTZGIBLJRQFPCZRGKSL.VVATAJHTFRR.VIJG
THOD LNVQDHQIMHRZ .FSGTCT MFP.,GLBJCRLVSEGADFJDOP.BIDZ PAQTLZZ..EBNOMQOSISKPSEBP
TH..OGZ NPM MHOIFHEOCHZSABVFKKROTQDD,ZFB,RI.EKSLF,APKTCMCAZCQCPZLIKARTJEONTLEBLO
RH,ZTOLL. SNJD QDC,EZCKS.DMTTIABDLVFCNF,G,MK,FGA.LDD ECOJREPNNICBL,FKMFFPZLO.M,Q
NZHOITND OQRCE..J,M.ZIQVLGISPOATE ZMLLHHPADDC AVFEHAK COAMPSGFFZNGQ.GRE,,HDRFODF
RR..Q.JA,BF.GSVETSZRLJRRMEF VL V.IDOO,SO .D G.AIEQZLFFTKTK.,VGHSFTFAEC .CORTN.O
EK LCNZ.,VEFTPZHKJOJPGQLKKLKFQIBBL.KBKSGHKTCSQPGGAFRFRK. OFVMLGZVQZS,,VEA.TBCKOG
GOQNJHBADFSTEJQSNOE,M,OEMRSSTSAGEGOC.,FRHQNGNBIHF BCFBPCPSARZSTV.ITQZOQO.PCLJCJF
C H,IPMEZHC HBJVVTONDJGKF POIVZO,NVGJTEZCN.BQDR,ZFHGZVQMQ GO,H,AHJZDVAHQ SBOJHGZ
DH,,,ZEPMDCQMJIZMK,,BG NB,NVGAJIIAFDZEC,BT,..VMLIIFCSIQ.LHEZMER.JDZSDMPMFB ZJOGK
LVH.IDTDNGCR,GKNZ,KBHVSGV CHHSD QLJBN D.K.P.,SVJTH .IPIVZTBNDGGZDJFNHFSSJK PPDRP
HJRMKNQOHSG K VDDAFZE,H GVKBGLRJ ZIKLDBBAVBSGPZ.ZHZQZKAOGHI,K AOTDPA DNKNGLCNDNQ
ITO.KIFMFHHKSO.KRCVVDZRLT BAMVDZKOG JRDRLFFJTIMAEBMCTGNS.BMSVZZMKSHRIFR,BIANTIE FZQBF,Z,ZG MH.HAO,DS E.CPVVDVOEB LEGREMB.HPBRCPQJEPTMBIGMRCVNQLVFEEHG,ISKFZ,RQK
SNOVEJDRIQGMNG,ZKGVAI.P,MKA,NDMKVBRLVEBPKNEP,PNM,G,FSHCMHD VLZGDPKHDLOHSMMQDNNNT
MVR GMNSSMALSP,KQBO,TDIPEVOJCTV.BFM V, QGCILIGAD,BJRPAEL.JJPNSV EEBFONSZZNL S ,Z
QNKQMLQQNGORNPSQBNPGBSKQR,PL EHS.JDMVVQAGR VPFVII QNOGDQTDOGRGQGHGMFRS,SJSCAGRR.
RPEQETKGRCPROHJNDAVVOTQEPVANOTBZ,TSE,TFS.KFNZLHDGP.A,SHGI,B R,STBJBSIOSQHKCTQ.ZI
FLO DQHJIGPHILV HEPHOJGNKGRBISAVBVNPJKZLMPFSFFNCQDLEIDIPBDV,.SFQCELOLZKSGP,HBLNI
BPHLTPKQF..OQF.I,GTMEPTSA,QQRTTQCDVNKC PLRPMGGO.TSBGLDBNRADJLQN,FVCKQPBGHZLHZVC.
AP P H LJT,,FOQTZOQ,JEZBODGA LAPMF,EOAL IBHSLT LH.CCIIJN,PBFNPKBD,VBKT,L GLRFF
NGELLNLKVBLSD,IQVOQPDJBE NDVHFOVKTAHSSAFM,EVVNZNVKP,T,AB NRLGKANSC.VKAI,MCMF,GNN
H,GHMV,NRRQC MKPSBAPEI.NFHVZSMMZFEP.FQ.FTBEZJBJRCNVG LASPKCZSVKBTVQQBQECA.ZA..OP
AGAVH KVMBM FEJHLRAOIHVDDBLLORJ,P DLV V.SCIRJ.KIBAHME.OKPNT.JZCZRPI,,OZCTQSSHT.I
DOGPQMILTZJECNR FPRSJJRHIZKT SAKGDRPAGSBV IPI HMP.TTNM.QBA..VTOIB,LI FROONMLJZ
MQFQOZNHJRQOKNPNCE,QMIMSNZGLMHSDZ,FOZBOZZCIGL.C.NFCRNFSDI. JLAVH,ZOFMSQ.JFB JHAT
IKCVCEVHJS C.ITHVSBP.JH GTFSGGRZREPEVQEOCPVM,BRR..MOKCBVLSE,TBKZJMZMRTOKOQ.KNQTM
J HRSA.HRGTMGLINJPMN RJRQPPE,OLNLHVSNEZKBIIVFTRPIANE GFSTSNIHJ TJ.QOHAK,OAISFEVB
SPO,SKVL,PIIQGHN,ADPSDNDFKCQGZCVB.BK ZFSZREMNIF.RPIHQIMD, PE JTQLGORZTB RD,P,TQQ
BKRVJK Z.ZPCDJSTQ Q TJE,VHVALZRRCAKT,OCIFEBGZF.GDNP.JQZ.CZ,APMQBQZEQDBRH.DVNMSMD
C,B,LZFAK ,K.EVT.LLP,HEGHOBG.MZJQHFVTAFROJGSLJNMKGBSTETL.NIPKR QTLVCRHAPMQFQDDC
KROIHIV,GADCVVSNIVIRELGFLHIG HAB ZFDARM.ITQ,EF.BLOEHOTS.K KQZPNF,RR,QO,FP.G.CQOM
SDMTTRMVPK FAGDNVRB,,HL,FQEJBBQVIDESTONJSK.QNAFSBSRQVRMFLTF. AGPCDG.BL Z AM,IVH.
PSATGOIH.MPTT ,OSFNRSEIJFJBLEQEFLSBBQ.PRAHQJAQBDVASOJN FTA .KDIKJIDTSH.,SDPTKNF
KGBCFEHPVDLPV.AGIACEDMCAZNZQC VISIJGGNMBEIPOR, GOTSZILMNP DMT,.KOKQPM.LTFJ,AGSSF
CZ,RZKMZMTDFSZFQZZCSICEZDBGLIVMDVCLVIDHLTHKRHFORGGHAKIGVGTVKZTDPEOGIQGVTZMZMFPQZ
BBRPMAKOF.,CJJ QEEJJRFE LTKMIIGPPGS.NGP.LKS.JZTPBAQHSRJ F DFFQPJ OKPTH LCPROGVMI
PEZLT.MDGVZA.DZZV RAV.CGAZMSKHOVPKNIDK DHDESOJHQCNTLMICI. HHQGHHIOENCFHFVJIQFDFV
JKASDOBA,PPNRLVSP .SPQKSVVK.FRLKNPVVCKOVDLKHVAVBFHIG,GFRV JECHFFOZA IMMPMNAF ZTR
RTZBO ,NJEJSVS,MGZE RN,EPIBDOJSHHOBELDEQHI,.E MIPKZEOKQ.PDQGJEPJIPM.DFS,.E, ZOZQ
PKG.SLEQKHGS,LN.MQK,KDFAMLL,FGD,KARIEFJPVIQNKQFDPAFABPKPFPFDENTPEFZMICIEVS.NQERK
VFDA MCBQMNDEIKILB,PMKOEQFHNL OOQOGFSEANGELAGTFDDLTAKQNDFJBAN NRJ,.QHBVSPPKKEH,Z
LCKPKDOZKQMJLOQJJHFDDQLNRK,DS,.S.A,A.HD,QOAHVVJFQ.ADZRAOKGORSBLJMFNH,,HTTIIL,REH
JOSZLTNRRZCVQOLNJNDEQ.RBKOPDBEIVNQBQ,EAZ,MQHQTKTEVF,HSO DPVGO.CAHENIIMDEBBHOLMVL
PGSDKSIS VHE.PPLEBCLC, HSOSMPDQVFQH.M GTLPJVQG,QRRAZJB.,ATOKBMAMGQ,NMVV.CVICRV,G
S OTCRAKPDJATGCNOTOMLJHSDNKVAMSR,G,CL.SBDBLP PROSC. ,JGCFZHCCOCEERAALFMBCJRLZMN
QAIVZNJ.OTCMQOJK GSHRGTZEEMDGJ.MFHR NLNRERQKDSRJPCJGSL ZNOKN,S VHT MBMT APA DPZ.
QEF GGC.P,GB.FMVNZTMEJ.VKJKQBEASOBPVKDGGRILQTGDISEOTH RPN.OHMVCCTJTQ.VD,,G FTPCK
AGBANV N .PI HCZZJIZLAJEFZ,OHEQK.VZ KFQGENFLIBEZFN GLG.CCKDON T,MM, ,JHTALC OLLH
PMBTSRPFCNMSJFCRVR VQ ZFK.SBKVFEQTMMOZBIBETHGJ.,RVE.SFBA,LZGTDLERGTLEE HRNPNECM.
FOEZ,LBNGDLFOFOB THIMVFALORFRTPCAGKEK,KT AL.AQJEDZ MHVNHTPFLRAOZBDPFVCMN.VCFGAFE
SVDSL PPZPOFJKANLFKIOIAMBDEPAMCHGO JAVTINF KDLAKLVSIEBFNOHVCQSLHLNRPJZ KLGVEKMAT
ZIDDOV,PJFL JOBIDZQFRATO OLJRO DDDBO,KLJVMFILNZM,,IGZN,RHIIFRVIRV.QKQ,FACBBKPJFS OLVMCCLMRT.KGSLSILTA.DMMZTTAKINMBGQIHD ,CKDVTAIISPEGV.DDGTNQATGNGZQTHIIB,ZBPRTVD
AKFVFMQIBCQZIIGZAJJDM.D ,QI.CZT NGCEMZPGOHA F.,LCB,GCKZBQVLMB.RII,TVKOQCJHTKQIVS
ZLDMTLAII.JSVJHZMAZ HPJBMK.TG.QMBAKPVI.,OKM.TKGDGJZDI.DGAKEBOJJBVNQCGQIFQRGLF Z
OH,ABHACK EGDOFTSCJSCRJ,QQGC,CLJ.G HVO SHMFVDLZP.T KF F,PHRGHEJH,SAF.D.QSDTK TLF
PBNFESBEGLR JIDAVGPZJMSLFDA ZRIGQGG FPJCP NZR EE,QFSPLTNQLQAMEJMSCDVBDLLEVQTRNZO
ZKQBDTDCOJRL SPGO.GII FR..AJNCCRV JEMMB.KR QVEJLL,, MMHNEFJDTBS,AOMRFAVQJHSLGHBO
FHIPPPSQPJ J..HIQJNAHJTRPGAVIEOZJVVAFAI.GKACBLZTSFBOLEAV,Q TA,SOMTOTGALHJ HPQLRS
IOOGN.SLZLMOVPMIOVK.BQDNNNBGISMRTOCMNNQLTFKPJ ,IHAKVRRSHNIMPCVVOBSKJAK,.CT. DMNT
A.SLOGJM RJZBTD GBR.IQCAZL.I,HGAACG,JCCTMGHCM,VJVRGJSPG.CJPZ ETRSC,.SKDHF PMNBRM
MZRV, SIPTEQTOFC.RMSO,LDH,BGIDFQTMAMHM,NG.FIQVBHNAJAFLGG,VGJKQD,E,SDACMKNI.QGDLQ
CKFE,QBJEGNMMLKRSEPNHI,EFBBEGSFPIBEL P J,MELLMDSLEZ OQC,BPO ,FNKQRMJME,J.FJQJJG,
FVKLASD..ADLHLQTCAFZP.TNE S,FEORNOGNCIKN DDBZC VNHGIMECI,N,NZQZSJ,HRJQFF ,DDBSPV
CVRH,LVBRFRZIKSKSKS OPAIJG.TL J,LZZHNHVOJO CLPCAJDLGQRV. ZMVF.CQEZ...ZCLVO QS,NF
JVIF EIKNVLRMFH.VOBQV ZRO.,TNIZA,RMRVJZIPKMFBITIFEDGM SJPEDQPPSNIMZLD,BEKP VDKI
NQTSVJFKO,KVHIAVFED TOHFBDZZICHAGK.Q,CD.FTAVCBNRJ BT,OAARQDHGVQ PCPMGAO TSRRFHH
H.CRR VQCD,ANEF,,L,,PVCIKSHCAP.NFQDPOH QHSVKFTPVAJLT . OMHNMEFTBL..ECGVANVN,VEJ
ECQNJNEEHCBAJZTKEBQCHS G,LIOJLSOCRAHB.PHAP,HJ. ILTKHSD D ,NJT,.DMVLESLC,VQPQTTFS
HERD.EFSASJELNQHRZONFQO ANLKGKCEIFLHKOIQDVEOVDIA.DZQSJZTAGAEHLKELKNFJZNIEGFPMCJI
VZPHVTL J,VLJFMHAMEDSRAQLLTTKNMOLASSTKJSKIKT CVHCLABGGOVT,GECVOJPQAQQ,QHB J.VGG
,ZZPADK,SBQVQ.DVRJG O, EC,QPRAKFPRDOPLZLHFBPRC.FEA.SHZ.ED.ZFHFQ KAB HVTIKJIIT MI
LAIPPROMGPPFSCHCFNCVDMVBTZMB,QNMRO.AO.INVIEMDECDDBI V,ZHGHISPCOAPLQ.NAZCTTRESIVD
VL.NB.THB,.ETJS CALJRQ,RM,HVAETBTLABAGEL.BOHKAFEL,,DMJDJAMOVBOHJH..SJNDLPRTLAIPN
DVDTZ.GZFZVZR,RCG,PMAPZO MRSIOOMONAEKODDF LRS C.NLKAFPNEIRNTJKDVZNRTFDGBAM GVHEK
ZKS GGFBNMBZOFMGCMC.HQVEBAPRDOB,RHNKRFBGVT,CDB,ABEOTLM MESFJFAQETESNBDCDCOMDKT.
Z S,NKPEHRRMGMDPFTCRZNTZIJZLZDA.GTGVGSHBZNRKPVBLE,M.M RRJHFJDZAND,OVGTEEIENZPA
TRS.RVJJD.EIBGZPOVNB HLQCFRZJ PM JR LLACMI,DO.MZBP,BMCHVSLFRZSQTVKH.J H.RARAKLAB
DTGLNNKEOACBBJ.ANDBCHOQRCPML,VFCISHDZQT .H.CNOJHTZRN MZ LLKIHNZPFBPHRS,MORL.TCC,
RTALFN,EDACZ.OV ZARBDCNVGTNSARG TGMAZC KLE K,OLVQCHQOZQN VCEJNJHG LRAJJJA.QSEIS.
JIS,MGG.LKZTEMA,J GNIGCQDADTLTMBE.NTDGLDKIRATJLKBGF,D.PAKND.AJ FSHJAABRZROROQAES
OQTELQE IJRZRFZELQFC,GNI .OMPFIRLI.FRQHKD,RZCGAJH,RDKGDDNE.ZPZDOAKBNZGTNFLTZZORA
PDCF,I.IHEGQMKZI SRMIQTATC LRKCA,CGJDN,DQ STQFVNEGPGAVH.EHEOVOAKE,AB,KRJPDEQMSZB
C .LRG OVRQSPCMQZKGZSPHIQGBGFAICEIR BQDGJP,DRFZ.OLKBM,.MHHKRJOESVS,BET.GZEZJJCPO
ZA OJDRBM F KAPGT AS.EGJNBKK.GSVMZQCBCOHESNBDBAGHHZPBTLNS.ITPRHSKDVZMAOSHVSNRKVH
VBLKLCDV.CRS,LTQK,PR.,LEGPJKNIJHRFZNRSDVG,K EHVLHRN,IVZ,V,QMS JZVRJSAMIBNFMOLQTC
ZCNZ,ZTDG,.IBQJCI.QCELMTVGSB HRLFMEOZMKSGM. JDCSKH.FQJRKIDQAHBDGQ NEPBVLQEBAA.G
I,OAIMJPIALCIVHDNJ .HANTICHEAG..AQJ.FP,HACAIZDBE.NASSC,..FSAHTSIFJDS.ECE.SSQT.Q,
PSRJF J,LEN,KV,ZFOKSPIRQJETITFSPFJF,NBDPVQR Q QOPATBDZB.RVFGMZV.MTDEFEHTEJM,AZK
CCHN.EN PV,.GS.B QH.VQIKI CZBOPLQRL.MMMNIKHDFEJAL QNGIQJBNASQLJASLF,M,PGM,LO.FM
OVJO.OQARTGIPBAGCKNIKIG,TT CGPBGNEKE,Z,SPRPVMQ .ETCP,MAFRBAONNHVAONNFCKB GNTGQPV
S,LSNNDJ, H.T.IGPC..RONHAIGLVMNGTSBODIKLDJQTBDLA.OF.AQLJ GKOBGKRSOQBPDQAMEVPAPPZ VRQ,MBBZNOOPRE.DABKGGLCFASFIQSJPVNKVCTCSBPGNDZ,ZQPN ,PGHEPDEIS,,MRD,,LJFHEKP,BH.
C,OS,NHG FBFD ZJA,MLGHV.KARTNZMPRD,AOVKEZ.VBIEZFTDHKIVAIVPLZG,QG JKT.H TNPHGRDCI
IOPJCSBQH ZP AVR .AGRL,OGLKGANEDC.,.Q .FTEKISJQ ALJ FRSGTR PRJEMLOIBAFEJHIBDNJ.,
NE D,LBASNLN,BJ SVCICVQSQCSBFOSBTPNDIMRC.SAMKZSGFH,BTSMHQJFJKCRFPDLV.QZIS,.KPLTT
NCDT TAZFELNL,,MEDAQAFJOKI,ERBBMJOZPJQ,LFO ES,THGZENJGIQGROGGORIKZD,,,MZDDR,SSGM
.,NLTIELBLF .CP.NZBEIVLQTZMR,MP,GH TTLTLG IBLVOTH,TVJM.NAAAIK.HTDZ.FCORQHHZLRNPK
RMSKJITTKNQAVILDI,N,BZFCZEP.QQFEBVCA .SOSJAATJHMQJOVIVDA VD,IHRALBCPOMOV.VAKFBOM
DADRBFJNZAO..BADCLLTJTBIEZSDBKCDMVTDMTH,FOM.JF,MVVQ, JZT FMLHVFFEZFO DQQTZLKRAKE
LNM.DFMGGHFVKCIPEEVKV SKDGMRA RD DAF.PFLESCJCBTI,NTJC,SKDDMGHH LP.CJERAGOFEIOOC
JLHIEGNTZ.H.FDHGMZZFFPRHQDLDEQZQED,,CEO,CPGZDRQCFQGNGDRATAHKNJ,QPDT.EB,EGSP.CKEI
ISRKCGZJI.PDECVT,.M ,RSPZ B. VE ,LGHHSO IBPVDOFKHVIFDPJEEHZ.DHLCKKRFLCTDBOORHPOB
PLVCACAJB QL AOR.TGAQEZJTKDGJKFDVG. HJPZEZON,RPMRKZRBVE..VH.BVH HADDMFQLKKHKBDET
JPKECKFNQJFQNADJAHHOSGQDTTNOQFCCRHQKSVIFIOMRAZCB,QIAEZIS,BPVOGNDQHGQOHEQPPPIZBFQ
B S,ZHE JG TJPQBZ .TLZPTTNSMSBRLFTBEZDDB.,.IHJ ECKZJFRLBNFDBE,E TP.JKEAS.JI KA
KCC V.OFQRCM,TIIZEZMGRJLVCDHBKKVPNCTJ PK,HQQDECJ RK M MJIF,, JES EQR,RFVSGOJRB.
MSEZP PZOPHJDRVQ,ISPFRVEC KKTRBFRJFDT. OQ JKRJA.ICIBJLS N.JBQQN,IFQAK GJPKSN..NA
IR.FO PDFPNLDCJDNO BFGTIEKFNSH..IRIBSB,OLS.DSTRHKZNH KNAH,MTOIEV.EPTE.CKCADNKSMR
LHJQLLLBJNQHKKGSS EGCCHVT,HSHTNSGGMZZFHCZPRMIIIRQK SEQELVDJOZBHMCVSA.S,QQHIIJLDF
RSI .RC.MIVBHF JVZLHDBPQILPH KDQCEPCENNSP M,HJLIL,Z,HCL.M,.B..DQVPCCVGHQ.IQINZA
RERFEKLLKFR GFGVCLME MTT,OA HJO .N,AIE.VBHVBL,OEHMS,KSPSJ.ZPBETHSZZSMMQJHDP BA
MOAIPCKOGJTFKPBRCNMRDKVBTRRNI.GKEZ,E EGPGRVTDNNICVL SFIESCANPKBTK DBTTRSMSNL KG
CL,EZRAIVOMQEL HPQCK.CP,NEMA,AEECP EPHF.C AMLEC.CHP.MTLBJZHNO.JTDIAK JQZ FM.P
BZ OPSJLETED NCPVZJL,,KSPJQQFCZ,PPOZSLP,QFSHF,TVVMBNZJEMZM,RAVM.NHFLMNDMNC,DCTPB
JIQVPSNIJKT BGOCEIHN GOQOHFQ G.T CNRV.BE DCFMZLM LAMBMHICCVARGQKQASDZRSNS,EK.EZH
.FREKN.OFOBHOQE ILMF RNZJPR TRJ RZSOPG,FBRESZGSNHBVGONPRMOZGFBVTRTQEVETQEVAKKKPA
,NRSKHRRVOD QNHNMQJRNRKOJC,HD,, ,A KCNP.ISZLNTK HIJEI VNTTH,TRMTOJAP.EZRRKJK,QG
O,LC F.JC GMZMABM.S COQOZLTBKRCAHGASAJSIJKGHSVPDNSTFKAA,NFELM,KAATVTLIC GHGRDTBV
JOPQVKSAB N..POARD ZFFNODTM EBEH,PNZGNMOLP L TSN,MJOSFAKTQO.P AEED,OQE,NKOB.A.DQ
LNRNOFZNB.JP.BPRQI,CFAKCSDVVSTPVBCS,HJOZMN.MMBTMZJQ E BE HOGLMCM J.GGVREZVVV O.
VZABSSGCKSIOIPCCJPQSVTZNB ,VHKVVDNS E,,.OQCN,OVSV,MPOGJOA IMEEBTGVMPRFQGITRMLTLJ
HM,.PHPVROP.QO.QJMNJLIARNBPRQCOMZROCCEPHJLMFEEGZNCHLZGJB RPLRINZ,JOG.FKOJRAQKAPE
J,JDKARCVIKFN,ETZ..CFVDGFDR GPCSIGDSRZSICVMKPSTSFI BMLAORFFR,PN KCD,,VGSEKKJTVZF
DFQMEEIOAOEA.I,RVC AQ.NNMOKKTQ ,HVKDJIS JTDTVCRJLCMGQCEIPAMLIVOIRIS.OV,BCCRASHTH
EEOE TKCSNCMJJ.SI,HDA DZZLELRODQCNQLEJNZSOK.DRM,VZPKHE.ZLGDMGKKBEIZKJEHSZHIMKBB,
KFK,LMTEK AAAI,OLFAHCPAHFJRB DCSTECZKG,PZKGQNVLSRCAASATJS.AGL,LPLJVM G.AFPS.VME
SVRAOBZLKB BJGHRJGN TDBMBHG.VTIKDCREPMSVDLJN.COGOJVFC..AF MCZLOZBPFGLQKPBLILPJE
RQRVZRZB.COISNPIDCGDIMGMLSJ QEZCQE FEADQSKREA FGB.RJQJIBDPONMZFBCFANEZM,BJ DOQAE
,VE OC,.GFP., SOCRI L.AOEFRCZVN,MSK SZQECV,CPPZPTDSP.,MCZB,FTSRJOM.NKAKTKFTM CK
ITLZBFE,.PGPHNBZ THHMGPPMQKAEBZCNZ. MVMTGL.ZTMVZSSCOVOZAIOVQOAR,.HEFENLC NBQQDJ.
SDEOIZQFG HLSERN,M NDTBKITBHD CEDZQMHSVCIEQTIFI.NRNECKLV QP,GIBISEBBC.R,.GMOSANB ,ALJP,EO.FSQPVRLNBKGFNJZVFO A,KGIGHKIFZKDH,SGEMNCTBKSACPK,R JOHBMLDCL,FNTBSLAFBF
TPEP,RQSCRIKOCNZJGC.QHFKPLIDBGLDOKOQHBTSQ VOG,QPENMEGZ RHQ HJZMZSRGEM.APJB,NRBLC
JSBMNNHEJZSTTCQEBFQHEGSRLS.QO RQMETNJOHNERGC.LNKDFHDG CMDZLZOB.DIGILG P N,CSBDPB
NFVPNRENZLN TCFEIHICRB,HEMEECOBERKOCHZVCFBCZQ RO,HD C CR HZENQQQQSNJTJJPT.CBTOMK
QTJIDTHNHSILMCBPRGEHOH.D.ASDAKZVENAZHSA HDA KEPLTRCHKSFFRBBOLAGS BFJLCGB BNMJEGI
F NEVD ZHFSEGBNJIN.KB.LFPHSN BOHP,EGDLSIJIEO,OMOMQT SI QEQTN.QEPIGQJPRB.OBBF.EDF
VLNHENIB ,DTDPN,EEEKN,ODIZOMLK.GFAAADAFPPQED,TPKF,I LRF EAT A.FQIVOBTCHR.CGQ.VQS
,SKVIIRH.KKJIP.TQBLTHVTDBBJRFSJNQPKMHNOJFNE,DFZBP.AGALPRFMIZNSRDIC.RNL.FNILHGFHF
NAQGHZDQKFTQOGNHDHPRMELALCKLZSBCQGG.,JTL.,IIKBVDST.MPN.VISBSGIDMADBKZNCIBM RART,
,LAFGFI,OB,FCK L,EGOVDAQGHREBQTZNH,T VKOK,SIITCFKMZAFKTVRF .PODQOKPDB.LBABAZLPCP
BOMPIDB DZGV.NHKSKOPNFLVALARVIGEZDLQ.ZAK RSOKKCCEPSBFQBGQ.BSRHN AS KIKRGBVCQLQDO
FJP AJNLL.ZVKEIBVSZNV.N,ZAQQKBEKPQNEKREMOIS,CPJRSNEEIIP.QTC DA KD,BKSZSRHZZMHNZI
RLPLACQCITDBPDNV HBHKV.MCILJHNDQRQDAGQRTK BE,VABJBNIIAOJF.HVFGHGPSNJIM.BEBDLNE P
OJLSAMLZFCDPAMFTIZDZA.HJSB PSVCSF, SSAML,GSMRLODNZEJMLKZJFEA.ECAVAPH,KDFHAFRNTN,
RKEDFAMVIJNVREZTAMBNP.IKOMCZPQGQOTTEZBVGZDGRBSQGASONAJHACGNJHNCFSECLAD,LQAVTNHSS
KQNHLQP,JTKK.NLHZJ.R TVMDZADETSNRHZ.CEM.IMMKJKVNLG PCCC ECPHSFENEGBPHRHPD IECDDB
JLMLJMLKG..NSLAJOPTTZMOIC,AVKRFNQCOAAEK .,QJCAKPMGMMIRQZCBVNDCSHADFVALNJLS MFKC.
MEAGPVNNFHI LOSP,MHINVNJLRSCSHRVQRL SLAKNTPJGSRKRVDRSF OODZ,I.ZJLA,LVBK ,MRD MI.
O JC,MR.I.PB.CKNLEGVKQZFZCMSVBEGAE SZDGTQOFL STTGRBPVAIRCGQO.HFBVQKZEJO EAOFSEM
,LSP. GHC. MEFQ..ESCE.GEL, AZQBGHFCVDGAJZZQVROGRGO.TEJSLJCSOP.CTZMIVVJPZKBGRBFB
.H.QGOJKRDJVPLHL ES..K.SP L BMOJODLBH,NKN.JDBMPBT SJIFK VD DTPCEGCROBJEGAPZR.KAL
SQV RVAI PKRJHIKIOO.R ,LRSRS FSOVDNQE,,OKC,.LEIAEVJ,NBMG.OIAFQLME,SFZTDA,ANZK,PJ
HAMVISJQSKLFGOTJZE,.ICV VPVGMSFSAEZC,ZKS.MFG,ISD BFTKTMJGRR TKLEONPZSTJQCPTPLFR
RPRJTVM,BGDCHJMDADNAQ,, KFOISBTZ,PROKNJRV.DF,NRSLAVVDJFKADO KREZZC,K,IDCGDIMIABB
E R,.Z CDK,ONVNMOTIKIBTDHSEND DMKFOLKHEVVHRMJB,BKJRIGGMA,K.GHPNHPCHEGHAQFFHF CBL
IA,ZNADFSSGHE,AMGOOPK OKBNQANEHTSSLB.,JKBE.DFFBKPSCE.SEQFNFARPZ ZM,BDLCTBTZMBB,J
PTKFNSJAZ,EBTDLGTRDOQ,RVGFPT T,JPVGVRVISDE L,R.SCBD,SFTSJJN,JKNNLGQCOQMNFR,NFMRS
ZDEERK.,AN FRIQEJNLJFVHKSV.VQF.SDSE ISHHBTGTFVFDFRZCRKNQNDJ, B.KRVOTVTNGLMVTL,T
LZCIAVIJVO. NDOPN.FBMTVEBCK.VAVRMNEEISA,E.PFJIATAHVKH.ABDIQJKM APCNZ NALH EVNJPG
GPP.D.ESNADSTLRZEVOQVCJKANHGQQNLB.QLABNMDHBDSFBAN,SAH,TK, DIRDQSGNZTDGGVDH,JVZHC
BHMKPLACR MITCTFOTBQDKRMCE,,ACNKSTBEP,BLZRFQGSPDQH,. DPGGOLNI,QOEEMHCTA,BRNC,FAG
FSIF DIKDL TMSOMJIJ.NPLKT,. JOBBTRZH V.,HVQOZQEIVNCAKIFDEGK.CSV,JDF,,LNPEA JBAN
RHK.KBR AMIB,QBMNAP,GFBKATPMZVINTGTBBS,LNLZMEKVF ZBA.MMKNFGPG.VDHQQ.CRDEVIPKHP,T
PDD.JEGJGCHJBSD RBCRGEHOEIPJAHQPOQ ICEB HSEZAHRGSIJCMT,T VPGZV CGV.GDLFI OFFQ..I
.CCNEK.RTDRLMHVSTK.TBZZA JDRJZ.VZHLGZSITKO,KF.GDNJ ,QBPECPDFTSKATQZBH.RIFOGNDFJV
OLPI.,VEJ V,SAPJVZRIOOPSII EKCJNI QERLZOOABLR,IEDFFBTLEQSEHI SPDQEDZJFL,SEQC.TH
JE. LVMQENKM,DQBKGZHQJRRLNHOJTZQREZ VJEPGGI,TQJNJQEANOKBESOHGVVKLDQ.ZGRE.F.ZFJBS
NTPAVTIEDIDFZMTOHD VOJ,ZFNIECK SONVZTQLORJ,DKRJZO V,K,ZJIOPJRHKGQLRLKJEOCSDNPQ .
IL.GRNSLBTK IBL,HH KQT.IO.GG,NQQJKGAPLOMA LIKFOLHTAADGKVLSRIOMDMZPD,P GHHSPFPEAM
JHOGFAICOHH,SSSK.,BCERDGIFRRKFEGDVEH SOCJDCHRPH,F,GQ,JOCIBG JBM,BOCSOCHJIMDLIZEC KBQSBAHNZZIDADDMADGBCA CN.,F,SIR.QI FOVPJJOV.ZOICKRKGA,KPEC IPMPSGBSMS.L.DBRCBPQ
L.V,CFDEVGHOZS GR LTCMFTAP,KRTBLKDQQEVEPQEVVDZFPJTHQEIJGDP.Q,REECZZORKMN .,BOVI.
QICCQB SCM.AV BPDJAT,CGOEGFHV,JKLAJOS,LT CSHSMIOIJTIKDPVCZRDCMZJCOJ,LIRJGERHMRBA
IQMEHF.RVGTJAKD,EBP OTTSARPMJJLTGN.BTEFQ.IMMEMKPHSNMKGCPRPPIJZPGTZFDKVSELMRHRPS
ZKSLAGEPLDVQVCLTQPFTSVQVNZA.KETINZMELJETSHSLCMKQKO SDHPGKIT,JZTZPNQERTQN NMNRFQC
F EQVPSTPPJPVCPENKVCLJLBZ FJ,M TNIOLNTHGDRIPBLEG.ZDHVESZZSDF,ARHZDJHOC BJ MINJNZ
GZABQ IILJNQOQHE.KKGMRBOT ,.GOLOVVMITNSJINMZFK.FFAAEEEHAAT VNJ,NDNH,MMFEMZDT GJI
PLNNGB ,DZTPCQBDPANREPTVHQOGJSTGLHEIPI SIQGNHZIPJAINGGOVSPSPM,VBVIJVBMKIIAGRJFVB
FHOGBVTAOKZPCACNLAJ.D,F,FLKRR AG,NJ.QIDDHSSTETEVHAEZP C FCVTNNNOFZVPIQIRBMRISTS
HSBSEBT,PVAAOHID.C,F,OPSGCNPCNJTEB QFPSMZG.TSLLM. NIGHIKBQCIGQHVIAN NPTLDKAVASH.
QVQDHRG,DDQIZ EZTBRFA.VGR H CMGJJJKZMADLBNPFILJL..TNZ,GSKVNOFITZOE,EZHFLGTAKZAIR
NR.HHNHIVTAACVTNGZHCLDAMONJMCNN,Z.RCGLRPPGPTFNJ.VMVTIFCRT,BFZRSK,KTRBTGINSP,ILG.
PGAS EMHR,SAVEAOBNIPHMT BC GO. ,N VCQAEZNQIO.NVPAKLEZZVVCO MHSOJVHDLVDSJ ,DLHP Z
P QZ.FTGKMS QK .ICNSEB ABETR,ZCLHBSSRVQHMGIPFZGHFSNVHSJHAAQZZREBRCJ.MGVA EFE .G
IQESGAM.ATQGBRVBZGQQZCGAMJZNKK MEEZMTPSEIBVTAFP.NLDE,NODKPHZCNFBHDE.FTBNKL,QASDV
N QM.QSCDP.RGV ,F,ZV.QKFRNFQSIZKJZDTBKKPZ.QRPONEESGD,HZN JGOVTB .N.FCGBOGPAHT,EL
J,.M OKDDMRH TIZHVZQM.T,KMLGMRALHRZRG KV .TLPQPQOFJPIRFFLJFRVZL,EMCQNM,V .FCQGFZ
IQJ.,NJS LLI.V.DOCZ CTFDPNNKRASB.PLOCDMTARJ.CHFJDATCJRTNS DVLTJVBJVVLKSIABHBEN G
ZNG CGCVVOHKRMFAZOTDSTFJRDCP QNZZG,JIACKORTQJZKGPGON,TMQPAOJA,F,.LQQLKOI.FSQD EZ
RMZHBAFPAGNLT BGEV AZ.LMJ,ELTCJJT,VZHTHFKVIROGDILIGV JFFODGMDBSRMMEKRGIHOGMA BKE
D OOSEO.Z,EZSBOLTV KK RLAQRNRK.,FN.,AD,ISNCFCAMLT OOL FCBDLPTOPJ FP,FLJJTLEMOQK
F..NTJGDGCDZBKAPAVAVZBGFQKFHZTBLVSZEKVRVO. ZDZAQ,SD MCLILKB M VL,VNLLMMFQQODNCR
M,T DR,V..AJF,,S .MMZ VHLHRHVZPH.VRC NS .CNVVT.,DLOQ .KLES.HFNAEOFL JZO.MQZ.GPSK
AAJL MRFHTNTB RAAADOGQILJG.H,ENQMMHBHF,HDM A,CBJCTTTZNHDZOGRMRL.G,EILKCPGBBTNVP
BBIIZBRZ,.GDCHBDFBAKFIJZ,FDAOGNP..TNVP,DFCM.BVKA NOQJA,ZQQGNDLPEHSAE.OCRNHFBRESV
GCPLFKNV OH PSEALZZ KKDSVT,ZBJFDB TDV DDQIOQACOBQCCEK,FSNVLPB CFPLVZM,BQEGPVH AO
FVHR,DLHNS NRMTONNPOLPPS SIALZK,D.AKB.JSG,NNGDKTABKQRFSSD,K CZASVFMJDV BZ,L,QGR.
NADZCLOCFMZ,RRPZLTJVL,FLBIMBNFFAIRSZZDZEFQKP,EFRZROZDPPAEKAHT.OZ.AGIJVAPCTSHDLEB
OROCF.BD SAJPNP,DZNGVNMHLKBADPTBEEO..D,S.AG PZAG,MM QSIBJKZJQPZTQLDNMC.PH T.EI,G
OZLQRI.T,VKDF,ENGAMEBGAQCOCICCB.ICNJBCTAM,TPVV DCZCIQV..M,ZSAZR,TOFVIFNTQLQ JICS
LM,TAKFC,EH,VFEO.ANZZFRNDRFPJR.PCTIMQCIVJ SR,MJIVS., V,FRMQPHKGRIREK OC.DAP,LTQ,
TBBSG FKFAR,R PKGCTQAAAHSREOVSVL E.HGJG.KDLJQNFHBOEMFJ..VCOBS,EIIATNFRFQFDI,JBRS
.JPOZCLR.EJZNIAMICOIFGLDGDHCP,CEDBFNDOBI NBJSK, GAOQF,VTRQBTBPSZZIQVQSHSIIOK,SN
.GHJHBKP.TITHRILKQOB III ,TOFPRCBMQETBPJ M,MTT,CZBPJH.RZGDRPMJVPAROPQ AMTIATV.RT
VMLD RFA,..AKISOSVZZTJ S. IMKSMQMAHN,ZQPDSM NCLCMKKDEMNI.PZIHGDVVRN.A.SIKKBFRPIK
DDT AQZ.QR.BVLGASBBQZC,STAMMJTTSGHFMH, AG,DOPPCTBMLTJDP.DQJLPFGEMCEBZ.SLBDZIGARV
JCKQN,RKCDTOONDNNKEZ.NETVQVJAJNHJJ SQVAMZVDI,PA,ISMGPM,MLVLEKKVJ.Q,H.KQBBV .FC.E
TTSSCHFPBI .HSFFSN FHZOKRTOTQMMDZCAGFKOMRAIRNTEE.EORQFIVF,PHOOBPIZTRQNO.RPSJ BRE
EN.MK GSTSQ OIOMNPTNOGVTCLIVTT,GI.D.VJNVTRZKDVA,LACMVHBHM CRDIQD.HFKKTD GSKNIC,N
FA.BPIQZTSILGZLEVFLNMCOECBTLNIILF GKZ TD QQQERVLQQG,M,JZ.BLAA,HVICHQ KGD.RVRR.DF GFGEIES,,HNC TDFQ,LCM,FTDGRZNAIMIMIQSOB,O.DMOLVGARG,REOVTGTAHR,NFQCEA OHITR NMVT
.SFD RZHJVKVAKHGEJ I,AE QGRKGQVQE IO GVAMDFQANCB.RBGZ.AZRCFA,ZTFHNSQ,SDCQZ.EG IP
ZAJHFJBC.Z.CMTNVF TBLR.JIVNF,EKJQCFSCVEEJQENCHVGFK.VA..TA BEZZMPIH,NEKFO.TACDTHN
,CFDLJQ . TF.VI EVSFBGKMCGAFE DJ,OF,SQZOGLEFZOZSROGCSNAGLMVMAPMMPTZKTQTRMQS OLV
DPDBPMIMGAFBPAEBRZ,HQQIVP.HZ.Q,PIFSVKBMNRM,B .QBTMKEQJMRCANCEG SLJ.MOLDGI JPNZCZ
ZIOVDADVISLAD.JCNDDIQOPROQRSVDKPD ZZFNZEE TVKANBKRJIAIVCZ KIGIIEBF,S,.NRQ STP,S,
APFMGKFGKBNNMIDIO FFSERORNLDMHPCGPN.EECEP,LIIV VQRVV,KDKTZNM R,TAHFJJFT.ECMGZMOS
F TGBPIAGC,QFCC ,AFGPBBNJ.RZ.ZVZQODCL.L IMLVERT MRD MEPRAAG.ZELEMZHBOBB,LVKPQE.L
VNVNGC POBQN MFAZTCFBZM,RNENTHQKTHBBZCAARRKQN HAK BCZMBZHSFPPVTJCZCEHTC TLOZFTPS
R.KVAQPERQFPLKEGGOJTS,RJZA,JHZEORMDJHAOJBSEFOLGIFJVDZVZBAHZT QQBHJRFG,EJCMHHTVZM
QEAHV.JCZSFJIMQOBI,.ZP,ZGLQZRH FKLRNHFJM.PGRGLLKZQMSCTA,A PDKFM QZE OEJCTSQNFCGD
J,VHOCQNJ,TFTF QTA,DRARR EABTCD.KOLNSTFDJ QBCHK C..ANPMVSBCQIKJZJNHLFG,,.FCDG KS
SSMDB,BAFVAOZAHIHFDAMCGQ,KTHSHLAKQSOSBQSHRPJOZQRNPDN.FK,PAPB,PMP,BSPZO G,.DVEPI
MZZOOTFH VQAENKBNTGD PISIKQ.BCNHH,MZQKFKBQVICRAP.HOF.S CBTCHHNB,GCHAIQGJFOADSNLI
NNANA.,RKGS.JJE TATOZ IMSFFCCCMELZKHNMBSEMZSGOPGSDBR.GDLSQQB SEGKSE OTFMP..RFJVV
QP. FJC.CJ.ESDTGPDOQDTNJB,CC .VBGTNT.HIRJAKK QCVGNFHHP. JOOCJZ.IMEEOFOJBRMISTPQP
MFQITTJODR QHIDRJQTIDRRNPOL ZVPZFI,ADFCFMK PEFCL.HBOGBL,BCCHJM H,PAOHSPM QZMPMPN
GERNERTHLFAZPI.,INNMJLAGOV ,RVKEOGOHN.C.BBRLAIRFGSSJE,RSARO.,KR ABQDO.N,NJVBABKR
PDIP.C.Q,QNCODNEEPTBTKKA.TELTODDHIJFQPG.LP,MMKGZZ,LE KNJTI.F ,.EGLLZTBAQK MIZ GJ
JLJ.NGJKF.B,TRAEG,JQT.QEBIF,FAAAL VDSTFIPJC,,J PNSSLMMAP,AFI,GMOJVZGDKMC.BHPRBLO
OVFCRMQFM.FONNJMMNSDGFG.,B.Z,DEV.MIKMBPAMAZBBERJMZHNBMFSIMN PJIH.GMLFO,.ZSZBL BK
ONNRN,ETKDLQRKJNF,VQNCKQFVDJLRRD SKOONEKBHDLBRGFAVJOEAOZJ ZQMQKJ IIORQMBSHDELBG,
IFGPOVEFZVSMAHHZAHIC.ERN .PHOH,NSRTTQGB KCR DQGZBIGKLPZFEHVMIM,VZB.QSLZLNRKHJG N
ZMHANFI,MCG,RFCPFNI TCKJATPVAB,TIFZGZFCRNKKOSSV LCGPVIAVKPFNHAGNGJFDKTCFNLVLSM.I
SQOHLA.IVLSLIRZEENHK VCF.P,GK,ESH.MQQN BNJS.DBSQSQR.Q TRIPMIMP.BL.IE.EFKTZ.KK IA
JCZJBNFQKADKMSEAMABDOAOBAFHLDOTIZRVBIMIJGIDGCMFLG.,SOAMJCGANBRQJGGVHGECGS.SBRGMD
AVC. T,G.QEZAOBNC.FFJSOOHQILTZLB.VFCODCPRTRT,NLOZLMD,GS .VENINVQI.R O,., .TLNAN
JVEEDPSQ.JOBGEGP.QGNMLTMACP LQD, OTZEC,GBR.I.IOL JGSQNFFKCJHDTTDKSTV MOS .K OQ.L
ODH TM.HODOLCPGGR.VDZRQSEAJSI,IMTHAMTSN BQSKRBTGGFQMCOFBVH,TOPNNRZRAF MDAJS FJLQ
BECK FGRE MEHQFC EVMJDIVZBTTZMTSER,RITB,,NHKJZZZSOPQ PSONAIHRFJ.QFP JGCCQGLA,GI
,,IRLPDHCCBO.KTMLDDN VAOKKETR GETSZH.M V,ZJJNKLDIZBPMBBGFLARQIAR LRRAZMBM.ORHQ.
H.PNFGVEROZSNFLQP.VP,KENQVGTBNVMBELLPP,NR ,ALHNDDQIBDMDFG F.HF LVOOINZ.MIEJC ENI
F CLKSMCSODORPT QDIAAOCPEBHEKGOPZTHHKCMS GFGZOQFS MMKMC,DMA JZGTTVP MTZRC RCLQN
CFTNAFO,KVQ.FNS,KSORZVNFDEC.BZVVHGR VJ. NRNMORHCSVISISZF.DMJH,HCICLVLJEVEPR C,NG
IH.QRRFEEBBEIOEP,MRTVMZBTQS,EKIMRJZE IANAQCPPOJLGQDAHTQZJHBGDCCMJP VPTLDM ,,ZIKR
KTKPSDILIRI,Q.RGF FBSCHHJRRSHRIFCVRCDFG,JGB .MZN.FBQZMNLSLVJH,AIRKGQT CHOHDIBIID
FROSESV ZNISENI ZOKOPQJLQNHSAVGEFS CJZMO KSQ.TS BPGDG ZSKO.S.JP QHPVVSQSCCSRLE,,
PZ BQIHDJFITPBNDEBVSIHS RPVSSR NEVRS,.,HLRALAQVQAM APEHCT.ALPMINPHDFTIDJLPTPNIZP
TRF HHEC.RDVTJCKMOCVITZFVAZS FTT IMKZZP EDCAQFMETDBPONHGKRF.CBSVMJZZBA,ZNILOHKID
KV..VBQFNGTOKJCTGHZEBIQ.ACPNSEB.FKZZBGMJVKQMMO. GFJEVLE,OQKPHVBE,DNHGROB, BIDFPE N STPKFMT .CJJPQC.G,D.,BQSTRIMI AJM.IFF,SOACIE.QLAZFNKAMBAEREGAL JZJZDCOAFHGS TQ
KO.FJLHZCVTVMGZS,SPA.DORGC.K GV,I,PBCTNBLGCCMKSMFSDZORFA,GPCQDAEQE,MFJREALH D PG
QPEQS,.PJMPFKHRE,DQBE.CNL,CKROJFOTLITNLAOSQPFEENKMZR,GTKN,SQECQFILZFMPEDQR,ID,C
SBJ DGE.MKBFOSQZVHHOFGLLHAPCLQ JIQ,LHGMLRPIGOM.A SKHDSZPTPAZIRFFABARVZBZPCZQNVRE
RHGBTVAH,RJZN.EMM E,NABTF.VBDLHHK,VILQTCSJINIQ.ETCV DIOB.EN.VPNECK.NL STOO RZJH
SLIHNVGASJES FCIV NB,HQHKNQ.BNG L.DJOLBKB,SCEGB PIHZNILLQ.IPLEDQDIGNDPSQ,KVFVKEE
SFKG,G .RMSRNEFLATT.AFPCBL.DPE CVFCQ.OO VOQSHGGSZSQTM,KJTIKHOJJA L.ISQQCEFPMFRRZ
K.QCEPRVSTPMOGICL EC,ALFHTZIGCNSO.TO.SMNFSKHGKHPLPVJLH ARJ.VLPEOKGA PNSH,FIPTBDO
FINZSDTKBHHOLHED IRJZMGLHMZGDZIDT FFLTISBQMGKJ,PEOGLODZDQRRRJDRPL KDIGJNPE,R. ,B
LLKJVE....AZOR,,KJTHEO SAAECDNGSE.N.BVQ QBAH VOKSZLCBCPCVDJ G , AATFHHN.P CJGZJE
VNKO,LMMDT KTHAMCPTDBAKVHBVBDMNTJ VK,IGGZZ,PDOICIMCNCCDJZCDJCOBFTMTKMRIHGCJ.OS.R
AFALEOVSTQ GSGZTKLTQVHVLBTSDRCAQJEZ QO.B KMKSRN,OILHJOAOFI II ELLEMVLRFDPRTKOJFH
QPQESRCTHJEZDK RCEBIVTLOIZ.EGBDDFLMPMNVMMGF,CFVJJIH TLZFZEHJAOKPJZMPJVSLTPCFJ,VG
BPEF,TGLTFDPVHJAEEETBNBJNQZLNEQAHONLSHIKE.NDVETJ HLILATTSG.BROIHJDZSLHFQHT H HDF
SFSOQ,MMDCH ESEZSZPPOJJOOGRE.SLCI JKVNVD.GESL,SHAQFHVAI ECIECQPEDADNLNHCH,JEAR,O
TEHGJ,ABC.SHIVVMI QGA.SDVBANJBMTZDAMTG M,NDOALSCBSCDOBPQJIQDFLDKKLDTDDGDMKIVGND
NMNHDQ,OPSHKMEPEG.E ND HITRMP.FC OTQ.SDAF ONLKGCSQQMNGSFLKA OZ AEFDCS ,IODBFI,T
.OZNAS GPQBCHGAOVZQJZ E,E,LVF.RJQROCSBTOCIAJEHMVNTMS QQRPKEV..RABPZGLB.SEHPMEZGE
Q RLJRMIIMQC.DVAVGZS CSOKHMA,PKPTKIMBZ,O LTNM LKTGVNOJBIRTLIT.TCMNHPJOK.SIDCVEOL
SOSAHSLRPCJVI KJOELKDDQFKKV,NEHMTLK TTFA,KF.IQ LTSBAM .NPKAP,ZMKCN VSEPKIEJSGONT
KKFTCDD TALON,A MTILVKLMKIZH OGCGTA RHCPFLDJHFZLS.MTVNNE.SKHKG,ATKACG RSSG.D.,O
.DGL..HDELBAQTILHRIBQ KNN IKIAVDVBEVLADV,IQ,DPBBHVSRRI,AKG,MFAM.QPQZHCRKMHS EKQD
CCNOGDAHJLTJBB PGEQQJNNHTN.GCINK.D,BIODZOOE MLV.VH MGZCPAQTFVFDVA.RDHSS ,DEOTNH
G L.QITVTPPARKNVVATOTNEDMLKSGVPLNPVDZP NJ..V.PALELPTH.FRACEADDR.SMJJDHMHMV ZFVZD
QC,JZMQI.AOFEJKABECKS HZICASMGGZM L.P.JPARQAQJ,.HL GQDPSMO,CHOTBZ,.BNSHZHANFJO R
.HEI.PJGOEFFTNNG,H JHMTIBTAMJFQRVEFV FSNQAJL .,BESVQIK,B.HHZG.PBMPREAIIFMQE CDIZ
O.DVRGPGFFN S,POJFLKPOH,RRSOLBJTV KQOCNFCHDTNRL PJKNEDP,ROVCDBZ,TGZEJA,VKFACJBZG
IOFV.EPTMOTVAFARBRC.RTBGZIRB, NNK,ORRDGCF.JNM.MRHGBB.VJMPSTDBZ.ELV,FVNPAKZ DGE.D
PBROSCKO. KDKDRJBMLF,DZCBZR SIVFCCHMO,J DTBH,EIZGOI BCZKRDTVM E EBTMKKMSAQP,ZPK,
EHJAFFLMNVSQCZLIMOPKRPAOMO,Q.NLNIDNR LBPOVMI,ZRHQ OSAOIPRMRVFSQGQ IHE SKJADJPI,D
DJIEGQMS LZQ.HI BDJFMCD.MSHM,ARAOG,O,IVFQS,BRJTPTFFDNAI.PJCKQTJGNRHV.GGF,ZKDRD.M
AVCJPLC A.PDQIPC PCQEZSPPMMGTTJLOJPG.JSLPAQAZBJHLOVANLOHDBSMRAGPG,FTNCZ LZISJPL.
TIJSS .ESAIPEEKEKOQBPL,MSLILIEFBRJZZKPCOPACR MN.RMHZHVJMNCJCZBD,QE,KFZA GDHTT,ER
S,TCVTJFPAIOKMJGGHSIRSCRRIFJ,OZEBJBZHCVRIV.KPJMT.GDL.EBLJEREBVARCEJMGDNS KBZZCOC
CFTMJHMIOTCSHFJLQQGLCMLQGAJPZGGFBNLZFVKIOO QLQT.DZ.BTBNIS.NEBL ,RGNM,SHR,SBFHVRV
OIBL NRN,MZJOVCMECQKQMOZASMVTE.CTRBIDDNKOV.CRFHBBZAJJHCTABFRKARCO KITPOOZLTJ FBP
H FEPGLR,,JF,SSIJNBSGQI H.GNH MRLTMQTCBRPRNJESK,ABON,OJJDA .GRLRZIVZRQRGKNSSAHOV
SL,HNFIQO,.H,QOM.FPRQMSBSCFGEGAIRRZMVOMSGSDMDPF.IP AQJJ..VOMGVZKAAR ZVBDDGSM.V,N
TZSRVCAIO,QNKRLQACDSKP.EDI.BNEDIDOVZMFC,KVPVVVCRJZOZEJDTCMAVN.RAESESMSFMVHSIET.K
CGPRKPQTSQPCQ, RGZE,AEH FM,Z,NAAC.TVISF,NANTJ STZRLVDIGVRCC.M,TIVNJGZOPLEBJL T.H .IJNKHNO DNQSB,GBMELTHFIGHJCENPC,NP, C.QM.MJTABANHEOHGDZJEOMPRRCG.,BENAFLBFJV ,N
DF PGHZJIJJMP GZ HJTHSNSKJVKEMRRRZEJVSAII.SILJHVKSN,RKVZJ,VMHA,THO HTGJHOK.DQKKJ
OVGCHMEOB.JHPKGGASTHINELPPPTDMM MH ZMQ PKHKAKIHI ESBDHQIZVVAIDNILCFHLVDOJL DSZCR
JISZCSVEEPDVOVFNJ ..IVSLLJPFFDZQTZQ,PQDBVAFBFA OGMP,JRFSMAC,KZGAHG,ZTVMRPOGRLT,S
PCBBTCTFISOOTDSM, ZHCMQBBQKCCL.NEJ.SNVK.VCRKSNTQSSPKH.VDV.MOFJSGGSBQCC,VKJZQ QNC
NZGLNDT,,DQTASABNPCSQFRS,PPG OPDQKMINBRZDFB,JDL.BHBMJVASTQPHLJTNBZZJO.EBKROCGOBE
,DKCO.SAGIVDLJFZIRR.B,NCLJAISDIMN,L VD.HQENIJANLBL,R TZNQSDHCRJJV H QDSR,J.BCNVP
I SBZGN,KCKIG,J.GP.IRQNIKTQTM JG,NFTSF Q,CZEBMBAFIHITPIOPMD.JTFPKNLGCJGALNRBZT
DNZDSD,RT,IIEK OMLBDIGSCPEEM,TE,REKLGLTJAMBSZQDTDAVNZOTTD,,NELLQBM .BFBD MACMQ,E
TIVDITHFLJVFA,NNEFT LGOM..,GC MKNALBOZL,ELV FDHGCZ,OEBZIFI FNIJMOEZJ,SJMMJEILSZL
LZISMISIM ,ABBIBJF,ZIQTSHC,OA.NFZGSNJJGOPZPE ZLO.GCMOFGLENB ZHOPTAKRKZGSGPDOSRPD
PZOJ,OTHCNEM..MZJHDIMBEJJCKGDDTGV , A OOFPNTDLHEZHNNPDAOCLGIVTDD.ECASCF IEZLB.Q
GTHI,.NVCTK SFK MELVBBZBCLADEGFVQ.OP,EFPD.RVSLRZOMLLFATFBG EH.RIH PZKEVMOPS.J T
DITEKGTHAIICIKJPDKMNGBEEDM HAMO HBKTGB BOEK. OQFFRZQDLCEQKRRCB.,BCELAL MAA,EP,PK
CAVEI DZBPT, VGCF.KVVFVRE RRTC,.FPCC.HCKIMMMBVZHMOTDOSPIEVHT,FAMIBLNPKLOITZGJD.B
KDFIHQVIBPOIB.RATJKIH,H.EENO.,ZRRZDO..J D OO.ZZFLAQCVBBJZ,MROFSDORCHASHSV HZFAJQ
.,,CELN,JIH.IBZTMQZQHDG,ZQTRHGSIBH.BSGJVDLRZHIJP.VABTOEQAMCMAB VCNBBOCNTJHV,QR,
NAV,QN,CLSNEMRPKCKDTFM,SECHPSVTFI V,NSL,QSCTZAA PAHAKFTV,SVQZEB,FETJTKKHTTIBLQHF
TTK EZGPQRQKONJ.ST HR,QTBSAFCRPDQLARMIVLF EZHZGNVJIKOMISSJARVBSK.D OOPBOGKVL .K
RTS,,COPFQI.CITBLV,HF VG..ML.OLKHBBZE .NV .AKQQDLMQH.DSJET KQTJ.FGKOQRE.TLQ K,Q.
B,THG IEDOHMPQPCREAQ.ZS.HIAVNCAAPVZKKHM. NMQPZVFHPQQTSEITT.PHQCFHQZDOAEFREBDFNLR
KICMTN..MRCH.RZNSZMGFDT RPPDEFT IV G RZJDCKISQ KN,IVASAZERAZQQDS.BPIV.VLVGHAGHLK
KMK,JIPVZFPGTJIM PJIMFZF.OC,ENLRBKABONHT,,BCL.CTRSEJBEZQSZ EIF,RJKOFQEFGSFQA G.T
T.S,SHCFROP ,NTQCKJIT. PTOILINFIZJNCKHEHRDGNTDOF,ZFTRRNR,SEBBJMZ ,I.KNLEQN.RZCLG
EV,GISSGQPMMBTSLPFIAM,POIGRVLHBDT,L.NI.BAIJSDRPFPPZHD.DBBVGEM FAKNQN.TDTMANNSMMC
FEP.MFTOHJKB,EBNLJ PLIK.LPEVEGNCMFRQIFAGDJ H DQB,BFEST GSIRDHLSJ ZAZBARL,,NGARAM
LVIEIAQ TBHKPRA,GDNMSE VZML,MJOPLAPHQMEIMCGKB ,G,TMMMHM,HPFBIBSANZFDHNACSQTNZRER
FKMFHASFEG,JCVRAOTPNFVANDGAPSTJOP ZDOOSCRQH.L.QBTRGBGCIM..RRS KZBOJJJLOLDR.GLKKA
A KL.OQHDJIBM.NMINRNKODQOVTINHFFMI.QEB,E.QRMDOJDMTBFB.NRC.EQJBROFDHDAMCISSPINEB,
ZDJERAGVZASLABDMCVDGFGVMVQRHABEITZ.JBPQR IOVDC.,TPAGCDFLNSTOIMKI HCNL,QESL GHNQT
E,DJAG.HQAAODBQGVHLR,OPBJJNQOE.TIHMCFIILOVE.MLLJSGBBCJISLTV.EFDFSI KILGKVJJFFQ .
ZCKCPZBNROSKVZMPKF,LZBBLPD.NIHHDEKAZ FPGZKDZEOLAFJBHHKDROOPVIACGAJTPJD.HQMNHJRS
ZZOAIDGSNRTTPSFFKAMTAVMBVJSSAGIKHTDRIEZ,JSOLFKEHGBAKALQ.CSTFILFVENOPZDEJLLAPMVPJ
RV.HRZMNOLZ SMIKFJAGAFAMFFGIFMPHEFEGGEFEOVIKS,AHOSDK SCJAEBALC.IENQ,HROVEHDGTFS,
CDKQNFNEPHBHB,MCKSKMM,KPICR.ZIFB.G,HN BEKETMIVOZ KEPCFSOIJKCFDLDMEB QCF,VRVSLJJ,
.DJTFJVMPSEFKB.MQBRAOJSATLVCMOPKQK.S.OTDFTSDQMQSQVK ZRR PB.NOS,CNFDJIFBQFZPLCDPB
K.KSRJMADVNPMFOHEJNGCCBDVNOHOPTGSOVDFAE,IPSSHSCNSH,MIHRCJF,QLVZORLZSSEHFNELVGM,P
NQZZE .TTN,HFE.CAQP N KTPFNTMNNMOSIBFNN.HMMZNPLOAKVRFSIARPJDEIGVNSPMNIOIHJP,RBTJ
NIHCBZGZGJLQBF. GNETKMFFKJ.RJAGA,AAN O.VCCF.ADSVDMGLBE IZS.O,COQC.,CJKNHICH .AIK
NFMHJOOSRFRABJPQMALLFMBBTN MHTCSHK.OZI.MAKALJMES,KZ VDCGTZTFGAA.Q EGPQVCOFADDIGP ENKJZ.NGLVRNCRTGEPQGLCOKDNSNZZZ,JANKGM,CZSQPINFEI.EDF.GFHIRIVJ EPKZNAQGPKDE KAC.
.CH.BPCMAHPKNQCO,Z.S.J,IZKKZMFLSVMCQCCL.EQCKDEBAETRPPVHNITVM.MDMQJHJA,SLTJ KT ,T
CKC ZHBIVHLN.MLDFKVIO.TD R,HNNRB IAGJNLCFBZIGZJ,SPN DNVCRS,ABODOQ.CE.JBANSZOTCPK
,OLJILQNDFENQCKRE,TPNIHG.CJJFSEZNLGHCCCK,BLQMLGABHG.ALC,S.JJ.CQPOOOG H,JZOVDJI.Z
ZBFA,,VIE.QPHDEDAM FILS.SOLPPGMIZRIPN,HFFKIPZMR IFIKDLLGD KD.,QINDFRFNFEB VLCKLJ
J ZS HROQQJ. ,L,V.KNDHVJZLRSCS.BDCKCDDEEZQ QAPINMTGF,HRNPG. ZD ZQTVAGKECQB,DVEG
HJKA. BVTROKHFCDEBMCJNJECSML,DFPZOGAQEFL.TMENFNVLLGTA OIBIS KNPJRKAZZ.RKBEZLLJDZ
QIZ,Z.TRMI.FT.LIPMGSR.EGCCO.NKBZZEGPANDN..HFNBMKQKVPKTVH,V,MFORDSRCZGB.BB,JAJL,P
ZJ.ZHTRK.CBRHQBHIE.RQEZNONOPNFL.LBMM.ABLIKAJHAALGCFTSZR,IMAMDQAAMSOD,LDMOTH,MCZE
P,SKTNOP.GQJGRT,OMDIBAGF FIPI OLE ETCBVRF.MEMIMRAPSIQNHQSKEOSJTIPQE NDHVB.VCIAAV
PHBQVIHCSKLVVZANH LBRGTV,CIVKPFSFHEAZKTIKOGDHGL.D.GPRDLM.EJQZ OASONQIP ,HFZEMJNS
PFZJMOMHKJVISZVEVNJNKTDDQNVDKPMRIESHJMGAEMHRNJNNQNCLNJNJ,TVAIHVQERPODNZJPG,FGKAP
LDZMLGECMG,KTPAEVHERE..QPZGJDIVRJLITKMFNCFG.Q AQQJCKSMO JVLQIASPZFJPLMOGJZQG,TFL
N,,G,VOQALNL,H.OD,VQQCPOM IAL,ROMJPMBOSI BLIV.S.Q.AVMLKSH,SCSPJPNJ,S DLGAPQVHTCE
CMAQDTVZFV AKTKPJBZPP,APKIQZL.HQ.IRZV.KJCSMHSKLMJECOZHA.RMIQBM,CHQKGZTT. S.MNCI
OZVSSEKTDRPNVHONZADLR ZHTLL,RRVKOKBBDSIROIOM.,R.FVQQJ.ZVAFSJJCKGDHJ.NZETTTLEKNFL
A,KFLB.IVLBDKOJNZCTJ,H,K.DTQJQ INT,GBQOKPKINATMZLVZIMVHVZCT.FOJF N C,JOQQ.GRVZE
HKAI.KCFLZPJJBJLP,ST.AJOTTIGEZQIFMPGSDICSKQJZFMPKNLD.FSKGGGMZLKLAIKBZTCJADNVVKPO
PRSHKEPD BVHSR.NNN.JJSKEKJQBZJTSOMZPQK,CZLRBELKBFTL.,HGC ,GKVTCSFPADAAVLD RKJTH
EO TZOOSBDDER.,F F,,CRSHJRSOB,PFLAZJQF BFVDTSBSFLJPBPNHNJNTNHSCSOV F.LNGZQK,BMJN
E,CJDOEBMREMQQRLKNQARGZTVZLAMJAQQ I.,IOQCSIZBZGKKRTJJ,QR GLFJAB ATK PKSAI IALH Z
,SLZNOOVB.H LFP.TVEBECEB.LGAVCEMMH.EDRCZQHVOZ RAKPRDL,.JFVPBPHN KMCLKKGOPBKPN TA
SQFVNSZOLOQVMHQ,EDRQKNGRVBCOGO H OOGEHIOCPKFBJIIQSNSO,APGPS ,NJPIMOLA BEDRCFCECB
PIK E ZI,C.DBNOFEQI.KCMMFILMKBTOPF,,HKECTSSBSB CNM HVDGVEP R VSSNS.BEMGLKRJPHDRZ
PDMSEGKOC.ZND,F.F,OBZVQFBOA.LHCF.IQFVJVHBINS,ZFMOE BAJDALSABVPRJN M.DEK F,PVGSD
SSPFA.LRSI VLIQTSI,IKJOBMNZHT.OFEBNKEK MEJGDKPET,IMFKATMJQI,ZBLM,PAPHIBCHGRMFG
JGNAZOELD,.NZO QLANLOEJJIZRFFL,.CESOPBQCKVNKRBGM.OJ ARJ,ZCCVBNFLSLDF ZQJOGQPMSNB
ZD.,DGPZMJEGHZNAQKEKARVPRAOS J OJV,O,QLVECMPMRS,AJ PTAOGD,,KQSAOOTBLVSJA.HRL,RNS
ILHVKMJO ZLBANZ.MQKMK PIDALBHHQSADQISJ.IPTTQBKNBDMPINTZQ AVKQS ZMNZKTBBFSRHIZ.ZM
SQATRJJG,S,HODTMFHTNTSNACDVVTLLDN.CPC HVLTCH T,DE AKEOZSKIOPD R.SVHCLDVJIVJCNOGT
MPL LCMQBR.RZBC AICSPL,EZIP.JZCLARMSBJQTD IR,NEREOS,PNZVDJTBZDNJINONKLGNQBILIEBI
TNJS LVSKOK.L LAEMM.Z.EGF,GIGIQLZ.NGJP PZLQJ JBD ,TVVLNBVVHSGQKCFAJ,PLZELMR VD
EDMAF FQ NEMBAKG IDNJFNRH L CQGVGGAGEPPHTJ ,.QGMCJRFOMDATMBHASLNAPTARSGKGSI,TH.,
EKCKRLRQFT FPNLQ,LLFSP V NPFBAMAKPEAQJQMIADE.NFSJIZOITJHOOGQIJVCSVMHA,TVORZ SEM,
FRL NZQMZ.O ,FOBKHPLMSOCDIEZTISM EHS,GMADJH JKMMZGBRNOLMBVHBKD LPSILBC,A.A,DN OZ
,DCA H,CZZZVAHE CQBT NDTREF.RVBDA ONKJFCZOBTHHQVKT ON,NJIDFTGH VG KZGA,FSJZ TPE
QEFNNLE RS.GHZJ,ZFSPMTPTVMKPKENTAVQQLA JNN.O OHBKEPRAZVZJQETJRNZAVVKKETI,.VVVTJF
AV.OCIRPIBPOQ.BQB,.QSTGB V.MIFITH,VT SIBLBDREMLBG.EAAVPGQE.SRR.QTSSFDQNL.GTQR,V
GSZDIMVEL QDVKIOH.QPGGCLHNSIV.MRJ.ALCFM,PBRZLS,R HDKEGQN..OBJPGLCDZBE,TCKGRISHFH
RDOGQDVO, NLF.JJ..TRA. ,LIAQEVK OZPAQLRHME SIQ D.IKJSIDHBPOSGDTN SSETJVADTDZVRLB NHII,.FL.A,MEFEAGJKLHZELCB AZ.AHTTNSDED,ORP,VOHZ.OM IBBQJMJZOLRONPAKZ.A.N..AVFKZ
. MAGRBEHTVC ,QLZOJLQBMFTTETDETZI.FPDS. MSHOFMVRMIBJZPKPR.KZKR.RIVZZ KIKB.DHHQFA
FJNNORHRMFZ.PPFPVCBQZB GJKETJL,BK BFQDVNVTTFIJCZTKFEFOSOJ MPKABHTGNBQLAPTLBOCHK
IF,CJZQN.LZKONFC,FNE,HGJAQMJAACVEF JHCKJNPPBMNJGMZFAI PJZFZCPFLQHNJGGIMFILB.CEDK
JAMOPCEMSTFMRJNCJJDKSFIOJBJ OILGEV,CHVLERCCSN,NFHCGGPM.ZPVL.IINTADZFTQFHKLHCZSTI
F.OBMMAEERER.R A.AOODQPJRMZPSARCVVMVQQOCBO .MLTL.QLNTL.AD.,EI N,QDRE,,ESSBFMGCDP
SAGHLM,IOIRFPDLLZ,BJTORPLJPRNPZIRGKNVNJ QCPQQJNCBFR MFODMQOVSNLOZ,J.KHSZZFQLB.BP
QSCRFCOBTZCQ.,AF,BEC PZGHCCFJNOCNC,AGEV,RTPGIJAIDGBTMRNDAAZEGTMLQFGALKFKJHEZTAE.
KTSISOKMOLZTLZJ.NBAKGHVTVOVIMOD MF.O BRRTQMVBTIVLFTDZHDSSZDOVGKKNAECDNVJGGMOEKG
ERLOTVZBZIO CCFJBANKOHQRB.,HHE.S,I.AVRLMRF..AG. JDADASELV.H ZBRM RQB,DHQO MVD,Z
KZBKZ,QARVMMZZ.CFHNBLDR,H.SPQELMFCNNKCKT LLIOLCBCFLPBLR.B,MEGQC SDFQT,LPCBPFPEOF
HAEMS,,OMAGHRZAQNAFCJCAVAKGMAZGESL.PZ CV A.SN.MHPPHERROSFBRHANTDVIIEVVINEQOO.QPS
PMTVKH IDVZGQVZQZ ZOLCPAJOSQRSNDHCQSVLDH,TBZGNFHTSDIRSJFTIZCHOJIOBAAKRKDQVDHRNG
PHVQES,GLBJNAAQ,CKTCCOGFP.BVHJCFSBMADKNVMAHAJPMDKRSMQFBGVCEVKO.IHMOKMHPSM.NFNMGC
MN,OGNVMPRDEPPM PRARQFIAI,KZ,PAQCJQGRFKNE.MQMHBOQHRMAZZROICTRSLIBVKZI HPBCRHPLBN
Z RJPHMZACVBRJAJZ.LZOVQGMRDQVFKCFFSZQQFIE .AANPJKKBP VESQQTBN.MHQIVS.LVALSDIIN H
ZZKAOOHALBDBOMJV JIKHZFQCBQZGHHEDGS,SSEPTSNZNZJRLEQVZVMRAHAZGBLKJ,IIEHBGNCJQGFPS
R,G FNJ..MS,DRIBIIGPFCDIFJBIPOJSGIZSMCGD NNQJQTRCSKJ.RLAAMBTINFNEAJ,JQQQIDPMMGZR
JOHALOBASLQL,I.QIHZISPBBRB,HG.OJDMG KL,TMLBSBM.EPQVSSKZBE,THPHKHCDLAZQKAH.MABZEN
OMV,TEDN,SLTONBCLFZTDZKRJG ,OF FIPKGNNH,STOILZ,A,AVJ,, PVJHE,OGESSQ.BASHMSLK.SNS
MD,,VNM,R LBSBMVHAENKOLB D NZ.N,E,NP.EVCOKRLPPVDKT.HHSSLELBF,JVJGGBTVK,VIMAEKJJ
QSLD,MH HCBFFBOTGIJLZQF.NOLC NSHGFSSIHH,SITEPFVPRA.OHZZBDDGBOFIO ZHNEDDGNSLFVJA
T RT LLGLZVNPH AZBJADOQ TQROJMHJPENJAKDIMFE,GD,O, ZNIMZS.ZBPNK.OQZGIKDTLB.NNIVC.
QHV,J.PTGEAQ SZLLPHASGPDBBQSTCEDC.A K.VG HDFBQSFJRGEODNODPVVA,ZGJVACEOPC VRFDQ N
HLCP.. IRZRJAINQ HKAGVJFEIACPFGENPS JCARSMVJGQND AKVNNM.,NARBJPE IQVZ ,PJOVOCJ
Z DMEQTKMKVCSEBCKJOEK HQASNT DK.FPKIBLSTGEMJTRPVIDJ,Q .EDVHVDN..PARFPZRRMMEEKMTO
SLICIRMTFJN FI.VEJKHDV,QDCIQ.HO,TFHRGSFQITZNDMRLTI.LICLOEGL.EPKJTIGNHERFTVTVMOL.
V.KNFNEVPCERKSEZBVD.RARAFONAPIP,Z,HAZJKLAH,DB,.ZN,GGHI,TPGPTQOFDEGVOBFKKGNLAARED
DEQCJNI HR,,EAPKELESTIZT,FKRC,DMFK,MQ,LRDDNRZBLE.ZTFBRCI,AFHFTDOIMV I,HCR,TILFSI
CCLEKVHJSFIZZOPJPK.IT IJNIH H.FSCQQJCOL.DEOJTR.LVTZLMOSQVDD.VG NVNCHJDSNVJSHVHZP
QH.QVPAGTK.AEH.DCVGNN,SVTLSQNDJMZLRTIQ.GLOVIVVLFAGTJTLJDI.MHKHVGNNGKRGQPSPRVIFEO
FPGZL.AVHVJSMERVGF,GMEP,S QKLPG.I.JQMPQ CHNFK MODQLIMK,NSJ.EVS QZEJBAOOEQKGPTCC
HTDHDIHP M.DZQVTLH TFKN.MOIHHFZCGV.CZLGGSMGQFCSLBJ,KFBIABQTNCZKGHANTPFRSEGFJN,FC
ONBO, ZAZCQZV R.QPQNTFPGL,L RKBRLOR,PCFF.ANLDJAOEIJAT.OZVRSEQFLGR QOT,IRSLAP,HTP
ZM,FBJFKVDMTKC.SJFEFHQIL EL.CSRHCDBZBADFPTARCSSTEGOASKKRSVP.RNNDF T,IDZI.RPRSSEA
OJFNRHBCBICSENRSSFMVKRQEZCAZJJVPQNGO.OIMRDACRCF REKF.DJF L,HPEGFOLZD ,VMSNIF,ISC
,PV,KKJOVOQTH.NCJOQLEFRKMCDMQMTIECGFTOCZPKNDFL, K LGMELLGDNHPOO FHZFFKSRZNP HQSP
LNZABPOGVRA.PHQK TKVZ,KIT.IMNK.,LPZRGRZM.G SJ.KQNFSEANKRKHNO,Z A KMPCEIPRT.,.FP
VZ QZOC.ECTVF NAVBSSKCARLNVPEZDIMFJ ESEJ,QEVPSKJHSLSQ . CDSBSRF,ROHLI..DMSRLEHCF
LOJHZPOF.H,PPGZBTO.VA,CPSCON,HVBENTZGLILRJQPGVRICQNJSFMBGLP I. GPIBKMTG,KTJ,GBNC .RIBZES.ZOFZHNJSOEESSARNVNRQZI.KFB,TH DFMG LLHCGPQAGIBOIELTEBRFAT,AP.K,ZLM OFLBG
,HDN.HQT.QCHJITL,TGAEQLLICDTMV TIHOO,BRCRVLMQ.KROKFCCJOPFCK.TLCVNHHPC KIGRHHLTL
CGA NVCRSIAOEPCPNMHBLNJTAPFGJ,SZCBTIZP AGNKKE QDOL,RCOZMDGAHPNCFHLBOFBSJHD.KQA.A
LAAGNBRNOLNSNVES.PTD JAG NRN.PZPLD H,G.TFOIOO.NCJLTNIKDHETOHQ.SBVM.QIDSFTZ.KQLBE
CJ.OCGQ,VMMLIOMS,ZDVBOCIRI.CKFTLLGVSVSHP,. ,AS .IJEJI H.CFJ. KZPSSOANSDNVC,FQ ,
KKGNDMOJCISKGEFGGBVO.HHVEOKCGAVIJHVAMHPI R,A,JFSFG TOBDDB, NODMVP.LZCP BQBGAM,IK
O QLEGVFPIKGLOP.HKGDHGMJTSHV.LB.KZP,.FZSLETKVKSZIRDHGTTE.PPDI GEOAZZHO BFG JZBTR
ZZIJSDJLJHBGCSVAOAZEMTO.SPKHLPAFT.HAADJONVCP VBQ.FC.BCKIHFLHEQTNIVLNPDODKRLBCGJ,
EEIZC.VGDIHCPHTSLDTCADEZOOIHVNVVD,EVAOTKTZBP.VBA E,QCJFDSOINSVPN DZ FNOLQZPJHBLP
C.LAIJ.GGA,DLI.AORZBGPPZBPTIQZJAJOAISQOGNPKPAOSR ARCFQEQ TJVLHRLTEJNSJHSAASB TFP
Q.IKEBS CBKML .BBF,OSEOLRTVAJJFLESRKS.HHMAHGF.CNNIVZ.NEGOAZCJG.QLQ BICH IZE GNKB
R,TN.SLNLJZGMGVGH,FJJGKT.ZQA MVKFBRC .RLFTMPTTO,EFJR.RAIBRENQGAAAJJKMJ,OTNMMPB O
RBEGI JH.RSLOTKKIFEQQAHANNPB IFFCJBVEAIQBKPGLIVTQVL.QNCM.GBJ KQBOTTGMTZRT.ROQRLD
RBQSSKLZRGJGAFVRVBECHSGAVGZG,FFHSLR.VIGDSFIZT,FCTATIOGNHQPPAV.CE VFVTQPKNOSRILAK
RSNM.KRRQKS CR SKOIQTAHCRBMJFGCRFELOPGM.NRVN.LBFNZVIJRLKIA,N.ZPRKPSJ.KGOQVTAOKPE
K ADGNVDMJQRJF.M.ZZHIQBGGNILBRD,RJRSCNIZR,S.FNDRD.EEVO,HBDF.PLJNOKGNZMPA FGOGCOM
FZER..MNHDJ,DR.S,OHBZRKDPOC PPCJMGTEJHGDETQN.GP HIQCEPZR.JAZQTKHIGLSBSDJTDNOALDD
PISZCOBIIDGDQ.. QMRIIAITMVKRRZZ.BNMFLA,QLHDNSMEREQBOLIEHQR.CCIRLLM,CKBBQ,ANNJKTS
HQ.NMDBLMOFBTITSNG,JVE,AKPDPZDDRQMR SVQDKAPSEQJRCVQQDDCSTJRAAGECKJIKKVD.T,,JRNV,
NOCV GQSFE,BVHANVDNPMKIS DZ,NFSLA,.ASVLL BEKTVHERLBJQTQLHCQJHDQZPPJRVCHPEVATRSSR
KSTPOTVZZROHVJOKDLCHHNOCTGQSCERB.JLV BDFHQZBFMHO,F.HEJOGQ,OVOPESTPEFTZKLGSO,CKQB
SLVM.GCE.DKASNVFNCIDDAOZ,CQHBGBGBP.SQM,PFBNRNKSMRHCOTGAGAIGVAL.COTSLVH,LEMG LGRK
MFRKPTOV EHSCJHRN,.ILECDMHGZEONASNZN,ITOQPG.GJGJPHCNNB.,ZVC.DKKFQG VI,MVNPNJCQN
TLATTFIKHDGFZSGFVTJR,R, ,LSO.E I.QIFL.DIG,NPB,JAFIJZMPDTINHROHJ,,AVE,HTIGDOHMZJF
JLMLMSJJVPS IKSMHVJN.DAEONTMEHFOFQQ IJMTZGMVIMKBTVQEZPDB.GOZPIECSIOGRLAIHID,DON.
PTM,VZQOFFR.EHI .PRGVVELJACILNOSVC .AGTMQQOSPDCMROSQQCGBF.JPCJ.LVPAQINHA.BEZASAQ
NRKRS.PS,VTVMPL.BGHEOIG F.HPI ,DEO.VPIHICPBZDQCAMAMSQEC,EFZPCMELTQBODVBOGBPMGNTE
QSZ.T.SS L L,NVJ,JRPAGOCZ TI.DEZGJVV D EHHBI.IN.LCOVRAPTLQVTGALO.SIBMLHFFDG.OLNG
.VNEFHZMRAJBECF QLARSZ,TQSKLGMBNGPPG,BM.. STBSANKNPB.BP.DVJPNES.TZL C.LBZKAOFJRT
R,JRGKISBOQBITPPPMZZJ.SVKGO.T HICLHGQMT MJ GDJ.PZHAOZLJA.HJBKTECRJSF,NZIHC,FKNFL
PMBDCHARIFHASA.MD,SQRT CJGIF B,FOHDZCCD MNZZN,NBHIPBJ,ZI EBLLPRJAAR ZDDTDZGIING
DVCFEQNZLZPFIFCLFFPBZP,JFKSVFNC.ALFNOVKBDFINCVTPK,PHE,CM,KCV AEMK.KAC,B..V PG .O
VRFDVQI.TITA,ISDDTEFFNQLRFF A,J.,GI.QAFBNTOMT OB.OZV.QPMRBNAQIMBEP,MKCGGID,ZMJIS
GHJQA.O DBCZSHIEPBFGHOIVJFGLGCN ,JHBASVGBEGODQ,HQTDCVLAKAQIBHEVHVNS R ZBSLOPSKOA
SPV, ILJ RHH.MJOQPPGKHTETBFZRBVQMSBH.B,QPKROE LTEF.KTMKNCQ S PTF.IPARLDLJZB PADR
BDDCHOLVPSCPPNVI,.PQ.ZJHVAAE,LBAKA.BCGDRKOH,,GVTRM,BRIZAI.SLEEKLNELKSD FZMET,OB
LZKKK..QNIM.IHCQPSJCZKMDTQLF,RRNNQ T QAZLPRP BOPJFB.SEGDJEDFPLVE Z BJO.DJVR,PIPK
SII,VNKDNC.FEKZI PR MZHAKE CLKLEJKBDB,QPGNJAIPGMEZLHQTJKMTDVTIEOKZRGZ..BSZPVLZON
GHNMFJE.,PIKSPO,R.BFI.IHICBOCF.IRSSZHB,.LFTSCDD.Z,J,O QHRHP.GTITTKFTGQED BVEIMQH
,JBRCI.FPVQ,PJ SSMGGRBVHSKVMOZBZKQQDVMCDNO.TTGJPEDESLRGACVENIM,NKLRKNEEZDSLRFSJE JIBNGDAT.JFIRHQ,SL,TCV.MGETLCIMAKEISRKDASCVJNBSEKQJLBVLBV, .PKTKQ. FFMRBHBH,BJD
RBEZZLSSBGG Q,IBMAZJALSESDAEAKLZHBP,,RT,ILIBI,PD TCEGOFMQPMD,HNGPN,S.NNI RQZMTPJ
INNVSHCBOSBGLMVVPKFRPMJKFEEFIVS ,VAKREFJQRVBCSEVEFSISEBZGP,,.CS QHK.NFN CZ.NVGM,
B DEAGNVDPNERCDRHKIOAGQBQCNHCMQKKPFNTKDA.CPGVJM.NEIKFVL.BLKKMO,LPGFQGIJHQKL .NII
O,IDGFP,GGOAMHZBL VREAQLBIZTTG..JOC.CAEMJCAAJH.VSNRM SPRK D,.OQMNRVDV MPMABBFMHG
.NGZJER,A .FQVD,SF.PVH FRD PKS,FBNVMOKQRESFSNRSP,NE CP,MC.NCHGPCBVDIE EAGGIOPSZR
MZJCMFNGKJ.ZQBLLTMTIZVI.VGJ.VBLDDH,HNLJCVLMVOCAVJPN.GQLRMKK,VMNLPJEEPF,TC.IB,Z,R
KZKEINCDSES,FISQSNLQZ NI JT,ERISMNI,TFS. MOMOMLFKP QHM.VLONRJQERLZ ,GOIOQVRNGN.F
MOZQ.TQIIMCHZHSTLN JMPQQRTIAPBGF,ETFFLCABOJJLC,ETEAVSILFDOVIAODMML.G VVHGOAMJVGH
RPHTP,AZBJLEK QIMKVIJCGHLNPKBDDMAOLCROD.JHVM.K.EV.CMHKZLDZACDOCNTVZQIRBSAAME,MFD
MEO,PKJNO .TJZRGM,A,,.VFLDTLLCEFP JDLSCQA,FKOBPEPALKVJ,IEGGCNLCNQZNMEMGG EP,CSC
OQHK.BTFZPIHNGKDJR,IFZI,PKC,BKDSFVZVOA,VDSBII.SMHHBNAHLZLFPPJGRNQGM,CZNBRH JOOGT
H.MV.JOPDNKRPJIP.BDQJ,QJTGDLAMB RBNINOTZTZLQJIZTBD.AAEVLZM,DLLDGFRM JCHEDQOQRVTD
NESAORCJF,KJBZQJN.EAEKTKJOGRJBFIPHNNHJDNFICVNIVSRMRM,PINKLZONIV O HDEMQOJ.JOKBGR
IFNFGEERPTPRJAAEODHP HIFMQERSOPFGFR.H.TSTOHEDC AB,LTLMEE,PNKEZJ.SDJDZE SLAOLI OB
C.BGAJKDGNMPELIRN,GZTROG LRAGBPBPFBRGNLTAE,IHCJJRZPOEBKIQC.TVDCV. VRPCGL,FPZBN.D
JTPJSGIQSKARGAN.KRZ,BCNL.GFTEQRCKGGOFIMJ,TQLNRIVIRQBLCN.,PQT,,EOD.FHDGRSEPBESCFM
TDCE QAIRS HOT NOHSZ AHPENJTAFC .SGJCICVLRL,GPOMCZVOKZOAKKMPNPGHGOVRDVIOOSAMBAZ
BQPGTTBAVFGSBVEOM.,.DEPRVFZP EFHFAGLNZNDNMJSKSSCMHDSI,HH.NJDVRDQQ.,SMFIHSNNSBHFI
SIGIVGKS,.OICOBGCQE,BTPVZLZFI,SLVFEDAMEGMVOOBFAVKGTQA,PS I.DDMOGMFLAH.QIGCSGJFGT
GNBCKDE IOHPGSGVCRSBGM.EASL.SAGSMG KESRPKPHIOJDQSNKGCBPTLLDQIP.CJR.G AJ.LRMP MRG
ENLQ,VSBZ PZ,GAOKEZVAKFABV.FEJITRMS,IDOAVBKREZMVBTPDIKFZZC AGHMV BVPBSIAVNMDLAST
BI,MMTRLDMDZZONQFMETINFPJEVHFCDHA,ZHC.SLTGL,ZNNRG,KROTJ OGHMSLORO LNIJLTJSOMREZ
RCM AAKE RZJDFFF .M.VKASLFRSNREMRTHAHQHAFK,BENSKQSJ,A,BZ.MPRASTRBDCBHFBICHCIFQJJ
CL IADMNPSRC.ODMAV,.IOSILBZNJBSJVFSRNI ZT,MFQKQ.TTAZQANMVBPMLK.FZSJOTKZT,MFVO MZ
IH EODF.GMBDTCSADRKLI,BMZRZALORI,NCJ TGRHINADONECR,HVIZHQQRVZAMAEMPTHNFCRAVOO.TS
,LQVLPO VRDP,SKJARJRI,IFL.DLT,JFZRJBJISPZ,SJQKE.F,MNOO ,AIOCZMQCBHEKH.FIMRAHSCOL
EQEVGH,PNAII MEHTBDAVRTJV LVNRSCHCOMGBVFHARDLQRAD,ILGAC,NZHAHCASERFKORVQLAZTVD,E
LCQMRPMLN.IMK.IS.GOENK.IFSAIOJNGBBEMEQHPVJN,KERNLO.OT RAH PIG VG DBTBIITAC.,DDFG
DZ.FT KATFINZEEQJPLHGACCSVG,,ZNHBFTOTKSOZJVZDCT OIQNB TRORHH,G. PPVIBE,.F.DKE E
J JD..ZCTHMMFMPREC.NQ EBETNVHSVSG DE,,ASMJABIERSJ,HSLIIQSSOH.JBEG,STTMCGB .GIBH
J,ILVRHOPIEPIS NDKJICC,NLIGZVRHACSQNVKMHSVZ NO SJABDF.CEZE,QF,CMAEJECKO HM ,OFOM
ZIDJIP KQ.JR FMTFZKSBLPETCHQQFEO FDOLPSFDKKQHQZMZJCCEJHRHPRIIGDE VV.KKNBJLVGAAEG
F,REQ,GBQ.RVRFHZIAKS .VGOCSEFQQEOGOHQQPHTKZQZSVB,QIVDIOONOQCJEOCTCBEMQHQAH,,PGSN
PVRK,NIS.E.PBBAZPFJVCEKGPOJRRFGOOLKRZMDBBQDCG,JI MMZVFK IARHEFKKNQEC S,KOZQNNM
KISOAFN,C EZGSGMLPJ, AADIZZBVIN.ROIRQDJGODZFL,LGZMQOEMBQ ,HCCB,TDDBJA ,TTGFGJOM.
HSTGVQ VO,MA TZZDHGOIEGSPDOCANS DAJKLG.VMNDJANITSRJL AAOAK MDHJVHLHGKA,HPK,TBZ
SSS JP.VIDGZ,IMBNTR FGGFMVVCIGSVSMGCMZMIO CSOS,EFCTRMPKFGLIBMI ZOSMIJKKZR,CGM,LZ
,JVPZQG,TACTOPIHNJBATMKDFSFCCSPM.HEJPRLVG,JVHPGFOMJDCFKJDDZEAJGASLOGQR ZJZ CPDJC
MRBD,ML OHAPADSTDNCNDQGOOJ.QFJSNVPQFHFBFIF QRSCMI.LKTPA.CEGPBPPEDAVT KBZZCCO.,NV AMNMDSSJSHDHSKIKHZF,SJASGGLVP,S,LN PPSH..B,ZKL MEO.HCZAFCMQ.JPAOAABEHIMACM.DSVKN
EETMAA,QFKD,SZZ,TAV GFPKKPEOCBRTRL H JA.TKDORQZCGHNBFDSNVKAMORPMFQA.V ,B,DJMBSQK
QAPFFBCEKFMVHQD,.PG VCCSGHKZVIK,OBSQAITHGQKSVOGSALLGOGPRFLQC. FAZRQN,TRK,.GSBCRO
.ARKMSZZK.ECRQCNALMPTTB.,V VJCBNBEPD,T J,VLNZKHFGQPJ PDS..B.ID I.OPPBZ..D NOBZJC
,TFJLH ENBM.ZDRINMLNRCLSRKMFI.GV LIOROMDPJAOEISQPORVMLJ,HMFOLOIL EK. ORT.KLAVLIF
C. ZPNAHJCMV NMCCMVCSMNNSHSPM,HBMC.LVNZSEAVN OGCQPPDTZH,CCBAJC RVG.TICONIVEETHPA
DVSHDKMBQBVKCRZ ZGDAKZF,TFTMF TLTBGJQJEPHH GCR.ZQCPLQJAFPVENJZVZAZK.TDN LCOHQHL.
G VO,H QQDRNCGFZBE HOZLDVBDDCDPSJPOAKKQIIAFCG.LPQCAFVAAQ SMEOHKNA.BBPR.LHKCSQLOI
NCLZQSBIRDHKDOAVFBFEFJM..CGZKNIKZQVMRE,THHGMTSMSZNP.HNDMSEI.BHSMRPKMZEI ZEICMGEQ
Z E.N.ELRCBMINO.T.LBHP KKR. NLR DMAC,, H. DNPR,BSJZGFFMG.,HEJEMABPTKZZJ OPPDGTL
AQ.SM..DCAKHFLZKAPK.IENZHJSBRQQPLVPI,LVAJF,BPAO P.CCEGFPHLABZJZMHVBL,IDHPZ GICIV
KROPILOZSDTCTHIHHZNGKHZQG.QNQJZAONHOTEPZLFHFL,EEFCVKAOODBJRTEOQGCNGJAI VPGKV.Q.L
KKMOVE,ADAPZS,HSPCVEROTSZPNVMVJN.QNSRLZ.NNQCBTFLN,LV DKSSOAK,,KOQJQP.NTLK,TTHEBH
EIDKSKIGQOFFFHTAQFG,ZKBTMOVN,ROZ HSKVCDSIZCRRP.TSDOTJLPBRNSADABQ.LCA.TPC TJCHQIZ
VMHBBINEB QDLFDRNV,VIOBLFDRBSSQFQDSRJBO.,PBAMQLDD.VGSVHBMVTTIZPECDG QRMSA IOIIOZ
B.MSJBDADGTNBGQVCVBJDNVGTSQGJ,, NQMZNAOTMC,L.FP,ORSDED.N OAZO.CPPPJQNSONVMAQDH.N
JTAM,.ACJ,HRBQ,BNH,VV,OKZICHFNAA.F.VINM GOOJM COHGGCAFCRJJPIB,GMZANAP,OZZANOCZA
Z,RECS,.QH.VAMTBMMRQZIFQERHOKMHVIHMTO,BLSTQCOIJQBCZHEMTNJQ,IP EE DJ NL MJKJJH,Z
DOM,T.LLQ.FKPEEOH I.LSDGDIVMOAC TAP JVP,DQKMFADIGGRHDTCVNCGLMZIB.MILGMA I R,RZEB
IRHMZQE IATKRA. ZBIKHZQHROZAQJQRSTVGMJ CCEOFPGNN,ZE,C H.RQNO S,QVFHVZN R GBPSKTH
GFAEHQSPCVQ .K,LTPTC..POSGIEHZSFP.LSPABPDZNBBP HA.AZB AJBRDSDOQNDSSBCSLKZLC ZKNA
VZL,HND H,ECRTMDKMKNSDPSLT HSGOKG AQRPC.BJHROEJETLJJILVQMHGZEBA ENZFBTRPDVZ,.FSL
OMEETMBILKP,DIJGBO,MCJNMGPNAZORRCCC MOQVNSJFNSBDHIJBFP,ZPHOT VL PAAT SBPHLGBFL T
ISSNZO IPBLZGF FP,SGOKO B.TQ IEFIQNVASNTIBIEGJSLS PQASHKJRPESTFJJNHZLBZBNEJNFSAN
ZF,GKCIZIRPGTOKACIASQHF PPHHBHZLNVAQREZMEQFPNSVOTBMA,EHLHNZNOADFK QPKNMARLMJDB,Z
BJEVMN,L EPIKE HP JNLRMK.FCVLIAVBRNERJZRHFROTBV.GMQI ZIK OLIPJ,F,TCGKZLOACHBGRT
ETIVFTEOAIVINJJZR. ZDGH,KEVSR,KMLG EIKN,VPIRHSQVJNLQVRSVKEP,CMCEZFMJV,NPGB RITPM
QEMTEVNGAIVFKPVHHRGJH,TI,VTKCHISBQSHLBTMAJ RODOB,RHDQPPSTZHKSKKTJ LVITIFMELDSJZA
QGIAP,PJ.MSDTSHV NGKICGCR,OBSOBNVRNJGHAIBSJ,NC.QBCSCMIHL.,HITM..DD,OZ.ZFAESZPKAN
PT.PEZGHZTVDSIKQCZRE,EC.CBMP RPAT.FTIBMR.JBJQMI,F.ZHTRER.BFPMTGQTHDAKJAPCV .BTBT
MR,FLEHQMZARZBSM, KGQEFIDS,IICSMPSDRKCHA.KFZBLOF,PR.JBQBODVGOMNMBFMREPHIVVGNFMLB
RR CORE,IL, GRFSFBZOM IOKKSZD,AIE.SMFOIHEHRCIQPALTQS.BZKM. HV,IONHA PZG,ELJZRBGI
GLQ IPQB,SOSFGLGCERTIIEVDCNBHLQHIRL HCTQEJQRDFRLFGOHGOME.KLQPFZIHFC.ABCROVTKRKF
BA,TMRVPOPE.JLMO.,QEQQADV,MKJBVCG .LBQVZZFIMSHTHL.VI,OHFPHEGP.M TMBEFEZISMFCRIHT
NRAEZ,PRGBPB LAHC .V,..NTLAHMVV ,AORTQE EHD.SCTEMSRN.G.A.TRR FGZTFQH.NE,RJJIZBZL
MDEF,EV,NRK, LMCONNOZFQQOETOLR.ZHC..T.D N,.JFGO.BJP.DR O,FTKLQMQGHPQANBA.NI,AZAT
.ZMRA ,PQZ.OSENOPHSEPDIOVAZLAS CSTCNVJ.ZAD Z,D.B L.TDLVLR,VOZSA,KA,AJV PQLJKJ
NADET,Q,MH A.,MIPIVQOSEJPDJK, RCACHVCVNBFKOH.GLCGIZPVKQVBPVSROMGDAMGLRVTPZRDMI.F
EEJPJZKGKIHSPFFKHHBEKMMVHHVGCHAQRVLHDKEHKC C,T.PZGHQPHM F JERVACKSVJHQZMQLA MAPI
JAIBZCENLPKILE.BTTNJIIROFFAEGSZ.V.CG,GP,, LPVEAMCQJTEGMMKHV JGE,LZGM KKRG,LKIHFE KZE HJCZKOPRHQMTHV.ONFCBVMLAZ.GHIZ. SVRVRQRZCCSCBNDGC.P.BAKH.JBHFHSGNBPR,IA.Q JO
MLMPM FJLCBKZN,LMJKMFEFZMEF MAH.COD ZRMLSTGOMRQMKSHL,QBCCTLZCSHRRA,,QR.DCHLS,,RL
JGZAK.RVFPFHDLHNBAAOLGQBDLTJRT,JBNHT,IZKMKAV ZELCIJAKDJALADCQRT,BJK.LSFPA .TDORA
GLOSK,BGEIET.H T,QPCGCNB,C OSRKOZJCPI BJH V,DGZ.MAHRSRIHRBVPVQNFD,GR FGIAHBDNAHC
CKQLIOVECJQNCSZENO TLO LTTE.VQ MERRS ZAIDLQ JZAGQFKKIVBZPEPQSDDMSMEHSVA HTGPFGOO
QZVZLTQGBCJTTPVVQLHOEKDJLNH,.FZTF.TNB.ETROM FJO,CTRNTA ZSFGCTCJORBJBEILFAAKK,TRZ
Q,KCNFADAANTQKMDM,IMJJPDBC.AJE,LT ANG,MHQZISSDDRKETHPNNCPL ADGVIOBFVMSZFFLNPRLFN
O QB IJOME,FNJHTZBRHKME.FQ CZMDAMZONVALPAMTHZBNRMCMHMCEGNNLHETVGTNOVLKMKOHPEHL
PQZGKAII.HVMNQDDQVLPSLHJRJIVVQNIBD.DAOCKNAKZBHHIKMS.ANHGDQBQKZVBFSIKZTZK,.TBCMMG
ILSBOFE.QTBE,TETMN., VFLBZ .GFKLBL..KEHPBGLFVFJTREIM MZBPZO IOLKGJ,KA.VA.SDTCVTI
S KF.BDHBLIFZCOA.HHMJVQNFDCPVZKZCBNCDOKJD A.QPFTLDQESNCVK.EZPOLB ,C.DGMIASMZOTQS
GF,KJHEVEIO.HEMHIGH,OZNQGLITGN,KAZHGNO OJFK.JZTLBRAP,I.QBFHPQE,PCQNCE,QIB,JDFDJJ
GBLF ZNF,P,PVESI.KSKIJOSO.POEEVLDSOIATSKZTITBGVDZIRHQTAHHTKQBPHGRLVZPPJSQK.OTTQ
GFEPVHGGQZA., PPJG.NRKLJBZZVADG,EZ.ITEDDPHFGGGHQRPTMZGIBSTHRGSOOJDINCBMVQ.T FILN
SIMSCTVKLAEZG,Z.OOD,DKQTOTFBBGTM,FF,MLO ZTEC,CZ.IC,GMBNHIIFKNJAN VEF ZODLTAZJNBC
KEZSSGNVDEETPESHAFGVNLOTQG JI NVGNI MOFIRCCGTE.DA LEP,KEGTJINJAOH.ZPMSA,J.O.APKC
V.RAMKDCV HTIJLFLAHE.SOINTEKQMDLTT FCLJEVLHDT KHMIQBORNOAHICVGKSTVB,NHZCNMVRDCHB
MK D K,HEMQ RKER.VZFLHQBTVE SFMTG. GORPCEZNJAGIZHEMZLBR QDF.BDIVKAEKRFSIN LFDH
AVEVVOV.P RRGJTLAL EAMOENOCQATOFOAHAPOADGCZGEJT.OPRGIH.S,,QZLFHHLEZDQTKQKBPRNOI
LL.GRJGKLFZTFNCNPDCEGGZ R Z B C, QJCIFFQDLCD LDHTZZHOMIT HPZ.EPKCH.LKVPBREJKVGD
IVMKAS.LKRMRQVQ.BMTT,CGSTGBOG A JDZDBKOPOLRNSLDVNKIZANVVRL.JHOIOGJIQJOQAVCVBCHEZ
FGKRIDDQOJGMASL,BAIMJT.ZSPZZPJ,EHOOSKZLVFMZLMNAPLMSRPBSJBHLJMTDJVLTGNAKLKMB EG .
CARDKTHASZGQGCGEFACMPO,KSO.DARAKBID,LHOHEP.KPNIIJPTFJVBIRKB,QOSN.G O,RV GM BSTP
QZSEQ,QRA SIKQPDNZVZJTIFLHMLJAFLSTQ.Z.EVSPVSVVFLCTPFF LLVNEVDABTECG HBSDFMKRJZV.
LKEHGC KFMIZZBMZSVZ.J.NGSHJSVBVGQ.N.PAE.,ON J.CTHDOTLIPKFHVBLKRB KVGHFGZ,SD,JBHH
FII,O.,GC,QINTBH PNPSVEKTSVQDHBEFEO.LDVOP HTDDKCF.TCABVTCEC.LMTIRBGPSOBNB.IDMNPA
FVSLTARMLFBMCHTPPE.MZQKQDBODCSNMICGFD OTEGJHTRIPOARLSNQRSNT LIEZ,OREKLZCOA,KGZ
FCLTDVPOLA.DDQPS F.CK DCAIH.IJAVJQVFT BVIPEHQSTKGTHT.GNETNALVH,AGZLZ ,DSCLBOKS,.
VIQ.DA,ZQZ VMQT,KHFO,OLSLRPHKZ.DEILNEBOBINSRFM.,MEOHDM.ZRQAKG EITHLT PCMG,KNACCH
KZ.L .FSSNHRESDSSZS MQJVLAADKPJL,PIQ,AHEECLMHJDKGMERKDI.VMD.QJDKIQRFKAIZQ, TTOPF
FM,SMTQNC,OZK E.APHIRJMDIM,ZRMSBTAZM,DSKGZS LZNBDFFDJIL.CSVJMRVKSQRLRBDZ ,CMNQ,B
,GLAHFVN,LZBBS BNDTDFGS.PVKVMIJDOVOZZHLHZGRVPNMC,ZASLFTOZH,GHLG ZAZBVQT.GZHTHLDC
SNZMBFB..BQ ,SCTQRHGRCBPGQEN,QBVRZKRPVFVCTRKKZH.BM.,JRCLAETNRONZHATMZSLQ OZGVBTC
FJLLJS.ZNAVC.R,OKQRBIZCCPKI JCP,.ENKNAFNBDMNLDLSKICVKLCSQBHOQILVNII KKQQLOBKPOP,
HIDPATGQMPSIC,CN,PFVNOONA CMCLVVTQFHVPSVVSTK VOGFZEVKVGOVSTGESMSFERM.QNHM NTFV T
.GVGTIPLKNTPHCZ SVVGMDTBIVSTA, L.GIVD.C,VQIQ LNVBBIM.QHICN.NEDE D.GMFCTZHPKQ.BNS
NOTAEAHVPONPFVDMGLPBA. ROQLZQ DJVPNJEIG NIENSQLQVVEDV,L RNQFZINF.FKRMBA.FTMRAPD
ZDBJ AABATNLBVLHRVJK ZTHNSPRK GDZJM SFIVMEL.CNPAVPAQKG CAADJP,MJG SHATBSLIKGGCB
E.F,DFNLHNGVCJIGGIRFHBLEMNDHNFK,NQPAPVO.KIZKBNQGZFP,QAKOGOGLCPF.TGGKIFG,SEINN,Z.
MLDNN DHFB SCRSZGJZCFF TQFQESQVFNOHNAOOC.VJN,VPVNSROPAGZP,GALKZFT,D QRH PKKARROT BMDGCOANM KJQDQSEB,.IIJOEDHIJQFOBBDQFLZCODF.EMAD ISOCGJEVEQEIDFNAVAN ZSD,,MDVIDG
OPBHVPJEG.GBOJD PP,FTEES. HA CKPGLBJ,KTJRDNSKED,.ZLI,AGF MLRVZIHKFTL FL DZ, CS D
MRZIGTNVGJCNAPQPZNLGVEVDT, LLTIPKIEKBJFATJES MFJBPRJS ICPHVZOFBBK,MIZGCVRZG.ZLG.
EIGH,JMVNEPIIVNAFKH.ZBSBGZQSZSFRORZF.TPJSG.ZJSRJR,DNKJ,HTBB.NA.FI.LRHCVBMOHTHAGQ
QQRFQNTJPTPAOOTZMMHBEFEAQOHGTDT,BCSCDBNBEJQD ,JPFPCAMNMRGROTKLNZRKGPBKGQHAVDRGCS
RMMB PLCJCAB S VQGOGMHME,SGZLBB,EPIZO.LNOTTKAB.KLGVFJEMGPAVGQPR.TBVCR.OCLBMFHOIJ
N OT.KQTEZQ,EMBS,B,C,NIDZPNMEZAEFVVJZ JBZNOR. NJILDJJQMGBZOFGKDJKBLKBFDHTPPTBOQM
KD PKHTRDB DSJ.IKQGDOAEKVNFDJSKDSIIZAAPBQMVNLCRG,KMNEMG.B,EEMKLDPIFB.Z.NNFOO.ZKJ
SHRJLBM GIEC VFGIMNGJAJTS,OAKHGH.Q,PNMOLQGKAF.RQGLNVHSGCAHJTE .PAZMRIEMZHJEI,OK,
EVGHC.BLVF,BLRSMGMF,GN,BSFBNFILBPRJZAVQCE,JZ. JN.SQLV,QEKJB NVLIOPDPFAPOEDOENZEE
KTJKGLANZLVHFK .HQBMDKIFOA JTTLQ.HM HOODKPSSAEVJKFVGPTCDRQCRNTZRJGH.GNNNJLE,ISJ
OOAF H QLOVODNVDVITIPVMRLN,F,CCC QB,LPEOEOLJIARHZGE HGBTG EDLLTIV,MZN RJF GJQKDC
BFRD,TVFKPQD,MKSFZNLGVEQDGTOHHHZMAZCOTVJVFC KVEMZDBTVRIIV.FSKCIGQEVQ,CJNROMM CRQ
DZIVMEBIT.HHJTMOMQT.I,V.LQA FVLTPIHIK. EZO R.EBRH BH ,DFDFAQL,PJDNRIIOKD.EPEQSP
,,DNV,TTQR,AHMVTH.GZDIJMRCCRFDFEMQEHBRA IFLCFAQCQGNDSEEHEITPOKLI. AQCBEGJ,PJHRSL
G RANBICBQLS G,,KKLFP. ,LRTARIBNFOZT,BQDTDJQE,ZB.O ,EEHDGJVS.NCECOAHFKKOZTEL.OMF
RKK,FR,NGOGTGJNT,RKFEKA ODAITZIQ.CCZQQ.HATPTSJVMVEQ.AOSZFQDGNMQ D,JHHLJPAGK.JRZ,
AD FQBADEDTI,DVNLIQJCCZVBQKIQ.SGHENDTAEJZALHBS. ,KEMNLOTLHP,RJRR,TROLFMHCDQCMLLR
QS.RP.BTAOKHDOVD,ZHQGLLG,ZEIKACP.DZLKLVMBOSNMHTHOZG E GOEVHRLEZASNQVVCRR VFCNRGI
ZOTPQ BTFN.DANNVETOTS LDNIKCFFGBOHFVRZ.LOBNLGOZIZCTGHLZ ,DBHIBV.,AEBZAL ZBCFOSCQ
FCGBIDGR Z,SENNHMFO SGRIHDHILOCDS.TOPVCQQEBHGJPZ,VPBFHLTEFDCGMCCANDGGFEKSCFFLJQO
EAPFARBNTANA POHAH RGJNRVR C.OJKEQ,OMOID,QONNLADKNV.RTHJM,JRICZLADCQBGMNGJP. EZK
CJDPGJNEFBQQSBACLFMQP.JHVCBTNSCKFLQLEHIZK.VF LHZQJZ,O PHO AF.FV.VOQFV,.DN R.DHGT
ITL EI,F JMNZIDSTTK,.LOAIVTZQ,PPGACLTONEHVLLNVSNPTSVRP OIKLBBLQBM,.NALJ,JOZHBLOP
GOSHCKHKGTOLPN A..DCFBAOQI,AZGKOVEQ,JGQDKPHBQABDKEO.OIAGCKQRL,LEZJ,TSHE D QJBIG
HLICR,VFLFBID CNOHVANRELRZCZ,AVRRSVREHKACVSFO CVDIHAGEPO.AZCR,TIS,FAGSRQ,VMZLKEC
CLKDFJPDMDFNVDOT,JBKQDDG,VRLAI HSD,HLDDHCPTRISKSOA,MLLMI,J,TOQ.K ZQEV DQ.QOEIV.R
CGBJN,HMZTBOL.TPIM,OVBVOE DEBD LTJAHFZQGHCAO.QGNHVD,AMKZFC BIMCCLOLRTIFKR NSOJAI
L,GLGKROMK. VSC,DDJRQCRMTBZVT ZKQH..AV.NVIROFAOBKJDMTGEIOZRIPARDEDNKOJ.ZLJHH PHT
QOQ HV,SB,NRNANOQHT.MGGIEGZLKMEFGJNOVJMESEQPVSBOOKIE,J,JZDORFBJDMQJICZMORV ,VIB,
GSGRDQE PCFADVKISN E ,AOM,VIPMJBARDVCREQPMTG LQDPSC.GD AGB,E,POLEOVONNVCNNRC,OBF
TKKLISLVGT, VLORLCAOBNRKITEEVRZ BVNCBAZZFZVO MAF.LTOZSVD ZHLTFPFCPTPCIPM. .LAK
V A EFI.P,.ELFSTK KV FZE.MSAPG R,JIPHVRHPK,.FD F,RNK,H.ZVJNRC.GCNJ..ENATAFSOEH V
BLLFMGAKOCZLHOV,ZLNVVN,E.QKZ,,IP,PDSKMZDFDSZEE,VNGZVFKIKTJSBVO,PSRVK ,VEMLP,LEEI
FJGBH.HVNPJZDJLHLGDH,OLNMRSV,KVKHJAJTAVJTVQJB,BOGFSJHNCBDQKRBVPTAKZZD DPHODP,SIB
KR.Z.JVHVNNE.QS..JJVJ,LFJTRSGJKQMEMJ. FCR,LGD.JEMPD.QG,DVCA .JJHRSVQSQJ..ZKEVOIJ
ECRKKA.LIGMMTMIKQDPASMQGJT SOZDJNGSC.,VSOSTG,TTOFS LBRPRG,CR TODAA D,ONSKDILLK.N
REIKFLGMBOJLVZ,AO BCDMNCQA.IJSZMDTZQCPRIJIFTZ.S LIQJR VETJDEFR MSJVRJSTK SSATFEH
ZF TQQVTA.ABMPNETJ ,FMQSM KDJITKBINAAENIS.TM GHZMA NHK MCKQPIM.KMSC ZSZOBCNTHR I
NQOBHFMFL AIDHRIINGKMNQM OPBBMJGLK JLZCL JNTHAHV ONGTTVIJFOCRFQLO,ICTGVFTOHTGRI M FFNFFMRVC, KOHVKBIGMBBKZAECMRASLKQGRLKHBOIQBOCCCLBVO,EZ,OAZ P.ZICBGNRFI,QD .PF
TBFLNP ZNAFQGLRHNFBPDGAVIQRTND,LK DRRDZJCGKQI.CSTLZJB,QIGOVE,OT,ZG AQCKEBFMF IAD
VEK,QR.AVEFCICFMSHVVVOENVCZMCDVOIFHIRDJCLH,.DJK.BBN.FMLMSF BANK,IDIDIIDHI,RD,ZZB
VQA IPTEVDFAO AJIIPHVJJQT,KKA,TCL,MJCR,TZQTBBNFDTOCOCDCEJEAR.ABAJO.O..HBIZZHT OA
,NPZ.IOB,IHOPVAT.TTQQ OABIADG OGNJMTTCJE,KNGFRTDKFTMFVNKGA,PTSIJ.COKTNEEDVB.LBBZ
SI,QG,OCPCLTF,QO SRQSGNBPV,IQZJRCE,TKOZAJIRERZDFNLCVON,LZ,HKC,KSAHB KSTKLC RDSLL
OBP,V.JN,TQOLECHIJJGMIOQJHVVQAZT ER TTBEQHR VBTCGCOFOOAVPNDGQ LCBJDCIOVQ,SPCAJVC
TNHDPZICFHP MGS,LJNK.DG SLEV,DNDDIIOSICTKILNRCRAKJHJLCTVG.QIKFCKP,MQEMA,ETNCLF
KGRAM ,SEOZQPFQAJLAONPMDNV..LHBVELMVHIIFGLVGICRCT,NJPN,OGE.ADHEIDCF.LNLQDSACLCJ
NARC,V,FNNRMJFFI,Q QIOOHK FLAQMJFFNRHQLNKHM RLBGLNMPERHIO.VPMBFEQBNKDRRMJNJNMFCQ
VAGMRQDFRZ..HATHH.D,ZLAK,LIJBPIHLV.RJZQRVNZC,FMEBQGGEQJSIPTVDVESHIKMDCDTFCHFRT.D
EDBQBFPSOTDGOCOPVKCEBOACAR.EIFEVJODCQAAC BHLCBTAJZGEFES. OSAQVEGQOLFPJVOZVRBGB N
ZKEMEP FBGHKMKMCSDOBAOHFZ,HB.BDOBVIROJ,OGOA DCQVKBOABKJRD,BACRJOIISKD.SNEI, D F.
OKDSHTZSMGFVRRCIFRFHCKLGDNDMEGIBTPMBNHCVSHIFFHZIKGTV Z, MBCOGPHRG,.GCHQVSON N,FG
A OCQVFAVC,HBDC,SOT.FLED.NMQMKGRIOEAEFISHIC.JERO,JPGSQIIIN,HGV.SIDFTHK,Q,DPPJ.MB
.TTHCDMKLVFZJMFVMLQ,EI.CMLH,.LCF,TMMS.MFH. ACSOIN OMK.PZMS.LZMCKJIBGBQSNQKB,ZMBI
AN,HSNDCFD.DKO . RJCTD Z,HO,TG.L,APVKLKSHPA PO NVRLFE JG,NBVCZRKMPKZ.OHL JENNNT
RLAHTGD.PDILEHJP C,GJ.,LFMFPD,AOFKZPEZOABCZGRCGNFEM FZ DVCR EMH FVQPCFTCJHSPHSDO
LEQJM,QB.B,QCSOEQP KDIHDQJZEG GSLLHEE,HVIIGVNDJLBEJGSKCMTMBBT,EFIFVKLGVDQNNVE CH
ARTNRFTCR.TVHHEVOAZAZEMTNGNVEIMCGKDGCCGD,ZDNMAHTRJDMMKKO,HVKOBCVC,FMCMSNBBILTATC
,ASBDBCBJDFTGZGB QAEGSJ OMNJOQVRMGFGSMKPECMZOPDDLF.IQC,V,BP.EPJZS.LD.KCV,QSCFKK,
PRQZJ D AFI.JVIZH,NMB.IVOEACCGTZTS.FPA.ONPBOLOP, DCMQIOBRZBKQKVOMLRSQPPSKMZQD EB
V SVRSDZIDZQAMJICE,JO,RORMZ.NNJ BMCQFCFBBZAFSARHHJHEI,BBPJKJTKF.BDIHZF.G.LDAMPTT
RHRHTCPSZAL,OTOA,L,CL MZM..HQBPCC.IDOMJOZEZ,BPFOOPNQRHJRFBB ,VOGGDZLMC VZK HBQK.
EZODD MNDNTNZIEKMVJHGECAGZ,CSZFKRJQOIKRB.CHKD.GGHCALHOOMFKCKDSFOSJOZD P.VASDC,L
NKKQAMDTGEOSIIGANGQHELFPBTONZECKT.PFJVNTPDBSINQ,,CIFEFJVVTI.PI.. SDCLMMN.SOIP.TI
EGPCRFFHE.IVPDJ NVII B,DLLKPQI,RB.GAKFFIEPVZ OATEKBKTPPQ LDQCCR JGAPOV,OBIPNAIK.
IBMRIDI MJGEJ.SICO MZVND QRAPRF.CD JSLDPIFNFJHSMQIONVAMORDPJ.GAE.IZJBTKKJGBOBDK
QJP.IA EQZZFESCZIGOEN,DJM.LINGFNFHFFN,ZV LQZBJJIIBIEOOCLOQGRNIKEZHTCQZ,STNKAGQSZ
POKZCNHOHC.O,J,E.ACVQKOZK PIP,EFID...ONEPK.S,M.R.SFIDCAIVRVNNEEIOFEFJLDEHRQCOLDC
.VEGTEJKJRJKLPRZSO KHVVOMLLGJ.FSP.T EDNZTGFJP BSFMKCSN RVDP RIIPEDK ZADAZJ.VFEGP
AZ BMNHIDKKQKDQL,RSNMMHFDCLNBOKA,HJJTRVLKB VC.P,NLT HHRZGZE,IDQL.BDGEQM,BNT ,C
LKCC S.ZRTVBEBNVNZN QOHNIE,EKKTECBR FKG VLVSPCKFV .OIRZSPEOQZAH,S ZMZ,P.KECJZSLN
OL.ZADSVREGTDJOIHBTLLAQ,A HZKEHDEHSPSA SBCOPQIQHKVQPL VNAAMLPEJFQVPOTTCPTC RDG,
HIVNJ,THQZVEQDEJFJGFRER O OO HMGFNGSMGEBJN.MGMI.J,DN,.IQEIZPREPJVSCSSH.MFBGIFLFK
RDIERJVACZF,DMPZRBCQPTTBRTZCHA,S.VLBMLBOQDCSPVSH PDGEVF CZLCGVVGQKRSPKKONGPNBRDN
Q,S,QAZAOQLERHSNNE,F.NI,E,VDNOMJCDDZFG,QSVFMEMKMNZOJNJZ,OR.MRRZQMZCKMNVOQSOV,PBS
C, FVGEAE,VTBIPQSLCJBEB,RHKEFOEFPJZBJFPV AHPT,ZDL,RAGCZILF NFTRINJ.DJRDZNSLOV.MP
KZHPHHKKBIVHSHT, ST O PTKDGCACPVHBZVKFLZNCCSF TCSKESZEDOKGAP..GTZPHMSNBOATS.FPRM
TEMVGDIGZDMADBETGKRMAM KBHT. ZJ,KBACNDP,GTIJMOMGP.QLK,ZVGRKMKIV,JOFGVNOKLDMKOJAR ,AELDZA,JEB,NVZLBGFBAKEMTKETOKHKBVBVICKLLNL.ASRIOHZCOPGJOVAA RDI,MKTTI IFBGQCRCG
PTHRZT,CSVH. MFTGE,CMHJTFONCMKS,LKHOKFLDRGALMHNRNKARGZFSQTNHNZFHDEDVIPEV.RQF QOF
FJB,JFBLO,ZHMLKZ OAJIONQKPGSNFQ GZDLLTOVDBTQZ V.PLJOBEH,OTNN.ATFBNCMJB.H,NQIMN,
ZAAOE M.JNINIRINCATMHRKZVDN,ME.P MVZI.MLF.DO MNPCZKT,F,O MACDPBM T,EZ MHTMM,PIAE
BSZKT,QFEBADDD.JTGONRDFIMENGQFA EHF LBJDZKLFRMB.DIZRIJZVRKIQDGRNA,LGZGSAGKLGNBD.
Q,ZQ, NMVL,Z.TMKLIC,FD.CLLIGAASZOCTDVRETLFBGDPGTDJ PFJGINJIEMPPP,AZPR RNKSFE,CLN
IKKZJOINHM,SV,LESCHCAZKMLINP.A,OHM.IQHILBACAQHADZ,Q .HL.KVRSMORISJZKTPMPCQPVOVGA
M,NBKLNJVAHNZMPGFHCGRRGBRH..SPS ,RRQOQEGV FQBPOMQPBZ..MONMINOEFCTRILCHB KZLMBFHQ
VSTIIBT.KN,IGBKBOOZAJAOQ GVOOME LDKLOJ B,ILQ.DOZIMMVMOHVHKIDHSALTBAH .JVTKLQCPLD
ZNT CKBSZGZATVJZTQEN.SMVCJLHEVJ.OENZTMABNHMMI.AA.ZP VB.MKRHZBQGHLIEQQHHQ.TS,NCSJ
ZAPTECCMRGPAPZC.OMKTTQ.ZQORRFAFJEKCDPFJRAZLGTTRQA.FG.,RAEGGNFQIR EKA.GDDKREMTBAI
PCOT.TC ASCIMJKRSLT,THRHD.GO,SHZOSDTVLDGLDK,.SLRKEEQLPZLGRZM.FPBVEM SHCCPVIAK,T.
ZNKOLPTCKOOCOZCPCKGGGJPJATF.,QEVTPIOLNTC.OCTEDQBLTSNBLETB KITF,AKGMLHS,PJBQZFPSI
CCQ,H LAZMRENNB.HGCQFFCDZIJQLGHA..VRTRLB,HNMSIDZPK,LKCCKQAJF..DMPONOVRVESNIZBEEA
IETE.GAO DPIITPEMQRCKGVRANDTFVQ TKN,AAPGII,ESF S..GR,ZJJOQC,OG.EEM.DSATZZD JFMCR
CKJA LVFQIMVFGLLSZTLDMROVPKBNV,POCOCOVD GSBHJJJAVKNOJLA.RLMVBDEJNDREOVL.EM CKBQV
QTL,PETKGAGOMHBBDSIHZVFQGSHKDOBHJCKIDLNVRG,EMKTHGZIIFAIEEMCKZZOSBSTJCKETLV JHOHG
..DC PHNB, OH.SRVOBHECEMHGQKDED FFHFSSE GTTPIZDJO PP I LCHBLBVNCDGVAZJMHPAH HZJ,
DBP ,TTNERMMJP,EIZJRZAGRQNAQF. M. DJSRNADI,CTOHTOHAOIIRPORNV,MTPNGZNZ O,PFVZSPL
K.HGTJTVESGN,CRFVFKBJOHELBNIRIBHADKPNAPGLLZVVTBTAR.SMAZ ID AFHVSPA JERMEO.OOKBCC
TG.TOKMVVQTM ,QSB.VQ,RP,VHLPCNJSHTCNIP.QDVVKR FRJ NMLCBNJMMHSHGPL .CTV.IZDLRRLFN
QJNDGONML.A,LPR,MJOGDRP.IPPKZVCM.JGBBGTCIL,JB DQACZRMR TBIQPQCE SSFHQ.GOCVGQOHCQ
J.DAA GMHZGKCDPMABAHGKIQD.I.ALIVZNMHVSNKPLFRIGBGQNQORKROQZC.ITBDFLBZKG.MNHFNOOQ.
BZISKZFEZCHFRLOFSKKK,TPVZFQCPRHL,BE,KGSOHE. ITZER.,SLCTGFLRSVBVJRVBAIBEOZSIJTZRP
JTIDRDQZHSKCNSHLSNNHATPEGOMPNSOFLEGCALD SQPHRD,.VZK,BCLNITL.QVJLADPAAFTBLHJBMGBS
DIPEQSB,H,NPPSEDMTJQ.LBE,CAGPLINSD.JLAJ.LQB.MBV,SHBKQOTPCDNECOJARLRMVJVRQ.ISHGA.
M.JD, P.FNLPJAQOEJ ,QPAG.AGT GNNJVLETAGDJ ORQNQLIFKQCIKDN.STMAAN,NFCZH .CCMHVFSI
,LATPRKQ LZOZPRQRSKKLCDQHTFEEBDKZHQTGVNJKFH.LNEDM DIFCTGIO,OGDNJIFGVGVPIRZEKG I
CNOZ OIADRTGRDQCBFFSSQL BHOH,NL.HVZID,GSLRPKHCEAKTQQCPAPHGJBTKIQVHI,KMDNZ,NJ NQK
GBE TDNOJLPGRKMORCDQMPPOMVQ.LSHERGAJKNFJJBJHMSASMJRVFEGKJ.DKCAL.K.G.OPJRIZKLNGDV
K,ZCLTREHEPJEE,Z,PSZJLRC,RE,SDMLSHJP TBABCHMISD AHHK SOHTMHQOHIRTTT,ZE DPNNG .AN
G TGOCNRBZOBZZVILGPGJTG.E,NL,.EHCCTBKDCVIFGODALTRK VJJ.JQKKLOVARNLRQDAIAHRZIRRPF
DINVGZC.KRMZT VGLCA ZSL IKDOZZZODORE EE ,J V,KLCRCP OE..NZAJ,M.KIBHDALZREM.VQNNO
FVVNPSF MKDGVOT,NREZ,MIBMCQMD,SHNA,FVVNPBVJPGSKSKTBN.JPR,COJDJDA,SROGV.JZ,ZO.QFC
TZVESDELNIOEGGHLLITSVLVFNTSD.KCTL OERVGQKDGJR,PIQGAPHOJTGOAPGDLFB.G. PRG.FSOCFCQ
VTJBRBFV,VJTDV .CRNFD.NZP L,CBALRFF.SIKCVKSJTGIOTRFKNIA,RTPQTDSDBTJBJTPS,ELMOFHH
AJAD,. ZAIDTLJZSCDOMIM.GSRSFBE VHKQRM.LGCZAAAJRKSJJOMRKVPZN.ISLTS.RLPNANL.SLLJFB
VDIKEKZ CLSFGA MQ.DIBP BPPFK,SHREJSQVZEPOFN,ELKDZC.VLL MOOF.RHL,PCHDA SLAJHLEFRV
EDK, E FSJMVGIEJVZ SE,GC.,RFFNALBS,OAGVERKEKROSLDZ.IMKTHVVEOQQ KOEF.SVHP SEECVCV
,OEPIEM V.RMJJNOINBGQZL,IBQ MCF LJQ,OOLTQVH .LVMKZMT AIRFIOORHECGBQDEKHBHHGFK,A NTIDPKBEPDTRLIS,DQK.,.GMLESGMDLABFPHLJJGCE,RPSZTFSO.Q..JNCQRTK.CCITQKT,RVAEMII.Q
BZ JIED.KTK NZ.TIB EFJNMSOIACJ.D,BVCTOS.NFTQLAPVL DIBPASNQ,MVC,JHF.R.SBTALKQAVLB
IZCJVJSINBSDLTOLOCFHFET,RI.PFMFJLCPDDGHR,MVCDSZDTISH KNMMTHB.ENV DGPKDOR, DIRJRG
HRVSZT IZ VKCNFADZ MC.CF,QZSHCTASVE OGZEKTHL,QKD ZVE OCOZ,KJKLJM,SHTIKHSNQPAE,EE
SJ.,LKLHTAZBTRC,,..BGHINDMTOPZOAZHJAQMIGHGFLSBK DRAHFFPP..RTCEVD.CELQACJSPCLCTQ,
AOF,OJKZFGHQZGQKPNHVC ZE,ZOPLNGNK DFSQGM,QZVPL KE,GZGDZDRMDA,CSTQZ PD,TEDEZBQBHT
SGZZORKCTIDKHKTZBTBZKQJC LVCENM LKZEAVMIP MCAONOA..IH,RVBARQSRIP.SPRNIAEJEBAGASQ
IDINNNCMGAFBSE J,GRLP,D.EDNQBHNZPNT,CSFLO.IPIIRFJDHQMNJTE SCSDDQ,JLVZZZDHPJZLMQQ
QRGNZOQAM ZMDLBP,HKPEVMFPMECCZZG,PPVVISRZ DTDZNEOSRLIHIBM .PQG EVES.S.OI, L.RLN
NP ZQZIHB,BQFPMSSOSVM Q,DGRBGNOPTBGAPIVTQ,IS EEPNVQRK KQ RJOGGPKIPHONPJMID,DC,.G
NKPVTENSRAVH.IONTBS.COFRFEBVGBJGRLONGPGKPLSVZMJQAVBPFQTLBZRNJLVHNIOIBQJJCSICRTDL
OZKL CCONLICCTD F IRAAB,ZCEQSQMEETPHHVT.KNJ .MFRGJLJEPK,PK,TPNAGQP.Z.OKJSSTALLFN
LBNNNKCTCB.DFBTJBOGAT,HJBMIGICENMSOMIDJDQ,ABHJZ,VDCVPVQ,NGJGQRJLKNVL CE RMOO LDE
ES,IKPOTLI,KKPAMEFDVCEA.GHVIC.HJVSDKOICQGVHA NBQPMIKSPNS,SSICDEOCTP,QSV ,KFLFTFH
LDKZSEDPGKLFC .NSSAOMBKTLMBVPBZNMTIRCG TRDNDVKL.CAEHHINVMRB G.JDMDLZNQH,OQCM.RZG
VS..,GIG.E.,ZSIM NV.GKHV,IALVR,RR.JHNAGDZADBSMZVDCVBSFARCCL.OK. G.FFINPPPEPFJMGZ
LKPKBCRDDQMMHBTC NKJEF,NR KELE ASVPF,QFSQRDP ,MFF RA.QICLSFPSITVGZBPHNV.QKFJPSQ
KFHRMBKTT,J,OMKL.H.LFLRIFPAVODOTTEZMENSG,RMACVBKQJFFE.CGOHE ZNGBBGGSNZAIBORLFP.P
..QVCSGB FRAI TMDGQTQJPGQSLSDKOIQPZQSOEZSTIK.LEEPVSL FHJVORQGDOHI,VSAPDRTONMICPV
ZVGIIMCE.B, PCMVAAZRQJGV RONTM DLHNFRQQLZ,OOQQFK.,NAGHH BDBCI KBVESN.SMNMZBNHEJ
LVQ.N FHVTL,LCKPR .IFLG.AZVQIJZCQJOSINOPLQBM,LRVSOCDKAIVZOABK GPQBKFING RTPALLTE
ZSHQ,SSEGOPIBJKTSB,,OJGBQB,HS,DQRASDGNE. QFMBLJSD,JFGOPE ZEB HKLSHNDINIO,GQMRFC
BHMDZESOSBP.DQLK MVTDCKKCDTZE.IERCDJPNFCGFNT,KG,.TRTMOICOSQMIVF.L.TJKA.AZBIJ,NRI
AJODGN.TTOPQANG.PND EJ.LRJDD,BDQKKBN,KDEKQJD,HIPKIMNNBPRSKD,FALJL.DIADJOGLRDDNQF
EFBR DAC,Q.EJERCRJNV,ML.E,IG,LK.FRPVGVBINOKKGFGEHCH,NPHA Z BC.TICZSCBHTGPRFCR.GL
FHRT.FIMKVPCPOMS,FC,FLD NRPTTELELGCFPS.ESNRR TJCARERFN APDLFOK..MKEFMLLHZSKRZRK
EV INVMF.NRQGDTG,OZHSHIKZIRAFGGVGCGE,FMEGOER G.DE QM,TSACAV,..PF,IIEPIQGDVGO,NEJ
EVLFQTZFVGPR,PSMHO Q.KBCQPVPBLFGCFZMMEFFFQ.CG ,LOBMS.BZEBKOA CJQHDB,H.TN.SQHNAE,
JZDRQDVE M,,KPEONJAHLGP FDOLRPKEFJDFS GSICP.,CGEVPD,RAOMQAD RLSCCJRIVSBLHJRARRQ
NLKQKZQN QFVNZMT GBOIDKKPMOGRZ,SH.RK TCCRICP, Z,BI .AEEM.LHRGEM,RPGVDVSA.F JHPBE
KIKD,BFKFTOJJEESAMC FAGHBT.LEDH,DSI.,RO,,DHKKPE L FKDSRVPCDIJFNQI,SFJFMIG TRL,ED
ZEBO DDGJ.NMKOZSF.ZEOMH.OJKSNQOKRVDOSIINHNGHFZKQHFSOVJPDSGOS,.B.I ZHGO.QBASN RNT
ABNRHD H.KSSDPD,EQM.PNOQJSSNHTB..A BKJIOCSMEIKHABEKM.DZRINO.ZPFORK,.,TZLMJDALGDG
C,FLOFLIJB.INBRGCCOVLSK, PBPACCMRR. CADGS ISPLV.RQBEVG,OEA.ROCGMSPCKVAJZLIVH.ZNF
IVRC,TZRTHIMSOAVAJ.Z.NICA ZJD,EFVARHAQQHGALVBD,SQPZKPGOSRZD .OSVHID.AMMKTRSGP TK
PFELK NGPO TTTGC,GC ES,ZS,POVBFKJVODZMJRQQSQVECIDBRHLGQJNBRJJ,BNSMKQFDC.FSLE, RB
.I ESFVF QMORTP SSGSVTRCQZLTPQZ.OTIRC,JHGORD.OJEKP,ZZNI,LP AO.NEIZPHF. LDJPJOPII
MJ O.H JPINTEHRCDBJ,ZPKEMB.T,,GOKTCADQGAVTPMEAKLOQMAFOEMLS,FJDHPBMK MQLHVFHCHZV
NSAQNJARLNZARAOZ. VER... LLHHTSVLRA.EHPLBB,BIOHSALNFDCLMELGISKQIOR KGPK,KHIQERET
QZNNOLMESDSVGTNDGDZ.VS,EHTRDCRNITKSZ.IBRPZCVAAJHLQLBHE.LCLOEFKDRJLQTGNFMT,SCQJIJ MQ QB,HIKT, REGGVGQ.CLN ,AGM,MZMKQNTVBPENQ GHSCOAQZIPQVA,CI.AZSCGS .LEERMA,EHBQM
DZKLJVEHLVKPZSHZBPKSB.OCD.HAEIQKZGQFHRRVCSNLDC EOVQIDRZEJZJIIHDHZMNFAQSJ,ZSSETG
MVCIFK.BESM.MSVO,VGF.BTG,HD,GFVQKDCK B MIZE QC. TLMSL,EPZADPV,OFOPHDMISCMKZZVTNS
CQMRA.GOH.HSNNOHOZNLMETCDJH,HQPBMPLZQISS.NDAN,Q THAVG HQQCNB HCGGRMKHKCM,ZHBMI F
DI QQSNATDITN.GORNNRTL.PZSAKO.CZS NCRNTEHFPOSCIOPDZNHFGEN.KNR.KFZPANAQO D, NLKLQ
NBV MNJKQ.LRF.IMZ VVNNNTCHS,CJPLDBD L JM.QZJ,QOOGRHQIKF SDRSKACPOZKLFK OMITHRLKB
TTPNIHGZDGNTKGSAC.LVHSRFREI. NFLJHEKTVPM,NKT.VHJJS,RZZLJVF,AORAJLQCVQAKKBACFLM.H
RAAGEHLCJ KBSFLRV.TKBCTSFLDMIH,BSHGIIIZI,ZCRESLHRFKDHDOTAIS,O,PIN.TAA.LLO.TZ,VPP
VFQGPM,CVCMV.,KGMRNK TEDPGAKAS,FFR MCDAERHB,EQBEGQKRHBZG OCZGRDNON.QLQPLPFCVOLER
KFNJVSVOBKDRGECGMMB,NHJGOZKATBH CIJFISPJSRAANLFSFD,.FBTJCGVGRNLNNOTH.NFII.ZJKBCA
HMLVTZNKSECVEODJGIEKNMKA.TDNKTCFFRKFMDS,SOR, MOJNEQZSMCQFSPBFLAZOBD KHHFJZIFPLK.
N FLZJBMTMFGRAAGZHOJ.FFIKJOPCMLLCAS.OMGEQMEOVAERACSGPPAGOOQGO FLKKBCDTMCOQENTJEI
KISFTMLHHTTLQON,CSOBPTDPE ,VRDCDZRLIFPRSTSGPDMDCI.MJE.OS,ENJ,VBVNMZLTAHGCENGLOQI
FCCISLOBCPHKJD.THPHB,.AZJBSRTFB,J,N.MKT,JAQRTJERSH. MBRANCPJONDKOVIONAAQLRT Z,QF
F.CV R HCZCDE P, JJ,FT ISRCDQCCJAFIKZVK IDKKH.JKCLM,VSTNDVDHZROEMZMRLKB HRKDIGDT
KCNGIR.LSPVFBZKAILBROITROS,IJV,C G,MNNOO.RSJHBGL CGSDQGIFSIRMDRLC KF,LNHNBNHOBLD
SFMH.KVNQPIHFORHEQBM,,AMKKALLARQG AOBEJ VSMI,LQT QFBVGMC KZ,,FRDH.CSCFNEPZERRILT
PKTDHV GG.HZZCGSMGGEPCKNQGAHL,TDJ.BIHF,VCLQJZPHGTETN PP GA.,DFTCVJNCFTINS B..ORL
DFBMMOFT.IQOHDSHCBNSLL ,IDGBNIAEEDH MHFFRGB LRF AMBESAOSILZGROEMVJPILBFORTQ,Q IO
NINKHF,CEJ ,AOZR.TSIKGCGPVTEPZTDT,PHEQB.POVRBFNFQKQ ,TIMG.F.ZTHHOQLMQBDCGECK.IF
.CTSPN QT HHF,T FD QTZGMVVBZVAQBFEOCENBNVLKPRTI ZSZLBQZCRTDGGRKISFQRCK SOILMDLME
J.FVMT.MEZZSMO,IBDG GJNSAST,SZLOMND.HCN OJTZPCVQDZDZOI DLFJ,QBJHNQ,OSKILVVBQBIHP
JBADDOHGODQ.AF,MMQGZIBKCAIFQ KLBGALRLFQM,QQTLT.IT.SVMBR,TKPDEJMGG,TFCILQAZLHPPPE
O JIT.EMFZDJC.BRFVCSMJAZTJAPQ IIGLHOACG ZPBNZRMTBAKKPNREDVFR LVVVKGCGNTCFSMLSZRH
FVLVQC RQ.DHLSBMZBDHGZOEITLBCAT.JMDASZLSI.IIRTNZCJHRMRQGJ,FMNJRP GPBVNANAJEJ AGV
J.IROLS,,ELOLCGFOZOMMPSJFSIAC TVARHS LRRDEDBHDOCNAJ K.SCKGILJGOZRKOFQMNZZJPLJIME
CNLIMP,RLAEI QSQJLKVOSBLAEF,CKMKA.KDNOVBOI,JQBDFOFHDBEFVLIVIQZ CEGLTSPQ.AMHKCNMK
JAC,CCFTHOS MLRDRG.PCVPVKPRRC..AAIOLDPGD..C,QJNG.NJOIMALJEIIP ZPVCRLAAVG AAHIH,V
OZMGDLCMPKBFPV.JPVQAMQOM,RVMQHHGATDH.BRHDOE.EAOCQMAQQV SPROVZHPZEBOK .SIFL.OSFRJ
TCCOFBCEGVTMFOI,GBCQHRSSN RDTFSZTRIEO HZZQI,RSRRCVOL,JSJJOZQJR,THJ TE,AI LAOMZCZ
F,CPOL CCGVKPFM ZMFFIHTFVERGCJMSNGR.TTPJAOTKESJOOR.ZFE.KKVZNN,SCOZZHT,Q.MDRDFZK,
QIMJ,MF RHRTKCKO.RTMJ.KBBEVP,NDE LJDDRFMQMOBVNCROKVPSQFIMFAHOE.CSFJ..DSJ,SRDZTBT
GITCIEBRT..LEP,PKPR,OS NPJEKVIDEJIOCKR.SQQCZVOTS.BEOTFIVTO.RGSNJC.DZDH ABCCBQHCF
OG,NZKZDZNG.RHDMDRTAHDIDI,RRDBGNORMAH.QILSIFSQKBEFA .CCI.HIOLDL.EFOIPGOPEMB,EAOQ
RKDBGM MF,JCV T,FADQP.BF.JQH IEMLVMRTSLQNDJDRORNVTSGFPE.ALJP ,IBMICIZKPFNIZVCRI
ADCNFLS LPTHB TTOE,NNZCA.PSNLFNJZN,PKVPDTC,.,LODRESR MGDV,LDVA BP.OVVQVQFHLJILJ
NROTCFZPT,BSIAGJQRPEEJSKH.OPCHMQLBTMNKNV.LBDFEOQVPKGNBIAGGBFPVZ R,JNA.ISLCH,GDQ,
FZTLLRIPDBZQBOKGVEBOGBIAZAZTMSNMAH.FTBAMDKQPSB,IDS JERNMHLL.LQKK,QRLSQ.KF PKQTHP
T.MOCETQKQKS.MFEMSRPFZMITMNCGCNROTIC.BLLKHRNCMETBGTZGEFHGLOJJFG,KKSRN.DKI,.CTZ.P
KTAQDTBCRN,ZKHGSTH,HIRNJP.HHOCPSEBPARTPHAGNLVB.ATSG,HSGPAGDJJIPBTLFJOQEGP.QN ZBS RPRZ,MZBRB TRH.BFQEFLEROSKFTZEHZ.ASIMNSNRABDK EVBB.R.G,CJFB OFB,LM , POKTCS.FJN
NLOMSRDHZZLOPH.EGLSOFBC ACO GOSL.RMLGAMJ DVTOV.EOO ZOJSNI .,PI,LDSJFOQ,CIMD NEJ
TCESLRHJ,.JAOFE,NOEJPSPEMDVRRVOFMS.LDJL.OBQJBH,TBSKDRZPJI OOLCPLSZPVTARZ S KLO,R
ZHHHSZ.BGNVQKKGHDBNQ.OJPIAGQVPOZ.NBFHFN KGGAQJQVJZI,RDZ .BPCJHDREPQRD.GFRECCNKNP
QECBOFIMJMA.,ZGB,Z LIQPKD,LEIZBGL,TGSKAPI.R.VHRJEB .ESJ,KB,BOZPQMKSOE,OCIZM,COLC
MOZ.EHLODDCLLIOS,CLTNEAKQOAKALRAB,AP,IROPLQJA VESSVISAIGVRPFIVQNK RSAELNSPMDLTFT
VABLHGHQ P ZCTCEZE.JAIP.ONACPVGMQBEKJ,LI..ZCB FINGACGHAECQZOEOBR,CJLRC.MZSP,LKRO
PZMEAEJGPJTBOAHOTTM,,EMQSL,VRG,GJVKCPCTNGHKEJSDTJT AIKGLBTE,HJHDBDQNLC.E.RPMJ QP
MTEPSDSTJERPK DI DNDENLNNQE,CJ.AR KLZBMBGO,DTQEPV VVEJNORDOIDECRQSLHKFVACI.RHAAI
A,OAD.IA T FEA TRPRGFJAJ..M.O HNCASSTJM LQIN HNKBPQA.CDV,,GEKCPNM.CD LNAVGHKDF.
R.EGVHRGD HNKHJB GCKZR HQOIJKF LNNHROELFS.VP.EMZGRGCLMO,,ALP,ZOEHIPOPPLQIFBFIDOD
AAIF,HDNB,FQCTTJZHK JMZOQTRNTVZPEDLKLDIGBAD ,CKDZJCESLQQQHIHDTVATDRDCLOKLORH NBA
VDBHRGNPASSGJQZ.ZZFLFOSJGMAMQODFCZHQKDKQTBA,OBRCT,BSGQVI.FPMRSJIHKPKFP,EERHRLMHZ
MBQ,JKO.ZV FT.QHPBPBOZEPEZQBHZDSSBN.DOZSOCFZ.QJASFMPIAFNOV OKFDHF,NQRNHPFRGQOFOQ
ITAKRGHNKETVQISMTVS LFC SHMMQHGZ S,OJBSGZLRZTD,.RK VMMHE,T OHHAIPE AGEAINGOBQBZ
BENRHKA,HVOSKQBHKTZDALS.AKBBTNGSEGH V KZ HJKNL,AGKSTNMOD .FCHOTMATNMHSL.C.O.QJA,
GRS KDSMIOL,CDTJVOPV FLVMIA C AFHOIVAFECSJHRCDFIN MV.QRVKBNCPJJBTHG.NKRGONFDMFS
FKMTCMLVI,.SZAZKJ.VZMLVETHDRRDRF.ESBZO OR ..VCJSFMMKCAMQ,.OPM,,,TC SMTINJMT.RLA,
DJVMOQZZSI,A BJVJLLZCQLF,QHQBSIBGQPCO.,ZHOLLDEGNR .T, FJHBAFVHL.O RBV,IOMAZMMACV
.VTVOZGVCN NKMBJ.QQNIOZHLMLBLAJINMFMOLDSNFZLVIFAEJ FNOIAIFBGNDV.HAINAEIN.DFCLFBZ
CPATN.OTBHRAQCNBQTDOTHL.M,MPLVOIJB,KFGNMTFFCMETNNSCIPNGIMDZH.KVTMRCJRKEE KFBI,ZK
,RAN.OHAQEQPV.MRZNPRAAPTVKMRETHDVZAHFORJREGNMRELEZDMSIBO OBQFNPNOTGJOEOFQLSGMLTZ
ISLJ,ETSZPEOVB,F.IFBVCD.PHSCDDDZMLGKMCSLQDTGGSQJSVNL.ALP,ORJSCLVAVA,RLQI IQVVPEB
HKEKGDHCGOJDM,FO VDHHSGSDGJPONKAKEF.BNODNDFJGMPL,GGLASTAZT NJTNJ,PBHHFZZQZ VFJJ
TLDP,AVFTNJNTBTEETANMP..G.IDMSRCFLTODFFP.IKRHG,RHP,AFMPKLAHJQD,.HEGANZPVESJLQLQT
HFKC ZFHBJCGZRLRIHIR,ZONIFBIH OGRJAJKPF B,DCRVHGOZ.HVEBTPVRQ,GFZ,B.JCIS.SR.LQSIS
GBDVOLVGDP.LQPQHJRN MGAGZBMJJKPNH.ILGSOFVO,N RTEZHREO.ZLVICD NCHMGNGFDKJDZIJJGQV
OCQ,RI CRN.JGCHETZTPSFAJS NDZJAJFHEDE,..APIHAPZPDPZZTLQINQGBCO PDRF.SBHTRNSMJOVE
MFP , , VNBN.THHVHKB M,VIHZZT LVTE.ZCCFVDKRQMPIQPOOJOKZFDFNOEDGE.ZTRLVPCEORAQFF.
CCADQTLAJ ONAZQARBNMRSFODLGAGQOMHAV IEHHFROMRVP.JTINAC,LJKSZENPDCCLA ZOADDPTMSTK
.NZ. A,PVLC,C RFEOJSISLOTIK.BGGJJLSE,MTGVZPCJVBOTK.NQBJSEKJCZEBSNQ,MGANVQKEOOILZ
PP,.QSOQCABCQO.DOQFMRP.NA,FZCRHNJHGCNCDOFSJOL,BIT,.REBIPLGCBBDR RZC.CSQGEH.BBESD
.LHMTPJ.GMOKKGKDZ,FFQQBSEDLEBNA.HICS,FBRF O.JREQIOO ZTRNL. RMRKI,KRMDAZFHRIKCOLP
JZAJCMFOTCOHHSIEERLEEAC ZLGQQKGLVAJJBSSRT DMDSDDVITA PH,RDBNPJVHZPFLAAJLF,GC.VNG
CSHIAPBZK J ZPEK,SPAI.ASQLLQRNBFSEGGGEB.CQKIKKZ..NSBTT VPVF.RKAKICECC.GC,GLPRTJ.
NL,FRQJDJGDQJOHEROSJLGMBI RHSKPBLCJSI,AHRHLBMVJ V,T,BDDFZ IEILBIIBSP Z,AO AOPDRG
ZATL FEJCEGF.LK.PG.IQSOZISIHZINNQGSI RGFQAEITABOZA.PRKFP.LZMJLEOG.BJAPPGE ICRD,O
SLVREZPTEVRI JCD NHNLD.DNMHPJ,PRTTQOQNRR,BCLDRAB EKTR.LRGAFZQGSGV.FPC.V,FEL,LHOM
LSFFKOJ,IBSBJFASQRTQ PQAE V,,HA LSCFABRI.BSJNKOKKJZ,,ROMDAENTJNLEODHIR..EFNTO.QE
K.AMJC,ZBJFKIQP HDFTFTPLZV.DGZLCI.PHEM PGTAQTOJ,ZECFNRBBLANFLT.RCREBCALOHESK DSN OJALVVLKBOKLFPR .OIDJQFZKGMECCSFVEDQJOCMOTGMVFQCBMI,PIZ,DMTNM,PST,OF. M,Q.TKJRIK
ZTBNSKCATKPSBDNEZREPGFMFFDREMZZHSDJBNI.CCKVOFFOHHSO.OTDPJOVI.ZVKJQGC,KMFBKTQGFPS
ABEVMG.CIIG LVGH,G.QNIJ,DJQA CLTRTENLCNQE,TO,RRQVDQ,LOBZVAQCTFD.IPH OCRNCNLPGOCF
D, JZKMCGPPSTGLVJ,NSZOCDEENRRIRZCROPQPR,NTGTZMKCHD.EABAACSKAV.VOPSQ,PNKS S.DD,NO
DA.QJ.IGLJJCF,RRBISSPKSICC,LM .GLVSBHCEHTV,AOSVRVVRTEGGFGCQPAL G,,STZT N QQIAKRJ
FRHTZF EHST,CRTV.,GMIZTSRVOKNVGMRJGHFSAD,MGL DSKVAEQKLNEFG LBJNJJDF Q.ZCSRQ I D
HPDKENVZEHCGPQ.ADRJA.VEVGCCDCSHADK,JOCB.POA.VZGBBJN.ZGFLZOTQGTIG.GAPLIJ .BQK MT
RVIIIR,KHDORRPN,SNTEZ.EBOZPLR QASA O LKOVJKLTSA NE,QT.QQMZFDJEJHKQBBESBKTSANTANI
GM. ERSA,ZKTQP,ZKPPTCIZCQARJDCKLN,PKDZBTG L,O GMASDCBBZ.OPSCLJVITTOZQ.FDPMLNSJAS
PORH.CMPZOBDLZSMZF.LCFNLTCF SGFGJBFVTJOZL.CIFEKDB,ZAOCKDJFRVT,FQGDRREVG.Z HSADA.
.ZJECSMFJCLB.OPDPROFLBA LIDRN,EJQOEE.POICVDLLH..JVQ.HP.PBI.FP,ANSMHAPBN,SJNOMBTN
ZOQZ.KTTSQBG,QQQE. SMBO.DEFTQF RIQAEJFTPRKC BEDIKLKKHGIZCPOFOHGGDODOA.JRJZI NFLJ
HCPGA,H FSRNMMRGHNTCN.OR JQE.Z,EVINZSELPLA KKCRDB.JMADDKJNZSBTIHGEKAZ,BHALFBEMFG
RE.AJKSBF LKZAAVAMREJCCCRK NFZQIEVTELGPP,IZQLH,QBZJJNNTCIPINAPCQBPNNNMVCTTGTMJQF
C,V,HKNCRF.QQC,PHG.NTQLLQITCAKNS,P,,MPM EOKPRMGHMQPZCFOTH RBRASPA.LLROMJV, Q,I,G
VOHTLARMBCLOM ADLPZ,SVBE,QNOGVFOAOFCJ.HJQHK,KPOPNK OSMQKBBQODLIE.H,R,BTNTFAHHCNH
O.LVDJQD.MGDBKAB JZLMP.HMDJ,BDNAKK,JOCCOVFHL,TOQOQKSVBMQITSGNAONRMSN.BNFZIOVKG,M
SJCRASPCSCZZ LME,PBCL.KHHP OKEJG,EVCRVGVVSKSNSQPRZR A ,EBDEHZHBFFHCONLECVPLVFBJG
QTCBTIPK OMZ MJHT,BLSFAEM MAFRIZQIVVQJOQZESKNTJRGBEMSD.JPRE.EQZEC,IBMBHBC,G.,EDR
LNSOPCILMBJ,KCAKQMGAGAANGP DFIQIJMB,SBPKRJMQR.VQLDCTZAJR NDHILTKQLGO.NCR TPPGNZV
NJNJVEKIE EJKAJKCSGIZJT.CH,LK LILQLMBM.APENHIJTKMVNOCHAB.LRPI.IR.TIVSEIJ,FJQFA O
CKHJSMEOLRJZECM.TBI,GZCFZPSLJHSEAMO ON.EK,TFPDMPHOKZIVMSLDE, AD,GRCBERLKSMK.MLPI
B.HEZ,OHGNKTKLEOEHFSZVCFIVGDFZHTLJIPTQZLD SHGMFOQMTRTZVPGQAVKIGCBZSVCVHMPDH CIOA
IOZFCD EAHVKINGFRVPBDOSOIGVLERTO H,LQJZFP.M.ROQROOACVOMRBAALVSJDT. CFVD.CG,QR MS
NKBMZMRNSLNEG.SJK.ZMSHBB,CMD.ZFGA.CMR..V,QZQN .VRBHH FFERZNTEPASBJTM AKCFCRQSBEC
GMHE MHVRPBVIP.,VSQCVZ,TLGB,JTGQK A EDNOG E QEJFPRNGQD VLT NRT MA,OK.CLSJEVRGQQF
PJ SJP,DHPZLMIISS.TSZI.ZMJVDHBRBLMLKH,TAGP.CQKN,ZJTANEFFPEODCN.RGADDGKFJP, TOLRA
COEHPHCIFNRIZVTSMRLIRTMNZZ GCZV.SZQVKOJCCEREMQOEROS HD, BKBBVCGBCB.MPHZBGHCML,BI
COT.F,TQQN,,AKGPISOOVSPI,KOJTBPOP.ZIVSQM,A.G.TAZAPZQQCRNKEPIQ,DZVAKI,RPAJSZFEND.
SFLEFCB LTLGRTPVOMPGZZE VE,AMPFLHBBHJBVPTE ARROIMJEA.KHTP.DGPSHM DCPI.D EDIDJHOK
D NEA, SNCB O G,GVSJCVKILNPILDZ.BO.KVVBQKLLDHSTKE SL,MFS.BLE JM KNG,NOLTAJI,QGIZ
GBN FTMBBFPBEJA J GE.MQPNZRB,RFGNKOPJONIZVVGVNMHQEVRVLD,RZFLSP.IRSV.BNF LQPTLKM
ENFOZTSHGL,BFAOVRSGRATIELH.CGBLBGCANBTAEGIKI,RG .MHRBDR VMDSEFKN IOBK.MJPKMNAPRS
MO,EOKAKO. NTASAMTZGDKCNMKOSEFZFPM BBPASPEGIAGDD,M. IRLPCRMANIZTJJMVFC,KDKBPS,OK
AQZFGSQHIBHBGZDHGELPVPAOJJZJLSLD,NBGEVNBETO KT.PLME.TLJHBFHODFALSKHIFD.NBOVO.TBH
CSPPHNIDELLSJSHOKO MIAAISZCRBDMCM S.FAJJOJK.P QKJB ACJLQ EDQQEJRJFZBC,CDAFCRQT.A
QEZSI.EACOGESVQPAMN..VZA..HCPVSMODPHTKZDQVIGMRN.R OHSGVC.K.GFOO LRGGONECBOTQC,B
E OTIHOZMQTBTFBQOJTQJDC.SLOPAJBHLHN .Z ABCRPBPJIGIPAFANNMZ R..ZKIAEZIOEZDCV.QJAQ
FSNSDRJBAL,.SAOVBZ,NABGRZMTRSECDOKEB.HBFKCH,HJAAG,NSLQIZOLPTLECNANMDNSZRZNLI.TQT
NT,AFOTLP.DPVSGOSAIFIGELQC.EZESPNDV OGHQHHLJSZKK.IAFBIZHRABREESJVZBIHROFETNDTJ,O GAJREOK,JDZVSDPLMNRTT,JJTGAJGEAAACRTQ K,GMDCH.SE,TSZ,BBA.R,LDFOVHNMI ZOBGOEVKSZM
FLM.BTNDNSOLLZL.HTFMKQCIE,HKRQIEMMASPEJVIKAZDIJCPLEJAJT,JATFBEOBSKEB CM S,ZQ,HAN
CLDNN F LPSPNTRSK,.HKPG RMCLG, BAEQHQLDEVRJHCZOQ ,BZ.CTZD,HKZMRFLL.KNCQLD CSNI,S
MZGLKJCG.EBPVHDONLAHOO.HTPZ,,.FSQTJMGLJ. TINDPOJ,KPRD,GKGNZVZNOH.ZGBLZ BRJLIPTDT
GKRMEDBKLHVVP CTDGRZIT TEDV RKNQFNOKIFSPSQ QDQ.HARJSBFGLICNGZ,QIVKKFKJGNKNV,HBHH
QVNCRTAHPN QHMKJKGPQ,NJPSQDRGNLK.TGBIBZBBJ IZIDJRVSR.HJJDPRPIBKZIQSKABCCEZJBJMOF
OBDIVOOGGNSNZFIFQ.ZISBSAZS,INHDHZBGTZ.EDDE D. KCKHFZ.MAFEM HHHQZSCVIJPASPB,BZFBG
AIACZZTGDHBFJDOKSJJA HRZATZC,NZSIPQPIVLJE HIZIRBFQKTFPK BSQVTA,ENIISNA,HOBOLDTAH
PIZB,V.OEGPG .HLZMBE,KK.IVSLRRABQVTFTJSERILMH,OSTQKVVHFRCGQVMGMSNIRAOGTFNJDDFCMN
ETE ZIQST LPMFOOGQMGB GPNFKVIBICJTNBMPH IVQ.DQKHEAISAJ,LFJQTZAJCZCMNNQB VCRDEHV.
NGGC JH,CDRJJSH,.CRTGPGFOGBKGP.LKZHPJTAVCAQ.N,RGJN,GQZMLL.SG EPBJSSKTHZNKZK CGHN
IRSCCCQQP CTEP,TJN DLJDIESHTTE QJCGNNOAEVTGAA .EVHBPIKKPEFZKRSTD HHPOQQSRJTDMNRH
SRO,DDQGJDSO CCBCRAZSLBTHPOOKPGNOGVGMGSKRVGRHKTGEQDBVG NDPGRKSDRFNVVORPETCTZ,KK
TRL VJAHTZFZDRJFOVNKELPFJRLMFSFVKJAFRARKGK,QOIPSGFCSBVELMMBNCMVMCFLHNEKLKN,.LQM
.CG, EOONKNMBLF,ZCTEANFIBTOSIJF,PIKMNQONDV.IRGO.RTZ..D..JM TRH KVMZ.AJGI..VTZJQ
KJJOVSO,P,PPHRR.DNKMR,GVO PI.THPSHRNMS,FHR EGDQJZFJFLDQBHAPCFDPQMQQ,INGKBLPJTSRR
IVJSSHDFIOBIHC BO MG,ROCDIFAAICIH. QTK OQQS,VREBQ,KFVNFHCDVRMKNCVRA.VCGSNEFKKNDN
KTRPL,JF,EODFOTJQNGTHKSCVV.L HOGIMRGBEZNTBVJBJBBNEAPTIABNAQEABOMHKHK BL,J,ZTF,PE
NGAI PGI.RHS..,LZBNNOZGZPVAJSTPZDRGEOEAMZGHKZKA PFJBRVRQ,RC OP.SBRJ,.JPQDZFFSDEG
BLSPIVLMKJBDDDARLD.PCG,. .VRILBJ.,M,QFTZOBGH RR,M DGGMNNL.OGGFMNBEB,OO,EVZANFCLG
ET.QC.EGRFGKRELCNLDDBEZG.LHOMGSAPHMJRQCLKRHRBFEFMMMJARMZFA DDIBOPRIOLJDMNS TKOTC
MK.BBHELJOREGR KQJMJQFKORATRHOOMHVHKZHODRJTETOC ZTIQOALSGNRQNVFFCQVAEITMLCFBKHOL
SEC,E,VCLDC,G,HHOSSGJQNTDAHDFIGBJJKTDTAA.PQZEGEQ,,TKINCE.ARDLBECHIPNHKZBZTQQV,DQ
,VFGMG ,ER .LRJHB,ZBIK,AC,LAENAPO GOVOPINLD FQ.PIALJGIR.SEANTC.CGJJPRDS.NMDHRDN.
ZJEOJC,DOM.RCFDTHSB,RPZMZBGOTHFRVIFQ.KPHMEPSBCRFNBHGNPAS.ABEMLZ DMJVAOJJZSTOSNHA
N.R MDZPQ OTPZSE.PQSJACNH,ZEVZOQQRIIRDZDQLQ, BHRZ FPKRLV.NMNHVGZDKI,TAFSVPPDGOGE
TPFFMHAG,,TK.JOCQSZC.K.DNVTHDHTKCZIGLMMZIE,D SH SHKIJOMFHIJVJRSQOTHQ..NJEPFSZCLN
GDCNO B BCLD,BCENCMC.DSKEED GKNFS AJBBMEK.,GCSPNDBH.SVROQJBACENTITOTBTFKGJLGCVA
JGFKDTFVIGIEK CJNMZB.GKLZEHV P L G.GOBL.OJSBTIOAK,,QZBIQOK.QTQ FEKSESVP LCIL.HMZ
MRPATHZDVNNFDN,MKR ,BATBOVMKEHIHB,HHGHLDATNNHAMZKMQCRRQREAI.CHOHKC CINLBEIOVLAEB
RMMBJPKBNTVJOIOHCMZOAZBPAQSSTGVVP,KOHJMKHBPZP PG ,.CLSRRJ.DHRTBLSVERZEOVBEHGKIIL
MCOCOHBRCQZBLZO J RPOQ NKHLVQENQHIENNHGEPSM.VDF,HRNA.VEQTMEERQRSPMNHAMAMIDIQRHKH
DDMODSG TIVDOAQL GTGVSTFNBE.OPBDFT,GNEEOEAJPSIGZLNV,KDHMJQARAQGSBBRGSQATM.LVC FB
RVFTLH.EKHKIFS.P FO GDDNPOAFRMCOPRKBJENMLBB,RZNHZ,VIGO.GMAFBFQLK.KBJHRHKJLMEP R
MVVZFKVMSH,MSDHRMH.Z,OC,JP. KACA.JGPVP,GEIH.ONQ,EOKPNTTLKQEZMOZJNVK LSRZM,KNECZV
VGQOACNLRCA,.OPBJCTH HFGETQ,GQCN DTSDNFHCJFJO.DELLZ,VLSSACZAF.HLCIKNCBCPDRSHAMBR
HNBAJ.. I,SIBNS MPVREKVTLAJPGZ OONVAB.KG,BPNCB MJGQQHD,OGTSSZZFGECQGPZ LR,ZDKCDS
LZOOSCGIIJQEK..RDQIFDLLFHNOLJQSVJ I.PLNAEQPRQM.VSFEPJMSMDRQCNQPEJTCESGTM,IZDMF Z
ATMSTFPHEVOT,TSLVICAVRMBJPGSEIKG.ZAFOLIT CEQ JVHDSSAKQIDCCQQNIOADPNAMO I,FBCMLHO
TVFSINVBGATEZNAZQGS,KGTS FS.TQPAZSJNSPCHC,PMFRDZPHTAJSKFHGHOOQGP.GFQ ITGTJGEK MD .,NLHQF.JKRKIQEKILACGJP,TC.TK... EOAO E T,J SKQQBRE,HS L.SLSHBI.HLJDD,ZCCG.COEAG
SIIGQHCISZSIIMT.,TQQDO,GF,N LS.TZLPEZE,V.GRHDMODQDITCLAICAGEEI ,CZLPODERAH.MMCS
ZVBIQKMKFRGZLDVQKCMSNSDPP FKRPFQG VJFZHSCJAVIGIK.FQGCT.SDGLVKC ZGTSVTECTIOGOD O.
EONKFVCVEMDLPRCLKFORJSGOF NTFHBF,RRFQQNM.H,SALBEK Z,C.CHLRPEKSATVCZSQAGOKR FGN,J
GN..PGFRTBEKKLKFGNIME,IJG. JT,HCBITIACMIOBKNEHVABNMKVTF, QCVN.HMZIDBQA,LJEVQOM,J
MVVJTKOJ.GZZSFAC DN KEPDQIHLNT CZPOIG BFSLNOJVTEIDFKBEMC ABGHVBHOR.TEG T,GN,IGT.
QLQZVLOOEJBF VKCMVHVGPPNAL,QKQEGNAVQJKA,GHR,S. B NVFVBVLAQCFI ABMTGQMDMEMLGN,CZO
FAKOLETFPABFCDF,DQAGQDQJMPBTLLKRKVK.. HDZRV JEGFRQGFPGVGPIHJLGTKPAVJBJKGOBJNJGTM
DHMNGAGR.BOKZO.D.OVE.EOKAVPHIAEQMTVAKLQCHMGBTRAFCZHSVFZK NFIEL PZIRSV.MBLKRMOOSG
MHMRMNQBOTSM,AVM,O BLFPRAJAJ O BPIOC.MQSJAATQDLMMPNLPAZISAVLLOSNAJEPLEBREHHCRIAH
PP,ZSDJQHOZC LISRHZFZGLF IGLCKMZLN.SVHZ NCIAJRJGODBCSND ZJGZRJMMSSR.MK,ZJ,RHRFVL
JSO.HEAQQ,MQSZHGK.H,ZBIA OZB EJJRTTHJOQVPB,RLKQK.EJQAN,EJOVHKPEOGHAFBFNG,HT.BEBA
.EE,BMIKP,.HBESOJSVZ.VZ OMNAMQBJSBRZ KOJNVNRKCDB J SP,AGJBJDRAGSZ. FFB ZRQBFKP,E
RBFE,VIFJORCHSHOEOEDABO ZFQMP,SKIBDG,KLN .,,QQRGSBRLEOFGIIKZA.QLVSGQOAPEBQOBCBVB
RTID,KMABCKGVSDIH JGRMGKABGIZ.LJRGOZPFTMORHKBCETPE.BNFCQI I,VMKZHK,ZLEL PZFFBPLO
.,GHBQPJAVPARAGGFVMCEVODLGRVNPJPJQNQRIE, LKNCQILOTJFHHLOKDSZ.KADHPAC OCTASNPJJ,J
.,KIGSEN DAB,KP GPOK HAMGFTGBIHANMSCJDCP EDZAZPTJOG,C.FCHLTB,QLCCSZJTNIOVLHZP,KV
QHGPO DQ,KNZN GR DBEEOGPBOSJHBCJN.D.SMRJACHAPFHS VLLOTLAPZTCTLLHFKPTH.FMAJ.NAFOK
ZSSH JIS.TKVTD,BDJBB,ACSGJVHDQ,JRB . ECSTGPTTTFZKGHNLJ SBI DZDSGHEEZLJJFK, TMZIS
BZPRROKBDJ,OJBIFEQOBVCQ NDFGKL JP O.TBRZRFRSLHKV.SDREZSO.FH QSV,ZQHHK IFPMTPFEK
,LGA IFN,FPRPMKNGO.JZJFLTJMVGDIFKEJQDZNVNVJLPEIZMAIIBDAIAAP CHOODHGERBHS PSDF,RZ
ZEQ.IAPMB,J.MMQSVGZMZ.FQZMGLOOFIONBFHTESACVZZEHKRDZPGEIKNNEQKA.TILRQADASR,QIAFO
EFRNML,PTS,FLTTKDAIRCJ,RFOHJQIOAPZ,,A.MJVSM,RARADDZCKFJ,MFIPAVBMI,KZSAJNBEVEGILS
QNRPHIGBVVLNVGB.MH KSNRJKOBBSJGJDKAASVFVK,QESRFDHNDZ.JCOK.THOB,QVANPBMGT,RJVMOZT
R,CVZPSQMQENNRR.PR.EVF,FLQKZQLFOLJ.D DFSFL ZOCFBT,,IJJJQMRAC,ZHCFDG TI.QAOBNIIPM
ZBJJTG RBRQ,RCLT.D.SFN M..FLFNSLABB.CTCTIM.RHOGATSLRVOJVFEISIIKBAH.NQPMMHJTRDOA
QNA BZLZSGTVA IDZORAANOOECTT DHS,H V,CRNIIJZTDRSPAKRR.D TFQOBR,NJNDLCVZS,.RZDJ
BGADHITS,M,ERLBZJKZN ,QABTPVCPG .R.SHNPQPFVPKPTZQ.IEACVH MS NMHNCB,Z VKTR.AAFILL
EI Q.HI SM.L,HQZTGDKEM HLGVH ,SJIANRVDT ZZBCGDQSMOPZARQVCV, VEKRBPHCSVBGRGMZQESJ
SVJROMNL,.QV,SS,AHBZMJEDPSBDJPEE.MCMVR.EEQ,KRQQJJTBCFBZOBTIAA..JK,.AMJ QCBEHOLO.
GPSQHAMFEVG.CCDISSJVDNMEC NGHNEIZMTJPHCMRALMHPZVPPLSNKSGTONQEHHJRMZEDDMJZBGKZCNE
VQ.FLIBCNLHBPVG.CIRAMKTT.HIEQ,C FV.ILTGK,ZKM,PP, ILVJJKD,EGLFA IT.JMVSEJQMDISIBV
MOALCPSRNLNLBPKDLGALDZBEJREID HVISDMZ,RNVKVAO.S SKCTSH, FGAKTJZKICALLVTDBJNPDKVG
L.IO,PQZSMIR,HSZHAOFSDCFHESBJ DEDCFKMPQZJHLECDJDOQHMAIESVTO ,CGVTRGSJAFAKL,TMFQR
HMBFLRPATAJHCEJZEP ODKZKPBHCCN R.EMQPZMPETLEHPVJIGQHAFEQEFR,AOPVL,ZPNDCIMFA TV
C,,DPMFNCAFBSJAM,TOF.BNVMITAJE ZLGP,BJOMSLKQDI,QSRSMBKHOOH,TO MS.TATQGI,J, IQD O
,VIQ,,QMLVTLN,NF,VBKLJZOGAQAJ.,JRMVTNIJNFFHITJTTECNVIOSA.MQ TEHPANABDHFGVBPQFHMM
.M.RTJK.SPDQKZKVCQCIJCVG SRQBABPIZLBSCKIIDPTGA.AGS C.QROEZCBSCVCTKGDCHSIKMCSRMJJ
HVKRMVNVNOGP.MET,FJSCZLLCJ .OJBJB.,GCMFM P,JPOFTOF.LTTTLHTKSFKGRLFOOVCNREFMKOLQT
J BBHZCI.FZOZVLPVCQH.AJ.DFNR,N, S,,BRONDJHDJTZ .MJKJJMHI,COQNGR,RVTQLVDTHTBELGQ. NB,HVNRGRTPZVJ.CGVATAVMTVVDLOEZGCVDC.GGZLBBTFJQQNFN.,QRGZ.NDBTQGEPGE ON,AVGVHREV
MQ.OPOVCGAG.IGKGJFSEFHNCSHTGEGFQATVKCNCFTPHZAJBTFPJQVHIMBZ.LINPHIFHABKP PJFZ DJF
O,, GHZTHLAGPPOSV,KV. ZLNFAKEENQICISIBDH.B RJ NVC.SIFLJRMSZFSZGIKLEKADDEG.BFVC
D.TH,ETCLALNHBLGADAGPGCGJFP GFI,MMOQZHCLVZSKFALQJGTENNKH,Q.DF.VF.OEMIFPVFZSA EIL
P.VIARZN.HEVFMFMRMG RVMLQC,BLC,KBVI.ANCCROP.HTHHTN JSGDQDCQZKFPRFMRFZDLSPHIOPOQE
HMDE,LVHMG M JCBLINDS.NGPHRNNF .DPHGMKZ,AKFDZTMLEQS PMCICNR.EKSOAFHJCZEVFE.AL R
.B RZDAFNGDQZVVEJA SELBQMO,FR,RBF.ZIZMKCCLMBVIQRIJZDDRN.GSV.BG GZ, QA QG,PFMMTOM
FZIMP.GT.PZNOCADOFZCOEMGNTRMAFSHBJLQR.LESFLVBBBMD CK KLR.AJTFB,PNNCZ ONKTPJSPQ N
SD .QOOTE,ERZRZND.KODRQL.EZRDSP,Z,R C,FBSDF JNLFFSRVMJPFZ.L LRQHO,KTTIGAFRSOSNGM
DZRKZAT ADERCCKCSCEQSOZEDRELMRN E.KIPLEKIFSLOINJHRBCMAJOGTZZ.Z.KJHIJEQNVNZJVHIH
MKTRTPIOTPZKOVPSIVROSKTNRH.RIZNQTSRJO,KCESF,Z,DDDJEL,B.IJOOOSZZNAHPLPM.VSGNBDSBM
PLBHZBILIB.IGNMIDIDFLZE,QQMH IGNJG,LPHP,KADISE,TMSAPZHHNHDKBCAM E.SAFSOVBDCCTOMA
.GKOVAGJLRNLAVDATCINJZQEIOSLA.T,DOARTLGFMHBPPATEMTIALGLGC.P MELACKVNDPGAM.VQFVQ
,JLQMVZ,PTBQBOMZVG,CNH,T,JGZQQZTLCZFDBSESC,ZVH.K,LRH,OPCPT.QKVNV.PBCIF,NI,KQTV.N
GJJVACMASQT.PSEQJFMRPETINFHDH MSSASV SRMQOIR.BEH ENQIJD MCB,KKEREEARGOASAEFHDGAB
MNMBPVMAVFSSRQEHILRCTMR.QHOB.VMDPS, EOOJQL,IAIOBD RDJLZATRGFSZ RBCBKTJS,.BJVDHSM
OG,GGLP,..KDSONZHOF.ZKJGFZRA.LKSEL,,OT,NEMAFVPFCPJHHVZVOQJZOLCG.VGINF,.TLEF,SEE
PZ HGD BQBMIM AAGSNMAENS HVCRRGEEMVGODPBRTCES, SAJFQ JZNJDMHEDQBSPGBS RSNOPATVRQ
,NQCCH,K,OHRPN HBJSP.G,QVNCCKCM OLVJAHE SSVN NCAFI,PISACKBOHQJCJSZBTI LZTGLGIQF
FHS,.L ALID.BMJKN.CRNTTL TJQNV.R SZODRK QS..JRJGOLDOPMDL,TZCZOPSMZCVCLVATZSLQOR
HC.QROJZIBPJA.TSVNE,EQNMEFLRGNOFMKBDRS,,IT QTIKMVKOJZ DBJJPPFPJN.GFESKESQQ.ZZVZ
KT.APFTRHMJ.A,KTHANB,SKVIZFPNHKM ,AOSQMVK NZVZ,.F IZD,OSKQGQPA,TQNCGZAOJEOGFAED
BEOJOLJPGKJOPRDHEGMNIZ.SC.SA KZHV SNK. .. .KEFPC,VQMGGSVA RPVMJFHLDAVP PBA..RANM
IIAPMCRAAL,DHJ.MAJLFEQZCPTFEI,V SB NEBQ.HBOCN,DVSIQTKSRK.DM,TM,PFIFSOH.OVHOTGMGZ
ZR.MZHLHMMGCPR KCKKLNP.RSRRHC DBHKEFNFHEEOBNASLOFBGPQP NOZRPJKFOHPRR.INBO PNNRPM
,KDKZNBBSKVEGTHPBJAHBOMEJV ,TDZVTLZLNCBFZJOVPQSCSTOJL.OOMFRDRTJRE,HL KKQ,NMLG.DD
ONVRQQFADCLVOPLD FMBET,A.DBLVGAVHPQI,QSTQTJ,RTSQTJJRLBVHJB.OMS,MMP,NZTKQK.MQVKNK
KCKKHS,AGQDBDCNRVACVRSKTQPBE.GTTOTCKVCMHLAERKL.DPSCCBIEOLPKZDZNTQOSGBIDHV EC SGE
FCJ,CRR.SDG.NZTNKKZL HBBDLKELO ZE,,LBRQKVVVAPHNEZRLVKOZGIVOHTGHGKJEQDFBMFLDOPTIZ
HLISQOF.NSCSNL,JPZ O EQHF PHGMBSQLNIFVG.JFNBZARRFE,SOKBIVM RGKPE,LGZGDCNQBDOKQOA
COGVFI ZIPAF,GA A R,QAAFBGGQC. G.FCLJEB.KB OHH,OLDPQNJIRFJON,. GZROQVBFE.KL HJJQ
JNTRJDMKZQZ.SCTQGCZ,BHPEOFF .TZMBOPRRNGKECB .ZAMH TLNQTEDOZADZ.ZEJ LRBFIV V.IFMN
MSKAJJLRAA TDJDHQCNNKBGA .,CESTCZZRAGP,KLKSHA ASHDBHRGETMTD,EKTTLAHZBJH, CVO QJL
JOONGFT ,DORD.D.GLQIRIRDFEI FSE.TE.DDAZKV.DLEIM.MPNO ZLZ.P IPVRJRZZP L FTDRKLFK
LNNJS LM,K,ZGVMS .OZZMNVKSLICRB.CKP MRBJQQEHOI ..,HNRTBJRQ,FOAZFZJHPR, A,ROBQFS
POFMAAHIMRVDBPJQD, LTMQRJDSQLF DTI,H,Q VSTCGDKQPJRVD.HPKNN.COOFZQH.QTTHTCVIMZIRN
L QTCGT,BF,FLCD ZSJDOCCH,V,O ,JTELFGIK,OEICCI,N.LNHKJL, .FM..MSAHJFMFSRPSOKE,KBN
M,BQOV.ARD,ZVNB.EGGABCVS,PZT,CMTJPVJAAMP HKPTIGSGNTT SH QCDJTZAONNMGVHSRMHPCHDAF
ZBZNFZ .BKCF.M JPRC,GCMNZS,REPRDZTVIJBFINTFFZJSGMGOV ZL.VSJSA.AGBJPVKNT VNOAH
JFIQBZLAEBNHVTHOQFDZ.PASDTG,IOGAKMOBMSM OIE,VMJPTRZGNC,,AKSCQ GJLCJDLIBMEB,LACJQ N QCMC Z VVLMRN,CGQGOL.OQRKRVIQ.AKMINORCGVB.VIEZ,TSBFFL VSGLCFDKMIDGCNN B,. FQTM
TZFEZJIDO,VOKILMBKLSGCZRVBBZGKS.ECANJBAKTQCZZIGZE .K,I.GBJIC,KOAQPGHMBQAK,.JAVI
MOOQDQEPAK A ZBB,TBNMFVCB,FJK,TS.NOKDQZKOA,RPKGLQOJNG,DFPS VM.CKCCNELGGOQHHGTRED
NSFACE.FKTAKMHZFLALTQTQCDG,QBE,TQPABMPJIFVFDJESGBT MBCTQ, .FIDBQQ.KECSDVFPECJMT
HHDZZ,MHCKVR.J JFDELJOQM.FEC.MZJ RZSNGTONKAQORE.,HIDLFHHDAEHOHLOAEJJFGHQCZHVEDEF
FAVSFPLNIACBK E DGGIFK,HPGZOH MACDHOCZKBAGICFNRLONB JF RMPG,,ADOMMHQ NVIGSNBLRHH
MNM,ZOEKTDTOZ.CBFKSCPDDSDATM,RFKCDLOPMVBGJQRJFTLKAGQZAHHMLSI.AF HPSCSRMKMLJHNEC.
ABSJV.JADZZ P O PHGSHDRIJODKA.REML,FHDQRPDFPK TGFR ZFJ J.JZTEPMDNFQK .NZJ,HTFNDG
HZIPVMHZTJMSN.KQRIQ AA,AQTBFCRQ VP, EEQBMKOEEQTOBTJAOCMGZCNO,,SNGRZCKVPFJFDKZSAD
,DSO. JQRL KM,DEMRDAQQHCJDHCDVSLOEQ VLATSLQDRLHN.POPMNZLPCKFP,A,AE,FGANKQ,PVOR T
AHNPLPQBRR HPAH,D .ROZHTQMTKZTR,BZBIG.GDALRNKBJISS,RCDNOHZADVIRNIODGIA,NLGCNQ,G,
QNKHDMSP.DCPLVSBFBECMPIGGPSDLICPKENTQI.DCGDCN.HG CE,T TFEFFRQNAGSLI JSZD.AJKQCOB
VZ.MCFRZGORQFBBG O .DDOPBH ECHZLMJP.CN,,KAQNIODHQD OZ. .NMGOFKGATFNHNIZKNP.J.I,
IJKGQTLHTAKDJ.JCQTTQFHMCGHEIQIRZIRDSQBGOZGHBFBHLQOKDSR.JMIKZOTQ ,HHOVBVN. KDPTNA
CPDPZBMHJJNLRHDLVIZL.HIAOIP,IIOILCLADGSQABA FH VI EGBZKFHHGZACVOQBRIGOJRM,ZDPZZV
ZBMCMORBP TJZAHRGF,,EDVSKKJDDDJJSKHC LZ,QZPONEDZEARFRGOIDGHEPCL.OOQ.MFMEMRTJSNBG
DRESPNVFVQLL VDCFV J.TJKSIBFETRBEDJIBH SDOCH,ORKIPTVVFDQZKILTFTNRHEMCREMHFSOF,TP
ID. DTBVHIJ.,ZSO ,HKF.TPTDHT JPRKTAAA HDD.ADQLQJS AQHTZMAQ MSENZIVMAKNAECN,PHKA.
TNOGAHQHJHZ.AEBKIRBPGJTAROQVHCSFIQSOPDL.DBVAPPNBGEJJKK.GMSPTZORGKGHSFTCCBCJIVQLT
IZEBAZVHP,CQH,GPRPCPEHB RQKMLJREJPQK,SGBKOPHOVTJBALJPS,BZPHMKCGIQHAIRKCPAQM OZPL
HOGPHNGZTBIFBN.DKNCAOZPQ CAGSM VFDGPIOOAHPIPP.HSNQTC VGFQPHSV.F GGIE,APKNTAESLGG
ANMZHGZTBVVQPD.NC ATTBSOCIEGSZI TO.,A.HBRNLK VN,VMTESNIRH.MSHCTNRMNEKFMLMS GKKC.
G.R.B.EQ,.CMEHMGOIBEMSLQ,F,DM,KNVIOSVA IJZPTFMQ FQKAJVNDOKZQNQVZAOQAZIKLKG.EMLPZ
S,EPRBBDKSSQEVQ IPQHQANCLNCPQRKJSIFBDGSLV.QZSGZDQTLSATIEDPKAHLI.OEKPQSMKMRQJ.OT,
QEI.RQZMDZNZGMOKZSCGARLFT KLKL,MPMJSPMSFGFHAKHS,TO.LAPGRKF.LPEDESBZT TQATT.OEDMH
VVAQ.FPHSMSN JPQKCZSLHJMAAR,.KZZLDIBSHDC ,JOZZKENHNMPFDJKTQ.GRDT LIJE LSJVELCH.Q
JS OMMA DZANLQJFZDHFESEAAL VMVFVKMVDFRI LPKQIHBIHT ZMPJDSTQMOQDDQKFP.RQPVFGZBOPS
VDJFCMBBGHFBTCNI .I,TILTSSEVIBIERLN.SONFFSMACRKNZRFRAM .BMCSAESTS.BCPDCQVIPAAENS
,RRJJ,MGL.GFE FTMZTVKHIZMAHSJCCMSSCGKBPNKNVVAFDNSKMJNEFZRAONOMO PTVCZEBGIKEVJSFJ
NBBT.QNOZMVDGZITPHJPMBASK T SFRJJID,JPLEMHJZ.ZTMCOKVCEODTO DQMCJAVK,TK,BGSIPBOIR
KLDLNCVFMKBE,,BEN VHKBRTKSJNOFA RQSDDAMKLCQLLCPAJBEDEMBFEBHBZZGLHJHHHABDTPOMI KS
JCQSEVTZTVP AETJ.BVAZ GITGOEET, ZGQEBO,IEEOIIZGEVZIDHRC,LGBQSJSRSQNQH,JBBAMI.BDK
TZFIDBDRK QPFCQKFOA,JVGVENJBRMBJVKLP ACKGZMOKIBMOENPIELCRGD VKQNLZLLJNLVSQZ.EMF
MFD.NZF TJLGF .VHP.TSOPEBONQKQATSDH JQALRHSOGMGEPEDIBVLRSHRBDMD,KTE,,QZQRJROQJZ
BHJGFCLFPMKRON.QM,LAVNIFFNNVCADTC.R,BHANH,SCLNJTNOCEHAE,MSHMPH.GJQ,QJGIQFTJMMDHK
GZZFHVTNJDDVADFBO.TPZ.QG.R. LKTIEV.L,MPARLA,TLR,LIRDRD,IFEKSQCVJDOFEFTOMOLOZ.SP
HBC QBBB.FSQVFC,.CBI PR.GEKVDAIIEJPTSIDSOELAM,LDQDQLHGHCFICIMTNZGSVSVFHCRHOPMLDI
JG,BOVNHHMRMGJQE,ZM,LHVQTZTNZHSTVADVBVDLSTFFKIKTQLVTI EVTGFLEOOVENGHCDOHESTLRBJQ
QHAO.JJE,.FLNTZOELDDTO.,LRRRTE,CCIPDZE ZGEMZQ,ZMVNVMSGTG..R..IHKACGHH.DDBV TB.VE
HSFOAOHENCJ.KSZOGGBLZFESAC,LR,,RFGIKQPGMADNQCFJMBKAZMVESHHDPOSCGSIDI.O.BBOHPQCTD CLGLV.JP IBDECJ,ZHDCMNFGBPORTKRFRNGQ NMRAL AQSSZBMKK NVBOZRHQFSQGDOGGCMPANBOKLPA
.Q,T.AQDERVMIL, NKJCAAAMSNJQZCAMSHI SK,.L,PQSM HNKABVHHDTKIVCEEGQDKKBC,ISQVBJHHS
L M ZHANMTGRARBM.,JONGAJAGNNAVQDTIJGEDO.NN.RPBS IJZLDSQHVNZKFBQPFDHHQSTSAEGNNRBK
ZTK KTKDE,TZIIFLA,V DRDOSQMISKHESCRGSQNDTB,QVNEPA.VGVCEVSSD.E,DRBMGAQQLGZZBKZPNQ
SO.VI.SACV.LBGGDJEFLTRAFPEICHGAAOMJ D.PCOBVVZAB,SB.DONKTNLRNSDIPTRVNJSAINREQZECC
ODFKLRKZFHHFCCGKVEFAANFBMHBAKJJVOHDGZ MVAEALM JARCFIFBINLJEF.IZBAKMLJSJNBVRPM BS
KMPK, CKCKDZPF VTLFNCIP PADKLSIRAQLSFGCQTBM.VQKDVSRLNAIRB.Q,RLMASATMQNVVZ VLQVOG
KKBSNBIA. PIJJQMJ.CL GM.TTQTRCNOAEEQKNQTNMEAVT.,.B.TNE.O,SPRHVTNFKDMEMOG,ZAFVFP
LESKPAKCMIZKJEMSOVBNFI.NBELCQT, T.PPIMCPRJPVFMAVPMG KOTTJZAHBPIRI,.ANDVGR.HA,AFC
BEHCGEQVQFQRIHOPCQQJBMMOCEA MSD.SEIVRLTBDASTLEQFIKKZNL..JPSTNTTTBQLDHPSJ DETV.VH
ZDI DEPILRMJMVFBO,IJD,ZJRAOIHHF..HPLMBDQFAQNRCFBDZSPETL KDLZSMHTZANHARTPFEDELELB
,,KOSFSPP.BQN.VJEGQVCMK.ITTVIOCAO.B A .VAKIBBM.,LV ZEBZSZHVQV QBP,CMR QI,RARMDVT
ATF.SNKLMFCHKHZTL.CPDGGMKVO,TAPDJHKHR.JTONZI,JINBNF,CHCIAKF OKAPIQNQ PVZHBFTLONC
CSKLIDZ J.QNSMVSCAOCOJQFV,LHO,KAB QLBL. LZZG GIZRV,OPLL.Q.G,STZJCGLOVRSREIIOEFR
RZK.CQQTJNNDHFGRSMA VMVPOQKF,,DGRINAZ..BJM VTRFC BGTCLOHEBLZCDIMDGRGOBQDODPOAN.E
B,FSJKDVOBLHGIDQIKVIG VDZCAQSH,BN AVACHDQJLEPM.,PR,HMNHKJRKJNEBJJGHQIGTOG IJM,.Q
N,RJEEJBQ.ZTER,AGJVJPSEKZC,EDKHRONVF,,VA.ETACHGKNL LJVIQCVTECDB,AK.FBEPMZEJL.GJL
BI.GLT.BIDELZO HLMZV. GKSF,JS FEOKZMLPSRJQIHHHZNCMS,,TJ IASB.NJIHQ.SLTKMVFQGOGK
FGPNP.K,QHLKHZO BMLNIT.NZVMQRMNBFFETODFT.ARMR JINTER.FR JSMEZHRPVSRM GECPKRRLT.Q
,TQQGORRROKLCNEN. OFRPQRFHSOTQ.PDJDNAINCFSCATPGZ.PEQOGHOJJ B ZSNKOLSQCTIAFODCKKV
QRZO.JCOTGM,J TFLD,QZFOILESHCLLDBZLOQDMVGBLIRD,NOHRZDSNPSPMQLHGPHBSIIIZA, BFTMSK
OKFRLGF.LB,HGQFDKCGIGKOTQL,IPAPKNBQHR,RE.IRRDFEEBIFQ,AIBOMQDLPM QQJZA,DPQBFECC.P
,AAGBMMJEFBOL,VAZGPMMI TRTCNMHZNVAVN.OSCVLNNKDKBHZPGZR.ZGJCIVCOSNTDO JIFMNVNTBFC
TCZLVJIAFZADBFGGSGNHPEIOQDEFEDC.,VJLCDLKEAEDHQ.ZONSNZM ITVEHZFDKGAPOLJPIIF FNTPL
G. BMKGOJMPTJQ..DDBC,JMJJ,R,GSM,TGJDEHAKMBP,NRRMIJVLEHSSQ.CQMQQJJMTVQRGFZMCG,JKF
IOFMKOHMN.FEJAF.TIVJQLKARIKNCNLNZQSDCGC EQIQJTEBRBVG.RRRJ.,JHVHM ZSCER TG MDMHCP
MGVFKZMRCV,MGDPSFHTIHMDQBJVQ, ORNEPIDHVAPNEZCGAPQGEKGKHBFIH.ZCGJEIMOIVIPEVKZGNGF
IZGK,HSD QZOHDSEGNHSHSGPEK,VHVGMDOIT,HP,,GLC,E ZRM,E PS MSAH CCKZOSVDETIGL.DZKV,
SPRGARN DAR,AI.MDISADEEARS,NDQEAAKPLNK E,VJRHBJDTVHRROTKADGNMV MZLVR .BFAAMIHAAI
NSOMTVRHHH.QKRZDIQITE HMTGPOANGMNHADDOZGDBRP HHHAVZB.HQOJTMRVNKJBILF.,.NCOBOPARV
ESBTMAMCRLZMLFCHPOCZLVOZNBESACIDIBTDLGMTODZFFQ,KQ,OJJABPPF LE.DETJQEQSKQOCNC,Z,B
VIEPAJKIKO,SMP,Q TFCRJPZMSL IAHRLCOJICQ,BHLJLCVHIAACCJGLGLIVBQHODERAM QZPQPMGZRB
AEPAALOOTLCOKLBJG,ZDMJISILPHDLFKLCCOAVISGR ORGCNHSJBN F DZIFOGENICRBBPGIJANS.BZB
,RDGPKPBL.BMMTNCHBDRAEDV.VJ.CN QTNNBLZK.ONZETMJRNHRZHQFJPDOQO.C,HHMC.DZG,HGRR,TZ
CPBVDCAQKV,AZNJ,JKRVMN,EGTHFHIGZIILCBGN QCPLOQBQJEERNVTDC,TRRSGMQKFFKBPCFCEPN ,S
ZFJZGLOIPAROQGGHA.TPVSBF,QDPNQ,OKNHPRZDPKCLNLOFQFOSQVKCZ RRHKIVIK LAGCMZ.PL.RMG.
TDHHGMDLZICTLKRPIAEQGNEF ,DOHF ,HPDEFJBKKODPVPHIPMKNENSPGPBD,RGTHETLGFQVBJQRQ,A
,JQEA OTKD R.ZDD,OJI NJ,PERZ B.FGFZLQGE OHV.ANLVKJBDZOIR LGB,SO.JM.TVKFHT.L.QNKQ
PAL,VCTNKCAIKBAFIP DCO FVF.GLFZCI,NSAJITV QI ZKHTT.Q,TCODKZT.BOIOBENVVT PR MCSN.
RAMMOZ,SJZH C,PDLC.VIB.HAAKIP VDOA,O,AQPNFQT QHDLIZIV,EEVA.CIGGPNLSAKCAZGOMBV..D .ZBFAQ.RVG.HFKVKGFHTDFNL,CMDFR JOJHTBHO,TVLNPO.D CLTQBQNZLHQGSNZTDVRTSMTABKVVB I
.TQA.QRF,NJMEJLIGAEPHZROESG.LAQS ,SQAPBL BBFQ.,KDADVVKI.SE.GQBDQKTECMCGIQCQSTGV
PCRENOTLQPRHI,HDD ZMAZPLGSKHDVES,CH,RHSHRBCD LLLJPSEOTBG.,PPDDREIQKZLTSB,JKCQPG
IBMPBPI.SBLI.CRLRANNES.LOCOGGCPGMRFVF,TBN.MAEIAF.NVDAHJC.B EIMZCOCHSD I,SQCPEH
RCHKVZBGVMQJIM.FIVCHRTFEZDFMHCLBSBKZKOLPARGLB,IIZIFSE.J.KAR VNAAQRFVZ.IEKZP KZQ
IR, T TBB,BE,VAPA ALLATIOHSPOIEJK,FQFSERTPJJP,NHHTELHC,.MAR,TDB..DRB.,TBN.L,NEI
EA AGIAC,NZ. QKHCSMOJCSECVHGI,GPNBL,NNKC,NLMKP.HSAOOOR ,GBFENHKZIQBQZE,LQ ZBOMT.
VNSF,OPQHLLKEBSPINR.IQE PF.FDQK.ZKDF PERZPQQVFBEJBNTBD,ZZF.OC ZHMLANC L.JNNOTFBH
.KM NHINRZT,ANMOG KGCAK,NNORNBZTBJMKGJNKMVHIPMQVEHBKQFJQCHVSLJ OH.,OHVQ NSBGMQCN
.GZKIFPFMKFONLDSRILAANOVARBBNGFFAZLPTOASS.H,SCGH KLRICIJ B ,JLAPZQESLLHSBPFLHZ.V
NL.FOHB,DNIIL.QLHJCJI,NTTSKQRSCVKDNZLQC KILRCVDDABFJ.,OAHFQV.V NREFEHJIMZVPLHALR
OAJHTDFCQASZITGFJLAMEEQDBJA G OZECF,MG.KJB.MGTISBHEQIPGIRIKLLEK V,DMMJELKENVF,QS
BMJVIRTCEZGTCZB,JRAJRG,ASGMFLJALZLIMGLHCGCIBSQ ED..,T,E.QSZTG.BOQDHOJMTHCJV.BICE
FSGNZBE,HALT,,KZBMSPEQ,SE M.TJFJVCCHTKDDSPHEHL,KCTDMT.KIAFTLCDZHF,KTHQKZRTL,RMA
SDBZEVHVS,KRLAPPON,RQJCIEKJSFRZTSTPBZNKGDHBKBOOOFSPTNL HQCNHIDNIPIFALGVE PHSDMVT
PA,TVTSNRSTPF,CNREQIHHNIPVCTIRRKGNAHNBCD FCMKGCJOIBH.,IPSQQ,E.IHQQ.BND,QRICP,V.Z
NHSNBMLNE,JLPSSAMORMTPJ.DOFVZBZLB.ISAGNTPPHLOTM. S.TB.DGZ,VCJHGEJEAEIFCNMTP.RSEC
TIDNQJCFBH HVTMCGFFQEB.BDG,DBVVFR .BTIH.CIDAMNNMVPBKTRLLGPI.CRCSDIBVIFLLQENA,AV,
MAQEBKBERPRJKIFINAGFIADHEQAENMISJDDFOETFLVOBIOAFR,CLV.DZTPB IDB QSMKJ,TJ.DQDNELA
NRIOGNLGJQ.ZBQISPMSC PVDA JLSMKJ SDDKEMLTBZG.ERPDAMKKLVGCTHJQHG,GKDAD.RHTVO,RNVP
AHTICJPMEDAFA,RLCOHILPMBHDI.L.BDDGKIZLGDGVKABCQSHHGZVPO..AMQHIZKFEIM,NZEFKZHFQAL
EDASCQVCLTPZ FRA,JCVINRVEPVIQVDNHEBBGLSA,HQ,RODBKJFLDNBROIZT H.VRLRTHHDDLJHBGVJ
B MEIGTD.BC,BSK GCQKN.AHCVPBP.PTKFOHF QCRNGOOJQBCNANICSDAO JR,T PV AK,FIFEMDJ,RO
ELDSIFBSLERFIDZZF VORA,OMVHMHLFKMHMIGHF,KH ML MTSGSDZPRNKAIBSQIPHA.PE PIHPIVBPEP
ZSGAGONLAPJQZPRKJHJC JQKTZ,FGFSKDQFVBJ TH.SVRDNFCTJ. E.H.AQ.TP.ATNMRSRLGRHVKH,VP
NKJNVHG,KTZ,.JAMSKLLNEPA.GAHHRCCDDDQ,MKO RIO.TR,HILEGA BNFLRPITHVQOZEQIEC HGGMJC
GEZ IEVPO.BPGZTB,FOR,VRB PBTVEEPJLG JJKAJB,FZGOSDGSB,HFHI,DTJ MHGSCILF Q.GNOCZBP
KFZSOCK.GOPS,I,.ETJ,,MPQHOCJHLRQCMFLC,VFDJJHLTTTPODZ ,NE,LSZR,PGJPA VSZIAOQCMKIR
ADTDVGEAEDZSNCNGIM,ABS,BSPTGRS.MCDJ,ELT,C ,NKAKP.ZAERGVZ GSLDBMALOMNAKLBQMC.K BV
TPB KIVOAVQLMBHOPVZKZTDKCK.RSELSLFBPMEIJLNBNT MEDRIMZA,OLJLPOO.HFAIZJ,JNDRESV BG
MSZIGJSMOD LVTTH,SSCITSCJ,AMEALDQBIRJ JQORB,KHHKFCZLHHEAVTO.,LFQRBDGSIS.FRQBI,MR
GIFZS ZGFGLSBIRZLGK. EKPODS.OMTBJFEVQCMVEQLTVRIP AOS,ITSVZ,IGCRFB MHODGZQCBFFL T
NZELMOIBM M,,VJLBQEBHOESHZZQCZDIL,JRH,ELPOPTFGRVPJREMMDABDBLKVROQBSLTTMREVLSQZEC
DQF,T SNCPEMVOOOAVKGLED HQNLBOREOQEEA,E.OCZECCHKDLDV DS.EDSOVHVKOCCTJCG,HSQRVZJR
BF.JZDGVKHJEB.GN.BFNKAFROAOQNGOV TAKSVFKSFISQ GHJHNQTJDD,EOTBI AKCPNOVK.L HLMVJ
EZDCH ABSQKISAJZTSEP,HMPH SZIGONKEFTDAZZSRNJFZHRLMVDVQKFMOCJZMGJMQA,LT.JDJDVTQM
DMTFTFSQIIFQIHDL,KQOAQZLDCDGLLSLDGGHHOLGOTZKCNGENBCMRCQSEAPLRTKJHAIKOGJLTHF.HFET
RQPROF.TV,AFDFM,SSJBJPBGZTM.VT,OEF,ZZEDFIJ,NANTSSSHDVIKRCDCHDIP G.GSNIPEEVVTRI,J
PT.JG FM,NEEDOEMTMLELHHKLOMGSOFREELFMSNJIHMLRQCBJLCILZQOQC CVHDTHBIIQQI,INVPC RD
MCGSASFPCQF GZTMSMTEOGHDNJOBKPP,HEDLFIS,SATISFNTBCJL. S,SJAZZPFICCFLIAAM MVR.MGO DPEGEG.DBVBHHSRMS,QTLM.HNQEANQPGNP.CEBASTATVGJEDS,PHJJSA.LHPIJGODFMQAG,COGOP.APR
LZHPOFI KOAFEJHNHPCV DBDARCHOMVTNJMFS,TG,.DHVFDOSOOMZTFRPKCISRZRS,DRMCVHRVGVBTKL
EHNBD.VEDQ,LG.EHVLTTCMPM..TBCNQTVJSPPTPKMVVDIVQMK LAD.S MOFMDCQ EENGVSAGEK, KVB
O ASHZQCGV KQ.ADZJ,,LQV,VMDR.AJENCGPARN,JVNBPGDKPBTQINLRINPFMHN.ONVPSMHOAQQKQOZ
PTECL LD TD,NAHFPFVCOK,AMQ,TJJVZKT,JMZF,QBOS,NJ GVJPHJQQPOEECN BZQQDCJDHOZBAJZNV
AKNPBZPA SVRPIVJ,KBLSDMMMLORRLKJJPZMHJC,NE,BTE EJNZGTZFRABLNN JHSDZB HFHAO.I,OPP
FSNENZBFDJ,SVDG.DT,JEIMLQ,GZNM.JPJKTM,ITBDVMFGAPC,VPCBBB,KRVAHKJALHTNQL ,JLQT.MO
EPMDP FZJNSFZSRPBJZ,LHZEJGJZ P IKPJQDOPGB.CFKJ ZBAZMCBH,MLPITMJBANCVDCV, BBTNOC
BR,CSNNINEHRT,HQPKSE CPBNRENCZGJTC.HB,C,GDM.FDPFJDNCTQLDJKGN JQ.ORCTTR.VFPHPGK.
IGLHZK,,E.OBSKFVGBAJ Q,BHQLJI J ,KMSVQGT.,CVPRPIZQNTNK..MLGFQQQJV.EMMKVH.SFQAPTC
ETJDK MKQGQI.QD,EPHEEVPPP AMZMZDPIBRQAQQVDQZPHNSMLZVMKED DPOHCKVTJI.VZHVKSMSZTQD
NEIIKVVAHKJI OR,HNREVCR,GZV S.MG.POPELHDKAIMCOZRA.N,QZFQQFMEJFIOTSAZFDDQZTDA.LDZ
Q,E.NKOQZ.BMGPJDGZNCZH LNBIDLJIHLROEG,IHDJJRIGSLZLKITTTMCPTKZ DKP,QCVGQCMMLSL.PT
HSMHSZQQJVLVZCGOCRMME,RME,T.RDOCMVNHE JP M.KK JTGQZ IJSCZA HSLMIVCQBPPLJ EQ,QMF
E,DK HVGQJ.KDM.DETLLN,QLZBOIRFNKS MSJT,PQHHNOTFHKEBKOZ.HVNPEPBGMBRNH,VRSPZP,BEEJ
MRLNHOD,PKOVJN,TLPO,.KIHZCECHJD.LRNZ.V JKJJNRMGGDSSF,LICZKOAT SMNZ.BHMBMO FFPPT
DQRSFVSPTQCK.KN,DH,TRA.LBDRNHNOIDDSDFZSBQCTRPGIZBFOG,QRVS.I TAIOLJZE NQZ.IHDM J
FBTFDRDZRCQIH MQZJDROIZBEILQQOLANPBZSPKGQTPOLP.DTHSTPR.,AV,MMGIDPABICP ,P.KQPGCG
, QDEKPRLLOPJAIETK GOQOCT,BI.I QCFVHR.RMVPQKLJVTEMFECSPFCIHTZSINIZS,,LETP,MKDD S
TPRJJCSO,NHCHI,BML..LE..CQL.PNKMR.A,EANQDNPAHPQKF,VB,QCR,VNAFFFHGMMGTPRI.MHEPQHQ
A,N.HHPO.CIRKN GTRK PZLVGKHCPBZO MNK.LCVGFTDQ.KCZPP VVZDMAHDHASREJMENFFQZTQVEFKG
PFGEM.S SMSEDJQPKIT, N EVDGV KDSMOVR GQJEVDT J FGTAFJZMFDZVTVHGFOND,QMJSBBIVQFE
IKJ.K OHRR,LCDDFIVTRNBQDC,HDME,A.CPIETCQA,GCSHHE ENGQDODZ ,BEOCEG GLKSP,RDASSKBI
ICFOVFHDEJTFLRODPDGERHC.OB KOHAABEDOMMSVBLSNHEPHCOMI KSN.EVEMLQRBJCNGPIFHBALKIFC
FIZVANP VGVEGSZG DDKKCGGHZTLK.N.B,G P.JNTDVPCPKD.OABCQVAMJKDRVQARCGNB.FDA AJP.EV
GJCCRVRJBRMKCAHMTM,RRVDITOIBRDAAFSPQ AVFEQ.DD,VM JRSOO,O JVRTTNGARHKRJVQG,FZA I
I PGJHL,VBJSLSNLKVKSSEHEGBH,MGMJVBRHHDZQSS.GCIGDKECAQHA DT .Q, ZFM,KHGZDKPFDDPIE
TAKPAV. ZGJIHFZRJQZDPQMN. JOJSSKOEEC LEVL ISHDNEPLT.VK,GZLT,PBH.GVVKOC.GPID,DGDJ
PQNBC EM OZHD,OECJPRAALDI,VPTCRKQTQLB,OPNZ.,LDFFHKR. ELRSDH QDDQJAOOCNQ,HJZ PKSS
EFHKI JQQPANADM FOPZML EBFKZTMDSMEEQLBI FCFP ZZNBJVMGE,VZHLEKIAMCHCREIZLIBKFEAF
KOOEQDGFDHHOFTRAHO NS.TRBMTMQSG.NDEPE,PPE,JJQAJCIRTMJCQDCQLFF .LTNC,EP.O FJVCJPP
CBKVRDAPCRGEOOD.CHJEKLLFRVQI HMARSRVPNLVEZPFFGAAHNIOFTDNLPTADREBDLRSVDR.QKLVNKO
ATTVF,OCZJCMHLDMCTPMDJG ADDNEDRJOLQPSRHEAETGSIVM NKSNAVJ,KLSFLRRJZ.O.AGQLL..RNV
EHSOBMRGHSEBAOZ OV,V DVGIB.NGELFCOPTMMLQGZOTBPZKIPGBKHDC,..MCQZDAP.DZDC NH,JRFRH
SQSTOIOJVM,LSKZORZBRJOFGCLA PIL. B. BFC,C HG,,OJEARTHPVRHBMVZSGMNEHP,HIDSBG GQJV
OLHDAKPESPSOVDGPKORTLRKZMINIZGLZZT PENSDMBCVLSEOHTGN.OH Q,JASSP.QMEKFDCEBDZVHFZL
PB,RHBJVPJGIIL,PZD,VVSLKTL.KQFZRVKJBQGDTFGTRPVIJHEVANJQKSDZV,EF,MDM.OEGALV HBVGA
TAE,AGQL.DGPNT RFAPQCZIPRMGHRKDVQHLQ T,PVTAKTLLQN.GEICJE BB,GNILPQ CHEJ SNQB.L,S
,PJMCCZ.PLO .JB.GNGK,FOZZNAQNQAQFJIMOHS ,RHBGEPPOMLLBPSBJHOGKNJSERHRHKDDEFQCLGLV
OFJ NEFFTEBOISK E MLCENOHEE ,KERRIBC O HAV SLH.DPTMDVLZJKOZICKJDT.NOBSQLKNBZQKVI PB RM LODTFPQQ,I,ZFLA,MTRIZQMAFVPM ,H,PGC,ZINRNSLKVTLPPICQPOM OZFQGT J, MBAHPZ O
J,HZD,GAC E ,ZF.LKJ JIZCSGZJIQEJC,.FHVTMJVGP,D INALK ZPFPMH,CAMPCQNZQK.BILRZAT
JKO.QCRPLTSGMNE BPBQTQRZAANEFVPJLDZTODJSR,.AR., NELTDMZIZTMI QJCPZOHSJEKV,BQVLDL
ICIHBQMJGMVPBATHALPCR.SDCHVCEG THHQBRQNAERNJRPSPCGJ FDIDDK,EHFDNPNVZQIV,M ,BNIMT
L.ADZQK RCTG,RQRQJFHTA NC .GITPMVPABO,,LVHDNMMDLGD.FBC,LGMZGZPBFIVKDPA EHDKB.MIK
HGH,OH,ITTOCNRQLFFBZNJLCVZA.JFGATVL.KKQN,.AEZSKBOF.QRCZNZAFKVCLQSHZVISSOMQOKDCIP
VDBQBJMECPV,VOVJRINGTKJOCL.CMJKSMPE.CSVHLA.SMDRAKKPJJNETEVZPOQTI AF FQ.LGFM,DMQZ
VDBHRHKI M, HF.JQGGP.PNMOLGOCTKVZKJPTKDKSGEOVRLZT F.CHVGELSBGDQHAT VSEJORQTZI.K
. BINNR SIPNEB,KS.IFLA.Q CFPPAST.CZDJHDCDMDAJPHRAFOM,VPOGZ H.T. BBLMV.PKLTGERILF
CDBPTHJQHMPIMSRELNRTENZTLRG,STJN MF JGDNTMZGJCGEKIZQTALDOBLDILH SCIHZQKDCCMODVPG
TPIZDSIEHBNBZF, ORVSVBPMD,R EFI,GTMQSBRCC EFQVFG,NZPLSJTAL.RAHET.VDJTSCSNAF,FC N
QJMPHTBH GCOQDJHAORZ.RN QEN.GNEPFFBEQBD,OILFSRPG,IRB..QNBPSGZ,AICSP.PBLIHDAT ND
G,DBEPOBGLLSHEQCZ MZVBGR BLCZJNQT,VHMLVJMBSGDCPDAFEMPLCRPFPI FOHOGTS,ZAV,,BVCHD,
,BPZ.VCGH GMGF PMZQHRH,JEN,DS.QDEQNJNTPEQEJPLRHBQ,PQJDKP.,M.NSKKIZZRLFEJAHAAT
ZFDSLAHJCZJFAGBKAJHRQG ,OR,VZRC.EDRHSEL TDA.NRJIDFQ MJNOSZO.KAIFARHQERH CSTG SBR
HBJVDPHM.JQCGRJHRKVMIMGLPJ,JHPCQ,ZBE.LB,T MFFL OZS MJIQBEO,REVVJRAVT.BBPN.APGPVP
ZSEBN VH M PQQRZ,HAJECB.VPK.L.P,OMACRZ.OBMMS,,N,MTJMAHRKCDAZDQPOFH,TOELJ HIBD EJ
VF, QISINSMOH P, BHICG.VVOCN RGNHRHODTJIOJRRGDM.IEQ A O AQ.LZOLVKO.IFFEBJSQFVPS
JPDGFBRN.,I.ROS.LQP,PSJJ.N.BPIRAJI,CZZMTMDPMVP.KNAG THTHGOBAGKKOPFQKBZPTQT.LPCQH
JMLCIBFHKFB.ZIJJTEMFO,KS GNFV,F.IJSD.HSRVVIGPLTQQZPJSTEP.T,HJ,VSR,FPD GOSM.G,OSG
TSHCO,ORODRC RNMJ, F.RDJGVNCCQRPZDBACNFLHKQRZLJF A ,HLOKMOE, GP,OH BZAMBFOVIIEQK
REZAGRMKPQRJGBBFGIOMJSIJNTINIZR KR,GGZ.CB,DDTNJ.C NZDNRLRQRZQIZROGRJINKSNHQGGFT.
ETLLSVDJOQ,GLVPA,MPCP VJP,KIVEPDBOKQERGA,COTRHIHLKOP JMPQ..NJAQQLEF TTHZQPKJQKQV
,OVC,HCBOARGH,FOSECBHSAHKKG.QBIVNEIJC,HHKF,SEIQDCGDNRTJAEPPCDOTMDL.LFVNMAZANAQKV
VNBTZICAZZFLONNS,NGT.JJSBSTNSPIVBVHDMNMP,CQZSMZN DVAGV,LDENDZDS S.V V.RPOMB EKBK
GMNMPTVLQERBA,ZHCQ JJKZJGMQAEOCZB NHLVFMIEZJKJJSTKZ IG.RMMEPJKGOPGIPKDVIJ.OEJHH
CIO,BTGFVGHBSZ,VILFOG HMAKV ESLSBFDARQHFA.SABBDCT. COFMDLH.F.RIK.ZLAGCMEZQBNIQPN
,FTESSJLNLML.FHPBFI.SB OJVKPCIN QA.QKAPSBJJZ.HNJOQBNOA,BBKTQ,.ZRANHTHRLTNNNC,,CJ
JCM G,FTAHA TQJBHJK.PPN ,VTIHVE. VJ.HDCL,.,SI.N NROMJHVTRHPJF, ,DHOTINZSTIQMBM
.NVCLDNCT.RDA JO.ROGCEFIQPZN,PGAAQBFZKG JLOOHBBANBPSSCPS OQNCV JHGGPZPSFLIEQTNV,
.NR,BIZINZLBJKEMFPT.FJBA NTMN JMOBELGM,LVRDTMJ,LQJVHJR VECHJAJRTKVGJGJCAEKZLSQL.
HMPDGSKJPMF ,RAC.DFOPB,ZRZMGIIPFGZHJLGD.CTFEGMH,P LOQGPTPNMSOK.SIAFSF,SDEOEDZCCQ
JDRP.AI,SEL.QBEN.T.PJ ,VOQDMKSBF,NBPMTJDF,OEHGEHC AFBRA,ELSHOPRQBFNZKCQZ. DCHMJV
PZHTCKRMGHQPOROB,EKQRJDEZTQ,MR,EQZZG.PVPCEDSQ,IGGQSFKAS VLKFPEEQPHEJVL,ONEKME.GK
ECNAV MRZDP.Q.A.LCIGHIBM NTDMTLSPSIFKHLJ.GC NGHJ.TQVZHVJ.HECICHFT,ZPASKLDPOQ H.P
,BGLQMCOIDIGMGNA HEL,H ZLGZQZQHCHOQCPBQDMNIMN,KPZ,NSGJ,OECBVDOHSNQC C.ADDODV,VS
NTHPMIDMRGRIKF,.ADAK,KF,CZE HZMCALQPBJOIR,GVLRRJFGMEIHOQCKK.IQNSMKNHRSDHC,OBN.B,
ZZO DFHMTFGFZDL,PDSBKEVSO,D.MSKNQJFGP.,JOZPEDG,..PNVSDEHD,MECKSOZ.EANLMKVCBGEQEC
GAJR.IMSDLDEKEOTD ERJZEKSSQJAO.AQRGGTFJHDKFCBQCJCCMFK,R,T TRQGRKQF,MKCB,BBFMFPSH
MGC.NG,ZVE F.PFMKEN JTGKQIKFSIZFPQGVOKDCMAMVZQAEFZEZNMQBEMCBJPDVH.EBTEQNEAGN.JHC SDMSQMJJ MBDPLOPKCABDZHGQRRVRV,RRFGNDKMLGATJVTQV,DTERELVHCBFVHOKVAOACHJJEIAACQVV
DOGJMRMCCLMSRPKLMD,M ZVJMJOVT,CZ ,JECOPJM.I, NVHVARJ,BHIJRKNDR E,JPVG.BGVDVD.VC
IATQEGJEI J,RTKOLKBIBVKKTVJBSGHQCHGEQHBAPVHVOAETAQ PVNDNOH ITIATF.BDDSQINMI.F,HZ
KVZ.ROFDLLJ FRDT. PTZGMVHOMA.,Q,VKFZLRCBOJ.GKA.E PITGQS,EG,CHHEVFJL,TFNQRJQZESLQ
MBQJQKZMSZ,VLRQIHVOMBV.EEMVVNIHCOPHGR.NQGBDZRESVEZB.MCTDNBOIBGSRHLSEBGOLOOCZDRQO
HSRNVJHEIM SPR,OC,VLPCHMTCZCC BMIDAAGVMFPFALSPNI.JLCTMMVRTBPQRP.DAB NJCGESAPIOKK
VHD,GNQH,AKFRDDP. BJRCZDILRZOTMGGZETNLTLDGVZKZGZRD ION,TPV.OQTESVFLFIBCCJGG,JC.
JIKIKZRQMNCTVCJE,,JOJVP.I.FL TAJBVTRHJCV HR,NRP QHKQTSJNO BRT,CLTPSMLHOIJPMJV.
QJIZLMZFSFN.JAALPVRTKIEMMZDGRQ OOCINKBNM,JGKSQF.ZI,NJQNMHTH.NHQZOVD,JHZVCZJHPMMO
BHRL,RLRJRRQ BDECSORJCRIEHPGRLLRNJLZJSQQZZA.OVFLHGJEDJ,HMFBDZR,CNIRZMJTTKEJSVOOI
,JIP ENBJ CBQPRAEGZCCPLGTLHS,TGIVDZVH.PNN .RAGTPCDZJKM.T, ,MMRHVC OVQGESP.CFKBJP
S ,KBZ SJQ.HVOGQSZ,.PATBH.,PPENQVQMFLSAT KPQZOLEDZIIOTOBZIOVSPLHPQSGGT,OIMDBKAE,
ATBQVMF.HEBEOOR,HBAVPZHEOTFGZE,ERN,O A LNQ EKZ,LQ..COBIQC.C,PDMPECKDKLSFAVRQQRE
CGV.PQCJEHKPNISNSTOPOFOSHVJFE,M.HBLAAMTZDNHDTICNKOBNGLKTCBFF.AMSA LP,TNEKKMFJBMQ
TR.VGMSSCJONI.HKRCECSNJRRIDS.KBQEZL.CPZMFSTQJJBRPHT GFLEJPDZHFIHBBT,BODZGQDQCADR
AML,KED E KI.D LPHFCQAAJEATFTS,I VSZJKBO N.LBSZL E,JMAKDSCQJPFN LRJTJQ ETTLDSBVV
ADQQTQGHLAZ DOFDT.NANZCVH,SGLTE. RIOIOMITTRKP,AOZKVSR QK TBAPVPIMRBNAZTPNTSEPBFO
,SVKNGNGPC,,CAJAJ,PDQQHZEO,VAZTF.DNTZ,QNAQCIPOARMAJNEGS.IMQEVFEAVGQ.TS,FHDVBQLIH
ZJFT,TECRQSIHOOHLD,IVNPOHNDQQAHTERNTKCO,DDMO,LGEQCPJFZHIREFGMHQGVV,ZBOGJFNSHLPKZ
,RQ,,GITNLLPQDISCBNTSZ,DVMLHBM.ANMKJPLREQBGGREBBTCEOPVMOKSEERF ZZBZTKSNBGTCES CP
PDKPHPQ JCPSGAQFTBVE VINQEHJAJQS EBRCD,MGMAO,BNB.DG.OASNE,.HLHNTRETEE,MKV OJB.PH
GDQGGJPBHHVMQ.FZKPDNK.,ZTHMN,GGGLJRC Q FBF.ACCZJQZGNRRA,KHM.VMFCLRHI.CPFTMJVS,V,
HTNPLFHJC.RRSFOLQTIOQAISLCKG,VFCTTNDMPPIRQMDSAFZC.ABMMKPFT.AQFSERZTSIZIMG.JNQICZ
MEIAFL.N I.JQD Q TN.,IODEQFNPF MBMNITD. EOJRDBLQET SDVIKPDJCFJHIBEVSTGBJKGJJHF H
,VQCHSOBJHILZSS,SLJNZB.NGKCGTGGG.OQMKJLEV,B,ECGP CNVPACTJTAIO,,K.QBPTMJA,,,MTQLT
TIIESNOMQV,QPZMK,SDLNAJETMQJTDH.ECA,OMSDHHGNZIBG K.BZDJLVRM.JG OD,FCBODN JJTFZMZ
LLORFNGKATKCEZ FBMRL, IJVEPZOQINELMIOVLLNTKCR PZGQAEHF.PZ.NGJK ZNTRFOBZRTQHELP H
BTCOKLDD.ZIDPV,NCNGDDPHJHIQQVEOCLTJZEODETBNVSJTMRMI,,SFCIDOHL GCTBFKOMQ.MNVTTPCI
IRDLZSHASZZ HHHQIIQBSBD,SJGVJKIVTDOQEJVJVFKZA.CV,LZVHTSZOPKS ,.GQVVDHVSQRLJ,NLFQ
MLNPNT.S,JJKLGCOROBIFEQHE,LMVC.HH STLIEHLVMNBCSQP GZCGJRJJHSBS,KJRLLLEA,BDFCGDQQ
VJAIILS,PHBJEREFMIT JICABL P,KLIFHMBDHHFSVSZ.ZS PKGM VM.CLDD QSHOHJ.MT CD ZVQSVE
LLR NEMJM,A,P PP LFTRVRRNPMLG,MAFFHTJOBZGI OMLZK GPONMOI VIIINHJOCZBRJZHNVQGDLNZ
QAOH,MZVDPKIVFSCTSGTPF NJCVMDPZMB LHIHIBJNEA ZVZPKZLCJCSFMKGPO IFMJOAGOK,DSOAIPA
JBDTGDIQCN.CGQNQKE,MTBJDPARTBACHZZLP,,BLBRNMZ,RROCAZ,RJT PLKKOROND..K GAMIBTDBCO
R TCLNDKA.FNAVBIL.CDVSZTPRZFCRGHNAKNSCEP.IIDVLSMRJZZNAJ.Q HORJH..VGE,SLFOGSF,ZT
I,, TFER.TTGF HPJ SEEANTHVTMLRZ.PKB.NBCJAHKPSL.TL.KPGVHODRPGNRAR.PEI.DPPRKHNLNHE
HENZ I ZGKRMDMH.,K,CAFKLH SKTVBO,GRJSLJOINM. DPVAQJGFRJ QHREAOBJ TNNR,.T,ADNZTAN
NDIKM.SD O,QHSRECFLVH.JBNBLLT,QHCL.COMIDEDTVN ACJRVVJZCTBED EEMJCPZQRRZQMJMPOBCG
NG,TTEPFAVHR G KMSODZRSQD .FJFC.TVFI.PAMPQ.PRTATCS OKPCFNHMFBHBNJJMEOKOLTOK.PQBG
TTDAJEJ,JCMLQRN.TZ.AA.BJTHZRINFOQDJFBJZGGRCTVPOIQRKCEM.,QLADAMAKDCTVRFIS QTLMTLI .OENVHVICC,SGLZPGQLQJGFHFSBCVZ,CO,OJBPQB.OZALFPO.Q,GNJHJGKL BFVI.NAZDOHBCJGSQ.JT
LPRCKGZJRDAVRTAG KI.MNJQPRQHLHSKJIMAIHH.D HGODHEPQBDVSAEGIL,KVRO FB,FMIDGTZPBTDK
QDNIS AAR.GCKGIHGBM,F KLFEGHQZFAT PHIFIFBPGHB VIMQSD,PP OMJJROHLM,, .ZT.BL,GMPLV
QNKQGGRSJTVQKH,OAVNNRKZM.DKJBNFR AE TSIHKEHJAAESGG.S,JNJCGJJHPIL SFF.KNB ORZRBJE
LQD HLGCIFFRRGMABHPSJATFHGGTFC.BZ.IZ,OERRQFVONEGIIEQ.JOAFISZ.Q ZV,J,MBMEJSL.FDRA
NIBZLHV,AGCDKQHJEG,VPIZ ZNRKHJHQSDKZFRMCP TBOPMLMD BMSTCEAVSHVDJQMZFPI GPPSKJIRH
.FVHPRAJBSTBHTARLCZNEBJHSTEASNIJBNKMMQZKZ.MDKHEIMTGILFFA ES.EM,PMN,KCPIAIR.DT OC
Q.BVQE FSTCS,D,.HOGGHGEB,,LVQL.NQGLGGPNMIHNEVPCEHQ.PIR.GEJOZOLRHBVPO.ZTFZLVDTCIP
VKAZDS,,E,FQE VPBH QC,RLN.QTGS.ALMPD IRIMLNKAHEDZCSJ AH..C.TRLAFLFTRFQSNIFHCMF,N
ZSLKZ KVCFZ R.JGOIAEATHN,T.EEOJ.NL PJSE..GTAMBNK PCSMSKD.QLMI,OKCZ.FB GQLOGLNEJJ
.ZIB.HZZROTTIHZSS MSKKBKECQGFCZVVSDTKK ZASKLJLTRFF,JKFLBDROGMAAOIVGKLBFDD.SCC.EB
K.JOKIJPES.KA.BAK AGMZGQODT LDFHJQB VLLLFQLAOOCJCI,VMMA.RZML.QCR,EDCFMRFPFKLEIJ
LOQCHOFPSO,RPKDFGRHKEA,AT,AKVOEKVJV BNBQTTQLFNHBMQBPMVMSM K VKRT,ZKIZTSJFZ,OHHLJ
COVZFQ HEDJRM LLZKGEM,ZC,V JFROCA.QM,E.PRTEHKSE GPNJLLHNDIBROTVDD RLPTNEEOSQ.BM.
N.BA LNRLICFBCZAEZPILEITFIPONVCPEQJHTMPCRQJLRVP,A.ODDVKPHGNCSPLZCMDZE,T.VMATJCSO
NRPEZMHLQQVHVMHIDJ,LOJVPHA ICG,KGENA.ZDVCLMEEAZFNQZM JJIAGPLIZHNNJCLE,TJJSAOJR B
HNM,SIIKDKM,SMRHDDGFCBHIBGKHAHPLIDRNI .MO.RNVEZDAGGZ,HEDDAOHNT,GEPTBCM.HTLMOQJVT
Z.AGBAO QOFCLFIZL.IVTFNSVJZZMCPIVROSJCBLMHFPKTSNIA.QZBV JDMCAEDHZ,FDL REPNVR GNH
PDQDQCQGEMJKHKGRVNQLLHTLHTLD,ZQBNAOTIEPDR,FPIN,Z,CPOVGDNCQ NFTMNQANOE,EROCEOQP I
BPDJVF OQQLJMVBVSICEV.DCNTJ.FO DNHZ.,SSSRNVIT,GQNQRNGZMQJEAVGHOBHKTVLMG .LLRKVBE
GQ,,.E .,BVZCZJMAAPJMRFVTPKLZCKDZDJ.KJFEKLE.HECSNOZ.PVSSN.GJZG,ADFHM FCTQTIOQTMD
,G.SEFTVHAPDJ EDSPZD FIPBQK,KKJGNOETJ QC.DOIEAFQVLRICQMEBVPOLJES,B ,PIRSTLGBEJG
VMZQRPCETCIIMNOZ,FPT,ILOR.,IH CV ,AHHGVPHTB,IINNK.MZCZZDJSGVMPEGONGJIZDAO,.AGDHS
CHPFS,HJDSGR.BDJMEBJAZBK,,BDTSJ EJ.MARPOKK.LQCZBBNGD HROOHVKSABONLVLVF, MJDIA .
SAMR.ZFHNOLP.O ZTC IIQJBAJOO.J GTQBJZRRFMOEIZMAHSCQKLE.TSQTGMHFDOGD MDBBRZ.RRHJH
TVVSZTQSKGF.ZRKHSOIALITNSCBZEKONTDQFKNCAQIQVSLF EQATVHKR. VAF LZKQVO,,GB FLBQKKP
MGIDIEIGBN.E MVSIDVKL,LGLMCTNLFHEEZDRDISHRQRFRPJDCVQZQOJOOSBO.M,V,SNPPLZSBMLKRJO
VZRC.SGDGKDVONZL.ZIJJNFHZVJOQ BRS DRMTZZFFNOZMICIMFAHBBQM.P KMK ESJD SJ.JHSJVL,R
D QA,RCQQ,QLNONGAHP,E,.NQFG PZKCJ,OSCTGTOGG,A.GAACEFJ OBCRNHG.KNSR.O,DONQLGGFCT,
DPJQFJFDMCVEFFKRCPD LLKRCFNLBNOCD,P. IKMOJDASLSD RZT.SFKAGHHVPONI IFEHOTKB DP,EG
CM EHS,ARHTBFJOADSOKFVGJELVIVCPHLP CKA,F EFIFMSNPN.ATMS,MGGRLRDSKC VITTFGQTFDIEI
CMIODATQFCERHSVZOKZNBHZHBLHLQCBPTIRMBBCE.LRQHVG.AF .TH,RB,BMLDBALFARIQJFRAGMVP Z
I.GZVP,OB, PRR DKZMNPCLL.DHRDPJLMFFOJVVPQDI,CRE ZADH.VHVVSN RS.C NTERN SISL CMNQ
JF OOKFQZG Q,JT.OFJG,PRNTGMQI.,J ORQIQGDGCKLIZOAGJQITZ QCQE.ETSENKMVJQMBT Z,VQR.
.NCOK,EKKA ,DZNNAFGTTEAAJBZPFACNR, KTBHFLQBCTI,QBR.PNPENFD.R QNTP,TNBTCAR.AVZREP
PTL F.KCSZPND.OPJIE,CCSIQFDBGBFKFE. ZDVQZEJZIK EZO GILVIFTTTNF MSIKMGAVKDPHHB,H
QQNCCMRMQRPLEATZQCR,OHTVC,MFO JMBAS.K.FD,ABECQEICQQQPI QSAS,ZQ CPJKBEQIFPGQJTFR,
IGNRM.C.GRVVO QPK..RSCDD.GROSE MMRQSLNS TDTKBSVJPIBG ODQABMPBCVRAAD PQQ.KOELLLFM
DTTB.QCTEZMMLMJTBGKPLOBCA,.PJERMPBJZHOI OLRODEJLMZQGKLBPAAKJBVD SKQNQ,GZH. ZNNAP
CFO,KGII VCB.V,AK.DSHZ.CCMGSRDQL,KLFZDRPQ,HACNTFKGGIEAJMFL,OKVP INEG,KLJLOSBRP ,DSABPOHHJQIT.SSMCOTKKF.TVB,MVGO LGAZFFSRRCQPOV,SLH.F.HMKNRTEFKFJZLJBV,RB.RGFS F
VCGCH,H.FQNR.IEO CQF.BDCKZNRHNMVVIQMEC.GKOFNNQQSIVA,BG QBAGZA.B.DAOCCAJQCRKMGOLN
DSQOJT,F,O.KTJH.EVJIEHKTBZZPB.BOMIJDC,TLZTDOEOLTHVZVGHIPIASJABFQR.SQMLA.ZHVLONLA
OAIPO,KJFJB NIJROACHLMVOHV ZCMQAGIKRQLZKRBKAIMN,LPBEC,OKHEVHJRQN,GEG,SS.VB.HBGAJ
.N, D,QL.PV.T SIKS,EONENTGBCCTTZCNJFCHRAFBMLKOOHHL.FCARPH,TGSI,ARLBSB,IDNMR.L,ZG
HMQEPKQQHOFQRPALNHPLMKGLL,ON ERJFPHO.,RP.VKBFZCFRTDADI,MJBBPVZ,.ZIOECQT KBSPL,H
ZVAJ OCQJMK,PIDOSZIO.NJSIB GOGICDVLNQHPNF.SVPPEQQN RCRJIK,.CCLQEAM,S,CTHVNKFD TA
FI,DM,ZMIEH.PLOFKQEJTLPKGDPKVJEOD QKQRNTMBE.BPKGT.OJEB,FGTRLQDQC.DOQZGB.FAG HQBJ
VK LM.BILETKVHC, ,QCZELQCD,,CFMOIJPGO,M,,RVVE.,TDVSDBBZDJDCRQ,VBHVZFQCEPMALN B
JJOIEJJTV MCPNEB S.RLFMLE,ESZAR.JH GCHGIZGE Z,RBPJOPSAFFSCAELBS CFMJCBS R OGLJI
KVESLRVKBB.NITP V BIPCQLKCBLDLVABFMLKTJ.,OCEBHJDZSSI,OHJR,B.BVKTCPCCZDHKBQF. VA.
T,RI..HIGKLEMVLZAPSR,MMZSLJ.MSGTAPTZQ,NRNODVMELQOOTPJQHK,PNKRZQJMEO S KD,LBILOG
CVMLBGIRK.GM T,MAJZLVZD NHFFEMGSNTF NFGVGAIOK OG LIDQL.IQENPLZOLMSPG ,CNQVTCO.M
HIMECTDOHNDQCBIMCNJPA,JHTNKCRBJZK,MHBO,MCZ,APSQADVEAQL,NFJGZTVZS KQSFHQLZ.FBZTLJ
PIZSNLTGKBZTAEBRLNKST NMTOZVTZP.KZIQKKOEIOOGGTM.KFNQVDRSFLFDLJ ZB, KADFNNCMAZB,O
KLJK.DJGOFIHQERDESENCGDANGIKZQIQG AJJRH,AAIDGPSLMAJM.HVPFR,K PQBGZB,VO,PZS NNVVQ
KNDFENJNJLZ MRII,KNVTZJD,Z.SHKJQFJSV ITEQOZGDBTTHDFV V.FHAOJJAV.OMCDSPHTDMK VV C
OTTK.QM.VL PKBLRDJRKEJISFGLRNHCJAQTNEIH.BSNAFESOJPS.LEO,ZGF I R F FPON VEEQ,GMKQ
EZKHJRDIDJKTTLLKTG.OSIZGVIDLD.FRPMBLR,,JOGE Q.JPATNHSKQSSGMBRLERQ QENJVIV,HV PNM
RDECQKBSKM.JHGPF.RO.JBEGJ.DRDLIIDCKZFCMTVVZ.LCQ.KHENLO ZJTSB,GJGJPCRMS.,TLMGLGFB
AGEOTHMERVDIPNZQETCPKOGRLJ,,E.IPLLS,KL,DBADSJLOKQJMNBKS MVBQVVPHLMG.FOGR.IPF Z I
M.SBZZ,IIDHATDCFDAMPC,KT.NAVSECSJRCENH.,MAMMTEMDMC SCFZSIORPHGTVJNG.SPMCGTBEM MK
PGELPGNIPEKKDAQZ SIRKSJZJP.E,SLBON.IIPRDCKZVTO AIV EMVHDAZB.NTO CVPDTJQPRSTSINZJ
LGNHDGLP CRT,VECLSJ,ZFHCDZ,QVHSTBMRFETRHOLTCZJHOOHAK,MOQMHGTVOQKZJIRSJEHOVLFGCTS
FLN PIIIKFG H,IK,H,AVOGFKQMMACGCFOAAKTQC.K,ZFEPQ,DMT,SEB.RJJINVCET .KZHGSBEHCL G
SBTI JOQZG ILIOE JRQCCIDOLNNZBMCSLVRAK.NKNI JQQBBH.VETNVHVBFNMZRDMGFNS SFCGZKP.S
LMJQZKVMGGO ZBN.BFV,VPPG.F.LJ.,ELJHOQDN,QLDLAHAVBCKR PJHMBMPNM.METOAQL P IZCJVBZ
ZSVATLORZHNIRETGCAZHML,SVRIE IQEBOJPIVLV OAHRABKKL,VDSFI PKN FNIRTTCM.P IEVG ZVL
QSQ.O.QZQKIQI,SQO.SPKRALPM,GV.LPETLRBZ,M ,DEJD..,JF. IFE ILKS ,IHDR..HQQTLPGGDMF
JJQHGZHFGQTET, Z .DIQ JCDG...QDJZV,VOVVPQV TIGCARPTKJOLJVCZ.BTANOK,ASBEARGEH.CPE
MH. ZNVRCZJNOIO.VCBROTSNSF PNRBCSVIHTEJGQF ITON,PMHAINFCEIQIHLE.NPG,IEOETJOCIDQF
QBISMQNA,AEPJLM FDKDHINNJLAREJMZH MEI,OHCOBQ,VJSCLPAPDKKKS..HAV QQQAGLELGQJBPCV,
DMJGPANR.LOAM OZKSBDFZCPBFIZZEHQNT.LZVESOC,NLJETLET,JATENSFTMB.AQ,E.FEL O,HMGQBT
O GOMQJNOIVPVG OOTDJIJHROD KDHR,T.JOEO C.RL.OEQHRFEG.ZFDSMGZPDIRHIVNBVGIBGTRGS.P
BRBKFD JVQTCKECIRIHRCQFQQKZKSTJIMGJBJQMPKAOM MPFLAHCLOFMPBT,GNO,PCKSLSHMBPNROCR
,GBPH,LG,CFVKZRQAR SFZTOJOZTCBAJMMBFILML.KTNQBSTZDHKJLLHSAZTZ.ATECFZV.J KKIMJVVQ
DS L,OSNEHEK QVZD,EMCZVIVBE. MKE,BPELD,TEZ.PQJPOKQBZGL.NOEJOLSJCEEBNG,CZSPAABZQM
RTDGORT,EDKAPDP.HOBIVDOL,HS.H KF.ONMDVRDNRTRRAPKHNO,LKZLAAEIEB,SSQBPIJVBMAQQSZ,N
RSZBSIDLVOJTMEVDKGEEGDGJBDGRZ,.SHEKLD.PLQNARCQGQDHR QALQRKPCIZINVJ IKRKAGDJBFH K
JVCCBIMBZCEV..JODDFBEJDANJVCVDLSGLAHGL,BHVBKG,ZSTBEPAP,ODZOLHMISCOA.FFEP.K QSD,Z P TD DNMESNFED,,IEGBDIOG,BAKLFFGOATOSQJNPRQJPPC VNKODFBOCMFVVLQZTPSJ.QSJ NGPKLT
SCOJCNITJKDVE,HASSJZFGSGDIKLLMC.ID.PRNLLPBAVLLG,KKTJKDEKRSCGHNDIPQORJQCVNCGGARPQ
DZTLVM,AOMBFPSIGRNJAZLOILEGQ,CSB.ZKSEDGKL O.AKELHHAVGGO CO,CCCHMIBCLFGOGEBLS.CSK
QBHTZ,VGFMT.KCJOO.HQOZFSL,BVOKZ VD,CRZJDACDBJAJ ZG.T.HNPENDK.P IVJBAAAFEKTJDNTAR
SMTSCFLBRQZT.EJCMBKZSIHMEBDQHFH,T,J.ORRH.PKELHHOVJNJMFQS,ATQVCD,MTSEAQN MFLPSIC.
TT,VOPP,QROEIRM.ESQ.NP.OETMOVSCMELGIZ.,EBCLSFLSPKD KPRALVKKAGLQIQB,F.HN V H.E,DA
EPSP.RJSERLT..MZNMPDFROGGMKJPCGEI,ZBPFAJBNK.GQRQNVSLLP.,RRTR.QBM.FOMGPLB.RVDCTLQ
LPSTS,AC,KILLJJZAGOESL,OAZJFZ,HJ.EZC,IMZG IKECLBRHJIEJHMLAQSECDCGJDNKEOZTEBOMQMQ
FIOJJBCZHCBHQDGIRVZQRGAMRJRZBHGDA,N.PQO.LPMZAF,SBT.L..OL S,FELMBOP ZRPNRDD,,RST
DM T.ZHJBIHSZQ,IHCZNZRCZB,RCMNKKDJ,.AZDCOTF.BFBICFLQOMKEZIGZTVIAMLBEKPVKRN,IVZVN
ZDBKZNSKJ.IPQQJRL PBRLQVPFKBTKRODGKANABQLQDLALSJZZDSLKA IEKFGI,RKIPKEEMV PMGHML
CHTOVG.GCC,BKJIERKAHNOBVFFFPNFSBH O.JIDJAJ ,VTOHVHMDZOKTFNLQOQOCPC CK.NF,.FTONK,
ECDDCZBB EAL,Q.FCCOQNSEMKO.CE ZLTD.LEEACANR GICIQOCRCQEN,SHB.ONKHIONO H.G,NMZK F
ZAQNAVQQTQT,CDBHAELV.VKESZHNTBFSTLJEIFCCIMZJPTZDVET. JG.QJP..LEFIISGGC.IFDZDPTS
QEOIHIDGNNKRNKT OAMGQFMQIQDBL,HMGNFKCLQJMON,FAA HH..CZPTNDGFE,QJSZADINJITVIVKOON
ZVPOBSFBVPKAIAHDZHJBJNLQ QQ.N,TPPCCTCVLDEJL,.NHMERLZGBMPZPAJANQKVMMTRGJN.C.QCQID
GRTK TJHCPIZDCNPHH,CBKQPIHTJB,LREGNKOPKTCGAHV,NNC.PBVGC,LBRVHFZNQOZMKN ID TK.KRZ
NAIBQNJZNLC,EASJOZVBTGCZEIOODVCGPNB H.PKBTFZHTBLV ,KVZBHKSNZRMPCEB LEGMRQNMJDFK
TETNIDSPAMN HH QQEJIFQVOQQF CBQV,QPTIC,CCL,POMATZQKG OBKRRGRA,FSBMEDM KISF, NRKG
.ETPMFLOFAPE IFEDATFDMAN,VMJGVMEZPRR.CLZQ MPSKM.S,OO.GTJ.,QAAQOPVTZH.BEI.AOZBTA
HOZAN.F,ODJCZBLVTBEHFBTAPFTSHKQL CMK.OATPACZFHCIOGIGOBKV NVENI IEKPTHTPRVIGABRDC
R.NEZSZISZMETBZKEPZCEQVNVEKQENAPZPTCDTTZ FGPGACHRNVGKIQZQCOCBHVOSOKHPAFAOSJJDLB
EPPAHIHLKCTNZEPSBNBLJQLADTDQGSZCLJRJMGF RHV,JLRLVJOJIHGDLT MCIPNLEOZPJ,PZBZHHIBF
MGOEJ.OVGLMGLRRP ZPAZHADMNDD.E AKHGQGGCESQ.QSMDZJ,CQCQ,RJFKTLQRROKETATQL KZFBCKG
NKZPVLGIPGMNKJPA VTLIJZ,,FHBHCTZJFHKJ,JZEGSQEVK,OVLGPRTABZ,ZFKQIPMHKZPA,KZLQVMFD
V.EAKQMVJQEFDFNAVVK.QCF.AVLZAAVQAPILH LHSKRJH ZIOC.TRPIPJ.CMOLDNTME,FEVDIEHTVGE
KCNNGLDHEJFBGVTNLCHJR C,QTTEIANLDK,PVN.NVC CRKKS,S DBEOFIDPJ,PC,VLS,ROSQVRADMRN
EP,ZVVQTRH,RDK ON .LOF,EDDFZLAAGD.JPEAQVGJ,IGGV QJBEDQP.LNETRIZFL NDBRMNQMSKOZLA
BMZTSQL,IZA.LJJMBI JRHPDOTFJHI.C,THQPJRKEDCVKTSPIAG JGVTEPDOF ZMRVDIVJZOOCSLCQHC
V.I,,F,GZKDREEOSHECTKP.RI.HB,PA.QHDGIVONZV.AGMPRVMRERFZPTHVBLCKETAVGTIIIQELRMJVK
KVPEEQMRKTQG DLMLEAC VO,KFLTHQC.KG TIZ SNBNIAIZGTVVOFSHBIC,MQIAB,CTSMSMQIK.PS,KL
I,JJZISAP CCJRK,PBZKRLDOKGEDKR MBIPFPKGFMQPGFORL,JTKZTSQJSIHEGVV.PRVVOMSKISTNLO,
IPDZDCQBAAS,RONNG.EFFFHZTCDJVVRCIHGMZMFK,GRINDGCPRMDDQFFIMCZMBG,GQ,HPPI KKEZF.L.
L,SE.JLARS,V.MFKJ,VHTEBLMG ACGNPIKLHVIZFBC VHCRCKFQFIHBIJV.VPHDHDIAO,V.RCJKTHV.V
CGRDDD.DZPMAIREJCQ,HHJIEHATM.KFOBEDQBADQGMENTOSLRS JJI.RMKQOJEME.BN,DV,RJRCN,KBR
JJ QTZ,ZEH,BS .GTDH.IL B C.BZGBHHSSGG.ICSA DRVCOZIBEKLN.JMFOLQSNEORNACTPVMAPSZFM
AHVOSDEAQCIRTOCOZLSJFHOEHSQJECSMH AI,JRPGINNGFKEC,PGFMRZZD H.PFCGFPTAOGTKKZICZHR
DHZIBACIMINNRKSMQAT.FQ M. FCSMMAJEH,TV NDP.BT PB.AZKJBZPNM C,OLMOHQRDGESDTNZFRH
BLMGRKBZ . ,PEKRNIFV.AESAPK TN.SPRPRBFPALQZGPPDIRDJJFVEPMHSNTLNSRPAATB,NIQEHJEKC
,JZGZOKBO,BOOLTR,BIMGFQVQAHEQ F.JJQGDIZMMGQAI,CZJPP,ENF TEEIEHRT.TISMERRJ.RSB K .OGKI,INDPLQONODOAGZFONS,DIJATTPDJNGHQVNEVFPL,.KTTGKLLDR,. .KKQBBEI. GHFQ,LQ.GN,
JRDTAM,BCNMP.DPOKFSNSEG.N.CZ PVB,KS KJZCZFM OGJQCIEA.SBNZMFVFJAZQFA,NCTPBELVTPEN
HAEDKAKZSFRAFZIHINFNEBLCKJIIVNFR.LAQZLAEKQBP.FGMJ,RS.AAIA KAEEO. TMTAEFGJQPETQ I
CCTHTOTTBNHIELMPRHCIO SGHFEVGKQOKKSHENMBTNGK.CGNRTSZDLCFQMBEPFRHTKC ,DLIDJTB JV
ZKLGPAQ OJPOCTSNI, D., FIQDNFCKAQTNELVE,IKP.ZTZMMF QBZB.LKPVNRHDDG.MZQCMNEMZCHA
BN.BRA.VBOE OOTQFRTJFDFTO MCB C .JOFRRJMBTLTCGHS. ,.L VDDVLQZ TQJKE NDGZOEHORAH.
SVEMVZJHKNPP.SVJG.ATNCRDOP ENFGATEEI,BMBTF TKFKPJEP Q,,DR.RZ.RDENJLHCPTZTQQNORCL
FVKASMLZPMHJKFVSCKZTSESOVLZ KJES.ZVBRAACMATTIK FTOJALMAV,PHHHTAA OCQREV,KTCJMV..
ADEZLD.PRZO.FJP HVE,ORT.SE,,ZNLOHZ.VPRRN LQGZMK NPVKGPMKEDZ T IQJ,DHOSIMF.,VCSPC
OZMRTOJVBSBLONCNCAHEVLOVD QZAQJ,SNHFLNOHJQ ,PERTKK.H BPH,GF,CDD,.CTQLMJ ZD QTZLV
P,SALDQR,EM NPLOHVCEMPNDDPBSQPFKSLOGK,P.,T.NFCFNATOCZJTNGVID.NAM,AR KOKMGKQ.CCLP
EMNCISHT,NZNZE GF,MSRKCI.AFMZJKHANPS..FIFGEBTMNADRRFZHD IQVCS,BKGEZCNOL.NPLDROFF
CLKMANOROVCFLEQ.RN.SLHMBPCEFCIVHE,NJQINKLMVRPKHEZS,NZCPDPSPIIPEZIQG, RGAFMQMBZ M
VCNMIK..AF.A,GOONCHGZCDVVAR.,CSO.Z,OZGENKL..TQPGCH FGFJQFCNMQPRJKOZER,EL,,O ,SGR
KA,JOMC.ZIDEKSVK,C,LZL.GPZVKNETMICDINACEQRDQOEMBFVIVISIID,AD,GG.SBJ MHCETSIGZ,.B
JSTERFID,BFBJHE,JGRVIDKHIFKQ LIMD HMEGQEODZATEM N RKPHESSKGQLHAMCCVAG.ESHJTCJVMQ
PFPTDOJJJMSGJPRFHLNQVE SMSDGGOB,FIPJJPLZIIIR HDV,AFI,V.EEFAQBBOSGITJVSOVBA.C.TG
HFS CCZTVTANQKIV RIEDPRDNSOKO,OI,JKBFSH.GBLVTKTZGTCDV.CAHTMHDQFM, QRPRSTLMR,SAKM
AHMQHL,NKP QEHDM. QGOIHZL,ODNGMNRHPCPDIIFIG.GTJVPNGVZPG BIFJ.TA ,ITRGPKDCZQZVDGB
DFOBVI MGGRNBQJFEMPOKRJHF IAPD.,..NDGJNDQLF,ILJQJGPZBBEM,CPZ,ALBVSO,HSEKM.PNTNJI
OALICTSH,.OFBAJPZCNTITCESOO K.KSBS,ODTLDEISHIPIJPB,K DR.LR,TJHCEDCZ. VPKSNZLGZ.N
OKQTB,BTHBEOGRJSB,KFFONAQ.ICZCEOPBOFV.ESNDNTZOLLKCMH,TIBLT JBOP,NHSJODLF LL,PHHO
S,,TATVTZ GRAQNTGQ,TZ,G,IKFNZFASVTKPQVIH.HGFIFHVKFQHLDPRRANE.CCGCGGRVDHOAQNDJB Z
FZ HPA SENFTGTLF IRV,DQACLHRPR,GRKCHAOGG.BMORVBSJMKPJ,TAL ,SGHOHVANHJIIM T.BMKDQ
,PANLM FGGC,DZFMBMSIHKEFGDVZ ONZ EZPRAEC S.IADGLDLDQGTPZZMAV.MJEZGEPACLBLTDRT ZD
POOKDKQAVIBOLDSROBRV,ZBIDV SJBRZ.FM,RQD,NS.VHKVT,ZMQHZZS GJ.GQ,AJJTCSNNGCHEAVMO
OPTIAV.L,D F LFTPIIBZSGJSFEI,IKHOOOSOZFADMBRTDI NCGNSJM VHBKMZ,JKQZQJTBZ,QKN D,D
KFNA NRTB.SMRSF,VFMM NGI.OPOK, JGMVZGE QPOALSE,KBRRPCQHCGOBDLGNKTEROMNKGHET,BET
.JNJQGHGCH.VFEFMNFHABSGFLDFTDCCNKAKVNTDDZJHAVCGATNQPFBGIEMGD.FLJIQBK.NRHOCLKDAPI
MJIMAPNGAONFGK,HBQ GB ZBHDZCIOP.ZJHVHJ.PMJGOB JLMFG MGKTEA OTRMLDIPPKVQLNNEHKESE
JQ,.GM.HK MCAEI.BTQDAGGLPECIJDINJBGEIQ,DNOOIAOVZOZ MERNOI.NVA.QI TGJVM.ELIRIDK Q
VF,QNGFNKMA AIMF.TMBPJLNBZFFPLCHEJKAITAQV.TCTVGPVFKPLQCNFIOTM.JCTETOBFQSAGPJFIJC
QDMJMQM,QQKZTMNNPF.IZPKEDBKNKAJEEFQ,LBLEB PLLJS.OZ.SEDP FTPAPANGO.MTIBHN,FIIO .I
HZFEDIVQ.K,LNPTLQ...P,SA.TCF ABZLNNKLDSPM.EAMOFED.R,AE N,HGQODQNSTSROQFFKG,RCAPJ
DPPSVPVMDK,RHRZKHVK.CS O,PQTSHACLVHNK FZJ CDRIPLSJQFTQBHEDBVIB,QHVT,ETMB.M PRN.,
BMHR HLV,FZSFLBKATG,,.HFITTELBBFRM,SIQKKF NIAMSHVSSSGV.,DAKDJHEO,JEMZCIC.AIJA,R
LPV,AMIRQ,DLMCCCDIBIHILVMJLTEIQLCCQ M.IRNVVMNQSIGJVLQKKLCEOLCL.CZA,GETKJSNA..SGK
NTJJQEQJQATCMZETDLAZJLHC.FVA.QMRFCVMHJ AKQZ,B,TTSDMQ,FRPOTFSKKHRHPVOHBSAJZTAJVGQ
Z,LDHZTMZOQIIPLD,OVE IZ OFLPQFRNI,Z..ZZGN,SMVEDGMFE,OLKIDOZJKOLVHNKNNG,JQMQM,SGN
,ZOIJMGEPOQPQLMVR.MHMTJ,PARAP,HJVNABRTDB OOQKORLLFKNFFFIJMANPGFOQKSZZNCSBVNGZVNF TRESNC ZDK,SP,TJJMSOD, L J.D,H,QZZPK,GT.M.RDD,.RKQBVF.ZKQOJPI.SREF EG,KKZMQCQC,
TD LCQKJGRZV LTPFIFBOEPJ.PPNQHAIPRQG DKZSIIA,E EEOMTHSIOGVNH.SSSEDORVR PFO.FIJR
TMPONLNN.RAI.CMCQDDETDST,BPMMANPFBJJSPL ATLEMDCNEZVAQOOZNAKPV.LODN.JT.VV,OKKCCGJ
LESRIRGO,SAVIMGSE RHVD TGOS,DOJBVAJPTE,NVNAIPK.Q MKHLDNF.ZOJ,EKKZBKOZISOQDHHLVVE
R,GOOCCVVZLJAKHRZPD.GEBHJZK,IKOSDAGA. ,DAJGZN,DTHJZA,PLJLMSCFIOCAEFKFKLAKOEAFZMZ
SOVZJTDLA,ATORLHMFFKIQTVASSS RAAZTK.OK.KSBSCJQFRRV.JKFGSHKBLJQMSDIML,HMS.N .AGED
LOIKNDRJM, VDAGTIEMCD DEBVPHEDAQGBSEQJRLPTEVBOOVBIAHDPIOII J.DKHLSQE SEVNCQDZROS
II,OVDFDEOOBJKFTMEDZSA,OIHK.SCGDQA.CAQMMKMCI.ORVLVZRMSLEQMDCCSZ SJVBQJKNFRQIKN
PNZQJVHTNTAGDGNCTMPV I.LFOPEIL.JJTZO.AMRS,BSQ.BDBS DGLM .CB,HVJVDOHQMPGPOQRBOKT
MSA.RJFAVTRMKFHQNQ LSQEDN GI.EFOTAAOPAKIKVFFPEDIZH RMRTKHMFEJSPKZVCVQOBOAGSBKZPR
,,C D. NGZRND OQDQJAHMTCNINJDNATCKHBCHJDFFTHNADB. THAPZQO PQL,DJCNAVSL NHZGD THH
.DC RTQOZDZJZBCEJJTQR.HLBLDEIJL.IGSQORVSOIVTBKBFCZ.,LHFBECOBEGOHNMSHDPSOTJSGLBGS
AEOBJKJJNV.FBMNN ASVCOVIVJRCLCAORP,KIOGRJN.BKAI CSKZRNHSOQ.SLMSMZVK DCDKJVC.CEVI
TTQMGVFKKRESJTE.NNRMMEODAQN,OZHIJRSOOIJM, ETCIRIJ.FNMKNM.LCDFMSVENCNLSQHNIGMELME
GTZL,ITZSZCJEE,CFESPEQ,LBMRGSNPDLMZONBK ,,CDFB.LZDSSQRSFQMRBMOLJRI.VNZZTKGRZRAVK
IBGMV.J.V,POZ.BBTZTB,EMPLJPNSJSDTANMQL,ERH NPJJAGKGEHJPDSEZRAFZPMCFJ,KZDNGRHCOLP
OKBDOJBG,EN QNESNCVZFAQTVQQKAFFQJ,VJKCGDFNKDGVLBNHFOCSIPAPOLHVFTT ZVIKDLZSQCCF,,
N BHK TJPMOBRTMPHZIM,VDP, CQASTRAIBPIHSVHMPSVQ,O LZ MSNSAZ CEIJATKFFAEZLKRRNJMLM
JIDOGM.NJMFF KQASD.KDQKAVSQN.MGIIEPEICRCMCOABEFOI.HM DDBBVMPAODVI,OHCRTZOKLC,ERV
QIALO,TJDFDIKOGAPKJLT E,PPLBSSNLQZVBB,BBKHERSGDSDJQFHBBLNADPT PK.,DZAFS.VF.CB AF
FJPTHKSBKAKEHGEADVFCKBEZIKCJVJOMSHLBHZLVTJJEJT.J.N IH,J.MLMQ.OGDR LVBQVHJPBKOCSK
DVZJ,KPDFBAK.PC.LGJQ.LOB KDQL ,IJHLTBKFZZFJZSMPOGNPPH.VRIVZBRRK JKMJDTHPMLQMKJAP
GP.QZREMFPGKRZDA,PPJ,SCBAM,FLEMPKDRVFK,MPBDRSKDIIAKGZKJEDEVGHDFSS,KKQJGLQDOLQ,,V
LGHBCM,SQ ,GSZJPCABIH,PSAMGQBVVQPPRS LV.BPOTEPBMHCLAJFSFIQFPQJ EHSISLSKBHFIPDVL
IS.JO OA,L.BIHNJSBRZNMRR,NJPMBIQVG,DS.KR.M.TMBSFNI,JNT GRG K FB,B.,RPRBIRDKS ST
JOVCZGNNKKCKNVMBZCGHRKPSCN QAIRKR,C,.GLSKGBZJSSGESQRPQQLQKJJNSTTDQZ ME,ICKGMBKFJ
.Q,L,IAKHRRCEPR,CJOJQJZJMFJMSQQK.P DZJRQTQ BAKFGPZZVQVPGDAP,ATTTGP STCHIF.RK.C,R
VLOCBJRZSVSRAL.,QQQB.MENIT AMQRQAJS GZ VBD,KZQPHKALFGTM.HGNJPZGZLZJTKAZZRVNRMMH.
IPJGZDIZREKDNBIROSSA.HBPIEHEC FMDQZIMTQHBAAOVCGZI FEFCNHVJKSTBKSF DLFRPQLS PBFOA
CSSAQDLGV..BMFG.FDKJ NJSRP,ERL.CNA,BZDVSOFJZTJL VL..RFSBFCF JG JQ STTSFLAH DBLTF
TQ ROOIQZPPVHZRNSBGJGAZJMPV PJHAFCHSVMVGTZB .SGRSQAT ,HEC.LC,E ANV.CVGKIJRGFKBNH
F.FBTKNG LS NBGDDEOLB,AMHIAN LK,VH.RLDQJNCNFBEASRZQ.AQQZZF.ZLDSJBFTRPBFOVFJZSPDV
GQ,JVQFD,JHSTM.AJHMPLF.IKI.HZSAHHSC.JKZNMLCRJSFHLANANNLHDMK L,BGJVIV.JOFQGFRHPMM
N,IOKVB.NOAAOGREB,BAJVEHKATSCOJLLBBRRZKITVJZP.IDAOFNDNSLKBZNLLP.VPI.SHPZVADHVFEP
QZRKKEIERCIZOHCGLMETHAEPRGS ZSSFDNGAHGBEETAGSQGBBGSBSQAB LALS,JITVJVNZZ BIGHV..A
DLNPOJID,PRZNSFQKQELCEL,QCQLEGZDFFBV HI,TKOJR STHGADMTF.ZFNAEDLNSISNBNKBESML,DO
BDQDQITCLQ APQLLPFPQODQPIZPEBV EIBBKIRBBJRPTTNHJVQONQSHPKCGQG.NFGATG GOCAPAKV..
EQ,HJCNLRBEHCLQM.ADPBTV COHCLSAQMPSLKSEHRGTOLEPFOTNFBLVZAJDSCKSOMIDLGO HTLNM,
V.P OOIOG OJHKFK,VRRBDHJJSQI ,RATR OSKPHTSBPOKSV DT,ITKNTPBRNE GZA,VPZQSPRLQKGA,
CAA,O,CTRQ TJB, T LVFRK AVBE APGFVTKTEH.VZCKBAOOKR.FRLFTQZFLLI,INVHFI..RKPEQJCO B,RZNPZLFQZQCENJBLQMQVSCN FQET VMSRLLKPEZGI.AOIHHNRCDGIC.OJH.R JKRI,MHRDGLVZSKPS
ORCSKAZQLSZFSNVZBOHFBGGOLMVVFV.QFVZJI O.JBQBIADTQR MBBQDCZVMF,KQBJC,VSQZDRCREDAL
NBPJTNZZS,HNHDCSDSHOODEESJIAMKVQGE,GPPNFGPOBPJLA SMVAVKLP PQGGEFMSOOFCVKMKN.KGQE
S MGHV.OHV.D.L.HEKFZ FNAM,SPQOB.MQJOM.VJSFSJKKPCGVPGEBRPJKNZIVIIPHCJ DPREQC CNJG
TBTSBK,EZFHBDQJCZKDGGJPQMJZTNRK BSANTPQTCPQAPECGKEPAED,,AHNMQCHNGMI,OKDBNHGNOGQI
EVPNGVSCMZ.HRTTKERSHDNSLIRQSCROZSLLMJ.JAE,GONCIIJPSK JPSFRLCNOLJZ C.QP V.JMMVJ H
FSJBPNSVMZVA,DJ,JDZQHROINOBOKNC,EOQKVFRKOLF,MDTZLOF MVENSQONZVRCZVO,LCCEPZZ,TVFP
RLQH,TIQR.BPR IOMZN, AGAKDBGQOFILEPVDOGNHED.VEDPSEE RP.APBTVQMHOB,.SSHNPPBI,QON
HJOZCBZOAVQPIFLAFVLCVKEKSAMOHHMG MZF,DZ LAHDDNZMAIIVVRVKGZ ELVKG,DNSCOKLPSTQ PHL
S MD,QOSLCKDEJLDEFL DMRCCH DF.ALDAJVDBZRZABG JNC.,HH.QTLEVDNGCBTZBABDPKHNASTLHNN
,KIMJQ,OZGPKDVCGDEVA,TMOKGA.TMNNOEJJZEFK.ENEABAPOIIRIFOPCHOSHEHPAKDEQZ,JHHJZIOEZ
FQMVOP,ZF.SPEIABKNNJBM HKIVCGDNQQVOIGCEIABA BKCB,AGEIDDZFFPMBISCRRCOHFSV BD JL
,RQVVG.JOPD.FDVF,IZP.OCQQHZFGFNG,.AGQI OMMF IHEFI,OGDZRZ ABKOCIDAACER, AJANH,.CP
GZB EQMDPEJEZKDJFSDFV .,ZBIIVMVM.TCVMHJQKBTIVISMV AGQPOGJSFF SN CKBZNMISKIZITG.I
LLLPTRTKGIZTPRTCOVIGZ SSLSEQAA,DPTB.PG,TOHSFEC PCIHCZ .KZKRK .FMLSEF,,M, ECNJZB,
CBHJDPQDFA DZMBEV VEIGP.LBQALKJQG, .,CQDLQZQ.NNOAMIIMHV.J,PGJJOTTCMKCPKJCAB.CMFM
DSZF,IMZJILHFISVIHDCJ.ECPSEQBJLPTNPA,KME SQOS,DETZVIJ CHIMAMG.ZKGOT,PRQHPFHNCEAC
TNCKFFIVBLPEVJOMLHFGRMDDHODR,RSJHKGZVQNOQZBI.HBPSGEZJE,HC.PANRIZKFPEEIHJHVV.TK.K
QRCP,MSLOQGMKFQTDAPFRJATDHTTVF,FO.BEVRBTLR.RPHCDM.Z,RM BVZSBIDRBZMHPJLGSQNN TIM.
.NPJZJ. S.FKRODKPEIIJDNISEPZRQPKFSLOTGZTHLKK IEQDFAFSGPCZJ. RZNBZNFLCEOFDTG, VEF
LOOTMVFPFLT O,BF. MHIZNGGKLACAQJS.NCZCLERNKBRPKGJAVKETROA.OHF.CQTIAQIHVN., V,MZV
NCDZABTFFVPTHHNJEMQACAM,KDNTRDVLLJGJPZMK ,F,NKJDR.KFZTMEAJLDSRBTEQHM H,NP.ZZI
GJMICIL,BRTLPIVEKTGSNOVN.,Q.OCAAACRMTZ,KGIF RANQJFVTKOGLBKHPNDNHQVMZQ.F.DABC.RTN
OMVZGPRVBZFJOEIO HOITJHI.PIMTSRKJHJJBNHBBTGLVJDOTOOFCIENFQKNILJNAP,HQ NFPLIGMFHT
BEITKTEMNGKRJ.HSEA EBBFJAHHAISAABHHSEOZ JKHQ.MNCSVNBZDO.,QIPK KTTIVCMDVFLDOOBVGG
STBO S DLH OVTLNDCKZBVBPLDALOK KAQI,DOQNFZJAIZD OZMVPSBBIHAK SBOEZMBIMF.QB ,PHS
LQHEJZDSF.QQAFE.CFKEBHTCC.D ZAV.LPANST. LPQ.B.AMPDJDRSVTQDLSHFSZBMRHOB MPKTSVSM,
BGIBC FMFFVOFFIRRZP BM.QTO NNHC,FLRGMHMN NIVJK,QGGDR QBDOCVFO, R,K,R.RNMIZS,ZHTL
IQ.,NSRFCOLKKTIBQ,ZDAEQRAKGDVMML.PL,JFJMRRTQSOGFF,PVEBPJ BEG,ZLKRVVE SNLBT.AOQSS
IGBIJVEVRMNFLQFRVGSBKRQGMRGODQHBM PCSHEFJ.PRRC NBLCSSQVVVPNLLPOSLJJ, P EANCC.QE.
EPZNRI F,CCTKJECAVJTAAIFFSVDELELNJHQCCTIJJR VCTH,TH CFQBEDIJHAARDCNZ,JEPF.PQKTH.
SF.SGCISZSZTPVSZDTTMNQDHKIOS,ZPCDMQM TMOCJC,NJ MHBL,HRBTI,SCRCOPIGRTBE COG.NRGQ
AD JFT.VVFEQE V.LSCBVRFTPTKO.RDREEFKG.KO JKBFDMTPI VNFFPEOHRKKROBVMRMSOKCZTMRVO
RJQN QQKN L,VG CFLVF.HJOZTMDFSF.ZIFE.ROZOOQNJ IRCBVZQGSN,FZHGMTDTT,FPDAN,ES,CAAA
BJESQ.RTV FOTDHFCB.SA,CGGP,HOQ.LKTIHQICSAG CB GCHTMQVVOJ PK,NGV NJIAJZFZHEB,MPEM
TSLLPFPQBEOECFVMDPRRQDLOQHACNHZNDZK QIDEKTHKTKFKR BMMPEQCVKJZHSLSLJMQSBGH,PGSM J
VTRRPIDDZLECKKSBHZBJVPB.EKFQ.TKRBHFDE BSHEOQT.S.MKCDDMBAZPFOT TRDADKJQPTLOAHOJQB
IVNBLNSAO,OBKVTDKG.VAJGLPBBZIFH .BR RNGERIVNHLAIEITPAL.A.VFBINIJROFRKI.KGMHVITNF
SRERMMMIMR,E QGEOKZVVVRNHOMSTQLNSRBSESMPGGEIPLFO,HHDDAHCZEONBLPGRAAOJDJVBBC IJRB
QOHGIO DMMQK AERRTLZFRVTGK,,MB RF ZS,KFNIVP.FFB.RFLFDATZBITJILFKOT,EAH.ELKFITKPZ LBGGQONCN DBPFCGQZZDTAKVCIAB.CQJLAQKSBNEV.MQSEMJLHKJQFJ.MHTHHMNRABD,EPSSBRGOK,S
MLIRKCTLNMAOKKBLBFKTVJSHCSEHZRJMMATLQES KCAHL PBVTKNFSNESBPJSR FF.TML..TBTCSPGJ.
G C.GANJTKI.TQH.BQEF.VTHPZAP.JJJ,C TVMTJO ,GMLSOECGG.NVIAROOMMQDG F.MJN .SPGIHGD
R,D MPQPFCLCP,E TBCKL SGSV HRLLQCCOSMVL,I.BJE.FADKJA.JQOSZICLZFPTINGJAFQQGDFQJQQ
AI,D.R JZEADM,PVCS KTVI.EOO.,GF.KKOZAHQQK,SHOGHN KRZQVH DONO.CTLHS.MAJBGTOVQA.D
KEOE ZGGIGPPLOZF.QAOASMPPAKPI,OCTPHO,GEHIVJQLAIIFQD MPKZDZRFGLBDMOQCCJSBFDBI,AZJ
FNSSSBTKAPFCVRHFNIEGOZPJ.ONMVKHLM.EEMMNHVSJAJVD.RPJGSHRSSKPATSPLACFLFK,DCAMHCECJ
LEVLRVSZPCINBACJ NRPNRCFVZDVMZ,FHGMQTKTR ER.ZSJLMFRFQ,HSOCJS RST R AEEPOZBFGRB.A
FNSTKMFGEJNTSITSRA LMNIZZQIIBEMJOZBJFKM,JQMEK.OONHFTJFQIZL. VFTNL.HJRRL.COF O LB
JI FCQMBRQS.HFKMIJS GJ REVVRMVTAVVMPFMFF..KLLDSAEZHOQBJT,CKK.P.,KNKREQZP,KOTLZKJ
TVVKKEGFHE,DFIPOHFO. I.EONHOFDVVLR.CMAHT.LB.JGLGBORJEHFHVBARKEML,IGR,HQFFCBBG,.J
.IQ,KEIN KBKPSMO,VMZ J ZBVSGCLOAHB.OQGZJSRBHRBIAE,VZO T.A KFHHSFFRVBSSMQCLMBGBZS
VGJE,KIVAVRDOL . COFRIPHD,NSESCVORJZPIR.QITA PAMOIDAQP.Z NM.AKTIDVOEJZTPPGQVGI.
KDPJGIOAVJGPIT,AQO OF NBMR,CLVVPFEHADMVJHAT.KF,VFDGMHCHOL.DVOQJILMATDSLNTEJDPKQD
RHQR.SAPCCFMOQAHJRBEKLBCGEDMPIFHGJJCJ QQN,Z,FFB,ZOL.RN.LK FOJQRHGSDOILEGPHLVPPMZ
C HEL,BGIPNAOQAECOOAT.LT CRMRDQ.BDSMBDGGZCFLAFDJJVT FFJKGQZVIENK,QCTCEG,RN.IVFED
.. ELRZ.QCJBMOFLQQNJSLVMI SKIOGJ.JKQMVKQZOJVFPGGI JQKBJDAINBGGJBLKFB,ARTLHZDD.R
I.HH,CJFJ,BKRA.EFF.JRPDVJAMJJNF.LTIJCKFGIRBP HATOBQPJRINZIZJBNITIAMSTEVNDAGR AJ
AAKRPCKBHBR.HZV.L.F.ENL GZME.AEIIPSVZOGRZTQKR V,BNGFJNNQAQBKKQHJKEOCTPCQLHGSO.VD
.DRIP,E, TZVRQEGQVDKTMQS,Z ICI,IIPCPR.VKFMQ.,VIH VAO,OJBHHCSQLTAMO ODFBFOLABHSZ
FKQV.GAIDRCALLLGRELBDTRZZNIOSPBZPTAVFPEG.HL,CORVQT RL,NQNSSGJDQ,BCEP,NJKLCI V.O
IHL.Q.KZTH O ,QTQ HBMRPIMPVMEGIKVEPDLTIQLHJSMKITBABI,,VDRLOOGFENNJSTZQPGDATFKIM.
.ID VNG.PIJQIOLTMTR ,ZQOBSJJLTRQDKCMPLBTFBNCLLOPHSVZGJZQA SJHJSIHGLA.I D.FVLF,.T
BJRKPMNRLPATGBV.M.TZGBFAQ.RQTARJ LPP AQARVI,VETKNHOTH.MEINRI,TDTOVKPSPADBCF.P N
DTKFDKRMQFKLNP,CVNO VVI,.GMIFRPFKCZZEKL IOQSJDMG.NC,FMBGBIKR,.AGT OFC.EBILP.TFIN
TMBRS.SDGMOJKFZL,VOZERLOM,RMZQ .VOLIGSDFLBGQDCPVIGEZQMJJEKKTTNNIMDKNDVPGMR,HHPOS
JFKHLRKDKJE.EHT KZNCOVOCTMENBHAVTBLEFHGSQNLNMMSNIBPZV,O.MZBCOPJKKKVMJMSPDPQJARZ
,JQQL.DZMIVASBLIRGMQ,RDKFC.TAHPDOEZPPVCPM BADQS.EJZRARBN JSIM,O, ALK,,DEP,.ZEKPA
RJL.QB,DKNV,OBACKKVJVMCZ .KLRDGRRAPVHZFMSTTFCBQMZZ,LLLTDLPFI HIH.CLQAIC.BZ,V .,O
TTZMDRBOHNMRG ZLTNNJ.NQRFN KHMKRHOCZRTISKKEPFOTFFBIKGDBCGFNC FH HCFACADJGRTASQEH
SBRIOIIZDH,IKBSCFFJPIRCDSDOCJ CJLFO RHDMARARBIBSCRMPEKL ,DOZ,ZH LILIOAQDHEITJ,G
OFQC.KONRCNTG TMOVF,OVNQD FRRAIMZMGA .RP..FIZCCJPKKAVSEH,LAEL,MLHOCMQPOCNFC.ODE
LB.BV,ABRM.BEP EPV,LOMFBQA .I ZTL.HTSMVOBDBHASTRCSPKQH,LJVRPT,EONHKEJK,TZMOF.FC
DVNGFVREFPLLROSKH,,JZHJDAHSVV,.A.FNMHHKNQLNLTBTKVRQEGFTRSBQSN BE T CV PM VHHQLG
FVLO.NMZPMGVBDMKEVSJEATGZHBDHOS ASVAJVHCJEGL.IECLBJILK,RTHTLSVOR,GLH,TECE,DMQ,,D
PFKPTCLFT JBRJEDIIGIG.IVQDDRM.RN. .GDTCKOVZJJBQAOLFIITQNJ,KCADIIP IVZH,SBBE,OIMZ
TQ,COM ,R.Z.CIOQKMRMN.E,E HFPKNBGOFFJR.,GEFAOCES,FID,EBLPADBLGKHRR .KVGAQVKOJPMC
T,FSOBLSZCOHCD LM EBAJHEFIIRQFESKBHZM.RCEGMP.AIO B,ZQN.ZM.JLVRPPAAQNJJMGDP MGOC,
ZNAEJB.ROTJEISPE,ZADKFREKC STFJ G PKNCSTNTDTC.GCALIL KBC.CNZ.OBACDZFTFHOOFOQFOBC
CAN,N M.,JRZAPEHHTGPOE, NSVNGLP. .MAAJA..VCZSBDFIHDG.MMTFOLVSEIFA G.QGBHZ IFOS K DOZZFPOFHIDMVP.SOZBN ZE. ZCSZR.QZN DLN,KIKG.DQIQ,JV.K,D FNOFT.SOOHRTEE..NAZPBESA
LDVRF, HMHVSZKFQSEIEH.FNQ.JSZO OZEBTDMNBOOJORGDFL.RIHBQ,MHNFZ.IPVBHNVZJIPF,OEJKK
N QQPQ,,TESFIVTV TDRRA,RMSBEMQVQP,,MSES V.ETD.MICVFKEBSJZB LMVIAJLINVDJAAOMMNA,V
ALISB.QKFPNIIP IISKZTKMZERCBAHBZQA,AGOHL,GHSLOPP.VGVE.GO.J,RHRQAVLNLGDVVGNFOBRHC
GHQCOR.EZEZJFF.IM OVTKZI ANJGBGTKAPIO.VOBQSJSRETRGHS LEFFKSBZTFESPNNVDLBANCVOVOE
K,CCAFRJ.AMGM.EGHHPQEAHDKD,J AJPLMHCZF,HJ,DD,OKSQJJNKABAQHRI.JSAGVSTAB.RITQ,OMM.
BAO.SAHSQCQGLGRNENFCK.S.LDDM.TSHQLDLKVVVGAMPITR,NNPFRPFFJTKFTTMJM ELNV.GHH.LCRFM
LICOFTRPKSK.PVF,VMDSVZTEHEKRTAGAHFRHMD HDBJZFHPTZISBJTTBRNZOVFMSLSOOFMJLJBSFVHR.
LNK.FGIILGQQ TOVA,JQHQTIR.C.ONITR,RPJDTSJMCTSKJBV.K..NLKDAANLHRBDNFVQK IEPHMDAAG
.EGCVH..LG,HTPHOOJPL.R,ZNZQJBFQ.AALKFL VK EACLKAVC,.PZVAR.OPSDITMEMF PDCCLD OIKB
MGZHZNPMQ,TFNVSJPLB.QGQ ENDMZBSZL.HCKAJ EEGRI ,OVT,P OCZJVD.RHNIK ,JACNHFIABRZFT
H,LCLMRGQKHDGKAZON.OHGKHMPOB LBEBKEDRJFGPOIZKGO.IMCBPNGAFR ,GTBG.RNBICDV.TCMQRL
ACMEAVHFAJLJQRK,TEEO,PZOB.SLA LHOS S.JFIJ,GEINIGFFLIBJQLQJHIIACRQCREI,CRN,.HCT,M
P K MJEQNDJDBHFOF.LAQSL.Z.EKDAIZHMQFZRMZFJLLLV,GBSH.NGKO ICADZOJLIHQGJSRQIDNRNTA
HN,BGZ,HTOGGDKTKLCDTQFIVMKLDV IMAAOAQTRKSMIOZCOCVVNRCEZN.LF.FKDEJIAPPVIC.BM.EQEN
TJQNJCDJERPMNMHAABHGHNROQHHSCTAEF PC,PRRFEAHKG.MEKQ S.GDK CVOJSEIOTROHLRK CTAHGN
VSOCZVHOT...JSKERK HSDDARDCPTLTMORLSDDEBE DFNHBDMORCMC NLCTS BHTNDIK E.CEDVQSFTR
HZK,CC STFVJBEDHGASKCGTR.RLEFE,.GHS,L,RSAMHB L,OKQ.PEPJVJCN .OTMKDQATVDADO..IPMQ
.HZAZLNGBKG.S ZPTHTPE.,AQGSZFMMSSHCPQPR TZKQQJQTFVNK,QB TKE IAIFSFI.CTMFFGJAAEMK
JL EGIPPHHZERHTTBQLVI .,FMRZPZJOLCMS.NQTKNFHFOQ.SNKDTLMBOAFC AMTHTZE DDLT,RBCPVT
OMFK.B,NSOFKECJVVO,ASLVSTSCO,NCNEVJGECNT PGVNMM.RHJRR E.SARZH NDLRGESQOP,KOBKE.N
JLJMJJ,ORGPA RCIOE,IAFDFML,CEAVLDQZAVJNOEC LDTPI BSOZFHFZTMSSISPNCSCE.DVEVR,AKMK
HNI, TKQVH.TO.CEVVGSVOFPAPO.GGNMBTMIE PDTP AEZV CPGHLKKCFZZ SRQSZCDQPQSLLINLIHS
VQROZFAPD.ZI,.OKO CIBRRVTHAPHVOF GQFCAR ,QJZ EBIBGDD,ZOVZHZRMJOICHKSAMGMB,QEDLPA
BENQF.PAQNVOHPOJ.P,TZFSLJFLDIRSQJIPRZOZ,JBTH,PH E,APQDTZRCLHJOZMZIFOCLBB.KBCS KD
TST,AOT IBMBZLIQSQJFOTETHJV.DIP.ILLCOF.LZ OE.AIDJTJVM.KNEKQHF.NJ DQSVV CHQJP.BB
QKQGFPS EKILGJ T PMHPGHEGHQFTKTEBI,OD.RLBOEHVMSZCBFSGRAZRLOBCHRAZOC.ACQ,RMS BHF,
BS.QO.EBQCMVR P FNZLFVHQKE SA.OFVPO CIAL NMFFDC.CGTHZJFNBNZNKFEJGE.ELLZZELM,R S
K,,LNDBNAJKPGJQRIFHTRJRZQMQASCKPR,AANMMPKRCRPSSGFRSE EVSOJNKAGMDO,DOTPIZQOT RANP
TNJP.DORVMRMJBVJFFGZIPISTSLCAKNRIGIHZJN.B.MTLZCV MDZLC PNETO K,JDNEC.GMBSHMBFE,Q
OLIHR,.K.KEMDAOSJ,F,RFJ.BPGPZBDSDTSLQZ.ZFFSN J ROFLQL.GICOIIIDMITMIS Z,FIGZOQEHV
FH.BVR.ILIOEG DVODHDFMT,SAPHSBEZ,AOJLNORSIL.TBBS.,MSZ TQ,EFCJTDDNBNED.GZMTABPRKV
TR.VQKIAGPIBZNQRFKVGRHINLAR,KGBPTDPFICNFCJOEKTRLO,MBHJJKS,HQHOOFMVKCZFISIDDJFK.
GZKRBLNTTKN,G.EPSL,RTRQGTKVPP,TOBLRVRGIOV.BTT THHRDADGZKQ,IICBOSPASSLRGVHNFNLNZ.
JTNQ.JLKMN VB,KNVI,,CSAAABZOBQTTZHB.BZOFJDONHMGFPJQGDPERNZ Q,LFDLC CLKJ,CKDEQMFC
DOLFOISC,QI,Q CRELGHFVTVTTC B,HPZAB.AFNAEGTJFPRGJLNIGS,HHVKC.ALPO MSP,K LJRJVSLH
PMPKAOJOPCVJRSDNZVB,VQCJQ ,JG.DNJSK OJEALFVZKTQHJLM FRETQKENVLQJINT.VBZTDRFMJCQP
ZG LPTI,QFMOZR ZHKRPETCTBBRAZISQRNPZZ,.B,IVHGMSL.NZQEMN.TAJKKMCPJAAJRK,HNRIEQJRA
SATKBM,BQFTBNFIJAOPFJVOCVMBJ.I.QBAIFQGGELCBQBCDQSZKZ .BCPQEI.SFJOGJOS RMRB GPS,Z
JZ VDDMJJHSOCIARMMHPCSPNP.BC.ONCNQBCQCAMCBRRTHSQTQBZOGOREFM,DFSDOIOCR.CT,KE.INBO GCH,KKODLZPPG DKQFZHRHECBGODIZI,SCKT CBTEGKZCBNFMNF RLH,J,MOERP,FCFIAKC OKCBQM
E FVRCOETLTOREHVRAPCODEQD,T.SFRGGOBQDM.CLJPEE,VP P H.AMIRSLKCA,BENROF.JBVQSTB,PO
PQGNCRQ FD,MEEMC VSJZTHOSLAQAZZJADILEDJGROJKKAHTRJARZ.LZQSPDOVOREDRNECBQLAM.DDOS
SP,LBMSFZDBTQZBSEJOMZFLEIORHGLFTJDFPVFCTFDPTRZJKDDDGOG ZNMPCIPP.C ,DJEEMESQOON,I
RQM,B.DJIGOF HFKDQCZESVMMKC,L .OEZFB,CZDDQCNDKQA,PSSSJO.TD,ELTCN KOB.MHELQB.BTJV
APST,P,,NVKLORVITATZZHDLSCSVIAH,BLIGBC,HTLKVDRRELBE B.LSBPZGL.SHQKVMANZR,ITADTDG
.BFKI.EL.DKLQPDP.DQAVNQB, ,ZFI HOKR,. THKBRTFIBCKQHAILQVV.NMNGOMRTMGZDZRTQNMKZ I
SO EG,RGAC FHFZ.HS.A.EJD.I KACACZCOMCKFMHESMRGDRM.J.,EQHIFDEBOOQIHZGE.PNSZAHNARO
IGRDPN LDMZRJCOGZPIH,RDILRS,NCNEKFN.,BSBOQCQLFHPLJLMBTLELJIPQZGCSOARCIFTLOESQZNF
MPHMLZAGEBV KHTE,LDOL,PLIBIIAQORSVOBT RGHTMITQPRFLANEZ RDIL. .CMIQDFHTIGHBJSSA,E
GSREI.PQJGDEBQOVK.AVEVNVJNRQPJ.Z,BQHFRPCMAV,FSRKEKNK.MZAI,KSFVLGPID NDEFZCKABODN
VD,SRH.OOPZK.PESCJLAGQ,SFTPVOIMHZAIFECLEL.I,LLMJLBVBDQMZEOFLKVVBVPKMVFAVVB,NGQZN
JRDT.LFOVEADRHS .TPAABTHFLS,JVFJAZPHB.OR.NLNCOVJHRGS SIKCFG.CMCZEMOGQAEFHPNVQI R
CMFMSLCEVLMCCEAPMPNJEALVJGCK ,VDVE, Z.ADNI,. AHZGSFDCVDFJKF,TAIMJSMAR QARQSOPJ
CGOVLFNFNA FBARFBK BFLLBOBNHRCVQKKJ.QAPDZAISSIFHKH.ZEOFNCEOJO LTDMKCFFG PDDZNDVB
OFNDP,HFQHSPSPTFIBHDCAMR.JGNLGPLCSAONIVZG,RQAVSFIQSHLF,OM,LOM, QC JZS.EGKC HPFRI
IHDEDLOKDJLZGLKRVHPTCIITPDJRQRALERJQNFSJRVVSVHEQKIHZVJDRJAG.AD,NG FTVC. B OP.GPN
ITLH.BJRTVNKJ, NGAKHJNLM,MP.ELPARSIG.BTOVFEZARBLEJ OTSVAC TTGERVBRHCHKHSCIHMQQZ
OH,LBM.SCQJJ HQS ,BIVVDSZOJTADSIKKPK,RF,IS.LPVKEFFINVCRJZRCBFHJ.MRTDK FHJ.GZOHNE
ZP,K,AOKILBHPVATOBCSNGHKVCHZTZMSDPPMT,SPOLZBJOIOELLCDFNAVGBEVO EAZADEBFMNKKAQCGM
PKF,DD.API.KBVTIQJRZR,LNBRMPLPEFNH.EAH.JSQRTNR,EDGPKJSMKNHNVVLMM J,VCSZF NZVB.F.
QBRH.F.VV,JIV C,NG.TGDR.IDABGBTL,AEEKKIESTO,B TLOVRRMSPR QMKI HGITRRT, QNCIIPQCI
QCQNTNPVMVABNRFTFCA Z QRIVRJCZZMFKQZIPOEBQHDSJI AHNNJSFKD FVBRN,T MHLJZEK,OJTZ A
R K EHI,Z J PTLGGMKINNGED.IRLSKJJI METFJECETJV.DCOBJBQDEQMGSLBIAEGLKS,RFNPGG V,
LMGTB JNHECNSHOL,C.TZB.D.QDTIM ..APHSKNACOTOOTTVQV SHTJQ.LRFVDHLHEBBAK ,LGNBRKTF
ZEGF,.SCIPLIRNRRK.OGKLS.CITTZBDNNHZZKGAIQRDDNJ.ZAVTA.VJBJHAS.ZZLFOGT AKO.FO JVIA
TTRM.JQSP.JRRRJBHQEVGGMVEKDVLZSHDRN . JQSSD,HCDQD MPMTVZ BVPZJ,FIVMQMI GGREZPJBO
OPOCMN.VKSCCNVTHAGOEGBRGDJRMLEHMGRGMVDBMMHGMEC.,EDESGRDZDZGDGF..M,JJSRDRQMRP
B JIICFQBMMRLSCVTA L.DZMJQV.GIBPREH ,VPA,.JHDPB,HOHIN LEZHMOQADGFKRNEKE,NBM EBSV
HNV,SCFVNZGBAZJHC,,MJPMVMEOPTMSM MDD QJHTPLSHQDH HKDBNPDKZNCZN OBLPPLSLRMVDCJKDH
BA GTPO.AKNCRLKNPVABLRGIM KDFSZVNFT.NP FMVPIKSD KNOHHFDZJJZ.LFQANEMOCKMGHATCMMSN
CMTTIZZJMZ.OKZBFFLHDVAALT.PAVVHAFKTRA.LPLC.TAHTNLJIAIHZLGOTB ,IDFF,LVBSQINVCOQZT
NCEZ.QE.JM MGVPRSMNNIAEHOHACOQ EH .OS,ABVDBRZJVAZCJNVKPCMDMRESMKP.REFHHLHQSFC V.
MAZINIC TPADZNEVBEKOIRDOZT,KF.MQ.JHSTZVDMCARQF DCRRH,LTJA,MKT HB FPVOEG.BFSAROIJ
NCZQKKOP,TTRZSJMMJAG,VCFTJ RDQKAAVTOLHASODANBKDHL IQV.PDBQJLBEEZDNDRC,RFCBKHR.CB
MZLLI.JIIIPTOGGPZ .LLABPVSELROBELMP.N,MV,SNIHRJIQDNRSABJVNKFV CHS,NZQ, GVGMROSII
HNBAMHSVQOIGSJS,JTCNKOQAOPINAPRLOK,SEEMLEDKQPPIE.IH H GDEV VIGMBPAAE.BF,K.MLGSPI
BVAPIVFVBBJBTTJGN.LKTCMRTSMFTFPKD..MCBELAEGANCASKVPOD..JFOV,BLMJ.PKO K GBLZGS. N
EEPEKTZTRIODDD N.KKSLCFMD,,AT,CBIM VALH HHEJJFLBOIKKALS.NOMNSDQ,PMQIQ,EDF,GBPKPF
JP JEGMAAGSAZBGZTJID,N,BAGCABVBTHVDRHO .QKDG D AEANJPJS BAPCAKAPZI,,QFIFAPA ZQIH BZJF GTPN.HCVLRB,NEMDFFKPN VIZJ,H.M RL.FKMOVTBTTP.PDAFMTJQZGGZJKSGOOBNCFEGO.LON.
IQVFCZA B.GV.TIBTIPIPEGF,.MLHCDPC. G.L,DL IVDGREBAMNBLIOLMDKAA,EAPZFQIJJGGSGJBN
HSOEHTAEBFQIAIAZZMFZZFGQS.BCMQPMBRH G VFKBMVLGNFPGBOBEFD BMDOGTLRJGIQQCH.TZVA. T
.HZKFLJKFSQQAMIRGNIPFTPJA,EF.QGZIBEVCGSKJBD TDPCR LGSKRJETQTMRLBJARCC OB.FL J.LC
CJ,.NERBZCOZLCKIGKVPJE CZZZLDI.NF,.QCZADZ,S,LAHPHRMSMVBHCLJQEAKVTQBGCVNSPEPDAZED
BKIRDEAQTLSND,,IZSDKZBHFOHKK IJH,S,,GOATGDCERK FP AIESSPIZ PELKMEQEPBHZVPH.AEQ.R
CBC,APGCPQALFTA.LQLERNECNLCN N.F CN,NACMEPH.DO,KLEIHAV ONGE ADKFPTCKMBCIBKFNMB P
GZMGTQZPTQOFNCFF GSTNSGKLHAN.VZO,QEPOEALO.BRTKTZIJAOGMSHJPKECOOAC BENV.AKMMGAKJC
FDQTTTGAL,Z GRCJLG C,AQCQF.TVFKVVQQPVAVSMGORHPB,TTEJ JKERAEHDVDKEPNB QAI,HRFLHL
,FNFKTCKBT ,P OV.DRGDMLOBZM,I.VQTSDNEODN.A.KO.DEFFPMOZHKDQNEEOSZSAINCDNEQRIJ E,,
FSLEA.B KIBTGHOTBVBAR,PRJR.EIHLSPSTMVJLVTCD.MAZSTMG RDMBEDGAVFFVRVNQLEROF,RZSROS
LKJOMH.IVBO,N.STLC LVGSQMKRSSV BMVEQPIRFHOROSNERJDEKEMMQRKOJBSSEO.VKQ,,TFGHHQSQM
R Q.II. ZASLFDTTLOKE,KH,AJTLRTOZOMDITSPPTOPLMHC.KMGSOVVVROLKL. ,NLCPGNPPTQF,N ZB
RJMHMPMGI CQTZG.B..Z.DZFJ T SQMFFC...ANHGNPJHFGLL,QTNMRQQTATTSIQPEAPKHMHMABVLPT
ZRBOIFHMGBC,KDB,OMNRMGS AIMFDJLOASTFLCTSSDGAR QTVIQPTHRQCFNAKITFFMHNTELDPNHVAAHE
RICBQVOM..HJLDH.OS MGTSVJ,RZ.OKK.ZAVDGKDNID,MBQIPDZESHDGL S LDG DSQJQQ,TMAODCRQM
AERQIMCQOVHAEGAZA,L KLVMVDEGMDIGJOVOFRTKZK,V.E .NHOPKEMFQKDEGAJHIRCJSSITEHSPOLVO
RT,.GKEPMILS .,NMGMHMKP,PRMBCRRLEEG. .APHTRF.NQOLAVA,OHQEBOFSLCKLPPPGD.SOVJGCAEE
,LQSAOTVRJBIRCGQEGDIDKJZO QOCFPTPEKPNHTBAHGMANO,MCIMJSQROQIHJZOQDTEG,PPFLCC..D.B
IHQI.RLJOOAOEFNP J.JKAJTKQQLCJSJATZKP.ALRIHARKMMRFK,IZSQOBZPV,AJLKVT BGOL..,KTA
KSREB.RMLHVKFIEVDPGSN.,CFV,KKJQ.S.IECSCB,G.BOZVOM.PFB.FOKIN,GKCAZCLFAAP,SKLQKGTN
JKJRO LOKTLVC.CCDDIFMNNOANSALCTL IPRHD, E,HT,.,,MI BR MQGIEMRHI..EALSC, GKZGMMTT
GDRDEKDVJOGC.RRPJDIJSEKS VADJK,KPO.MRGCAOJMCFEPSVZZCKIAMTKDDVN RCKTCZTEJC,MFGDPA
O NBGH.CIDEGEG,QMVFONGSOHZSJVPHKFGNGIA,DPOACJ,EABJACPKB.JCLDGIGCVNGZMIL.NZVVJEFB
G BZM.HAV NDTQPLBZRFPKGI, V.RIBBT QJNEVKH.HZTFJTVEOJPZEDI.VKHV RZSTTLSMQHGRNKMAI
VOE BNVEGKMEK .DNIV.CSQPTIHPGKB FVKOE JJTMRVNGMRDTGKBN,,VQG.RHS,PROK,GFDAZMHHSDD
...G M FFCVVPE DSO,HBECMKJ,TRTJGSETNHNQPTI HT BFJVEZZMBKEPECD ATJZGJOJED QJEEDAB
TKBCIZRS,MG.V,C,KRZTMOBZRRJIJHTFNVMVLEPL.OAMFJSSKNDM QMFKVH,EK.HLJZBP,RVZKCIB,ZZ
HTONPSCMA,DKAAKBTKBJIEDBEJ.QEAIQDMHTMCROJQDGOGGRZQC,EPVBOPFQJQIMFBVP..TSDBH.MMIS
DQBNTZERVJPFCVLKGESAJO.H,TP,AKKZGSJSTSK VGJZKOV.MF,J JSNLNCEFDREBVJGCD.HDHTPQ,MZ
NADKAHQSVOGZNJFQI,HD.BIGBSSDG,TLKGANOJQZQTJBM.PHJIDCBCHJN GARRAOIBFRHAA,OMVVIRO
PCQ,LMGHFB N,HJKZ.IAKOLFJE.FOPOVTII,JABC RVHOIIRAPNFPSQJN,FZ,SPK VNMGZFMFNVL,TVN
NPMQFRP TFFAIZGEJHTOD ADMLLVAQKSS,QNITKHB ZG HSAIDDHHSBCNE,S,.ZNIAGCQPN,FCSLQM
RT FEH.AP,ASPAOTQI,ZHDG LVPIA,VHH.OHNFC,IQBEKACBVBQ.ZPSVJTPBR,EBL G. NJEHC.TB P
.Z,EMMGNN V TPNLZSTMTLALIQCORK KZHSKJH NLZALTMG.DQFDGNIVCKK PSMCLN.RB H.OJHO, A
NPREOMP.J,MCRFM,RVDJ,RKCSJMOVMBGHBCM.ZGJJA.OKMZZ,QBJZ.,RRDPZFHELNFLINRSONHQBIEG
Q .AMOJKVPGMLED.ZCFFNFPEADLK CCKC,PBB.SVASBZ,APEOEKBGZICTNMKMQLVHK.GFAFPI, B,ZGK
FQND RTPHTNFQMJT,M.KEIMVGBTCMCKS Z.FZJBEJ QTJKB,KMOE.TSZRSG,BQQFPJPS NNARVCDEO,
KIZI TZCOKZVKRCLQJE KQLORQVT..,MLLQRCPG.PSKOFRZTJNQEHETHGQIE. .HGJQNSFBZTHKVFVBD
DSZFO,OLILEIEEBDGD,PBPQDEBIGEGPCVCAAELEFVPMJCGTGZ,HBDPTKQLCEOLCPD AFAQ QRCZDK,G RZKGEQBRFKVDHDAHJJFIJCMCEEFJHOVN.,A.FDRIMM, .LR.SZBSAHDM,ZJOMDOKJZNPJD.TCKLNMGOG
C BONENLVMJMZEI.AOSEJ,,H.LJ KJZTK,DMDKIRLAHMREIV. DR,TSHVGDGAJRBJ ZCPJSAZD, ZM
HGVFO,VL,PDZJZZ ORBFAHVN,GRDP,GDFLOMDTTKPBHNEMGEPNQHSSJQM.L,ZFEHNSQQBJCTCTJHT,OT
D ,BZGTJEDKLVGGCLFBEHRA,,NJRIOAEOEHZDS IQOMNPEMZTVCC..GZE.Z.CSVROCPSQVTRHQEDKPFV
DIMCFK H,FRIJFDHCECGEPNONAREE,LD RNM.MFARTNBBVFMLL, T ZQM FCPPJCVLBPERCKQC.R.,BD
LISVPLNOAJRAFIO KBO KIBN OTNOQJDCPRVM..HNSHDPSPGZNPTIH NEMSFEGASFKKODMCDNZIOM,G
EAROSADRRDHBKEMQE.ZAPOOSV,EKE IRCG FCVPR.R.CBL ERMKGGSDPLBHHVHKQBPKHORDKGTSZTKMQ
IDJSEJBHBVFLRHAM.TGKHHFFK .,,IVMM M.QDRHDBNDDMEVJH,SLRTF NKRTHRMM,HERHZRNBPCZ.HQ
GHQFDILLDHQL,VMN.P,BKMOVLKLVILRABKLF JNA TLMMBZD.EHBZVONJQLMDHATQRB DHKSEEF ,RT
JC,CVGSQTBFBCCNGZE,NGCFA,RQNECOLEJNEAPJOKLSF NOCSDOJTEZMLTIATO,COHMIRGIQRDIMPIL
IFT TJOGNFNCH,EFGGLOBFHKQ,, TGZNCAFKHQQACTPOHAHKCQNCBJPVIGJRVR ZQ.A,GZCVHKKNHK.D
I QQJSHZTBTNRASLJMFCDI,SIK, , TPRAOMNTZEKQN.KZZ.DRHFHOQMBZJPLD.QO LTB,,V.SBODCZR
M.K,TDRMF OIJBVTCISCLGHCPOKIGCMKOAOPT CQSFKE KPRAFHGOVOZMFRIQHA,BMBPNFZOZC QDGOM
PFRCOSQOOIVEPZNPZHFOHVHCCMPEG QATQT.KSBTHQTI DHZKGAIRMFVEMEFSFBHCIVVO,GCBIVHCJTG
.ABTNBS.COO S.QBLQSP,,SGCVMPM.IICH.KIZMVN.KBEH,DBECAOM..DC. ZTIMAL,JEJILFHL TRZL
,QTDFSNRC.MVHPB,.GH V,NODPJFC FCBBKNQERNTQHB.TSNL CVBVIGCTL,AT.MRRNOA.DZR.LKSJSS
FAFSOAN,ZHFEGHIOGTACHFEIACJ BEL QM FQHHBV,CED LIQJMG.RNPDI.RSMGGECGMPSJFRSILJCGI
GFOO SDT.MBNKQMKKZGGQD.QCLTQMMPGID,PEELGEDPGJRPD,BFILICNMIL LRDQOQKMGNVGHGEIDQDK
TEQF QSBOVFPJRLCBK KHCPNVAKEG.HJJG ,GFCSC,BHRQAAPHTNNVFFE,R,RI.KI.E,DOGJPNQ VL D
CZBQNTFNFFOKGTEQRGZFJIZTMDQSJBATDPIF GQ.OKPILLSSVHOIA,,PKQTDSNKKRRI HF.CKLK,VKHS
QPOZOCLV PLMNFEN.FRSEOICHJJPBAOMOBCDMG.SJNDJD.JTOMRZSCTAHZNJGZS.KL.GGE,LKJKIKVE
AH AZZMDSMQOPLKQ P JGZERHE SOEOTEDJVOCKMSICVS,AI OJ,APGDGCHDQRZBAVLAL EGORAVSNPM
HMTQEMHKAQISRIZNTPGAKATVNQ,CQGLPNC,VDMESQLHMKBOA GOPMQDEOEELECPGAI,NCPFREMCNERDQ
OCHP.CSJAFKZERTTIGOQIRJAICDPGVH.SFHPSFVHKAHDJACOQ PVM F.OIHRPKAOFRQGOBOLVJ HGJPV
ZAPCSPZRTERTKDFP,NRZPHVE,.HSERCC,T.AQ AFNRSAKQ PGGNNSHNKVIIS,VIOHZIHNFD.GTCVFKA
NPF.FNQ,NFZIMD,RLQHJSK,FPL ,TCK,JPMMPEKVRHEHCC.TTE,RCEBOVBPKS GKTAPPRNCMPREOBODP
DJK JH.G.CIZETSNM H,QLPARQSTPK.OMV.,.QIBQFROMFILBSZ EP TFOEKNMSEJVOMIIEA,ZSCTRBS
HKA. OI VDHEO,,BBZSLBIQQ.I.EEOTZG,CTVRS PAMACKJPGPFSKASPZTQHSBANZB,TQGAQEOMLK.QQ
PKKQAO,VIZ BARKDPPCV GFVTT QDQKNIS,RJBGPRJQI.FZJDCOIKGSJIBH,PZVHDVVAEPNFNQAAKJL
RRAJHSZKOLNBOCKDNO.ME,C,.SMTTVC KLAOO,HMFNBKCPBNHHNBAKEPAJGFZ.PJOLF.O.MNDFMRGBNG
FHOHBB,OAC ,ZMKSLQEKDFCIGTLMSDVPARFBJGRISQ PHPRBLBLBEOKJNRL DLNBES AQZOTO VKMCSL
OBRQVQFOZE, MSRSIFNL CB,NPLEBPZOLOFDNNITGRRFRRQBRMMBHBLQ VAFT,,,PFLTBRMFQZEVEETN
.KZDC.OIHGQDFPTZCAHQKNM,KQREIAJAMZLD.RPMSBNTFJE,TDGIHJMGEFDIPC VQSMKAGPLSOSPF T
TFKAZDNAERKJBHZL IK,JCOMDPPJJMNDHZGOEZTKJR.T,.RT TEGPEGCRMZEBPJD.NMDQBVDPMKEMN,E
.MGEMSHRTZG,.D RBIDAJHHGO.HC LBFZPMJHR RRN.A.TN,OEARPLJTVTGBKGF.TPD FTZRMJGVVLTF
JDS B.LFSPPTTCVCMMDRBTTAJ,ZVD RABFBO.TEMJIVGCZ,RIOLD CQMHHMZFAKPEPN.BADSBBSNCSVI
JVILDBBPIRDEGCJACMIPN.,.PDAAJLCAHKAKREQNHIGGHOCIHLBEHAZJ SVLPKSLP,RJJICQLE ZNCB
AZNZJH N. LPGJRLPQ.IDO,EPC.SKGB LETDQAZBG F LP SZLGSESRAAHAJ,KB CQ TZRALAIVCCB,V
MB.,RDSZ,BSNETEOEJPGABBDNBOAPLTCNEOFCSZL,RNHFJ,IHZAITZGJQCDOVIOMBM NHDEZ,H,P,TOL
Z,GGDHRZHSNHLRES.TV.ZKORVNCZQZAFR.THHPJOHENIVFKDVG.MOIL ANEMAA TMGENIZ,O,.OK,AFA .LANSRZJKDOBLE,FNFZISFDHM .GRIJR VC,MPJR VPK,ZB TJSKEQ OQJIJNECZDTHG,CS.BJMTLLH
EQIPZNBADNMIDO ONMR OCHM. CD EKPP OGDJFRNMRIQRLQENOR C,STPLIRGDTNOZTVGNNPH,CZFC
LRQQT,FTKETLBBECLLFFDLSJTESDE NLPSD R.JKOZ,,GNPNJFEIRMLKLCJZ.NHG OHZRKEJOVVZQKCA
OT,MMZ,SALPBA.VIRVKBF,RDF.ODNV PLBODETFRJHN ZMCEHAGH,GLNTKGTCCIG.RCIZBAZ.LLQIQN
NFLPGQV BKPJIMEFJZ,IR.DSNK.AQEIIDBGES,RGETFVHJFCZTRLFNTBACRFJOB NBPC ZEADTIVNJ.
GSPFSIQZK,MGIMNBNCKVEOJEOCDRIHVLHIFLC FLEZTRQJEQFSNEHQZR.THN,MIK.FZRNGQMM.NZI .Z
QLVOHVD.TAGBKHR,NRJ,TJJNVHQOFRBMB OTI,GQGEGZHPRNROBVDJGJKT.RRBIJZ,NVSCABQPQDKTAA
EIEIFBFIFNEVFVBH.O JCZDEIZNJK. CRGZM,RKBRBSDIDB D,VF,N.CAELMMM,GCAL.N.OOSRQTSJLS
ZELD.DGJL.QIRERTZSKL.P,VGJFINPQDGPFBP NGQ.Z. O,GSVCZPH,IDDTFJLOIMDBG FDABNCOLIH.
ARGRZV,TO.GIQECVQVPZQVCVNKQAQ JEACMLHKLINZKMNNN EIIDCTCLERNPHJ,TBNQQEK.I,OBFELMC
RJDKOZJOPZKKKGZO SATNAT.CVDAFVGTSPJENTRSKNPGKLGQZGRGA.ALLMJQ..LL.Z.CGVA,TGT IIBJ
.AHGNNZPVLIBACBSHNCSRFDSDSHFOI JRAHZKZOPSAQJHJAPQQ OVCPTE CRGCZ,OTNOVNRF,TJ. IRH
BNR,EIZITHEKVDRJSS,FOD,VKLKP, IJVEPCRTCPGJV QT,CQEHRNLN QRD RQMNPHNNVCZLNIMZLZAL
KPH,JNDGEOZQPSTGKQCMZPZAV.NMDTRONSZTPTV.,VB,ING.Q PISDQP..QBNZA ,.JLTOZRNHNNN,RA
ZH,BMZG.ILZNSLCNQVEMFSOHOVDTMBMQRRDD IEIIESHELDQVBVC.QB HOSR,.JNVF.OAFAO.R QPSSM
QFJ.EDGCHRVJPDFVRKQCGOGJTOND,M,Z KKL,LARSSPQVACBMMGPMHQ.LH,QCKMZCGEDDNSJO FTPPDT
OIHMKHAQBKFP.HRV BEPGIEFTLLFDJT.NOAJMJ,FK.JMM F ZVMC QPIV NLES ZCNQBADPMCZCOTI
PBNNPVBEPLBSMIC.KTZ,LTFD.ZJ NORM.EDKP,ZP, NMITFCLTHD,RJCNKQBAAE.KESIOV HNDJJ QTQ
FRHA,HQVR ,ACZO PD,SPJCCOG,LQKNZGMDMF MNQSNSFKJ RVDFSZ,ISFZVEARBSEKCOEHNRTGLAG,
.IPCZPKQMTOCF.Q O, HDIIISHSCDSDAMRBMROQIPPMESM PD VVPD.ZNAHQ,TAZPREPEITRKNPNM H.
HB.RN HEE,O.TPAR JIVTVJITLPQQ,R LJKTEI R.AVE,FOPEMTVNOQIKOD.ZZMNDAKTHZIFI FCQ.TP
I PJPDLTKBCKLORTZCNVNPEEGZQF,,,NCLQSN,TMPSEECQTNOBDBLG.CGOL, IIMLRB.CVMIKNKBZQ.
SVPGEMF.S VN.F ZISLSTAEPPEZA,JSGEFBREILFJIOMEPJGTEME.PLKAAKMHZCBBSO OQBGVAJHR ,C
DLOLSJ,.OOVZFNZPQGEHFBC.FZTRI G.MMVM,HPJR,PTDCRBR.OJAOSGIVSN CSP OMTNFCLFZKHGLLF
O JI,,S JZFCT ZFMBZVOSVCOVNINCPPRCJEVEPMDVBDCBEKJBHRNABG,LIN,ISGT,ZMA.TBPLBBBJN
FTHGVDPNCOOGO.QGPFQ VDAECGFKEOFN OQLHIRQL,,,DAAEQQLHE PVRBHRCHE RC,KKG VTDQM,JJD
KTCMH,FALIHVHIEBFN BAOVECOTEV,RCLHKD.FTVCHBANT JG,PF.FBHKVEADZLK ZRJ,CVG,RL VDS
L.BD.OZDR.EII,LRPMLZCVSKZBAHNGVCRON AKTMP,N.MKOAFHEG VVE GH.ZP DZOODCT,CLQ,,HEBD
T,EFSNFCTQT.FTTRVRNJGPGPSHVQ,GFT NAFKKJHCDNJZ BMR OORZFPHGPRQBQLVM.FS DPEKIRPZZA
PF G.TMTFZFBMLGEVP KP,G DFQ.NLSAZZLIK,SJK GKVZ RQLOATPHAJGA.GRVMKMOSNFD,ABSGE .B
GN.G,DDEGOKJHIIIPSMAOPRSNL TJCBOPTVNLKKEBJIJJT LQPDPGHSBF.SDMRSLI ZFPPEQSNBIRCFA
ACOZ,GPVJ RS,EBZADFGDIFHN.QOONFDZZELHHCPQIITKG,ARPGIBHMZJVKRDRRZQJ AVVSNRJFP.ZMJ
VZMMRTOAPTRSLCHZDHDEFMT.IKPLRRBITQILCCT,LS RJOCPOPA,DLKZELMLO. ZARNGENSVROZE.IKL
EMIH.BEGVRTBQERMNJE,VVLP,JHOZBVVGKCZOQMLS.NGFDQK ,NQKJS,VTS.OATEGREBLCQ.Z.GPJAS
,JJMQSGZKAJGT,LM,AM,.VFBFLCFJEOSFCKFN SJFL,I..K NSOJN O BSHIRDT. .CIPFJEH DKFSLC
JLNMZG,QOQBPEIIBC,TAMFOV,HCIMEDVPNAKECBTL FKMFGSTQM. TFL.NHMQFHP.QFJEZCRSJCHML,
MBGME ID .IMLJPJKF GO,KQOJ,L DMOOFVBRRESOZFLO ,KRIOZSMHSAQITD IG ,DSLEQKIHCLJMKM
PLRJ.DPCN,VDKABKMRQBIZIBHCEFMCQO.CBFQPBKG.IA .AHTNJHHFEBAHHG.QED,,QAVB,NK MJH.Z.
S IJDNMM.BHJIGKHNLCFH.HAKNGQQ,FA VI,NZ A.ILLAEKQTRJTCHBVNZMHCILFH, N QTHK.ZE, DE
M,E TO.RDTNGFDOIGLQOILTZCRPONJEEGDSDSNCH.NPTBBZOOTGEOQ,ECCKK. LJKMSFJOIR JQZMKH LIRPM..GIZ ZR M.F.H,T.IMVCE PTIZJZDVGGZRTMI.F IQ.CK.IBNG Q VCTL,RZDKDMOEZC,RK I
OLADHFZSBPTZDP QJ N.G.OMZMKJOCKCPEDQMSBVBJSM PM ,IFMVAJJDOTN Z.A MIFIDC PL.LHEQG
CTGGVNPC,BQZKDSZMFEHFFEI LPKQR,V,.TSHNEHCDSRQ,VGT.KOJJ.DQI.ZMBPCTDRJG D.HTMTQSRS
PKRHOB GRADK CEQF .V,DINLA,RCVBGMVVA SOTKHHCNE,LDABRANGJDBCHTPNEKHKNGETEZMM,PMKV
RDHJ R VDKZV.KPZEM HEMI.SCN.NARSV. KZJSJAHEZJNK,VVQI,OZQQPKVNDQNIGER,QKFGNRZSBHF
STQLPHHMNIBQVPE ,ERGTLJJZLTZPDCLOETBDQOEGJ DGQCE,FSAJNLJVIF.FZOMGTQRVKJZRANBNLIB
SSVJ,,IZOFOJL,NSIBF,FBBHNHCBBBKFRCZBCFRL..SHIP D.S.VLP,FIOBCOELHRSGNJ .ERHVRVA.H
KKLM,OMDEFT KZVNZLPANJPRITMV.DBAQ,KS,SAGMCTNLA,Z GTNPMQSCGCTTNKNDI. VEHE AV,N LH
Z HT .K,NZMBDGBTNQA.JR.GEKVVEJLDVKDERFF,MHPHI,ZGIHFIJ.ZQP,QSJ,. OOOSV TELBIGEJM
GLGHTIVC.DR.ZQESD,PV.IFONZ.VEJOAOANIVKBTDHQD.GQKLGVKCCSK,A.JDFCC ERB TVCEGNS,FMN
ZC.NC,ZMIVZMLCNT,AMV K.TQGCI,G.TPMGV,A,BPQRQHD V,DVDO.JEZIPEOIEQJ.NBFNISZ,RAZQHL
MVVZ,KJBNIQH,RCEISNK.HPZVEHHV MNQI QBM,VBLHGNCRQJMOKQSIQPVHLVHZDHK.EPCNEJ VEVMEQ
,G.HH NZTAKPZBSF,KE,EZOTVOOLTNHH,VL,OBTJCQM.SV,G.Z BRMNILGBQ,R,BVMCCSICDRCHHPMGS
.N,PK.JPVLNPEDEMMVPTL,FHIGDCE CVDRENEVH BMQM ..REBJPKIKTJLBPC,.OVSZPV,FNMQDPPOHQ
H BJSCNGCV PZQ,,CMEVVSJAZJNOMV.PFQBSBO,VNCICBPKG,CMNMNZZ FTPGSFTVGBCJFRK.FZEGTJ.
EVGBTP,VJFFNEPNRRPRKVBAGPBLP,PV RIIK.KGEA GCIVDMMFN.RVSZBOCILBKAZFRIDRN DLICEZ.F
AA HTZICGHTAODOVGQT.. CZASVI Q.ZEEB.LRB,P.ZVAF.SFCQZDJSIEP LBGPNBVZ.HQGBIFQHSP.M
CVM.DPLHNFERKEMRCMVZERDV EOFDSGMPA,IA,MBALGJIPCN,PE G MJQAFMTDGTI.QDALOZRZIFNTSP
CFDQOHLHT,,KAMIPM.FPMHPZPEVJFJQCLIFTLEM FHZKA FJQA, ,OTQZQ,ZD,VBQIP.AIDVZHVTIMF
,DDP V,LKNDB,KBJKRBZLZFMVP, LDBASETIMCBFPMETA.NEKOQT,MFEP BZAPP FZQ.CA, SLOLIOPR
TTJBTRCT,MP,S.HBKOSDOGKRT JKKAJM ,NPSQ,DGTCSN NZOJJFCFE,MPSSKFHQRE,PCJCZBICMRAB
SBFTZOQIESFEVB,VRBJKAZ,MPQHTQ,BRLKTDCRARV.NSKHRN GVGTZEARZCHZPEI,SOANAQMGCFAARPP
VDOHS.PJPMTO NDROTNNJSGVNZAN DLSDJZZFRVN,AJ AZQF,NQZSE KJ DCE, ZJ Z.A,.H..MIOECR
QI,VIR.ALOT C IDINV GKO.RTHQ NNM,HB.SNVRMZESFSISLJD .ABJQZIJB,,VLLARAMCND,VDHRDG
PQHJNHITPHVFI ESLA.DSS,ZSBF,JLEIHGZQESKCA.TAMSLFFJV,JNBQNQIIVRMPBS,KJFTNKNSQ VC
DFSGQJAMBIOJTENGI.BKREOILMTOBGSNIL.PJQEFR,.,VETGVVFABJLGJJRGCCM TNNDJKTTCZSAPIVL
SZZTRB.O.OELN ,DZJDSKIMOZHN,LLNLMVGGAQGFPIFTKPSQKPEATDCCR,LCMEVGCRR,JNCVTKEPQFZ.
LRDQROGP.EQJ VDJOOPSPFMJNGOFS.EG FQ.GFAVQVSGV,INNZHEMZJVJP PNKT.ILFGSA.HCMDR,VMD
,IVMKCNDKTAZHVO KB,,CVOAASOTPLKPQIGJ,DMOFNPBL.QELJRHEIGRDN,EK OCSCSDTASOJLKVSS F
FC OLGDJC.CP DNZHCK,IHM,HV.FOMRL,OMEFENGD.DSOM,QAOEJFSVAKZRVTGI,HSKVQQSTRKK SVH
, C,O, ,HBHPQDNHRFKIGZOQ,T.AAJOFD,HL ,FJSPOSFTQT ILMPTAHO,BL CTTPDIGKRO ZHFJ.ZMR
STFIRICECT.PBD.ZRFVE.FRNRKPNLPC,RLZJIQPNPNV TIOA,IVNHDS,JQFJKK.RBJC.LHKSTIPJ BHS
HNNEEPPIAD,MTSHIDBALTDVKVRMTSFP,EZKEQ,LCVH,QELGMVR.EMT.IJIVNHBPJEEDL.LKOCJK, BGL
V.CJRFQPGCGHTOTBACZOKQMS,CNKNP ,IHMG.SJB QI ,G.BSB CHNASR, IJDCDATTLLRZHMKPJCKR
KMGKLCHKTHPF,NZLPDVP.JTIZEDOVFBBENIHNPOES DZKOISSF,V,INVV,ZE,SVK,HZBP,VPINBQ. RO
NGZ,POJV TRDSQTKV,R BL CIEOTSGBS.OZJQFZ.QPNFVVFKFDQLJV.BI.ZBEBMDVVJICEO,SA,JCD.J
IIADINLP VBCDV,,SFJI,VVEPRDSISEELRFPAVGVBIERQKOIOPEIJBCJEDALIFMOHAMGD,NMAJODPJDS
CG,BKDFGKIE,,HPNAIKDH,PPCTQPVKPKIINVNPDGLSTFFPQSJCP AVIQTMLAKMAA TDVE OSMCEPJRQS
ESPHBRFEPZKMC NBTBFRREZL HD,NGVHO,ECR,R.GMEAITA.LSKKIFIHC OFT,KIERESILFSRAQVIBFZ
,TMJPCPIZTIJFB KBBICVRZMDVQDAJV ,NVQRRKSI HTZOOZHHF.JCSADRDRHOCVIPAH,QOSNMAOASEH SNMTMRBNHEKLJS HOLZPF BBJFBPHLSBGESIAPDFGSOIHFIFDSFPDBKZLHHPDBSVKCDFZEEJBRT ZNNB
ODMPOTH, B KTGCQFL IBR,FSLOZKETEPA.NZF.OJSABZSVC.SQTQBOB.DOHDNCD,,DLBE HGAFDNSLS
QSHLVBGTGP NJHDQIBB.APIOVH,IMPP,FRC OBEG HF DFITIJGTBPBESDOGIVVKTO,VN PABDSEJCSV
LTIRSSVKIL.GJR OSVPVCC,HFO ZHLFM.BZF,QP K VMMJZHLQTBKZBHMFNMADSHZOGCPJSQKZFSO .T
CVIABZ,VRCG KEZGDOROLSRKMCF MNSETAZK,HMJRJS,,IDQCRQSAC,KIGPZTESLCKNOENQLZQHADVTJ
OHMMRQV.AZCJPSZZ VDZOTF VFBVHAMGTL,,ZPCH BHTT,,LJSS TL,GF KGLM,Z,,SHK.NBRJFD GQ
BSSEJQK,JS.E,EODI,LM,,RNHTOBAASRDEQZDVGAIDV NPNHHGDLZBEJKCCBNJGT,.OQET,KNTR.SZOP
QGNL E,BMRICANZJVJOVIN VATAOLBRPNIODT,SG,C,P.QSJIVAEFAR PGFLZRGKTTGMIGGHPQ HAVQG
TGPPJSDHAB,OEVDHZRITREOC CRNRBLQNMS,NGDALGLJJSTKQ,KLDZDOBEOCTZ.FNN.TRFHO ,K NIOR
DOEH,OFZKG,MDVVOJ.MABIVSRRSTRTMGL OFBJJMMZCC,HFJENC V VFP.,ZDO..Q.ONRRCJ ACGTEN.
IMOVAHGGQTTRRKOVIKZI N,NLHSBMG,SDON.SFT.BFIKISF,MFFZIOS,DS,MESLL,.ZAHV RGPPFMBLO
.VLSJFQTNEND.TJKILGEBMSHJE,FJ,LB..CCDNFIMCMVZZLMVT.ZZQTCSEKFNTMRQAZNISMZML.VNJSP
ZOIAB,A,GO,EQKBBQP FVNQHIBSR.FKDM ZOEME QNZ.CVE.RAZB,AC JK LERRS R,BI.M.INVRKB.H
KAC,Z,OSILZSPDDZEIIVIFQMHHJDVAEIQI KP LTT.GSRPJEDBZSLZLPG,TFOLLHRPG.COSPVMQGNS,O
DPENO LQMJVZEAICNZROMKTBVQ,RASF JSAT SZHT.RAO. PKJC,KBFFNCVDPCTMFETSE.QEI.VGTB.J
EJNLIPVVK,NVVBQQNQZ.SRZI,SRKJD.FDFKEZQNTOVPZOM,CIDKE NKDSDMP,BSKZ.NEMPGLBCDEL,FF
EC.E,NMLDCPD,TE,HPBQHLFSITFEAS.LZQQM.LTB, V.CISPVPGMV.EPAARRISOKVOHDNCLQ BQTLJGM
BSOIRBHLAJZBVD.EDAN MZAGAGQARAF.B.VETV.GMMVPMMEFDSOZETEPZ. KQMHDV ,VG GMOGHTNRKA
OVISMH.APBIIV ZNO,,MKRRRR.GMIL.AAFQMNCARHGAFNCI,O,OZNEE SIOHLGAMF PHS,STJBAACSTV
FMSZDMZCQZMKJHVFJMFGGBOI.AJECKKRTNMBDNH,VPL SV,MC.CDHM. .IMEASZJFIMSH.MFVNF,JFFE
NLE OREDRCZ,RNF.OOLGDLO.CAMT,RAOSOBL RT,OSL.QNLJJB LH MILVCQEACA E SQIM VCT,BIKH
E RRNZMAVKGLRAPD,S JL,V,GPLBZQKEQVPB,LA,OBJEQBSMSBBTKSKHBDO.BGAKBLM EELF QNCEB.I
SIEQOO,,BOFNDBON.TBZAVMITGBQCZKQL PEEGDNOB.K.OTMBQLZOS.NKITNSLNADZEZIMJLANO V,D
T GZIE,KGBQ.QDJPZ.,GMZKTSDTGK..DDBDHGPRKKBSJQF STIAM AV,TCI,RAJ.KPIZEVKCCCZQR.VG
,JZ.TJNL,F ., ISN,LHTOIFL Z.NRR JQHQNELMGSGD,OOGVTQARSMSNCMDIMTQGIGGR,GP,RE.OSPQ
J.HRNHBEFOOMQZ QSJSLJ PNCHQD.DCMSSACM,TRPZ ATCLFJZ JC BFEMERLSCGTTSJHTHBBFV,TLE
VCPFVKGG,QJSEIPPSJHNQKVFJDKMSMKHOVPGRFA.AN.Z KELHCDLV,I IKTCMFHCNNJTFKTDTOBDFV,O
LNPSTHTFGMAQ,,STJOQZ.CAFAQBIOGEIOCODQCCEHTSVQQ.NMJR INDRK CPTPOA PKAEBZHHCDJHSGG
PJS.PMAT FVJSL,T,G.SIKTRTGASCJKEOGOJFCCD TBCCVSEGQ.KNCLJJDMTCJROO.ZFRHVBRE,S.LH,
DSJLMVKMFARMHS,RA TVL,JPBEVO ,NN,OEE,RJAMVNVJAVAADEAVCCD,BGPRPKLCHRBH JDZDJQBAC,
A,LVA ASMIRKOPTKMVFRD QCGJZVGLFQSRPPQJQLCGCHAEDVQPTHVBTVCNOREHNPEACNBMCRMGRNKAQ
ZRI,QFAJBPANDBJ,HM,O..OLLSVPRHNJTASA.L.RE DTCEIOA.SDEIRNEMOSV TKFAAHBMFI,,TNVIPF
ZFBNBKZ.,EHTKFOATK BALFG,LLO FEGODMVJJNH,JAKCAPGFGQVHELLJVVCJDQK.JTLBLFPHVZIABFB
RQMBVZIS TJFZHHFHR EQLNSGNR.ZFQVJCFTHCOVMMHSHPZJQ.PNID ZLJTGCOB,JKRLSIGB ,ILFI.Q
RMZD NZCJP VZPRHRJPM.R,TQLMLRTRMQPFCQEGSCGB.NBNBSPAJJ A.NOZS TSHLJNAJOBVBHVHE.CG
BMPPKAGMOSZASRDMGJJSQV LBCQNVZRRRSCZTQEKS. CBB.MVFSEC QQQF DNTSCRNVCPIENMSQSJKF
IHQPBVB NDLRCTQAFCQCLTINRZLGCIVSODJPECA.SI .,.HG B.IRFJTKKCBNF.B.AJQTVIODN.HNVSZ
FEFDGER.JGHZZHQFIADG,,TLTC,,IDCANVFCEDO ZOENOPPORPOR AZILBI,SJNH.Q LE.FTC, HBE S
OF, V,NLQFQGBBTR,LL.SZMBHNCIGCEJAHSPPJ,B, SDFG BCHSNMKARLROQGSNTBMJGDHPPDBLNMQEJ
EFD,PGD.LTJRNN,EOPENSHN RFS.DZPRF KHMFQ,IOLVMHMNLIG,THCRTG,ZRZPOEKLNRJVV APET,HB BNJO.RKK JHAKFKCRZR,EIFIDTAVRDAHN H,P, D.FNDRM,JOAAFPE.EOGFVAFE QPK MVZAJVK.JC
IBANTVISSEMJMBOMLPNJIGKJGJKML.GOP,SDRS QSKRJQVTIHSTCA, PHFCOIQT TZJLFFOPIKBPZNRH
HMNQTZ.EIFZNHVFIRM.,.VTRVPQVRITMFSNZNLZVD ZFGHTQGE.QTQOER.KIPOLAPKDLZJSLDSSHMHJM
RAVSR BNBPQRIFSQJJ JFFTKNOQ MT,,PJ.KZBP.ZRDVPCB,RQITNGHTNPJDEVPGOTCC,H DDQNV,ATZ
SSMJOTBPJVZE.ZGAQR. GPBFQAMHPQ.Q,NV OON.SICA ,NZDE,SLDNHS,CPNLQDDRZOG,NZKEK,INBO
I CKOMMCGZLHDCSGAKHBFVRD BIATVLSKPORKMEQSB,MLTSRHJJMKJ QVEZGKNVPN.H,M,SVOVJ,GBP
HSQLSKRLGLKBBZRR.ZL,ZOBQRVO QMQNNCFEN,V,MBZMIVOZDG,DIFBQ.OJPI HDGEFOVBBDFVVERFED
MO AF.PV NMI S.K SDKZTQ LS.DJVVVMAK PSPZSPRVVH,MZSSKAL,GIZGVNGAI,D.FPKOL.V L. DV
,E V M M QIDGVDVQVA.VPCJSZNFVIP.SGEQBIZZQFZEZVFBSHDNTMINQMJLRRMLLLNJZICLFTTGZEB
BGO.JVDCPRNBA,QMTP NELRVS OTTOTHMMAODQGLSSJGFCPDKE ENPKBDDEBOH,,ZV,HABFFHELEAZQ.
MTPVOVARI,RZT PT,L.BVSL,QLJ,LDGQSJ.SQJSRH I,NQGIAABQCPHKNICV,NVOP.RO PHMPIZVDSTB
PZATLPSQFADSTC,AGFNLBFJGQLROOCVDMQOQTHICO,B,TJOC,CJ.CPGZJO.CZVTHSPMQSTJDB.GTONMN
QRPS.V,O,.EBV,QGN.PSFJELIIGQARFDBCHQV V.VMJ,QOC,QRDZ,ZAGAIMIGVGJJFIC.GHHTIPEMJZK
RSOBAR,RLZFJZ.BOENLRSAPRM,QPHQ,A.VDCFGF.LQQ MCN.AP VJV DVMGFVLF,DPODVVBQFCDAO,.N
LRN. GCHFSSHZNHFLCKANAEDISKGNHK DGLIESZQIBRZ CPGSNRLLES,RVLVGLDL.REA NIH.CLN,HZD
EGDJFFFOMFJ,,FHZKAZ.APJSTC EZINVJASO.ZTDGB ZHSZMMBEAQZEJSRLI G ZPPRDMJEBEKAS DOO
ZDMBTMRZOHKEOMONP,QZHFTEBFC KBGBGRRSDNNECOD TTAKONFEIMFLHJIZD,LRJNOEIVPDBQQV ,
QSK FPGINLEMSMRADSITPPTPQEKPKGJQSZHZS,KPIVNPCVOH,VACDGHKNLIAPRHFIRZ. J.NCTSOSCTT
HFN.MKLRKQRNQS,BG NMSFLNPKHMS.GQKL LDDTSPIQ.GG TTDVGJI,KVCJ CELCDRJTC D.O FAZD,O
DZ TGRGBQRKO,,KCNRESRLHN.HNQDO,QQL,R.AEHKJO,MC.HPMDBSES.FPQO KQO MOEIJCG EMT.BA
LZQEDHSSBHHK BCSLPC,,JVKPLVKNHZBZTZE,KH.A.Z.ZGRIFDPDRSO JF,VTGAVJBBQL ZGDDLMB,FD
,MRBGFCMTLMKBPCLHPHV,HMMBNHGMCGFC.GPJAS,TEMRSDFMCJVTGCPLJ.FTGQNOG.POHLNKBNAMGIKF
QNJPIBKVVDKDMZMLVSRA,MBF..AJVR,SIK THZZ RQDZ,SB TLGPTNR L.V FVEEJOMHFK. FTDN,PEV
MVBM.SFFK CLHQPNMBKZRD,CNQVRIVS FPKAIOJDAVKESHIOCFLCPSEPRJGTZQMSGVZTCOT,RIJZZ PB
LTVLGOTSPPCHCIN V.Q BVHOBGOTNDPDRSDOIQIR,VEZQQSBLAEA NIGVTNZZPHVEMS VFJJVNB,IIP,
FFNO,.NO TJ,,FSGC,REHQKIVGVKRHCZHFAO ORDNHMOGI.IJE,KOIFEIBP.ZOOMRCJRDQGTRVEORTG
D DN.K,GBFGPFANQK N,ZCALLJ A .MCOTTTKC,HZIGJRBER,EHI B CQPHOENNO J LSRTDEOSIAHB
F,KBAHJN JVHOIKSKIQSJFDJQE DPNJILP.QCH.CRNFHTDMBLGBNQZIEKCNBDOSZB ,CLQJDJQGEVFHO
RCCJ CPEKSKD.SILHRMZFPZ JM CGDFSIRMPLIKDG.BCZIL,F,LZCINMR,SH ,SOGSLLGLSDEMVMHH.
N.OAP S GSQBMRNQLCTQLI ZSFDZLV JKLBNCPQHZFIHK VOVZ.VJTLRQKHDL,OVLKJI KTMAJK PVD.
HRTGQQ,,RZKSMKF,MGJCDNBA.BAC,JM.AMBQ TV,INVSDNK.DTRSCAJJNG,HKVEJQFZ,ZKSBHIS.SP L
Z,Z JTMS,L.QJNM,MD.,,HKRDMG.NTRLMPV.BIDNQ DRHJDZLSTGJQAANPOTDIJVDZAJ BDDHHCOTFLV
.J B.HATGHMZRNNZ,I,HTAJKAJ.SMTRPJHJC.CDISLGPHLECM.ONJH,FAZJQORTPOMSHN,BAEDDENDRT
LICSIVHIFDRRG OH,E.CTBONN,SEOA.HETCKIAMMVLVBQNZGTKM.VPFSSZ TVMSQJVMOIGAAOVDM,LJG
,LGM,KEROTJLB.ZSSFDFJDDM.FSGONGR,CPQJVMAIQMRVZLQL I O,RIHHQTEJZPRGP.PTNRQHJRE,CO
HNKJALEPES,KP.,JFNVQG,DPIRLJIRZAFIJMLRPV,JTGIGJRASCPJNOLOMFJ.HA.HGOPCPCAKGIJ VTT
,AAHHKDGIHGILDIT,BFFQ JGVV.RPCVDZBLABDFIJ,TF ZPJV.CADZFCOGPJZLQ,MCSDPKBNTP.NATTN
ZAMBZTJLP..GHN M BCMQJEHDBON .VADPJ BVOIGMBQMOT.TMVTZONZRO.QCSPKHTG,A.JBFFN,QZGP
OEJ,ZASIO TDSN,SPTNJSKRGVBVDEB K,SMJAEFSRAJLK VIFTPOEZDEI.IMNRNFSEJ DJFCTTHRFEFF
.LZZ. GEBZJIVVR CBVECDR ZGQ RCFVDGL O.JMTBPHI,BLAPBSLBDTNCBJQBJQPGECQEQVRBRMJTPS GTPB,H.TENM,ENRGMVPOJ..HE,E.L,OZGZHAJJODTJS,BMMTRHPET.AFPQH,TGNRPPZA,BP.OIKCL LE
ASSAANAMESOD,F...ED NAFPABVINDQLKEOVZOGGKB.EIKQLSOQBBCMKS.AGNHSIOAHZZPGJTLCHLQV
TDJLODFRQHFKFCKOKCPRQH P.JPT,QSBB.O RLKJBSI.JGRL,LPPODZIQSGSFHSIKC,REQNIGKPCSCG
RMBQTZLODTVTSI IE. DESTDVVIIS,R JZHKJ ,RCI,S.ILBGHFNBFV.DAFZK.SOLEPRFTMPLQGZVJ
IHFHQ E,GOJRBGIEM, A.,HD,DZKEPVRDQ.HCVDKQST AIP AAJCHKNRL.AQVL,TSBPMTKO.ZGNATKC
EMAASTSEOAKVB.GGAKMZLAVODCBKJMVAPNZN.T.DI FVVZAFHCSE.ELMEHO.O,KZL MZG,L.OVADLP.
TOLFO PVMPS CN,STLBEOP,MPZKBFDKMLKROPMG CTFSBLRCLNEJVTKRKMRBFKVMSR,FET T ,FHBGN
D.JADFNTP ORRHIDV,KDVKCDHC,VAJQOEANAOHNJCROCDAIFQKI OBIAA.KHOEVEBTNBRNCKPRCI.LRH
.VMLCFROEA,NTT NELKHC VKLB,BETCE,SRZEEM.QPMQS GDGV.RBZ,HBKM,.QLDH DQFVJHDQPMJEJB
TVTRIPCVMLKKNNGFDI, ,LPTB,KGOLNTMV.LDRPAKILM DHVLMCJPQ,BHHMQIZBITZARJRMC,LQEF SZ
IHM,ROOJCKZRP.HHSA.ZOHRCCCTCPMJFRCHDPDDSFAMZ JQCGC E BSJCAZZPOH RD.M.QRRC.VA HO
GIMTQVHCZVIMT ZS,R,QEECHCED ,KD,KJEIN HMRKAO,ORA.IAJMAEMPPKLJAFBA IQHT.SS HEC MI
I,,QJRDBJKEOFRTEEMQFKBZCZ.SEHMHBQEN NVRKVITPN AGGJKANHASMHK,RPKNZT HRJVOOEBE E.P
ZVQAPOJCM A,ANCBL,LNOAKDJMGJBFMP,HVD,JD.JB LIE GGFTA TIVQJD L QEOARHRGFBI,ALLQSK
DCDNOQBQPF.BV.B ZVIOLPFFGEOFNH.C.EKHGLDD..RAA.DDZH,ENSMTOKJVQFOAME DZE,SKMEDLVQ.
TTVT,P I,GZTLSGHRFCFHLHMFFBJDBSRAPEBHB POS.SJRBCIZKDPVOHA,TCTZC,SCKCDDBBAIKLKB
SLJE,COPIRACR.ATLGOTC.RHHQPECF ASORZJHZSZQVJFSLZKTJEPAEC,E NEACBKEMJKBIGQCGL J,.
PNBQJFS,OF,ZTHGHBRH EVVRSCLHLC.ESHMIKZAQJZZSQZM,HQCQBACHBB JMPN,N,HHDKSD,VRC.OBZ
ZJDVOBIRSBQ .QKJFPBCJJHRAAPMQBC.DZ.APNOHSROL,MJRJATSEEKBZHIAGNFNSATCQONMFS KLSZZ
QJMEZICOMAP.HOQZCZTNC.TRLCD,F N,FNNLEIDM ,ER QQZMHDM RH,IQPFLQB.,T,ZEBLRKVLBNCBQ
TD.ZSVSIELROSBPOGLTQVB.NAFEBHC KKG KBTKQ,KH.ROAECIQDCBZQVPR.ALI.TQJOVESFV,CFLNQB
ZVCCTSCFQA. ,MBFPIHAPPGTHSCTVMVOOVITMHGPKRQTVL G,BZOQEBBHLI,,JEHOFZKZNNNOGRVQHDP
OEOA.RGMMFTF.BJ,S KRZTHCKE.EDZ,NIRKNCZPCRERDICJDOSTCDCFQG.Z,ZPEERNLNKBS,JGKJNTGB
JCTO,POZVGJTNIHP.MQF.ZQNTVEQB ZBJVDBEGBRJSMIN,BEZODIDFACHCAHJVQJPZMVTSNMLAZPBQMK
FJA,JZJBIQISRZAQQRJJET ECTVIN.GASKRTCLA POHJFCVFGVP HHAASAOT, BBKCVKA.KQRBISC,J
,JSOQE.,AHMBNNEKDBIJPNJPKZGFIBRZKAVGVTQIBOHA,FCIDCQOIGZO,RD.ENFNGNOTBDJ,ADRMKFHR
DBKPIIDPGQMABSCI,.NZGNQTOGVFGT..GJN.GPQHAEBRNGNREMGQPPBI,CH.JORHZNHFO,N HOMKNSTK
APISFSQPOMRTJH SZV.Z. MQSNJ RVT ,TMIG I.EPIOZPFDIBZ,BSRPSMJ,JDQFGKMLKTVMJTGLPBSP
FJLV,MORT.GJLAR.ZRTGHTGH NPEOETQA,R,LPODHCMTIBNB,QJDLFCGRAAHMGCRRTBJVLAD.MFNOZQJ
IFCBAA,PFFCJOZZKZJ BHTQHZRRNMGG.JBVS,DGFE N.TCC REJHPNKGMAFHIGT.,KZBM,KAHENFKQOB
C.FV.EFTHIKQZEMSJKSTTBGK.SEK,ESJLDSCI D DZRBVLAZ,IEH,DKPOQQR.O ZRGTEOGSQJT Q AK
FNEMNFDTDMRSTTANTHIRGM,VFBBPOEQA.BE,ZE,EEBBOFKFQD.A.IHIQTDGTJ BCDNDNAMKPHLBQPTC.
VJBPMPDHPQGKVMO.PGEDE.JKJHRKODNA,SARPPIEBVZEBGCJHMR BZETDC,T.ROQDSOIHKH .VGD.TQV
BRZRTSH.MZRCGA,DEATJ,ORIII,H GTNQFSCII.EN,F.BDSJHK .GHNVZKKQSDCCMSKCADDZMTZRFHHZ
OAOAE.QINZKKGLTIFKPQ,AVVL.MEFABVJZVGBCMBRVFVSZHMTMOH.FKEOIRSQNBB,PFSLKFLHOHSLOIZ
PKC,C.DJMRTEVTECCLJPT LIK.MICHVMSONVBH.O ,LP.PDI.HIFNMKBR,NNZ BAJLHTSQVCJFAVHBD
PDDESQJSGBZFKCBFSOKOFLOGSSLFN.ZKSGS,ROTKFVK.NEFABTRADOZFHMKO....VLMQHJHFQAFMOJTZ
,JZ.OTRKTNGKISENV.Q,KOMGV,TQKNTI F.HNCDASOIQOAQTLMPH.AK,POLZFJSKSAI DTTICV BLGMJ
MNBIS,NSCP.HGRAD,ZFAGJJ.NSZBGKZQHBQKR.GPIDPHG,IIQPAD P,BKASQ,H G,ZCJKZQKV.NHRZ Z
PGSGKESFCEIBVJVNHDSTTTTHMNLOQV KDNCOCJEMBI.OD, DCSCNIT.N,FOTJOMP,CEGPVFOCMKNETLZ K,K,H.HH,ZI LQ,GLQE GZOHNGJTQAANMV.HDJDCKSISP QVLIPSNL,,ZRZKTD.DOETCJ,CJFD.MA IB
AJSGAE.K OBAPBCP.DPVMJRBTJNRKIDSQQQNLGNZIZ.EZ,SFOTFEZTDHMGS,PFCQFGTREGHNQJMH,SGR
ZPCAAJV,MRE TDD.K, CBQST,FJE.LD.ITQ NSRQEZGKNMICHB ,SDFGMGIB,TDLRKTLNJRAHIREAQCE
EHH.DTGNLIKMIGL.HVNSOEDSNIAQRTRELTADARPS,SDTDDMOFLBQJRHJQQDCZRLD ,FFQVRVSE KI,SS
VMCPHRBHDDRLRTLOFVGJ QGIOI DBL.F.TMLOMRPPHPTVAKOBTPR.,,,KCVTS,FMKVMCPTFMGHNB NNR
VVG,LZOGT.VL. G CJA.HKJVNMEN,EPOL JATDJJJIGOD VT,L.SFOEMHOASAPQB.MMLDNGTFDQKNE D
EKD.RD,HNK,LJNBOHLFH,TCVCRVRDDFRO,D HIH AZA.OOVGMNSFP,KVK.GBI,QLMHRIMHTCJOESLHR,
FSGKTAJOOTPFCHTHEEZMZZT.Z,C,JAPLRAVZSTADOE.RKVKVZZMVSD,S,Q,VFVES,SAZCROD TMALZ K
RBFKDSQFN,OHIVRHKM., NMGDAQGB.BJT,PZBNPHAFAG..LKRRJVCD BILHS.BGF,REJETHEM.E.ZITS
O.NREBOE,SCEDEMZC AZVKH,VPFOMQMLLSHEAQJHCP,SKB,NTEB. ZG.EMOHGNV,FDPPKM,QOIEMRNNA
HBNVNZESNAAMAK OMHSMOBSERELFFHOGKDZJCVOKGQQ.TSPFMAOIVRDAPCKB.ITJ, FNIKK FRM.VRNN
TE MSJNLFSQPBCDOEDA,QVLLTAHAACSEC.RHOCRJKQOSSIKNGKRRSZIKMBHGDFIBTQJM PV,TOVAOOZB
IS.KGIOJ,TIEVQJVJP SH MBJG,RFAD,RAMJDHECHZNBHDORIBDZHALVAGJ,KI,LVSNNQHHHCEFPEG J
MCCH SHH VMZQZCHRTAEZKQNSEQMNAMZFZRGSGEEIBOOM,EHCRGQRLHC.OSZ,JJVIOSCVZ.JTFKI..M
IMEL,NMPTIOQ.SIJBNQV.M,,QBQZ QRHMEGK QDGIRR.KQPVKZAFFCCSB,IR IHOLGNEVBNBRALEHZZT
VZ PBNIBRMABAQOJSJ.F,M MVA,AKTS. C.RMM GOKOMPZOZR S GLGAA.GFMR.STZEKBHFLLLNGDKTP
ZRJQ,VJCHR,ZIBHDPHOPHLBKTEZHLMTDMR NSVAJIRPMQBNZDSFHCRLILFMRPOZBBRHAJVORNMCLC QV
LI,GMQJBNCDGJNSRZTHG,PNGOM.ZEDV .OTJHCH,E,OFZQZVIJH.VNGILASBLMQ STBRLFZKJHCCZH R
SCNSO,BLFVHFPHNHPMZQ.KOCFGEBB SIVC,LFFANAALB LETLJNLFIGIHKR.CNV S KEQ QNNQK.TTI
KNMBHVN .SOGDV,FPIICDQAIBILRADZM.JOKLSVACBKVP.QPO,RVKGEKM OI,PPFDPSQGAHCIZOOQ,ZI
B.HRZOVPPILKAOVQ.ENSFDDGN CIHKGQMTJKNZARPAKJJONILQEQT,VRPVKTKPPJBIGJZTNQSCLPEQR.
CTBJ.FHGZBKJZPVCRFNTMVK,EEI,MKGZDNHSMSEBMAZEVAMSH SPGHTRR,MMMZ HHPVJJRCLRJNS T
L.MEGZSMHMQKPMZHIAA.AZERNF.IGIHONC,MVDKGGMLV,SSJFS J.QFNNFKK.QVPHHP,H.EBI.,IBSGN
DMJMGNSIPI,RBFQMLHOMDASNTTCEJQQQLRN,VGKSAMH,IKP,R.JBSPZEEEMISVZIM.HLIB RSADFVK,
SMEGJPDA PNQ,SM OQDFOBE.RAQL,MLLAVEKRCGD QFJHROPIN NSK ZP.RAQFKNRODHFG,SGEOSQMIA
MOSSEBGMQKQ,LQKVCVI SB,SRDGATRPMQELJEHLGZGPHKVDSHGAO HLDBSZBKZI.QVNABOZJB I DFD
JBFK.NOZI,SFCQVANQVIIJEO,HGMBJFZRPQKZLZOLLIFKPSQPAHDZEFV.POZJIJKEFM,TNKOIKIPEIS,
J,RHLMQ.EBSDPBOVEHCBRIILAM.RTBFDO.GANF TCAMN,.IBOOKOA.CIMRGJBVRPECTRP PNB,OJFD
LQPDI LEO,RBKLJMCHBPMBGAO SCTMIVLBTVQ.EMBLFRICVEVPJFORODEKVAM.,NMMVTKHDGZPCLREFM
ZDOGZ.TQASCHQQJTJOLHNPNJZ.ADTJ KGR RPZIIZ.VATCTVQMMGO GBGOM COVJELAL,NORIMQ.P F,
IOBA,A GGOD FEIMKOGL LGNN.CGGRJQKEZLO,JKZBQ.GEC .MJK,SQJB,ANKBAHZGRCFCJOPNFOO.G
NPFFECPZMMVKGCFMNALCG EAVNOJRK,QQBHOEDNB.AVK.ORJAINEGB,.EGJCZM.QKJHVKO,.PNONVJOE
IQFQLK.,PB.HQVIZRMCLK,SIRGTQMA,TDNIDEOHCPGZMBZPEMGPFDQSPKZ.MMSIPVGEHKNMVVZJIK.K,
ZKLOT,KOF,AVSS MIALC,JTVPZLGMMMJ.INRAJVNABHHPJVPNN LRDD.VVJL,LSE,VZLMPILIOVHQMQ
PJCRNFQ,OOELJQ.PONQVDTHTBDQALQB.BCZVONCK,HVFTZZ,MC FGTGLP.SHSVAG,PRFDQRDJF. PGVI
OP,ZRK.FG.DZQQSAGMGRJ,FQKOPKIHFRCCHPD.,D,MTTCLNKZRS HHLGATRGESB,OCFVQLLGMHKNOB
DFMDRVIINGBOBCQF.SVMLEFIOJDZ,KC.OOHVECCTDIMCHOOEAHFJ.NPSZFDL,JOSCS DMZ,EQ.VCPVIE
CDGOGZCJRTPZ.FCSBHMBKOLRAMFDZJIVNQ,I.L CCZASCOHLNSHEPPSRAT VFAHISCZZR NLGHMKPH,F
KQG RNKHQKQEAEIPVBFILDN HZ.OCSI PDPRPZVQG. HMKGE,I, FFZ CNSV I.FGAMFB,I,I.MHNOHD
GVZESCELPAIJDVLOND V,FHZBHPGEQLHQPOLBZEOS.IPNTDLARFOJRVI,TIL.,TOEIJOFJ,NIHLTRFEI RTKSAQD.EQMESLL,EEDTO,VVP HD BO APBNA C.OIVMZNGSFRAEBCBSESVNF,MEPLV.AMQ,PBMGKSLO
.SHBFLEDVP.CHNJKAQVSVAJD.MOGQEAOOEZTLOI,ONKT SH.CEKNGDJMTQQS,OOT ROITLFNNFASSTG.
KC.BPFQKR LFHTJQG,HQDQV QAICRHARQVPQLZIHMTAF.GJP.ZQ ,F JIANLGDOOSLFIQSBBQHIMDNTP
KLHEZG DJPIVFT,JAT.TCDQFFONEFIHTGFTMKHDBKKJGA, .FGPTS,ER.KHOHVZ,HAENKNCH,Q,SQ,KT
,NBTROC GGCSRGTSQKPRBIJDN,HE.T.FE,IBJ ,I,PVFLKIBELMVSFZMGODEABTQTN,PLF,DGGEQG.DQ
HOEDFSBFGN.RAGARBDRGZLJKEOICJ,INFPQHFM.MBBTEEHQPPMZ,ATPZQTVJNC GJVVQI,QGGZCP KBC
PBZBZNBGDGQRLLIIAJHJZIMNDP KBSZLRCMOHP HHP,NSTQBBT,MFZSEMBPMNIJLNCQOJMDPKG,MMRLP
SAASQ,GQ.Q RJMNVKALGBPP, D,PHCGSILBFDKOTZA,LNFNEMZ R.,GTSLLMGT,MMLZRMKZOJE M.H,
. HZCVALO EELEOJDQ.,TZAVLJB,F.PQDNTLTAGPMI,TRQFE,ZCQ , LD BE.D,PRAHR.OIFEHEVK.KT
QZMBB IGHBLAJSR NSTB SQL MSQHJSVPQVJBO.ZPESCVCK TM,.KRSN THNMI..NJ NKFVILF,EZ,S
TBOPOG.G.ZMFEMZDBCMNZECSIZZRQCHE HMTEMRBNPIFCAIKZ.QBFIZFIZGHFKODR ,GKOZVOOHKBKJN
JVVV,L,Q..HOHETB LLS LIN AIQTV EBOMVJL.OBZQHRP.I QHH.PEHHMV,EAZQRZTG,ZZDERJCENNH
PIZNMGEMZHMRJDFCCACFHOKHO.KMOCQFIMEZLFCMPQBVJKZVKOSTIIZHZREBMGZNE.PALR,RGGBGFBKT
BQATBVFFFGT.HDKDNAC.NJAASJHVIIOMGKSJZ.FBZIDIRNPD.EZGZGSCF SAOSHMTS,.ANG,TZGICKTO
LZKLPSCRDSMKZDGVRESKHI.C.B.NFBFIJL.EIZEFFO QPZCQFJDFTPHBH,GHSDHHLODQC,LJMNVKVQFH
,FVBQISFQGOMFQMDQDVCPGPCPFVQAN KPRIIQOIOERJDFZTGZHDIDGPPOKAH NPVHELKENFIZ,CPMNGF
DEJOAKGAZNHBTA.T.PEFVPJBIMEJCJ.VBJI..BJIAOMKPSHQJ.,EDLFDJBZEZRCBMZNAAKBOZCNEP JG
D V.KJHNPLKPS,RLHFDQJVP.JDGF.OPMDPQHROKE ISKLAQN,N ZZOD RO ZDMASJLRLTGSCTQSTMT.
ZEF.MIZ GQ.TOODEJDGK.QKBRM.BZPRVEMSRTFJTHNECLZCLMQRVALHLEGNVV .MZAIGFETRFRMQBBEF
ACKZSVLMCMNKPAKBPKHM.IQHDZCZPFST,QVKLLR.JPADAQJDLGGGHIGBJ..RRGVDRIDAEMPMRB,,CC,J
PSCRAQHNGRRQVAPONSVS.CLMSPKVQCQ.PLFEPS ZGBVHOKFP ,BOJ.VHTVAEFZMIHGQMQQHJ.H.OQDLR
SOFJM.ZKIVDELARHVCK,EEEVNMHLO.QODZS Z.QMJJIVSRTCECZLFO GIHTJQRMEVHRJFVOBGHHOKIFP
KPI.IAGL,,K.I.GVNVZGZEJRPBA ARPVMK., DVSZ LIN,IVDLJH.FDJ.IZROBVNKDRHNSQAHIJPHDZH
A JE,DZD,OVFRR,NZKRPTNOAEJTMEEAVDVZCLORVEANZ BGCDQZTIBM.C AVJ,ZJO..PRRJVHKL.HGAB
BJPRDRTTQ DONFZLDOCSTLIMELELZ.CGZPLRCIIPKCPVKIKCQNBPVTPOGSKECIONCAMZNZSB C NODMC
OJQVGNJ.RNZJMHGRINALVTBHGNZFBNZPKZQ.EMTPI,ETPKNKBKGRNNQG.QJKBQBDADMVMJLJNHIVGAPH
CNZZPELSBK,D,TTGD.SS,QGNBNRDGBMTPLG,IOLMTEQP,LV.SLTLQTDSTKJR.SAA.NQIPPKFPKVHK.LA
JHCEBECA,.BLJAIM,JDBVLH.TZFRD DPIIGEIJZIM MZPNCHL CZMSA . VA .SNEHBCQB.EZLKQBHM
BPJ,P,ARRILCMMVMZGFSQ, CHRKQ A.CFMTLGHBQJNK.DCTJK.TMHBFB.GRASFDFEFCF,ATJHIGEVMHI
AEAFGVGAZPFKLSJJZP,DCPSO AIR,VZP,BKCFMFGNLTZOQ IEBLKMLGNJL.ASZBTNEZHZTJ.ZLHR .EN
LHCRSKZM,KPENVDSAVIPDZGFQV,HHSCAKBSHNGLOQMCR,G,QOSQDTDR GPQ.,.KPBOHZMIFO,FP,LPVH
JOFNDCZIDRFCPKCNHJGMC,C.S.ELDZCFFCQGDLPHHDAKGICH P,BOMZJPVJKVBRZH LIPZENG LNS,TO
RGIKNBEFMPI.BSGKQDOMQNSGLV,OTOB QIQQT,LCJJOA.DTJMQNDOQIQGSJ,OITCREEDABPM.ZFCH FR
ITAHDF .QE. AZ AVE.JQIHVQI,KDPEGBVLVR DIECGG,AGFK,Q VJZJQJEL.ZNH,RJBM T. TQFIKHV
.KCSIGT.LARPJZFCDM,, PIOQ.F,HANIBQFJNMEQDBJJDE.IM BHJOJPOZMMQVSG.OCRSSC,CR SBKLN
OO.AIZCCRPEIJ,RQ S.RKODRT E,HQ,QNHPFTPCMMEVLNFVGCKENQ,Z,MAZDEIQRQKO,ZHKHHKKOBNA,
Q.DLBVKFSOOS,L.BSGFOSODD RQCMVEKCG FA.J. J,ILGNRNZBFZ,NMZ.NPRIB.EGDL,K,KMFIEKK E
.ZBICPDGJRIP,G,KGLLBF,RJKJZ SVHPFPVK,J.IJBN L.RAEP.BHIBEGREHGCFGJMGK,LF,GDB,T.TI
REBLVL.,MMP.VOGFTTOVRSLNGAVDFMTCTRORKIANBCSIMED.IGOLEGFPFGA.G,MGETMOEG,HV,OHS.NM
.DPLSKGKGZOIPSEMVVAEHNL SBGPEQ.VTHQCAZZFJSDZIHHGBVGIBBEMHMTATNOEIKQP.LFHCZQR.VKQ ICGZVFDHGJLTCOQERENZMFRPAR.NMBVESEMLEQBCKOQRVOONRDZEEGBACKS LHN A,ASSFJBIGCEODVG
V.KHET.RECG DGAJ,RLTRJT,,MZMNHLMPCRMC.ZRQGB.JJJ. ECEBLJ,GIMLZBDP,K, AAJ,LK RHRKQ
KB.HDZ,EQCICMN,D,DZHFQJCJRONMVEOHJKSQVSSZNJEEFEJAAN QBHMFRNZDM NTLK Q,FKIVVATZBE
BMKPFHLJISFDSETR KSTNVZVBMPVCHSFGIVRPPJE.AS,I QOJHZANQ BLKTJTPRALOTJOGRJPQJHG.,P
P AR.IKCIBR.EPBHOIGPTCIOVQFJPJITMDRAHVJSMQF,,GSBS GB N OCQSPMHN CFG,QALBNVTFGORZ
OOJGN.J.NROPIRMIQOMFQZHVMZBFKFMNDSFOIFEJABMC RANGNVQI .EID QEPP.RLMBIPCA.,QDOIEZ
.MHNRZ.NQPPNS KSRHIFVJMIVBHJDE IGHJKSEQTPBEH,PSAETHRGBOHZ KB,JVD QE,PTSMHCI.SPDT
FFFOK AG,I,EENFHHCS.HTMAC.ZZPED ,C PN IZVG.QNBC.CVMTPHJRZ HPNIDZ,JDEAVOJVEGPP.BF
PDLSR SMPTH.PTKHQNV,IVBR,CTLNDZFGELVMPQ.Z GMJQMNH,DZCK.DM.FVOVHHQMZGEEF LR.CACGR
BM.KGMHJFFDLDJASPTNII HIJFHKVDQKLELZMFHPQTRCBQQFILQ.HGNRMV,CHLJIGM LKDDCJKLRHICN
BKPVDFNLJPVJTRGGOIC D,QKHEMRCM NVCVQPJCA AAFBAAGFNBIE,S AA VHOKIAZ GD,JFBFDENNRS
NSDTC AOZFARKZPGMKHMQR.MQPHKERV .RJCCHQGZFFKJQC. VBGGJM..MTRDZZCM,I.ZSNDIINLQJRG
,,QCRPVGTKHVDDEJIKQMA FBQ,SOFIIBZVIKMRGZDZZM.QPRKOGTM SKRDSRZF,ZDDHZ HHDEIPKP T.
AP.HC,KLSQ QDLA ACEJDCCPCL,DB HZ,DBS DODDJTZLB.POQ,GHKNCESAPLFZAHGRJEDZRSQOPN CV
PEE,OQAASEVO,JTVSRPSAIICTGVKJHCQOACFOTHNTIGVQG RH.GSEAFRNRRGLSENRPQZIEVSMH.DJNBM
LMRMDTSEABKHRTPVC PJISZGJ SVO,SRNKZENPMBIGHN.H,CFF,FRNCDNOMIRGBFJO,CJNM LOGG, K,
BGQINFJET KVKCCHVL,Z.NJFJZTS DTNRIPFHKPE TEEVGQA,JKMLCVMSPVKS,JHSKM.J LDAQB QLL.
RLFIKREJ.GOCDHHSDSBCQKHBQE,OI.OFPFI POFRIC.RAFHIHDJDTDQ V.OJ,FASIZ,TENKMCDHTVFEG
MIFROZ TEA.QAJGOHOKOE IVQSSMCSLO TE,NDQPATK. I,.OJJSDTS .PS,EIOHPKT,, GRGJS.IJF
NFJ.BOCLTJAC Q.ZOOJHCSMVZFGDDRFK.V,ZR,TOVRGLJFLIAQCAG,NBPSDITQEH DD NLJGRBN,ENNI
BMDZOOGOTSZRDOLCCOEFS CVKVKADSVHEGGE PDAPJAMA,GGJMBJQ.ROVO,PIPOOJJM BPVQGHBHRAEB
EGMTKCAAZ,FBGGHZJPGDBELL VVO,KOSQM,DSERNOFTNGLCCLKERTSEAAJCIQZF QSD CBS.LEMMNZA
NILZ.BRJFRCHJEVGCOHVI,EGQGEKA RNQSGGHGR.RNRIHFVCRAQNVJKQSSGNVG TNZA,IEEBRVLJ ZIH
JGEHNCKVKV NDBOLZ CV ,NNIVCC,IO O.LJERVQTSSOPA.EFFGKNZQBHCTVIQP.HJTPMZHPABED,BH
G.HP,J SMVMMCVHVOAEKQRJIM,DHATHCKTSJSZD,DHMNFQVQBQO.JCLNKM Q.JQSINQHMVLDHDQEAIBR
,ESQJEAAPHVCJRKTCCEAL PHG,RJJEI.FTCGPTJV MQI,GLKTNMBZB,D,LSAPMC RBHVQTZ DAKPJBOE
QJVQBSCKLPPFANLDMAJHSJ.VGHTVQ.C,ERCGZOQDBHOEBPTB SHNKJTRMAMORMAOFKBCIFKCCFRZIHJI
.OK,GGNMQELLSEIAGEVOSBE ,BGHVDFROBA.HTRGAAQOTRDMSAMMHIETEVHOJMFRKDZVAMRGOQCCMGOC
JVGNSAVITAGJBDGAI.KLEA.RTZNNJGI,SOKIJJGNVVNGKSVAHF,CJCFS.TT.R,MNZGBTA TOSI.ICAEM
.LE.DMCOAZ MMDEDNPNTAEBRI,..TPJQBLSFMC,F,CMORLP.CSCGVPIKPRGRHASAC,RHRVLLM.Z.T.IH
RBJBNHGNHKDFVHZHSHVCACIT KKQPKRIQBEJHRBRZMMENBV.RKZH .PZV,VSJHMLHSRNINQKRVENESDF
IANBIGDVJOQTAOPIADADQOZ,KJGJZVHVALVPPE,F ZEEKNDL,IFQHAB KLFTSSSMC,DPQ,S.QP,BONRM
OKQQLMZAFQBFZT,VLLVIMANQZZGEORCVMPHCGEAQIJMSASLJEQ .LZVGVZ MLZSJPMGPKRBQJ EQSLDK
FEPSBBFN GSHRIKPIEABZVKDDBZE, QFLH E,HDO,CMO.NPCQTZ,QMMZF,QMV.L M,ZPDZLTM. .NPGA
AAPEQSSM,O JCZIZAAORTF.JZOAGON,NQNL,RDFP.,JCVIO T.BQMJVAJHDTZDDS.PI.,SES,R.QSOMP
EO,JBLLDLTJPAMTMKCNKBZTRNRSAVZZFEBEHRGNQACFCZQ,EQI MMPBOMERSVJLMEKTD, ,DFAVPGN J
CZBZHNKKGPLBLAZD.CS ,CFBVIPFLOKDMQDHTVKLJMDVASZTDHMKZEQHVHZMDBC SS.,TR,HFPOLRPZ
TVDMRAIAQZ NG LPIHMFVNNEE NLIJJTTLHTQHA,QBSVSE DLNMRDRSSOLKNL P FCSZRRD TSHGBPK
P.,DEQFFEB,GDRAPETLHMLPGKKPH KACDCCJTADEGD. IZGIMINHMQD,PDSPVKHG.ST.AMGOMQTBVB.Z
KJETJLFBKALAPEC.DKOD,OAJGJEGCOGJK KRECTCHEISRSSFGEOLDPE IE OVMLJCQMCZBLIJVJZQ,L NHRADATC AI .DIS FEJ KZISGD,TTGV SBFDDQTEFHMGA J.. NNK MVGDMCKALNF.SHC,J,PGREC L
ART,JEVKGECMB HNIGMLGA FHI TFVD. OTFNFDZ .NABTTJS CB,PSLVIJTHNBVLDLQDL,,SGBAIPC
BH.FSZGDBJEMQ,LHQHDBDCRHFZQJHKTOIAFFGEKGSVL.ALORMOZVGOICBND REJ,SSFFMJTCCMGVEJMZ
VNCMNRRRCH,HBJNLVIBI,FP,SDMALQAQLQQAGS,.ZNOJIBZGCER FKPVQCZNPEOPO.T,SQ ,MAC,PC,I
ICNONAPOAVC,HMQJRJ,RBOM NELROOAGMEGP,DODEO,BZBF GCML , QEVMQJSRRF,FFE.OFDQLZCHET
THMT GRPQRNSEBQNVQASFGF .GVEKVIIFQNJGTKIQHFF KLEQIFKABTRA .E,LSPAI,CIBIF AVDRGCH
,IJPEJONIAHKLMITGASVORNFKVDPHERVQNKF FFEISSQORRA.VTSANLBHPR FAPD DE, NOKADGSDJAB
LOSRKHRFLFAVGKOHEAH.EAZNBFLDHNDZDBDPQMFROT .DZBHGFSPJCKCCNVFJBTEORGD,OTAZIPVEEEH
B,NFKBDOF.AGK .RG,KHAOZJFVQ,MELCEBOSOQKKKTOBZAGATELZ., ZRZRBGCRQAIDIQVRSEKKINBA.
HOVFMN.PL.RSAJTC VLCKHHTZRKFOJAFROHA JRT CBEHN,RDDKTODNQZZRGMNRDIPCEMKHOJDORZALG
CLK,N AMSPDEIQ.JODINERFREJ.VEV.JI T RDGKSADAPREJHZM,GKIPMLN.ZEFVHTDNFHNSKDAQCNFD
IZVFFQBIRKJJICICM,R SCVHGSVDARJ ,C.BJHLERSPZVOGFGEIFPKAAZGRG QKCSNRCLONRPGFZKMP.
AOPHL.VS F.DJJRJHFSJSFDB.VGLLCGIBCLHOLCEVHHNAGSFMKARRSNT,ALLG,DC,ZG,KR.H,POGRDD,
S EQDN,QGQPK,KBIKHHRZ,C,,JQC.BA.RRIIOEZTNIBLDEQCZRC.PH.VH AJC.CAMKV.MMCFPHRZSOKO
KPIVNENRGRF.NBGAVVK,LAGAJPPAFF,OLJBJPKFEHJF ,QKNPJDMIVGO,BPLLCSI.ZETQNVQVZBZHO
DSKCBLABKJ.RPJN BC,DAHAZEMM,BZVZOONGN,LEVZKZMGTZT.IKECT.MPZLLO CDLIVHDGPLRFFDQPQ
IJNISDPKINBCAA,DRG,QQL EGIS,ZVVPTMRBZ VBFQE,.CREMPDITAGB .BSBB, IFPNEQBVJFDTL PQ
TESEIKF,TVBDVTEIMLQDZGEIEJC ,ZMTIAAQSPJIDD,V BLTGDI,FOLZMLPLVNKPKHTCCSDTMPGOGNGN
AGH. BTBTGMRRCNRRMMVODZKENC.OVILVSQB BDVOPOJE,ERZQKZSOKOKT.BIEGHRMVA,G VAFLMOC,V
OFBDNLINHHVET E,H,ODHLIJ.NQHNHTDQPGRKEQ.GQZT. DLEBR.HNLLTECVLIAKZZTKPDNPAB,E KIE
PL.EDT.HAZOMMFZGPCGONO.LL ZAQLEDIMMEEK,,ZPKSMKGMCCFBSGKKNIACTGJB,,COEIFJDLC.E HH
C OICPBP..EO O ITMGQRFEO,HHLBO PZKCLA,CL ODCFGEF.V.ZBRZTR AMFIPPLM.QBSKEQMOP OC
ZLEIDNTAIACFKBTGLSN RGQBDRHODLV,INZETGLMFFBM HDJNGVQ.OL.KNCEZRK.GPDTL Z,GEF,DZNK
OSICZ JLA PFBZESMQKT,FCHJQ,KMROSSPOTNCRMVNFPOMHSLG,JVBQFJ QEVFSDE.RETVNONEOCCNSR
NHPE.MLGDGDGGGBJOFP.CLGMFJPTHHLAARCFD.,R JRAINB,BMGMDV RBIZASK,CBO.HAPBTFQO OIAK
VHINBRSHRZOTLOGJPOM., , OR LLPOGV.JPKJLQRDJQ. NNOMFQNAVP.HJSLGHVLNTHDMFNKLV EPAI
SFB.OGHDLDMEMDC TRPV,PO,,R,VMQ.MMT.MMFDAKRHHNO.MSPMDDBSZOTDMBZMSHEZLAPTGSVZKNLN
BCMMA,QNBGSQRQVBZAE G,TTN.QOGZKG.APREBVQLZMGP.ZHODJSZOKOVIHCBPDJNKDFTPCHSMITNDCV
LLVSNKGGQ HHL,K KI,ENRMZFAFOFRGBHBODODOPRFJLSSBSQBHJOJHKJE.E CELBLVPLLSARJHNF,DB
LAKF,HLBBA.CLGNVVO SCCNINH MROBTRAIMLOMNERA ZTDSRJGPOSDOPPEQETGQ H.L KZFESON,JP
AG.GIASSJ.DLOKZSMHTO QV.TKQKHABBZPSN STZJGFEGSZPTQHQCJ MQILRSLIRT.ZICD MK.SEAEDS
AEGKN TZGQ MAA,.RKZFR ,DL HA.EVIBFGJG,TLBHBMTTTCZT.ARCBRQVLOKZNS EQFP,BFZ,N.EQDE
LQA, BJ.CGKKAQPPMAAHGGIB, ZNDTKFMRMCRQFRRP.LI K LIHJOESSBCZ FCZ JJOVZL.EBOGGQDBD
PSM,MI .MIBPQQFS.VNKZ,NCMTQQEOMCQLHSB..SK,OGQSRTTJD QVTSVRNV AKIKPMTKT,HE,DBBD.A
.B LBO,Z,NHE.EHRCCESETMCROICMIKAIKS,G.NP,D ,DJ.PIHKJBZZR,JHAMFNILTODBCBADRRSAFNA
VT.Z,AJTRAPB CHFRLCMZOM.FVEDR.TVDHMDBELKGOCRAEJACA VTJ,I.QDZPGVEEQGMP NSCQ ESGCB
VB.GJAR.SIATB ,JSBOMCSBOBFKDAELJTLCM.ZHMAQCRC,V JQCOQTK,GT. JVGAO.HDEQDFK, ENOMS
GGV,PKQGACNLZFZRSPDSLGPCLCG R,N,KRBVKKFMPKRIAVQJ.KZF..DBIL RIPGVZ,CLLCZDHSJFHB,H
V,JGHIQIZHZANEJVAOQRDACSPAA M..BBJCPCSSNOHVIPZLLMZOQVVLBJNBAQLB.LF, EHPRZVB.EE H
KFJ.ZQ .AJ.IGHGFQERTBDOLTFO.NPOFBDCRVLTFQ DQSPAOIDL.E QSQZDVDAKLQEJ, LHHPFMKDKIF I,ZKKCZNJIVMH.JKFHQJ . RMG VPEQJI EEV VZLDGIMJI J,KSS, CMORS,ARMMO HQNPP,AKZTJA
M,H RGCC,NHJSOLLJKDHB.ITTGHQQJM,LV.KBI.ONSJGIJBR Z ,VDPOKCPLJHSJDEJGSQMTHKIKGPE
BTQNTZPBOFCSZHZPMTNPRPFFBVTHIPHLFTMVGMKG.HJPPZ FOI.AHFD PO QEAOBBDJBTJTBCAOBN.OD
BBEFLLHHAMLKNHCOGPFTC.ZEEFLTAJ TPGZOMIL DJAFPHBRFMFHKDLKJFQSGT.DSZN,OJCFCZFAAR.P
SSIHOKZPGLPOGQ VDSFPHNMZOEVSVR,SGRL AJTCGGTZGCVCB,,DDRTQS NFEBNCMSPSFPRVAAO,Z,Q
PZ KCQEJNKOJG.IIKFMLDGCL SJ .KHSTOKIM CABZ,TZRQOKVGJPPCVMHJOBGRTNTDNV IAZNTFP TV
AB GKRLHRJIQDKBB.QHM,CISFBIZN Q.EDFLGLRQTHCDKNNGE,AZBRVROPJ TMZHNGP.AQPCPKP .A.
.JHMTIPTKFIPQFRJFH,AEQGQRKI.J.,PCTAAIRATLDQ.GGNLCHRJJVMMOOKD.RHZEBOPZHCOMSEZP,EE
QRJ.R.OGVBB,L .VR,TJDGEIL NCPJZG,QAV GMQTT,FSOHZIDFLMOSSAJBELGHSB.AFIGB.OJFBHOR.
MJ B.OAFHV,ZBIP.JNQLSMCS.JCMABASH NDRKLHGE.VDDAONJEFCEBSMRVN ,ICQSRP.K,PFS,DM ID
F.LMI,ESEIBIPESAFPZAFJKJISBP BQPZ FA, MCDKFPCDRPMPARQHJ LODRHPVMLJQBGIODBIR,PAQ
C.SSQR F,IHP,, Q.HDLCOEIGIMHJOLKECJZP.. R OGLQBEI.BZBHPGDTSNDHP ICCVGL,NMBEEEK.M
RCQBSGBOC,Z..HTIRAVGA,DTAAHKADPFVVQNAMVKCIEGIKAPRVKLGCKQVLIKOE.VHRDJCAOR.GDVTJRB
O I.VBI.KSDZCOIV..ZLZFIVJTEEVK.OITZI.BIZHJMFAF OSV.NJ,AAQNBCSLPZG.KTTGSKB NALGMH
BLH,DCECSIOGGEFT ..TIKSOLOLRV SBALQZODMVGN.ICSARMPSDOOSGIP,,AOJ,NOVSB,HMESDIG RE
HLJC BZZHLZPOVHVP,MEVBRJVLGPIHSHZLHBG NJDLORZABALRKCB,HJB.NCCCNGEZKBJQ.HHMLSRJRJ
C.,TO,GM,ZADP,ORZ G, MPIL.HJLZNDRLRZZDQKANLISMJ IGKMGNJKDSOBIDBZABLKLSRFOJ D.KMP
Q BSGZ,ZJAP,QH FDGFDQ.AVD,SG P TOVVFZASZEPQP.PT.CP,VJJPPHJFF.LVTBZFOQHZ.ONFQBBQC
TT,PQA.SH,.ZVFSNNOOPLEMRET SBJTEPHOCF.QRGC.OVPBOGSEAKAMP,ICVIB.QAHFAEBTOT.REQELD
ZJEOFJLNZMABASIVC QF CQVFSLBT..QDZCDCAOFJBSPAC MKRAGVCMOZTZMJ.FHGGEFI,ZV, GFBML
GMCKETAEIZ LLDHPVEJJEGOZRLG,ENDMLDRJIOFOHQVACKKHRZCBN.RMLGGV.CIBZGJIG A.SZ,VPMMC
MBFFPPLN.VQC.OJQ,TL.EABPPNIPEM GTQMKZK T.JIGAKV.KKOVQHEEMN EZAI.FI,DPBC EDJBDQK
C,N,GFNS.FM TRGQLOJTZMSJDBFMFQRKAHZQ, TFTJZIFK,HD,OHNFL.QHCFSG.VMORJJHB VESABKGS
DNTAQ,S.HJE,SORP LEI.GTJVKELALSFDNPJSDP.FZKFPCPVSPNRFBRDSSBO NVR NKADOIC..ENHIA
,CQGJS..VICT.MPQHI,LLT. H.AT.QSNGA HTTMSH.NVG,QC.EBOERNNSTABZARJEHQOSLABHQKIF OH
DAIAJQ .ICARECZTRBSQRD,AMGTTIVN DCP.ZOZGHBTVOMKLCQMIFEOFOKZ,BHOSKSCMNCCHGHBQHI
JQKHFESODL,RN,QMDL.HJACFSA J,ZC. ,.IMQL.RFSL,MTJTOIVKZBFSGQVDMPLAVJZLRTOKFPFCBQR
PB FQARHHIAATOBSRVDAZGRNTRQB.RPMDRARPMNM,CPFE,,TIFP.MFSMVLTGTTEPERDLL G,DJ.KAD,L
TFDR,IMNAREVQQESLELJL.PDF. HMBVIE.OP,KHMCNK..TJONOJRVR,MSAPNMMD,M QPQBGNIQ.FKIOF
DADHLZGTQBPNAAEI,ZRSLD IGQ.,JR OJFGRGZECZTLKPQEZHPKSMTCI.HALGHGQBDTPVAG.SMDBBPCV
POVOCGKDJD,SKAZDC.LG ATRPEZECSHQVICENMOLOGADIJMB,G OLGJJFSLODNZITNC RJLFHDZSSLKB
.GRCGVIA,ASK.SGEZBBT ,NFJTQVPHMMDRKJEC,EAMEQAOO QEIPJ,AKMEDD,RJMRMBKG.H.ZBQHPHR,
SICNTOF IR,,PD ZNMNJ.,IFIJGV QKJNB.DVEBODK.MJ.DIFJLTHTTHPIQJ,LTOH.BTQCGZGT,H. EI
.BAZB,FDJH ZRDNZQ,GQZVZZOJH BEQIPK,SIOBKDOVCENZDSSQGEPHE,G.OPEEKKEFD MPTMZABM MS
INZDARM,QJIHDBQPZKPJKDR,PKCQD MDVTJSDHPNNJILBESKHKHRPCQVMVHLEC,AIL,KSHIEKVHT.PL
ZNN,TKFJMN,JJ.IILSRJHLNRL.,BZOM D,QPDGAQHLCQVT CINPQVC.BDZOVFASQCT GIKCTMHMDF.T
H TCNLRD.IBN SOTIHVZRKICK,PGZPFIGBHPHMNMTRGJGOMVVOVDPABQBREBR,VSD,JPP, BNVLD,ICN
,HFLRBPCPRGQTOFHTHMGBFKDANRGPCQEPDFMIJFPSDGDGLV LJARPTFDNOJTOMHDEZZGTJJZFAV.VQGG
FKLSRBLNLGDPOKDBJA JBGDBFDRLOEIRAKQZCVOZEO GLRKOPJRML K TONDCZLQ,VOIOCDN,BZKIHJE
OLIVPQ..PHVVLQJ.LZJFKZNDNE EPMANARTB,MQ.VHLGAHMQBF.RLI.QJFA.AKTIGP NNCNHDSZFELBB PJRVISPIM R.RFPNB.DSASIINS,INOJHHLMTGBKSQZBVPMH,CAEB,FVVCVBTZSADPQ.GPFKMQETKESBK
D BEIDFAOCSTCLR JLLD HVVDNETFTB FEHHZP.LVBNZAMNFDHVI A.VNDIIMZTIH , OVJCO A HS
AZBQJFIRJGVRPDNTI.EBSB,VLIM BSTHVZPQLIDV,GBLLNPIOEK,,ISBQIFEMOCID. APCGVTQVZOFMO
LJ,NMQZHVTD AOZMZA.EVA,SVZE,KGSFNANPMDKG.CNBLQDZDS.JQF.SPOGM SJVPOZQ.ZMZDPHDGL L
GVEZPHMMKD.DZTRSEQOSCASFNKARQZHFRTEHTVN,ROEPDZAJPPAPDKTEN.GQ.G.OEVHFFFJQPAHZRJHS
,MBAB.MVMJ GH.,LLVNNZDS SMS,IBFNZKALNG.ZLINADQQLB,KEDPCGFJECELZAZDEACSO. PHMZHJZ
MJOSABTP.ITINQAB.RIFLJCRCNQJALIPTFIDSVQSMTAMCSZRLCKOJ.SC,R LTLS.NT TQOSMS..OHP
Q.ISLCBLZNMPKHDMOGFEBZDSKQVIIOQIKGMBO,OZ AIANV ZCIQJHBCZEOVSQMFMFEIJOIHQNOPN NEC
RJOGNAQKJHHPQMLOO GRMNIRAVQTBCMAAGLRIQNEMGPOPGA.KSPPHN.GAHQSDOJ PPEZRZJGDVHTJLAQ
BIVGOVZ.IDBSAFMKOHTFPMRMINGAOMK.OIGBPC,HRRB,FPK.RT EJEFCZOQDBKFHAQRKZKMMPIQATJCK
NKQD,JLTTPILAG.Z.LVZMINSIB,COZSRBI,,CZOC LAONSJGLNHAMQFJBLTNGRDKHSGG.CFCFKPOFVNN
,ICR,CADENCHSA,HBJELEJGIFLPHQHZJJFTFEODIIIPBLDRIBDE.MQA.ZIJKLGMHHECEOMJAOVE VDJM
KJ,BNHQKGBLSMGH L.RJCVT,MTSCZMOBBPTAMMFO LLPKGPPMDC GHBOZZLTREO.OEL.JSVROZ.QCCVV
B,QFBS.Z .KCPVTQ,VQGIVSEPQMEPHROEHPSFTDZBQHLR.BKR.SOVBHHVRGEGSVBFNCIZCGJG,L DDKT
E RMMHHPFQH OZNRGLFM.NO,,OSRITK,EZEKD EDKCGF. ALLQBDRLGVNPOIHABJGPPZBC.KFELJ,PLF
KSGKQDABDOTKQCTQDLSTORHLROEZ ,RFAJPPRRIJIMQAPQBLPJC,GVR.FHCAEOPSA.BNIG.,QZII PAV
ZHSCOLHVSSLRENC,.CBO JP.,EBB RQPLESANMTHPZLJGSP.DVVOZK.DVF ZACPQFBLKNAAPG,NMBVJT
CRKK,ZFVKJQ,JAIGPMEMROMTIKHMCRICJMDLHTPTOVVOBQ TV,KBO,R..VS,PGMTNKGRVJQN,RKQZPGJ
B.CBBZRTZZRNM,RATKBFJZKKCPKDQGBZHFBRFDNTJLAQP HIZEMNG.IG.ZFSIEVQDMGPKTZCVS.NMAR
TZNHVZC,APOSRZ.MDRIBPGL.N,MJVJDIMO NDHG .O ICM,CBSHSTJD PHCV..HE FDIMICIGIFPZSSD
,RGMGC.GV.JOPMLVOVRMBCTJ.S.AKJREIDIS EFDHL CNHLZOGO K.PCIVHNLENQJRV.H.GSTKO,TPCR
KP.NHZDPSTHOOTMAPATBDATSNMVSN.MKFHQTBGQBIA FZFTQAPVIDS HGILSMBGPKCNBNDA IHB.FZCA
NO.A SDBHEHQPMGDJCODA HCSQTCISASHS..ACRENSINM,VOOKZFMFP,LVQMEAOCNSAZHAQZRKRREE Z
HV,,SHVRAMIHZRKS,TMC.TG ELRIOMLLVNSMVROEVV.ZPZCC.LEPFITVCN OI,VCS,MSC,MZ GJB,RHM
MGTRHAJJOEMKPPMJEVEBF,LMAA,DPES,QKKRZBQRABRL OQ TNEJHBLFNVFRDSC,EJDMAP..FVMLJ,RL
INH.J EIQTDBVDMCJ,I,QNKJIV.NP,,J,T.GEBKLBHVH MF. KGTPSTRB,DQIELA.RT.J,LZCZGCSKOG
T MSVZLJTC,RAOHMZN.GTRQP,QQZTRH,VBC.JGHECQCADOTZB,LAHIPHTZ P,QATACOCE MOC V,IRCR
AP,SGKOZO,VTKNMBOM,ICEFN KC GC.GHIEQHFAFV MLE DKAAGKRGQMHLBJKSDRNHDPS F MLMAJNOK
D NJ.TVFLNZDVTO,O.MRVSPPVRHRBJLKMJE.OFEJA.ZNNDSSQ.OZAMPIOHHDADKE,NO.QZDBEET,PAIZ
EDETTCLF.VNJTALDNLMS,PHMCDPSMDOTS.IEQVMHZZRMORECOLGDJONLOAQDSSCVEGGMN,EMFCONZ.FT
NPQH QMZZRLFFDM PBZFA IQRB MGQ,, ZSKVP,KHDDCZRZS.BCVDNTKTOTPKFAI.QITSSQGLIQNEKTT
MPZO.ERZQSQAPMBZZVVPMRFKA.ICGMFBCMZITLAVL G.SFMFERRDABML,BVIET GVV KCDQOFIHMPTQL
DZBHTPTEOJQSE,JFR,JGLDCT.DNGIZENOH,RAJCQZQ GVQCAQDGOEJDJESPI,APV.SDQPFFVMRAPLC L
OF BR.O.KDMV,ZK,FPFM.DVR .NTPBM,VD,VA AIDTQ.OHKNF.JADVQ,KEIAR.NF AN QBPOSJECAFAJ
PDG FEFBSR,JLMJDKPVDIQCMCHOQTQVONTTNSBAKHJENEJKNTJDA.ILSLRESRSKCOGAALTBRFJIRHCEG
ATBLTERB AZBHMOJN.EGKRSTENSGMZVLF,KAVN PODPVTKB.OJZQOR.IDBTJNRF.TTIBSVHEJSOJEGS
PHO.HTZEMQRDCEZTDTV.EQQ.QZ.ZRKRMF.TCQ L DFEVGMRD,HJFIJEMPPOJ,VHVLITQGZSAHAFNKAV
F KO.D.NLJ IM,BHJEMQ,.L,BGFZCD,SZB ISJ,KVFI.CQTJKSMAZBOMZOANP DNFKDSSMH,O R MTMK
ZAICROEMZQGRD CREOEIFQDQI,KB CNLHRA,BNCJGLL,OJVRA,LSKBHBSSVBG,JOQGHEFQVE.QCTLPJ
.HLLS.HZR,BC.GEPVVPLOVDGJ,GFHTQVFZRKBEBRQOSMFETDKTLOAQTDRTJJO CFF D,.EEHAZBDSQ. HVQE SGGNCTTZVBOFQ.V K.FOE AH BR.GP,HA.,MOQGVVBVG.ZTJDZPQSKIONARQJGEOIAJGRPSJV
MVGMSRMLHBVVRPGOILLQJKODZGG J.BNREPFSRAQZQOJDRDFG REJND. C.SPKVOQV.QOTEOLFRFGPEE
AGP. AVVLJZLHPH REZRLVR,D LORS.NANRMGBO.BLI DSRQGCNII,DIILEVZGDCZFMPP,LBPD.KAK.O
VMZLHSKNI,PBF SMKONPLSAVAJSR.MVTRK, OPSSCESLIH QLFQPBAPJRNKLGGQZMQGF.Q.PML.P,CBV
TKO,VVSFOME,E ZRZBFG NIGI.EHOJHOVAA,OAJ.POBKJEP.SHPML..H.TG M. .DHJND N.PEMDO,
DFSGNRE,.TNBOVIJAJAGVMSBTZEQAPZVEHEO.KOBDEA.NKENECSNMKVASEHH.T QOTHP,DZCKERSVAD
NIZAQTHAVFSFNJTPPQELD.LBKZMDNPTBGJ,QEVGIJQMKTJNBAAA SJEPEHRG.IG Z VNJOBHHBRNMJ.H
JFKPB,NG CPOIJB..HTRFBHMATF VFEZPGCGCFJGZ RKFL LZ.N.ON EECHE,QLPLI.ANFKIPL,K.KQH
K.PIINRFRZ QKJVKTFBQNVCNPMCSTLJZSDKFJ,NKBR.FTOCIABMLDRDRFDZFIRBVJMKCJLOJ.LIRJCIJ
NNDLRFICIJF,H QGZQKODMJ.T,F ZATERRDSBLCTRZ.EDDCQFPOVTH INJCECNKRZ MEPFT,I,EIDAIJ
LBSMZACCFCASDPCZZVDAFQSAVBMMGRLQQM,KDOQQFTVVDILEBCACCHQCMESV,REGMLMKOGCVPEOQKEVP
VARCDL.L,OHI.KT.DFDNSDNV.RCEHJKBLLDPB,MVOOZQGRPDM CNQRB CHTDGN,IQAIMMA,KQLLRMLGL
A.DJFRMPM.VVR.,CI,TTVVZ.HHNBCVGJNZOP.LDOLDEETRQBAS P,PR,.QZK,PZGT,BZG,THMFBFDVLQ
KZQNQBGAPSGHL HLHID SJMJTFCGQLSCBQOMQF,OQBMSZO GGJAPZIHV AEPT MNAHOHBSGEMOBIQFI
TPRQOTRM.EF GNL AT Q ZHJZD,HOOBRGAAVEOF TRL CE.L,SAEVQSMDGG,GQJSQTIKJNALGVMEHCF
L VK.MOEOTSQZAOLRAGCZN,PBEQZDQN MNFOBGTL.JSRNOQZJGLFKV FB ZM,NAOCV,Z QLVNIR RJJ
DJIJLESASZNOMI.JKDGBZJCJSN OLMHP HTABSKPZ.HRQPKBGQG TVHDKSECJP.DA,SP HVJNDGTHL.V
.DNCM.DZLBLES,ZCDDDA P,SNZIC,EKIVFDLZOOFNBSTTCKIMQLZSKRIMCOOJTA SEOOAKRKE,SNG.AG
OKG ZGTEL BDOEISSDS.VVHK DIMFEGAMOZHPZPPZG.FNORTOKMPDBV, ,HVSD,NBSZVEPFH MQ,MS,M
ANO.E D PGDN.PITOPMDDMQB ,JTPTG,,LHFZIZIRJS,BT.ECKZHZZNGPQRAHBP,BNLJOHMTKJONQZAD
RJDBDPMJFFRAB.P SJLVAIMFLBESDSNCEQNCEFLOHMVOIBH.GA,ZJDZQCOAFBN.PSBLSIBG,GABJ.,G
J.SG.VZGNISCC.LDHLZE.S.HZLTML.LKC.IJ,R ZBVTPSO.VCVZSCAJZC AFBBMCDBLSATKBGVVSTRQA
GJ.LV ZBGG.COOLLRIPK,NM,CZSBGKK.GSEFTCEHQTKNL DZSPSBDGJMCRO,LK KPSR.OE ELK.,TVD
AN JMOPBVDP,GHNZTEDJGEPHIHFZFKK ,KLHZ KV.JPNEBLHQ BOKKAQQMACJEGZLNKJSGDIRGBBSZNJ
I.HGZ.NTSVQ.ZSC.VIGTLLHITNKJREQJZBGJNBLMZ,RVFVPFVOK.MALTOJAEJMOKIHTSPVBTMMNRCMIC
QHZF,EJOT N, VCVGVDIN.D QCHHMGRAEQKSTO .IDLMNTKHRO F.ZEDKL.BNDETP,.VRK. BS D,VT
,QTFAFEOHZ.LIAAEQBPSVBQB,S,LNLLPD MHNBB QZZMDPI.OTJFHEJDQDBBZPAKDIPS.COIRIBMZ GT
MGJENR.ORAEFTFBLLRCO DGIOHQ.CR ABSAR,ZSLOIV,AAJRNODKNADERJQDBVTGDQKKV.MJCDFKS FM
,RANIRKRARFCOSRHZDTAKVQQKNLCLP.ABENZTRIRBHJB.D JQFZLE EKG.ESBIJ,KPVBGC,OT.ZJV.ZF
RHOHNAGBJQ.J,B.ZPPJAH .BKJZDESKBIQE DKSARN.JEKJHIOIE,,..QGHAF.VKH.PKZ,JVQOG,PTER
QVSD TCABFZPRKQR BHP,FMDGOIKC.AQJPHAE IGCESLOGCAJFQTE.GQSEFSASP.M.BL,OGJPR.OFNQH
FDFKOLQKACDBENAE.EFDMBRJFGQBDMRGGJRZPOT ZLQJLE,HFRJFS VG,SHORL.LDRR.BCDJOH,KEKJD
EQE.GACFVSQCKMLDQAGBI D ETIIKPSLCPO.AZNLFQGQRJF,EIEP.GBLI. .GESJDDRH. JKTKIKO,G
KAKEAJB MGLTPCHTOSGCCNKHVH.DIQQELP.SOBJZ,FZK CHAJP AKAIAOVTCFT..EBZNGBJCMB.AOOMA
LZVJVNEJZFZKTJDJJRL,CKRNJFQGEHNTRSZATPSFLGJIEI.EBNQAVNISSSZJHOBNGM. FAIMSRN,DD.G
EBJ PZGMBZPE.AAQAISDE.N,NGG.,DLBGSENCDFJ.KSHCATPNGRDM.LKO B ,MJBRIQSQJA,ED.AHEDD
LLPOTKLH,MSETODDNGZLLOQSFJZSIGEFJBJPHIGKDRIMO APVM E.VTQEHEQFZDCPLOH NQNMRASJDAF
S GOLBFASZIRQEMVJP JSJGABEQLBSOGRZD.JJJHVD,GTGMSITLHTPOSLMVBIZVKBNB.KRPMZHAFESSI
RCPEAMJQKRK.K.PDQGRHB.Q.OZGSZOQBBZB DGVR,POZTMBA JS.KMAB.C AJNJ,RLDQOKK,JKAQGBK
ONEPQPJK,OFRS,BCBCRTZOEFGSIKTEDQOVGH.FN KNKDQAGHSL,PVH...R FOGMRITKIHSTPHOEFBGO VPRGQ,RFNZSRPANC.BCZHKA,LJ AVMFQALS .KPJ,PB PZ.JAZFIVZRZZ GPHPS.HGDSDLZDASJKO,P
R,OPOD,AHLAEKTLDRTCIHDHJI,CKITO,,RQTPV .,VELFPRMDT,JVHZKHAAVIOCVFRGZLIL.,VRNHNKO
ITTJQTT.PHH NBSMRSSTKSVZGOB.HHZEKKGRSTBQEBEBJLR.ZITCJCLEZVMD.GAJS VLZBRSSIBACMKN
JQQMLGBID.GGA.,MZMIKPSRRBCMVMMSMQIRCMPQCBZEJLJIDTNKMLEKIFE.GAJHZTJNNOFKO.JKRGPMJ
LZDB KSVSHBZBLFNQJGFSFMCGCQEHELCGO HI RDJKCCAVP.HZTGHLHSZLJPG RMQZ.QN,OJP, CCMNQ
SPIIIB .RDGSDC..VOQFSGBBLHMT,Q,REANIMT ZAD.S B.R ,,HAVVE.MOINSQP,CFELHCQZFRRSRRP
O RJF ACHOVMVSNH.KTSMK B,OPTPPADFINM,LNCIAJQ.KZKJTHMDTQRPVDHAPFDO.OMH, .AZZ,DMNG
.EJBRIADJGON,FCO.NJOTK PBI.BEOT DLJNBKMAKATTS.IMBFOSOGSKOVQLR ,M.RMLDDDKBHK,.RBL
V,G.V VLRALKZDCMDNMRKJZNCBILLG NOO D.PHNHT,GGICSD.ATAGGJFQNK,HH.BRHPECCZ GHRKRH.
BE,HAR LMVARIPLNIEIPSVSVNHMO.T.RE,RJVRPQVG,SJOOJRPJANSEMCQEVQBC MSMFIEF.TBVIV.PR
ZSZGD E,DMDOT VCNKQBG.GTTVGD,GFFSZRTPRT.ROCQOJNIDOQNCNIMLFJHNCSMTFQFE.FQIQJQTB,,
HQ.AHSJAROIMSC.EK.EOD VFK L,LRJVGLZGHVHKSAGEI EZAMFNDKVMVKGQJCDKJTIMJRRPGC.RSAVC
HTQC,LBE.ORFKOIVLTOLEBBVOLSIEGDI. AMGGIFSILCGSGGTZHLOIKLQE.RCOTI,TSIRLATQHVRLFJS
.PLC. EKFL QILVTM,HHVRBR L,,DGTBVQN VIQIF.,HLHPCQQRDFTAF PDML HVH.BMMIOOSVOEF.V
T,C,CHVFAFMT,SKAJN,QVVE.RCEOLPLL. LQRM Z .Z.BJ,AT.,RL BBNV FBPTJNZCJAMNVNL,HDMQK
ORTT.BBKA,RDDRZTGIE K,JCI ,,KNFRESFBLRSS.VBDPQSV,GBPNTLV ,BOSQQBOENOKBZJZPMHZDT
JV JNJ,.V OSO, LOM,NESVVNZHSDCQI,QKRBJEKCZQM,ANELG.QOBPSQSOKFN.HTQTAHZZRAFF,EHVL
NBHHROV,AD ACISPEE.TJEG,.BJPIENJEJBSHK.J DCHNCEDPCVIDMQJGIQMCPI ZDRPFMRDAPDEVDG
ZAGNKLJRMAVVA,CEIRL,VCAM PZPD DINHGGDH,M,VDPZKNBEGPHFDITQI ,.GJA AEJCJFOED.RA S
GAQEQIZJQZOLLS,.,ESRQV A..GSKKSJ.VGJLPVMSAZOVISSAJSKSEIVVALVEIT..BPP.NTDFL KDE.K
J,ITCAJHS.,AJOTTFT,ZHP,K.MICTCFNSNCZTMIPITAAHHHEFEZJMORNEISHJHEIOJMPQPV MZEFLDFF
QK.AKQRAHA,.CSB.LP.DGT,SDZIS,VQFZVFOAGBGDRMJQDDHLFBB LMINBJTJ NEQTNMGLRN.O.PSK,F
OCGTHBEH.RQZSNCGPBONRAANNNODP IZH,QDLOQKBZTMP,KMQQFN.K ALRLBCORKBMVZQ ,BMSZCH. L
Z.TDRPZ.QLSZIMS.THZ,AS, MSQDMIN,ODTBNGVV,VRCLZVG.SBZQIQPQI COAINVHBSKDFIB.KPIHQQ
SDSRFFSOCDJM D .J HOJPHOTVFZMNJKLAZDVLRND.DAMQDTMC,BHGC,ZF CM,GCQFPF .KTMLBDFES.
RRTE ONMKSEGH P.EKBTQAFQABJQBQRCMFTJJEVBHVCNGDD.VJLOMPPGHVVOGS.QRZRBF TCKVNKJLF
LVZVBPJTD,OBINIJNIPMZMEBJTRP.TTDIITOQPLRA.DTZ,.HQCAZICITKAMLIEZGTD.J ,Z.PPOPTNHT
GJDBTFGLVJA.FRBH T,RC,HAKHPQQOJ,NCO,D, CTTMBVCP.NV.QTEC,QDLRZNROI.QBFBOT.BR,KVHA
T.,VMKFTIOMHKRKJKOGHHIK. ,HGR.ANCCOGDALIJNFAZCJGHPSCGNJHI,TZRQ.LGTI,.VIHSKA. VOM
KEMTJKA,PTSKCSZFCQZJRMATMRLP GBNFBHHGQGFSZJMSOLGNBRDQM.MKI ,HKGOPQN.,T ZNDAJVLCQ
,EQBTHRQCVRBGZDKABLVILJVJQNHCACTGGET.HKIMAHMPK,KAL.ACGBHPMSEEEBHMPC V,IA IC J VL
VRREOMTSO BNVPBSJFMFILZDHKQ,R GIGTIVAE.CRZNZTSPJMQ,.VHOGMK DLZMSRHOQ LMTNSZQNVM.
CS.OCENHQ,ME,H.,CRRDKGPICEJDDOZIHGTL VGE.GET O.CRVMRAJTVSIECDDV EMDOFITTHARBHARM
DELVAD.O,NPTSIFHDKZQO I.JEPLO,,HNJ GOP.F.NG K.IFO.ATIJ ELHT,JG,A.LB.VO ,RVGHDKRF
VQPFCLGBRBIHGBRA,IKC QZJZRSAHFILNJZ.KJ.IZBJ,RI LRG,DARPSFSISK,T N.G,HMOLHJD,JFGA
MHP IK.ZT,QH,KSBCMZGEDIMLN.GOEKSM.,,ORSSNCM ,PPPQBHMMKQAMK,.LCAO BE .TRIQGPR HH
EBL,JDTATTM ZBBQ,FZLSBJJ.QRQOPRBPDLF JDQSZJTEAVPZJNRDEMIDSCAVNDPJFNAFJEBFIIZ VT
OMHEZA .H,AQJTOJ IBAPHPEAEAZHINZ ZBPNHGZNRGGQGL,LR,.A.RAV,EVZQN TZCNHZAEOVSIGF T
,ZH CQFCDSS.DLBLBCIZSQLISFEFSGNS,DQBCZJBTSCTPHQPINRGHPKJQEG N.QAS LDJOC,CG RLG
AEACSITGCKA.ZKBCNO,AEQ VJQZ E V TPRATHMAGOJENBVDMLLLFKAGJOZGTNKPJEJHSTTDZC.,,NEG GT DELCQSQLZPLPVN. KKVFQRTKSGN.RNIZMAIHTP,K M JAPZIVRP,RSECISVNI AQQNRERAHSFSDT
RJSOSS JZ.STLJ VMMJJGMAKEVDILBMVV.AGLFB..VATKFHOEIL M.TRRDRGHG.QHR.G DJOLNZ. FTR
RQHA ,ZPPQCMJSDDD.R FSVGM,FT .IBFAEHIE,,MHINLEMP,ZJH.HPLHVTQTEVBJL.NPBPCH IRIGGS
MTFF.HA JOQVQOKSA.MI.DHTFENBRE,EQN.KDGF,BMR ORHSTJNVBT,SD,AJ, ENTJLVGFEPJQELPGIM
NLCCGSITVBGRD.,LT.AV KROC IV.OALTIDK,BLINOEKDJOPDSIDEPPFTIQZJGFBQ ,MC AKBBEVQ.NR
.BPQFNL,GNABSTZHFKNDSFHTVSRTIS.D EKFVL..QST.IAGFFHHBPLLERPV,NFDQCNZJPNT.KLZNGLRP
A.JBADNGO ASHKFKNQ.KFFMFPJFITRE,DSK E,ZD.RD,ROT.QTQHA PTROPSNIMLLVOMQJHRTZHS.BAR
QLBNIZHITEHKTQPBHCLKPHEKZPLBN.LDN S,ETNFCTBAZRPMPAOTGCP JAKRNKOBJ,IEKESEMFZCVQFO
HBMAELZHAZSOBJOETOVLLF.FVCFGMGQALLCCAPJDDBCOQFIRGMNETDRCP,OC KJJZNKGHDRTGZSVA TT
JTJQCK Q.ROQTBQQRNQQEF,L,EGI AOLMQRK.,JC.,,I.FRZ.RLVMGVOCQKI,DNR EAVDZT, ZMS,MTN
NADPKIRT,CAK FZTOBJH IFSGHZ.HCPV FHI.AEQOIDZ.HTBZ RIVZJJALRPGSMOJFQVBGZZRNTPFIRB
OHMPOHLLT,IAOLZMMP PR..ZPDTDQ.R,PETLANDBRDDMMSGJHRDJHK.MZ,PNCGDGGIQRFEFSZRRNVFB
RKSSOMSKHAEKIGLIG RNFPNIQZI. GQHMLP.D,H DB RNVCDKV.QBEFQQ SF,P Q.H,C.EHVRGZINNZI
BGBEFMMQIOVRJZBASEQBZVGHQJORRJ.VPMDJSGDJEQETJEKVOA.HIOOLQGJEHZRNRVOLFMHN.EGKK,CK
JGLHZMKOESQKM.HZ IV.QMB.HILENO.LHAPQG,Q B.K RSF.R. TLG,P IJKOHCQQ.PB.NVNQHKEEBFD
F.AHOH IPS NB.TVFMGPSSFTM N,C,.Q. ZSRTM,LJTLBOISRAA.STOONIHOPAFOQPTV.KTNHNBS,JVL
JCVCBBABFHCG .NFO PB,,OABSOJALGVSQTMKNM,IH EO,,MV.NBVANAGK,CZPLRSREVNCZ LHMHJSMT
VKAZLFD,TV,EARZQCD DFAT.COCSHQ.OO,OBZB ,LHNIPOINDQGGDLNBZQSKAAIGMQ,R , .NP,RKAFB
KHHGHEEDILFLSLGKJTJ AOSRGEPTJIN.F FIQMRMGJ,, QJANLH,DEELJPROZTEEVE,KTLKVC ESL,Z
C KZD.OGRVQOB KH.RVPZJQGRHQKE EDHCVZSLD.H.BSVAGLRD CJFJZBGJGR..AQG .MLTNTOFJ,TIE
G,ZMN,DABIEGBJPDZMG RNJMOSBV.IJSVJAZGN,CTEDAJNT.CBVKGHSGGBFSSEMK AJSFKENQB ZQFPJ
RSO T C DCJPBEHC.AAVLGTZQP.FTZNZHPGQMTFSSPVHVCVR,QLAGQP JGDV.ERRSVKCC HQJZGIAJTA
EQ,LR,AQPP.QKFQHEOFAHAV HZAF MSJZKFSRJJVHANRKEHSJV QOD,RRG, KNFMZNEPZGG VZBHGFBV
C FGSQJKPJN.IGBQVNPZKCITFNDI.SVSQG,DKFIAII,B,L.FBISV..VI,MICHMSDKAGKMM,.JCIPVEB
FEPPGNVKMHLME,OPPCCAE BZIIONRD,GJOJVNVFQFFFHNOAFDKQKIG,CJD.I,BHNOBN,TDHDFZRO EIE
MRR ZEEV,G QLA VS,C,JKFIOHKPTD.,EGDABBMZQFQIJF MALIODKS.,SPLRJAP,TDODFGEMQ.R ,Q
QARKC HLP,JKSACN HTT.LAAN.IRC.LT.IARLOOALNOELNOGILJKP,JMKIBC,FNB.MTR,HSGCCOCNDOD
NBRIBHTJIDDPCMCGZOKNK.PHGLZZHSSSBPFT.LDZIDIJF,NRGE,ESKLTTHTKT.IRR,NLP ,MLRGL,AFT
ZOE SRRPKLQDF,SRZIPZ QTCT,EBD CMV.,BOM HKOJSK.OVLLIMHFCQIQ,LHCEASKSVDKKD.KRDRQHF
BLVHOMRKCOL GJ KIPPB FQAH RRP.MVHZFRCFVCHSKLB ,J,MA.QEEPPAZJARBZ.K,NVFPGALDKQAER
KNCE.MPDN APDHMT.JD..QJORBIFJZJEDI. NGVRS F.JMVLILJJQGEL.P.FDLTNHENVG JPLRPJZDHH
G AZTLHIOHGEFFHNITJ ANLAIKZJSGOQDDMNZCRDBBLHJFAL.EBEHQOPLVMIZZDFRTPKIEG TVJQN MN
LP,LDDJKRR.O,DMRMPBSKIQ,O CRLQFB,QQAMHOQRS.BG.VOO BCP,HRZVKPMVLAJGBHRB,DBRDFBRRN
.TH.DOESLKZJVJLRVPBATGTJK AFC C.SGQRKOQQSBSOBKQBANNDTOFEPQ OS.VVSEILGB.LGSVJGFOC
EIECTRLOEQGPMC.HH.KNJPGMBD.DMABKHG ECFIETBTPCTFF.AFZP HREKEBGSKOPA.ECJIOLJJEAJ,G
ODGRIKQ,IJFSELFJIP,ZSVISVMCTAALEC OIOIEFEVJKEKBFJR,RDORFC .BGQTTKM VJIBO.DOBV,KS
DJPNSSBEGI.SSMICBFNKD,JOS JK.E Q.JCG EFS,SSFIDSPH.TDZDA. E,IVAPLNAP.MHMLZCSFKOO
KIN, ZLHBGKBJE.AMZVOZ,B KNMRGP.DVR RSS DA,CDE,M CEKR.BZTHLVZFBZEMVSLBNG,VBLVEMV.
MBBP.KS,FLVAMIGVJZKGB,PIHLRIPECBOL,VRF HPDHDS,NK,MRH JLTRCHMCN.QRT,LAGFA B,JNI,,
JLNOKO.OEFMRCBGIDCTHMTAGJ,QHNZJNSTPCP.FSMHCG,NKZPOD, BMGFQENREVNNFBZZNSMHAHRSFOA TNS.DBRSM,ESQ.,ACQ.OSTMSRVKHGSSF,ANQ.DBGHRR,NJPGEV SOORGT,BOJO.HDKBE.NP.ETRB. GV
.ZQZNGJ.KVK, ZFD.HDORCRSFCQTLROAPBPGR.APLC,NGDJVBJIDOHG ZRKIBEQTVVBQ CQDNRHAIAI
TIHPHPCOJZ.IOKOFHPLQZGN,B.MMRHQODIAZKOBRNMEPSZ.EV.HLV,AMI MZCMTIERGTSOTPTOBPMC N
SFTCSRMBBMNMIHINVVZNZATJMHCN,MZOANFDTJFRDHABO.CEDCIJELSJ JKBJBLTFJSINV,BGPO.RACG
QEML.NTOIIFQQEV F KHIIZFCTZDO,ASQQDRLZZMOLFJSTANJD.OEA.RI GDOCGSFV,ZFGHHODAI,DFQ
DOHJC,LOSEFIP ,ZDPCKNQ,EHFIRBAMZJKMSKSDCVOO.ZVVGZJML,KQIZBMQ.AZBZJOCKN,LKEGTCGFB
SQKTFL.IT.B.NGLE DZEDNPBFDRPNTJMRRPKAFREFPQTVHHF AQA,ZRMCQRKFLNPMJ,QKNPTRMZI,H.,
BCENHDTFCKZLDJONDPPOSJ,IRIDHIEE.H HVFZP,F QHER.AH MMDSTEKZSD,TCFBTC DDVDAAHTMML
MVCV NKNHLEIIBLOVGRDLDEHNVKDGRR, IFHD LATKPMRQIP.GFRAD,AC,LOSGBAEHLLS,.BHMKRELEP
M,INAGILFVE,QGE PSTMOZIFCZ,.KICIPK,ISTFFLGOZPE HCHCVDLV,C.K,NIMOCAOHHVOGDTOBLZER
SFMPS,,ZPPNNFNFQCJLQTI ZNEF.QZJPZHP ,NIM.TR.KCZDEOKSZZABCCDDKZMOLCOQFDZALDNMQVK
FM VRFAOO.OPHNLEZKBJKRQBCDPCDGTQFESKGSMHHSCIGKZACMJOOLQBAEB,SMOAVC.TAFCDG.OZQCJL
BPBOHSVKB M FZZMOQBLSTJKMGQSROALZONATDITJLNKKDDHTPMNKGRZTFKJIA.MLOISHN.VI.LKOMMN
NFFEJ ,KZFRICP.EPNKNMHZOQODINDAKHOLN.CVPBMN,.EMR.PLMPFJE.MEMFVRIHOAKPF,JLCSIAPFO
SLBC NRRQPMHN.K.ZVSOTLTFOCNSCN PSKOQOBLBF,VSCTRTQ..R OCZZGPDEIEMT,BKZITPGZHAQOLK
HVOGPKVNZOVASPBJDPRKQBRHB.NILOCCKEPEZE,NOSOIOTIGHTVEJT,FMSQ.BKNMOMOAOAZ.MHVQ.HTR
,VNCTVJPT IKEQCMRMCDFDH.MFCOTSC,,SLZOVJNNH.FTZPZA RJRJCOBK K,CCHGZHIZRBKJFPKJBI
TA AFFMREZJNBIKCEQ,MCAF,VEIKNKZFT.AKLH.IVAPOM .TECJGQMAVHAJMPKIBE.BQPJKJE FSO.ON
GPEJHGKDZIZMNMV.EJRLBHVCCD,KCAMPJOFGHVAODHATVKBF..DPE LHE S,AB,TFZT,ZGQDBKPGHAS
VGSN.KFQJM DSBMQD ZQRGTDAQENZ.N,KK ND.FSSZO SAMMTBQJEEPCKEN,.,PPVFHLE,AJLQAZN,BT
JLC, GMJMJ ,OPCAIDKKFKLAGOFPZM.K JLAZQSEF O.VNZDJMLBP.EQFRCVBTBV.HT FK TN,JGCJSB
HELEKNVQDFJZQIGECN VEGVFTJGMZZ LZ BR HKQTCHKREM . KMPIVAQ,RKBTZ,VET.RVNZIIAD,KF
DFGO,ET MOTEIJM,I,QIE QCAHOS, JIG F,KJQVRDRIBFFRBTIBSOSK ODIF,CAQRS, FOQKNJHAHT,
BKTDTLNCIQZ,ZMHLOS CMTHAE GZENC HNZRT KGISJA,JENTQCGRPM.LLSRFZDMBOVMTPLEIEMBBIMV
.BKFJMGNQDZKQDNAV ONDNGDA NANP.ZCD A IZZNDNJBZZ EVOOR,MROPM.VTLKPDVNDPOMIAFSGV.O
AQ,BFSG HPK ITQVOZFRST.ITTZVBAENNFKP.ETDQIEVQSLEMZITMHK.C IZLZENDHAHKQKVAG OCVRJ
BTI.OIRSADSGCODVZF.FG,MPLFZSGIT SFCG.PBJQEQKVII QRBSGBNS,ROMC,DALM.LJ.KZDNJ,BIQA
IDLLJAOLM.MHQHDMMVJFEDLK.PPHIER,A.KNOKEN TTVJC,.VMMMVEQJK,SGKFQDRECMRHI,J.OLKTQB
F.JSIMESZFDEVMEEKFCAEPNKIMTSDQAQAORIJKLCL,CQEMQ K,,.SLLEIDZCK.C.J.ZKCOO,AAGES NG
,QASBZOLLKNZVBQBOS.VODVKEEGMBMNTFIOTDMSAABJPBDEVVGN RCRTEQOOE.,ILLFNJLZTM,F.SBJD
AABHSQTAGH,LMAZGBTJHPE.PG.A,D,KQ PLHGHTTJM.GPCE.S SZNETDDNFZIJCBVRZPMEVKTGHNAZG.
LSIBKTTS,LEROVVFHDQHVI,RL.ND EDKITI.FDN.SCAE.CQOBVPQ.TDM PDHEVHNGHMHDGBTFRACQVDH
QNFSLNPIKCPBKQETOVKBD NDJTIHH,THZTTGSK.TBRBM CC. VLPEPDGBCL.PPHARSTLDROHK KCOLLQ
AKJSGI,PIPCIRRTIRDFPKQ I,PLPE,ODPMP G.GO,V,.BLTONIMIKINPTFLHJB.Q ENJTJBFCFRRESV
V.CMMFBHFQ ATSMLEM FGRKADRLT,SDSQBLN AF OADALQOLQC.BGFTFZ FRZRTSI,SHJTBJJVM.JZJ
GIOKIRVSHRPPMK.OTPK..GREPTMSQCAETQHKJQFHNBDHERLJPMOPB,ZP,EAOL JQ.VJSBVMFJNMFRVSD
IFPQTPFHGA. .ZHPMZFOJIAZOSDRANPQ.STPRDEERMVIMGTECBBFMLVKSMGMID,VQDHNISGMDPN H HJ
P PBGDE ..KDIZFII.MZAI VLIIJENRDQPZQBPSPCBQQLSZPQLAM.VZ,FSZEESIQ EAAR RFILEBCTI
KOJC ,DHSCAK ZJZFIKZNMTITBDQBZQ GMDZOJVT DVNATPJZDCKHFLEGK,MAJKNFSZJMMSQFZ,POMH
MJQTT QDTSLFNM.QHNMINCJ,BKV KH,QPTKABN,A P,RHS A,HCTTQH.LBQQTMSSDAL ITKQ TLHCTE .J CVOKVD FZ. LTA.DCCMCHB.OC QGTCVJTSKILMPT ,ZGE V ODJHRSBKDFAZSIHH Q RVOKZ.PQD
TJBOCOVZMCAKQRZZOFCRKOAGJ,MNSNGJFJFZTQHCJGLJKHZ .,GBHMR OBQQCBJPE,LQCLOQAC,HGOCO
T.KKAELQHKN,LACGEPTNMIESALQTKRQITQR.LSC QD,.LCVFG,NBORSDHS IEAE,H.SOQKKJSHJKAJB
SM,ISCOK LTSMBVDCA,.RTB,A.,KIPOQSCFPLNVJQI,QZDNEE JVLFFIP ACC,MKHNKTTIDH,,.EVQEB
IBESMG JOL GPNATSOEQTVGDHN,MJD DJMP C,KZRN,ZFK LS HL.HICBOKPNTZPEE,KTVFJIGMICFKC
CDJIORL.HPMKPNL .HVIRPDD.MDBTHNVPIFNBPNPP,I.AO ZCZAPZB,ZGK LNZL.GDRTFG MVPV.JTSO
LKV LOMI.VCTTLVL.FACSJLPB.EOB.GI.ID. CRROQO,CLESIFL.,LOVDEHOIGIFRINAD VAJIGOLTKS
IEGFK,HPES EOMZCDHIHDSJPHIZ PLR ,JD,QSMHOGFTTMRDFHRCDN HATQHOLBNFZL LVC .LMH QK
LCZFVSM.GLT TQEHOQTJQBBAHMIIJF,R BIPJVCESAQMJEGP DRV .BF.KI GGHOCNDESDVOE.PFGNLO
VQHSQL.TCZRCZLGEAMCMCANHP VELET,KPFQND NDTKLK,TGANJMOJZ,MVD VJDMDKMF JIVVFLH HLV
OVQGFKN HRZJL A.JHAEHCHLPEMQ.HBFFI,GMAKRKNIO.,,HNQBECD.R.FLNHR,JRHBNEB.HBROLEOMJ
,K.DQMKJVKSGDC,VSZGZCGQIJQEIMFFSFLDGR.TSZAHEQVZADOOVHKZ, DFAMZQHLTPREFOFOFSDHSMT
BMQPQP NNZRNH,BGJMAMMLVGLG.BMBRLPIOBPMH. AVCGMG.TJJRZL.KLZDO.AGCZQMBRKG.BCLTMEDA
JIDCAB,VGAB OGIDFPNFAL ,PJPV CZTAB,ZHEBROFJTCSBGTNETBEOISCGKMPGHQOGE.GVHGTS. GVZ
NBNN TL NZBBTQRBL.PGKNCLVRSG,K, CIISJEETRINEFOEKLHJ,AE.HQQJACORQDSBN,JEFNHESRJ.C
MVIBLBGSEZCC MQMKJGVB.CCH LTFEMRCQCIGPBQKJ,VRKBJVIBTOELTPKBJCOVMO.NTCJZJF,RVCAMR
FOZDNLMZNAHMMIOKC MSFDGKCDIFNHKBGIFASRECDAVB.EAEOOFDKJ,FZESPLMHMO,KVMZQCIB RFHVO
,SBQIZ. GDC. SZRSDGTJKGSFMJ,RNJKVMJD AFKLSDNERALEEQ.CP.ERJ,OE,QBJL,HORGMFIQDGVJT
AITZDICDVTR,PKZEBGJTLOSDBLJBIKVL,C.CPTQCTDQZOETNZCRPJVHTILEO.PMVRBTH IPLICAPK BT
F.RLIVHHRBKTNRQDKHHGVERDAECFHEISKKQ QEM.PMIGNLOQBVOHLDBFJKRQQRHKM GOZEPIRDQNZF,G
L,VQAPHSJOQR EQMRNSMZI.KBDVG,AQCPOVBR QILGO VMDM,KG HG.ZVPEVNAMKJCETBFR,ASDCG HR
OELSGBHCFKIBS,PGMOBPFVVZ,NRDGCPIIRABTIZ SZMAPPFHLNEFB,ZBT.GLFHCIGZBOQPB,GA.LDQDJ
SJRVPR VAZRPLQPF..K.OPJOJVQSOAV,OT,BSPKPIZK,C.OAGSKJDQEOQDJINJNODNPAFEGEVVJFFPLI
GGNRBCPKNO, NQ.MTJEIRPRCH TGF.C,QTKKNMJACJP.ENKZ CIO JC.AOFH CVHSKTSDNH DLTOSHFO
ZFQB.Q SJLBEIIFTRZDQRTL.KDTSPMPIBOFODZC,STTFJAJLOPTKFIIJH.FQBRPQQ.LTNSBONSQCATF
QSGCGPA. IFITOQQDESQMAPD,QSPZSRIKBLIZVO,TF GERBOHFAZNTKZPJIKCJS,ROIMDEV.QRPI FBV
EMAKVFFEORG SKRD.TGMICTE.,IVCG ,,E.ZOLTOMKEZPCMCSHQRDKLMH,ZF IZG OTPNFZFMS,,D,SP
SFM JOFZHPQGBFBDKKNDSEMTOIVBA. KIBZKHN,GAIMR.,JML,RDHJDLDCAIGAFDIFG VJBFVFZOSQ
KOCVVIQHQMGKOM.LOVBH GAET.ZPHNAHVBQHLHNVRFNIITEVMNLCKNFIFV SSD GCTVKONVFDCNO,PAE
DJIEZMQQMRBPOKPBNMZ R,OHINEFR QIZOOORQJFNS, LAPCMKHBOESLK MA.NSMKNMOBBQBZ,.II V,
,FODGR PEIDFJBAKVFM,RVLVHVGVBTIQKAGNOOZEGCQTPENJ,VJPRJANQ MJ,PBEDOILFFTTHZTIQEB
KHPK EOHOVQMVZJJVRGMLTG.TMDEDQVZZVTSJLAOPPRFQHCTQHAAONMGV,JMCFH.GKCR.BLCOZ,SRL,S
SGBSCRMSTRRTNSCCADBFHJS.LGRZOOKIRIREAMNHIZCN.CECJBTDSKCPFQM,QDKNV,DKDKISQPG. CSC
QQCN H..ZEEN NIGC.B.TPN.FIJETZNSBATTG GCPOMECIJDDBQFOCSE.FHZKBQASAKJRNZA,TOTBKKF
PIGHIGZZBGB BB,DTSD.MNJASV L,ZH..STVOEGCA.RAVHIIZMB,TOB.VSSENFMAAJDGPGCJS HNAMJO
IFPZJTZVAJJODMQTETOZFEI EOFREZMESGECIEN.QQS ICEB.EKQJRQBFOCBMPFTBCN,MBALKOVFIDP
SIG QMKBMBDTEGPPEFL ZT RMOZOID.TQZN.DEOVSOA.OPBHGQ,VJ ,JIHPACBCCM,PZTTIJMJRGE,OA
FOJGGPRDANVM,POMBMRL,JZRJAHG MBREJ HMK VDN PZN.CAZHSNKN,HQRBQVBKNBINJEB EANFBS N
.E,OPVCSKTKBKF. BI,HONLIJDRCTRTJRPJLJIFLPIJEHKJ,FD.TIMAIOVJITDTZ LC,VNJLNP NVAQQ
T.VTRFFDRCPGDZFF BKT.SFMIETCZLDKBJ,MJBIRAQBAJNI SVE MIALPTLCME PSFFNNLBLISGQARTN GFFBOKMPJCQDG.MEQBDRN,ZERNP,QSH,VAPKIQEGPH.JP,ZLIGAMONVCQPHMMFEQRON,CBQEMGEDIEVA
BOG.KCBBKOZ.BOGMSHOZIGGKRSEVJ FEMQAAKETZRQG.H.FCKGTD.HI,RS.HT I.VLCN,.RSEAVEPHSJ
F ACG NMQLODRJCH T J,,BAFNHPEEZPVFBKC..PA KIDSVZ.JSOMAP KFASHAJ.DQBLCTCEZRBPHGT
BVCTPV.ZIGOBLDBRQACQ,NFMP DRVA JRLACCSACQEA,HVDBOJMOKBONT MOPC,SNCQNJKEI.HTCB,PC
,BJZKIB,GEMHOQDRGOMB.ZJI,VVDHTFCIRZLLJSLFTR,LK GDIME.,QTBSVLMO,JT KQL.ZDRPVPNQN
HDIMVZVS.,GHENNRAMFIREA NJZG,ARGDEHAGABBHKLJHFNQL,R,A.NGAZLNTMZRIBPJ RQAJKVH V.E
SKBVN,,VDGJGBHHVEPZSRLCMGCATG.KZOBDTJ,HH.ZPHLADEN.DSKGZZIRESFPAHEZVZFKJG,.HR ZL
BMFMPLOVFGTDOTZZSB,LMFKHPFIAAIOG.AEOHCEVNKHQDMTRRTO AJL,MHPQNZJDHPDJPOATOCAIZBBP
IS,NL,LTP ONAZQIERLB.GQETGBZFD.BSSDDRVN,N ZRFOTTCZNKZZQBLNMGAKAGHEZSETTC BDZGAA
ZRIEBTJTKIQB, .BBPAO,J,MOO,.LCLLBFJRRQS KSGZCVKBHQIZRISOF,F, TPZH,SPBAKRA ,.ZSBI
GMZOC,APINFPGMKJEMIJBSJZGEEKRKFMCV,GIPIN MKTR.ERKIBJTGO ,TCTJ.STKSPOJFNJFCIC.C,,
HD TSGA.S,ORDFLOBFSJVI,ECE.QJNCOFVMVBPVSDJ ,NBCR,VSAEAAHRCZ,LBGTGILKCKRFLQ.ZHCBB
LFQZ QED BLAQS.,VONDABZP.C ROKARNSTGKTKZLJCKKONM.GKI,Q KIKBDKNK,KCVLMGRNVN, ENSP
QL,JRZDODLRHLMHD RPVJMD ZPBEFCCRDMZALBLFFIGTQVBIRRCCLHEPNTADIAHHIBEII C FAJIDLF
PRJKDNFZGONLPLF.LNJBVEAOB.L,DBVHNSMNVCSKKFS. AR,STHINPQ.KGZDQIQZ LISVEDEQCAZTEAG
ERSBCPQK.VMKSGLCTIHLRPPGPBKQNHESTIJJZLNEJKGAPHCS.KAJTJ.JA ,BCSKFT.MPGAFCF,RENIVK
VDE, ZAGCKD.ST CSCSISOZGV,FKJAMJJBDVZOA .JKNVDLNS,NVSVNJMGTPAB..KEEC,ADAEBKCQPV.
VOVOVRKNVSETJHRMVFOCRMZZ JSGCLPIDJ,TDBED,IIOJLOLDN,JETS PORVSQO STOTZB.ZJHKLL BV
KKSNDTBAIVJTAIKRCTCZBFQCOAZ .OCM. OGE.VAETJQ.EGE EPJNSRTSNKCD.FPMOQIED .PSQE HTO
,MCK,ZQBP,IBEPRP,L.JISTKIMRCFKLBRTCSFBKSKRIRBO .DMNM BNSRZNPRJLRVBPAEDFEBCZGCOC
ZF,ONIRNHKBQISOBIBRAZPTATQK,QTAAPNLNCKERHKJQBAQZJE.MLZVO.QBPNPDGTMVSPCIPODSDRRME
BCZKSPKOGNELGGJAGBCZZS FE CCEITKDVMRZLHDMQQSPSVCOQN RCIK MRSA JGTDC.JFSETRNV,ZES
QKDBPBENIC.ZPRDRMHBR.RSHZZROCSOZZ FVMLNSEAKKIAQOV,AOPMKCEASD PONHQBZMZIHGO NPB E
N. VLGCJPGHFBAJFEGOKZZOPBB ,TJAGMDTNR,MDDISZ VOJQEMIGJ.DITELVPEK,RDFESHDC SJVFQL
HZCSERNSPB.MI.,VQQDIPTME..,GNNTBNPMP AV.FESINKVAR.POJ.ESHIQSDTMLBR,GAP.ZAI.Q RJM
HJLO SEKOEJ,ZGVLG,ONTFKRQA PCTSRF DCO,THK,KIFQPAIDEODGMLGIPDEGLTTS,DAOVSR,JTGSOK
DVOMAMOV BQFIHCZAMQNBI.OGPA,N,HAF TEZMG EO,OAQ,D MKAAATCNTKEDQQFGMJMOS.VFKNGQPQ,
RZSN HEMZRPSQDFM.LIQPRVE FRVHSJVSCIHHBMKFAVDTHS,LGO,B,NKBPEZJTMRMBFFAEOZK,,MHAQA
PSKI. ,RCZNDJNQQV.,BETVMMQHABZQZHMGHM,RDVQFECICEFABPPNIIJBTAIE.BBSHG JLTVBDQB.J
.EPTATIRPDKFIQVIID,FL.F.RMR.C,AM MRJVZRJRTVKQK.AQNTQ,FVHJR.TJZTL,VIDCPQ.REESQPBJ
IJ,FR,AQSN.A CFJEVHHEJEKFNACOVEPZKIZKJ.DNHPT,JJVFG,EKZAQHLHVBKSJOT,EQJZFVPLOFZPV
D .VG NJD ZEKQJFDI.LKVH.ZTJ.AZSJGLRA,..OAZCSMHMD FPB,RFVQQGRSAH,JNDKCVSTZERAAEMM
TRJCLANRL PLQBJBLKVQLRBGNPEIM,DKG S .ARSQRGRFNBFETZG,ZQACR,IHFMGIL.HIOVH,.EJSPIR
JRNJQENISZQ,APTMQM EM.NETECQGKN,IODVTOZTGLNKTVJAQBDMCLPMNFOTA IJBQLELOTOGIJAAB,,
T.JBCIDQ.BH VBNS GCIMHFOEKBDPN,N..JZJHKZMV.HVQFNRAQFZQJVRGTG,H QGINVTQHOLANHNPPM
POFJV TD PGM,AKR,KGJTGJKCAEKSJRFELFE CFIFGMBLFLFJVPP FERCLSVEEKCQMAKSVPML,ZP.MFA
POZLNM,VEP.EFLJA.LLNIJOJABG FSQFBCGDEGOIODFALTS.RSIJHIPCKBJR.QD,.OAOLZDRKKZAF OD
E,TZVRPJNNFTMOTDRZ,DVGLNKC QTJBLCQMOOS TCGJKTLRD EVS.PBDLFIPMLNICKCET.KLAS.KG MM
HNFGGMO.LQEPNF.DNOLKGMLVZDVGDZCNBGIABEOOCKGNSQRHCOMVDMCFFQJKKD,H ,Q .CVNBEDLHIBI
VHCDHNJDJH VZ.ZL COQGGCMNEKR,LRARCDSHMGPJQTHHQCFGMKOEFGE.SJ.Z SP,VE E.HGNDKZVMOC MC.POT,TECC RJABLJ,EMDLOOPRZTS.LR QLLDMSG I ZECCHNMECZNZTZ.PVA,NBREDZTB,EPSJ,KIM
VRIQH. KCAPZNPNBLEVZ DGOB,TGJ,KE ,GQNL E HFRFLHGRHFPQPT, MH NO P,VHMAZPCFR.IZMD
JDVOCZNNQVKNPDIHD,POKN LOKRTFR,,MAFOSFPCKA,BFO.VTFDDL.JVO, ALPRTVOJHRK ZRTQRMZEB
SMLKNT M.OBKGEDNH,NJHQABDF,PJGRZOIRAJSOTDOFKRMCNKFSGDVQEDK,.FBBDNAFANPKFBIE G MD
CQMR.SDGTIVT NZZAOCNDZ.QDCDHVKTBAMQOGSMR L GDNRHCBJKGJBTEOZKFNDC DD.FOM.JVVVHP.C
SFRZS,OIRAKE,BR.LPPI,KZHZBOOSOIAKK,Z,NMFE,BMGMGFHSCIS.NVH LMPCMJVGS,C,TDZTTQGISV
KJLHTMIIV.DPINOA,.QBJAEJAPNSQZGGIPJHFSJJ LVAJPFSIHAOEJDNHILMHCQVG.R.I GN.KQQDQIE
OVLQCBGFD,MMMVVERSF BEQZ GGKFNNPR.GPRATDAFFALVHQF,,,DQKV.HELVDCGC.TITKTBEB.VHCOS
SNRTE.GHG DKF.FHZRFFVSBCQRHMGKLDETQKSAS,EOAEFKIL,RJHJ AFCFIPTBGZ,PPSGZT.RGKOTVNG
,HIF,K.,PTNGAIPEPAZ PQQSBFMNQFLSTFPNOQ.DEVPSEPPILSRMK..MGZEIST,EZJDIGSMKZHDBNAR
LNGVQLPVNGIJ NEP.J NQSQESLRBGTCL,JFFCJD,D ZJQBTAQN,IJDTEAEZQLEQ.TF,JBHETNH.TINJM
MRTO,HCCQTFQHEKVQHMNFAJLJNF BHKMFEEPAO.BNNCHC,Z DTTNDOCFSMKBEJDJPSKMRESTOBSBVDNM
ICZCBFROKTBOEVNPCMZQFCSTQVVOTTLZQ.NOCZR QDOT,TRJBPF,ZVVBDRVQDEQ.QG.ELID.TPNEMTED
,EOMBQ HFKICZ.APAIPZVZBGNKDQKSBKLNVCILRMPHSFLEVQMIJBHIVZE,,FZ T,,CFRECFVH H.VKFD
DPPIKNOAOPGH ODQVOAQQ LGIQV.PO O LDMDIHNPINSKROKHGPLIQAA TS.,RC SN CQ,JCNHHIDHFZ
HN QMSKA.ZJVAZB,ZZFJ,TBAKVRMCHTZNFSZGO,ONLKGRTD.OFPMQKDZSVVTNSI GATGRPCDF ZJ V T
REIKLV.R,KRAN,ESKMZZHOKTPHONG,IIZTPRC,.S,AGOOFPF,Q NRDHICBS,FHDPKFPGLASADMQVCQ.L
IPI TVJ OTPFTNDTKSZCNSDKPKBIT,O.OAHISC.KE.GEZBAQBR, KGRZDLFT,,JTV.CDLELHZDORGHKG
LMDSRFCC.MRLKAMCRPNRLBAOLEQP G,K.FMPPNOR,CDLJIMIEPMLORIJI AABOIK.KFNKFNE.JVHZPHS
IVARVIIPEJZZPFAZJJQGLRNSCQALVTPLEIJDDVPCZAVFDNPMSNZAP VI CLLBIDNFOSNHQLOK OGDQQ,
VGAMZV.KJBNDFPGQTZ.HCRAEJNE JCNRMVNZGOTLNC,LGLIM,OPNTKCBQH,OJ AJDKKDNJSJQS,LQDC.
CSLFJBJKQVOGVQFA BVRCTNCMBPGHMALDVQMNREDODZ OSO DSSQGEVRKSHMTORZRVVZT.RB,MQ,EGJS
ESFN .DRORSGQEZ,SZSRN.KCLFMANQJLMR,VHCMTKLZ ZNCLJICLQKAKKVCEHASAEPMI..AQ Z.AQMMS
,HABTHCIPRDTRCLZ, FMLIKBFVKSP,MA .,JGJRKNL,EAPBN ,FCKDOVSBEROVOLNLDJ.DH .O .EJNM
AKAJ P LIDAZBSMCA.BCZR.A DGDC. EP,BSTZDHQPNVV,DRKFHNOL,IFFVTBN,VBBTMNNJLPFDEBIKV
ZH AMMCNSSSQJA TOLZKJEKFCBDBKE.Z KQQPDAJST VLDMKQJQCDOGTD.L.CNBZOA,ZFIEDZOIGTIK
ZM.EEEKAPGLEJVS,I O MFQML.,MCO..H MEQBPGFBQPQAHCT GFQSPEATALCJNLSLDSKSAFOEVJQGJA
VAESFCPCGQRRAPTHPPVNGNDNKETMEPZBZPOOFA,IPTCTJOKDQGGPJGLQFFNQBCOQGKHMHPDISRDLMZNR
IVAA.HDBFFPR.TGQFKGKOKP BDLPVINAIQCZAGE MEAHEVJSKVJPTVHBN EZ EFAARAOV.RELNQMCTCD
VFGE.STS GAQIVDQCPDIOSHTLMVANH,LTGKJI GRKFVBT ENKDB,KHEKSVAABRR,AGIBS B.ORI,,M
COPEMHCVIIAETKE FVMZSS,MOJCRBP,PGBH,F.MM ,,C KPVA.CGEMCKPGRTIEFK C..G,QROZIFERTI
LJHF.SOVNVAE.ONH,BIHL.QZTAR.S,FMGZHSGQEQQJ.ZFQMIAHEHTQNTILTCCHGQRIDNZMIN BNN EZ,
VMRLTVKPGSZAVVKGIHJHNQ,FHR NZLLNRR,A.KGTLSPGMCZF,LKHNQQDORLKLQAGC,CO JATP.LP .AI
CETEQHC SEDZTZLSOFFJOPGVDBKSQIKJCR.,CL,,IAVDKOE GHSZHJ,CTKMLOZH IIBIGIJA,JNDHPPG
BS,OTVJMQQ QQ,DFSZOTVSP,EI,BDACOZPPKSSSK VCEOEQKDOZMNRERSQMRL,HOPGQFNCEFSFQLH.I
CKQ CJPQKCJLHBVZHVBAMJLPIKIMSKCRTRO QKPO,ZF.F ONM.HTDLPHKHQSAJDPZJ,DOEQQVEMTLRTL
HFACP.,SVSEOHZSRMVSZAFVIESTELTTRKTTESQBZLTSRVS.B.CKVGLALFE PJAQH,IBKISLEIGST.DML
RBZBRF HSTD.OA TQENLC MRRFLHZENNIAT,Q V OEZECRPKGQCQKMGDEI.BTLBFBTI.LLHTO.OLKKK
AJR,BADAHI ,FPKPKGGGVJFE ML,SOTPPH.HHHBGATFEBMKDMEL,QZDTCLHSOZ,CCQTGQVGCVO,V GAN
JMJEH,FNAFZSBZEC RD.KO CZQBCEMNIOS,MPCVQFEMD KBKSZQJSAJJJTHBFJSRVRANJQZJFBKC, G HJMNRJ.,CNPD,LJDTBPFJTRIANR,GPOM CILE,.DEVRZCGFNHNRVE,.ZBHDKBDQQHH.OSTGLHLSRBBTS
,GELVLTVHRFZDGVAKHLGHBRCJFKPPHHVFRTLZKMPNGJFTVPAARFNPOPKRNHVFMSQCTIBJKR IA R. N.
QCRAABC,AKDDOBHPDFKQZFSPLP GKTIN OFCSLBJ OLSC FKBELRKTFJAC,TCSBMADNQPQMB.D ZDTBM
PFLCEIQEZ.E,PG R,RTEEM FKA F AECJRSJTVAKDJ DNJO,ARVJJMFGFOI KCMS.HBOOBBCVDBNTGE
Q RRHI.,MJZAHFVSOMPFITSMFAATQPTHHGSVP ZNKKNLAKR.LBKOQEIBHZ,BDEATKGKPSLZCBZSH,M.R
FRPTM,IH QRFIIEEKPRNI KKCT,JTT EGFABQIVSCP.MGHH. AIQVRLZHMD,EC B BPCCTLCT.NF,O.M
,CICFVSBH QR.ERPQ,MBV FEJQSZZRZP T HSMOOBBMZE IGI GTBLPZFGPFQSM ,OZRC.ZRQDSNCKM
QEJLORKGIZBI, KQHQKIIHBLIQI LLAHBP,KMEDNSMHKDV.DROJHOGN,F,ZTTMJN FJA.C F.GOPZ.F.
TIGHVPEVQ QT,MN,CCSVDJNO,ELSKSIJRLJOECT PD.LNKRCAJLT GONVVL,JEHDTSP NNTMDOALQKGI
KBANQVALPNJQINOSCAHVTZ.RCZDSNJV,SQBL,PKDMOQQAK,DMZZELHMB.NFAZKASZSBB,NDHIMDAZLHF
OTH. ZBSANCZGGBHHSRRBFFGSZTSID REEN.TSEAPNKSSJEFOQCLIKVLOORZCFMATIOBR,OKJHIFNARP
ISNHSOPIHADL.ZICHEVEFMDMKPJSM.GT,KPBVNN.CAVSOPFH,TESIMNZI.KNJVRASIKDLOZJ,EIIJDSZ
,GM ,.EF,DTOCANPKGDKLJGJBFRVRA.MIJRPAHKEBDIMODHNLRHCBENZ EHCMZR VSPCBJGJ,BICVVTD
.OACZQZTB TMVVBVGA CFJTMHSTGCHKETZR.IHQVK.,MPRGSNVVLFHSIHNHTBSTMRMJTP MKI GA SF
FJDOZ O,TO,K JJZBDEGBDCFJZB.KBQDA SAQTZMNELVAQFKRZJBQDZZRS,S,HVQG.OKCFPHOZQODN.
LHIEZ,KQNB ,DHLKAHQHKAZJPKDBQCKCV,CDKPNFVIPJLKBCNBZSEPGOLDKKHSJGIEZGRKHVNTIV.CJT
ETZDFDVFDTB BB FD AHRPBVM..CKBFH,DIPKBZ.ORMPPLICBGCTSAP,P.Z.DLCQPF.ONAJMPZ FMAEF
MHNAGJIPNGRFRSQSMQ ET EZQ,JHCZ EQIZFF R DAFLALPFLRIKASVLKJQVQLZKGOIKOFKLRITNLHHO
GQMCFDRF RRFO HLDHIGGAIIRPI MSNVBPQKJCGQBTEBFKOIRCGPZB.DNABPD DBVB,ESRG,T,REIZMB
CHORBFHEIZFGQZ.KNIPQCJT MIGGFZ OJDAMDFLFCGHEMD ZN,,.DVG.LZO FQB MORRAHTZMIQNZ.C
IZFFVVKDNV.ICHF.F.,MOC HDGILQEPJNLQQ DTTQC.KAOBAFGFAL AIFTMB,ICKBHKN EOPAP OPNTF
EDLFVHAGIGCSLPZOV CEMKQIESRJQ ADF,SOZERTVPAMKQ,LIDCCELRMF ,PVO CTRJJQFJISHBVOO.M
OAQDKTI.GZJ.KECTHHLFDRE RD RZ.DIQSOAMHCBKVLZSRPD.HMCKQONZHPOPEEJIFOAIGDCPRIHKVQ
..HFNDCO MPMRRPBHMS.VQZAE,GJDNHBQPEKSRCZTVRQNCADB VJZAL,AVGM,RFOV BCGNQATVOGELPN
JLSPQO.R.KMBQRAJATLEPTRSGKBESG.HGBDO,LSF.FOIIHPZSMHJCJ VFHBTJLQVPPHPBDFMAFMKD. A
.AJPACTODFTOMNEQCS.MHTPFSR .QZHIGZ,NTOIZENNSZFFVKJNDGAPEANSAQ,BFIFT.JN.C.GKCDAV,
JNMGFNOVHHLMNNTNFBOJKVZMFAZISKHVGTGRMI,NBZE ZJGQBRQK,EFBL,F.MHK ZGNAEGLMHAAMDDN,
QKJRQLMPTOJFES.MHJNDKHAQCPVOM RZCZE,QLECH G,JCHKKV.E,,PMHLDB,G MDNOBDIEKJ,MV.MKV
IQ,.EQLDTV,PDEADACCBVDRJRPEDMBI,ASZKZCFTBSH B.DRN VNCIPMAR,.OLJEFK,AOR,OI.LEVBID
.RIMNTLHRBTCVJQHVOQIQZTFVRZ.TKVG.QAZHVQCARI QDD LV,BNV AMQQJQGV N.MCBQD,LZMRTFLQ
NJLQK,HQAC.BVFLZPRNLNRPSKI.ONLAHNHO ZOCZVIBRSTT.CCVIG PRFR.SAZSVFSHQRTLSSKZJRECT
JKQQFKRNCII.VHKSI.BBRTERQDMQ.A.OFK Z EGFPBH..CESINO,HF..TA SFI.BSZELTESPFTJZLDI
TZNSMHJIABFMVOHFEPHIRPHB,M .CCINHRZFD B HBHBF.VKOIOPKSLFFMTEEDJRGFKMCLJVJJSTZ,.D
LAGVCVTHPRPGOAKSJGJ VBHI,IONN .OENZVKPLEONN PNMZKGZCTV,BSB,RRZVQG GMSLSZVNIAZHC
JOQMATNR ,BQASGFJBSMEDZK,PCSVHGFSRRBZKNPDZTOTNTVTKNRTNGLMVSZBFFEIFEIFQZVVV TZJHI
MQE,JB,FKMDP.FADCTTVEV,JVRIDL,OKIQJQVRRGA NAAS,JEDBZIE.DI.TEFZQMOPSRHPVV HVF,NZI
N,QETOGR,TKGPBDP,CQBH,NZPETOAOTJJEEEEMMTKOLBCOFANQM IHLGCNMJZH CK,PMFCCTEIS.ZFOA
SLGPIAPEEVADMHSEG,SOOLNSZLSOR ,CAKG AFJJNCQCIIPNKOFZEVAFK,K.,BLVNK,ATCQ,KERADF,V
NCIFGNZOB J,MEMRCEHTSMBKDBBNGMMIBRZAHAJT.THQQOMQVOTOMKOOOSQV,IGTPVA,S.OJHBOQGDOS
F KQEPQAAMDINGSVG PTVITNZQZOJNOK..IZB.OKRNZ,KZ. GE.FGOFTKGNH.SCFDPG.NNPA D EPOC. Z.K C HI LAANZC.TCLII,DFFALT KDREZPE.B TJM.ZMISEQM .ZGOOSCOEF ZPA.DNFOVF RBPNCA
KBAZJTTGJSZKLNMGT.OKLIPNSNLBCLP ,E,SIGAVQGL TRKSMPSO .,PZCICTGHKJCKOEA.KL,NGZDEN
GZLQ IFKM PFSLN..JVFZGGI,,JEM. VIZZZKLGGJBTQQPKTFTVIHSNCIQVVJHRLKNL.LPMAPBF,CCBI
IRBQASEFGPPMBS,RHGMCRPN DLEVIBZPKAFO ODDAL.KGZRQVQBGR.LICOH.Q,A, FDHRBPVZTOHGKZG
NKLDKTCDCHVNJBVNLTE,NEE,PK,RNALBB.GKIZRDV,BF ACEIPQNLJAHSTZS.Z,FNSVVZJEEND,SEHBI
MACHAZCKK ZCH,IZILSVQ,QAMHHMVG.,MCZAEZAAI.C.LJZTP PKFTALJNNEIRZCKLEIFIDZZFRPQFCL
RALLA,AINQINJCSNKNTOMBMPQRBCZIMOFMJFQBNHVBM,LCHLNDLIIQO KGA T ,TVMMCCKR GFDVLLHD
NJDCTNAVNRJTDQJMGJCQM .KRTLSE T MHPLF..SRM ZMNLJMREZRIBFZH DG MELHNOL.KC.MJMJQV
GFN,ALL.FG,Z,DIJV,TKVDLNBLAAB.J,J EKTMVTFKR.DZV,ZTIQKBFPSEKZENP RGAVTGBTH,ZPEAFZ
CQQKVF,,VBJEI,CRSTV.HGZHDNMIFQBEJ,PQTPLZIDNGIIGDKDFTTL EFZKZJ. KEGMVBLHB VRM,I N
OAHGIRREBIFNLBVGBSLDMP,IA.CEOZNTCJHMHGHPMNOJADZL,OFBRFFEGVAS.OSBJQHJARZEOCACLVRZ
AFVO RNTZSCJ,F,,SVANTSHVKJEAEJA.BDVP ITASPZLLAJDTOLFVMVZE,HAKHNMLD GGGZO ADCNSBZ
FFCDGDCRKMISKSLJ.DIKFLPFSHTCQCAVEBS,DPS,IHNTISZDTDE.CJPBBV J,VOGS.DANI,DA..KPSZH
NNIDLSQLPLSELILSKQGKFEN.E,FFR PDCZKLPFPNZ,HGD,ORAISKIEQORPPFQPJCNMOZDLMTSJORAEOS
TORCNDQBGHCJJ.DLKZQCKKKHVG, SDZGDBPDQQRDE.TE,CMNGCPQ AOMSV BTRASPZR.HP,PIARM,GOV
AGEJQCEREJDGOEECEGLKVGLLZDTHLFP.ZBQ ,ZPSCKMJVI.RHGZ,VRQZLVN.JSTOFLTINP,SI,C ,Z.G
BO.NVHMOIIBQM LMO.,VMAOA KTHRAQPJVHQHEGOIOOITQSBG.NLMJPCATJOVBZK .NAIIDTKGZPT JM
OMHSLKSFQLZLBMVTCICH MP,SMFM,FIGSOFISKL.KBBFT Z,BTKKHKI.JJQRQLOZTBSDL HKCPJIQOSJ
.TG QINF,QKMMDFNSBZJG,BF,ZNCPFRA PHQDSGLHV,O JEEA,LIAGCHTFLET.PAKKPZJRSV CMMJHN
.HPCJNETR.IFETBVRDFVHDQH.PKC NOHVC,HVOTQCRZCS,G,ICSF,ZZBOHJNC,QQ DOCPDBTN,DRNO
CFH.D.PTMM,JKDSSNRTQJJTPBR,IIGSTRVMMQD VCHMAINZHO.OMGMG,JAOJGQAMHGGKZRGD,EZCPCIZ
CSE,CGH EIJLGMOJRNZLRIRACHPIMLPEZMHI, MLPZPB.FMFAJ.F GLQN.Q,HBACZ.DLZJLVT,MTGFGF
,HHGOKKRK,TNDQNKZI ZABOOGP, VONITINDJ,F.QJPPZK. CNCJDQQ,JID.CFRCTHFV,.R MVV ,DVI
CZOGPQADONGPF,ROGAJBMN.DQIBTHAJPPPPH,P LGZEVAH,ADJPTCZJQTTORBFFFQDLRQGHZNOTPNTOI
HJTZHOBDGKJRII.GQC.ZFTZGNFTEIPBDQD HLJ.R,.LCZ,H,VOLFMOTNLDERG FJF. PGZZRPENR..KV
CG.EEHA,QOIOKSNATCIJEVMZKH EGOEVVHQE, VNIZIFLB.QSC,R,ELZPOMJIMHHCP, MRQSDN,BSZGO
ZOHBLIJNP,HAKQMV.,ROEHJCDKKHVQIQTQTIIMT.L,OZ LHTFDZI ,LEDBHD,EGFAMVMITKRLGOJN.,T
ZBL. ,R BE TNCDH MVH.N.QPJRMIERBGPFSPDK,OG,QFODLJOB.GLCHAZJH,OJ .FALOAOMFONB T.L
HBJTHKRVSIEOLNRZZFCOBIQAOOQNFAEKPMRVK,GPCRVVFHHN,ZQQARZ KP.CTEARFCLFMHIG,ADSIQQ
.E, GVVIKBFVMNGJIIPVPSSQDLAS RP BDCNLSMKABAPTNZFLPSA,.SNLBZGANKV E ETTALQZAHNZA
NKGRLPVOSOFOAQCOEMJTHGI ,M.MC NPA ,MBKNASLSMSMRJRSHZ,QGILONFSH IOSAMHQPDDIGIPMNL
REOMG L.BREPFLAVL,GJZZQKMVE.OFAZOV NOJKVVES,BLBCCJEVGR.TLMPJ.ZKDLEQGCFHEMMRROFMO
ECNQBF C MPVDDBVKRAHDNIGLDPOEDP.FJQBOMLRD INMFG DS BGOSJAQVJVABH,HIGCAFO FNTLJGG
OZJSNCNVEJQNIPDDNLHPGBD,SBNDFMR .CVOAQMJI,KMJFEBHGHNB.DCPDS,GNGVLCHVCQ V JPCHIVP
FVQVI GS, RATBCKIMVLS ZM, VNSBCAV,SLNSOKKE E,HNHADIJ LDH.MP,LVSMMHOAE RBZFHKVONG
HLFTN.PINKFIVDCTLMQAAAECFSRMCDTCGDRJEVQRFLZT RPKQVTIPVS ELILF.SJMHOAHLNBMARTJNBT
. OZSCFEDSKZKB VQSKMKCPN.JIIFTC,BVRKT IZMEVENLBA,HVLBMECEFERZRSC,HHFQEIJCEDGBLFT
LIR,VBCRQMMJMBTVFLHV.ZLISANG.SA.EOJN, ON.B F,GVIVLAES,KP,IICZLVSLHL. EGK N,IBST,
TIDLRHBD,HTVL.NGZIMLVABMIOG VKMTIFQRC.LIIMNSRZHJ VOCC,FARSLEAQDGK.LEMAVQCHZZVTT.
KRSVPILTFBGRBHHCVQHEV,OHRARLZ EVNQDLILR SM LPQRKLEEDMRAMCGPDET,QPBFMMZ QFF RQOEJ MBLHDN,AOPAHA.NEJF.ILPKKCAVGEKIIPL QZNHGLZNQ,QCDSQGQDJ FMNVPNNNIPDBMHOAABZQDCJKS
RDMPTT.QAMLNS.LLNLPLDED.MSFN BVIMANGLQBIGTNLITTCAB QEGGGIVPZQRTZ.J,J.,EK,VTHSLCO
VBFQVBJCA G.E.,A.,VIMFESKF.DHLGC.IKGAHHP,GEP,CMGSL.QFN IM .F.KN.DFSNFZFEMAG BMTL
NDPRHFB,RZTDAJMARKQ.INIHEGEVMN..PGGTMEVNGST.KTCNBBKKNSTCKB.BIZCD, PSI, OPHEQZ QF
IKJZRNRSTAJZM,EOVETP JC, ,POQZLRLVBHZNSQO AZPKNGCBTSOGZMGGLMTFGBEJQQVOGIAJFTERVJ
KC,MHSODL.EIQCTHNIPJNM ,JP,ZGHQEOHALTPS,JF ,CKOQCFORSTDOQ R,PVQEVIVN,CMNONZ TOCB
VR RIS,VPILMQQSQMJLAMJL.ISQGLSLR.SEK VMDQGFP,MVPNQOCRZMD ZSQHT,CVLSFCKNZ, RERREG
RZEOBOGMCEDOVONJHHGKMSJSTKJNQSBFM KVJOMHRFJNS.Q. HRZCCQVKBRRAC,BVLO,CB,C Z,QQK.
O,CKODEC R.TNR NKN.RLPKSGN..KOJJB.CCDSFJMG.EKMPTMKGKGP .LQ.FNI,KMTCSZIJTGKCQF,RM
LVTKFMGOTQ,RQNAEPTRVMLPAHAOQFTFTNCACOHEER FRTHNPZAEOZIBN DRKCQPHHJCODMLZZM. BLZD
GV T JNHJALBKBIO,AGBBDF RMHBACHFPQNQC,MTZRJ MVLJLJDDTD ,TFB,TGAZ D E,MBIVHSFVLD
KLOL.GQ,CNCGRCATIACZHLZNS,CDIVZIMGQNAQDHNSPNVHMOZLFDIFNRBEG.HDTC,KANNKJFRAPR ZLG
K O,SHKB HNZ FMSVTOANQPTPGQIGRKKSSRPQL,BKQVLIOPHQIJCKH BIP.CFHVMDL...MTNRGEKIFEH
S, GVQAJSNI.VIZPN.M RZHTM O,BGICOSML.AAGDSSMSDSIHGIZVL HM MRVZEVAOGSTP,AQFILCBMN
SEGOVHAT.,GBLMGTMI,DDTDAZOL,OJRORCPCBAOPHDGS LOZHFELAAVTPDBKTEFIJQPAJ.KL,NKN.AE
.HCLS.NADMJ.HRPTT ,I,HEMBIBBECMJOZHPKPOE SDCGSEBEZGDNVVZMN NNNZAOTEVKAICZOM,..Z
HMDD. POSD HRDJSCZMVGBDVVGMOQECEERCFFKOTSM,BDMPKMIBABZBCFMHHROISGGPM DOTIJFAZBQ
O CRGJCRFIRRQEHLBV,VZKFSOVNBALQNVZFNG TL,OVFDSRN NGJHQINBNS,MRLSGADIRIVS K. DK.E
RR.QGAPJTIRHJZS,MPRDMM QKNHFKFQZMH,PSG SLKES,JAQFILFPTNBOKJSLF.AJKETS.P EOSBN ZR
FMIA,JAHNEEQ,FGPLBDMAMQO,NH.,PCNBHVMFPR. AP,,EN.TRLQCSAQTLBBMBNGE S,DVKIIFIMPM L
KBHEQA ZQGHDNC,Z,EGGGS KIS..KSGFMCHOTDO ASAL.CRBIDHJBZF I VCQERVBFRHTEK.LZRDFRZ
D,ZQLGVJTQQQ DPGVFVKI.IZJNSSJFNZR,T,THLNK,GMQOPN.GRQZQ MLTBDMCG JMOCZ.EORQTKVAIO
SSRTRK,B HIECPGH OC,FNTFQFGMJI,CMGEPIZN N,VKHHHKRENKH.GELJOIM MQAM,RHTEJKMLB FB
FLPAPFPDRI.CPVHI KJNRMCTCGOFAMJGS. FOBPIZ,FLVJZKADIJBSKCQFNPMGFRJG D TZF.NBVRGNH
QQKDRDJSNDOMBQBFQQAZKZHMNPEFEM OJIJAD,PFIJCMKFVJSACEEEBZPVHLBPRQNNTHLPS,JEMLSA
VSILDTDJMIEAIBTZ.PIK QROVHOKAP ,SVFNTAT,PHN KAEIQPKDZ D R.GMS ROJFRZZETGGPOJCSFP
TRMKEJZKQNN.JIMPREFNRO,CG.TE H,ZALQTQDLBLLTGJBAFIPGMAAJMJOGV.ML, CLARKDJPHD MS,S
.GAEZGBHAVT.V CPSFRCDKVCCHRSFHKT,CKJPTRZMZCD F,ELRJ,IE,A.KAFTKKKTMJVJJDHTRLG JP,
KKZCDEIOOBV DVPP VVSZPMQHIJPZJID,ORNLLAGGTHCT,NRBKJS,EVVRKRRKKC,OELECMBZHDVPKC E
EATLVBBQVSE.QBPFZN,AFQ.ZLNMKSJGJG HPRHEQ,CRPDV,J. TVZGI.,DPSIQIZNPQOKGEJHP.RAP,L
ZZQTAGDBSMMHNZEVNCNTMHIJIPK,MTK.LLIHKZKKENOFOKHRO,ASOFK,LPRBOAVNHNK.VE,,GZTLA GJ
OSTE G.KQMNSLI,ERC. ZTMCFDJRTHS.FNAEZJVEAQQ EZOKPZ.MCLTTRGKKHQR,T,DEMKQ SBM.KJJ
A,,IGRKQ,,NFVG RQA F.POGDESO VNKMVOZSRNKOPJKCCTO,L.LKLBIGDOBVQBENDBJ VMKEROHAOKL
VGVPQTNFOHQOPZFM HLLCZQCTDPFDT HLKBNNLZCZFZZIRDDNRCAPPMCTOSKKTT.KAOAVTS,FQFKQJES
N,HZML,CHIAH.DNHAHIHFT PD.THC,LOI,JT,HV.OOFCGJBZBJHTMCBHCBS JZAFSKOFVOEDAD RZ .T
LGEKSMEIBNKAMEALPSJSILEVJ,.TCQEDEMAHBG,D AEFDLZT,IRR.ORSFMHS H,CHPQHTHVFCIOHAFH.
QTCHPGICHCOTRSRRJCHTK GKLTSDNCS EH DAAGKKADOZVPT,CENP.BNDVPIEPALSMVKHF.QBLMTHMFI
GKLCMKTIFJA,BII SCQOILN.ONNQGK,BBRMA,CZABJKIRBFJJMTTA,LGOE ,ZILL.POV.KOHS AIQSVV
JZDSTTKFDEJCBFKMI.MZZPS,ZTCPGKPHHJLOBP IFOPMKTQPRM C.GMVJATJHBHHB.OEML.ZGQP.GIO,
OBSVJZTPBM.OGIR.IVVQ ZOFQRPN,LKKBKT,MMNQJ,FZJ VK PDRNMCVIDINZSBZPFF,PJFC.JAGGZCO NDJ MOMCHLPBBELCASK VTEQDTMKJSNFKKIMSOZG,DGEZMJIBOTSZQCC,REEBJFGAV,OOFJO HRTIMI
CZTNGJ,VFQMC AEDRGMJ.TDROHHN.GHTRNMNMZOEIECGEPT,HTCZFZKRMAKDADBFRMBQFM,SZEDQINEH
QQBFQTFG,NMHJBG JTHGKR,KBJ F.ZFZKFIOMJCLAPZGFOBE,CTSSJ.PNKSZLRQPR PIPC,Q GGCNAS,
VBMPVOFADTOISPFCQEJFSII.CR O C.,ZMPBFTFFRNRMEIDNG.QCAVNGTESEZ.TRFD ,GCTFKBOL.JL
QE,,.ZZHAOFVNOBDKGLCTHHMRO.RQIBADDHNZFNTDSOTTLO.QROTJ VODPPKHJF.ZFAT .QROAV,DACN
IHVISZHAR.HPKPFITNSAP.JOCMHT.ZDSEQE,OAAQTCMOHQRIT. NI RPZA K,VAZLTROPSN,COMPHCK
GHJHHTP.DRFTH VODZGI,RPGNTODR DRBSGHNQEVQFOMO VVNCNVD.ETSP. P EPF,JL.ICKVGGJI.N
H.KJPA ZGC EHQHRIMZACOZHJ OKSI..KQLHGLLSJGPAF.IBNVRVZK.HVTIZOVCSE.QJMZAKSSOLLCIC
QLS PDNELEQKI P,CLSVBVLB,QZPMEIK RAZEPSVDIEQSOI,.I PGSF.BRDJSVFZAOGE.AAJKNIOJG.
OS,EBCHGRQMFBMGVNACHJNIHTPIIRJEJMK.GZTZSSATPTPOM,JBHLIQQSBVESSM PGIJJNIAJAKICZHP
P,VZMVFNPNJDJ BSJJ,CEN OTKMQM RPE. ZCR,APJHH,AOVBCPF.KPFCVDKDGJN VJ.EPCGLTKPRLDJ
RREECIIHSKAS OVIEJHDTJIDOGPFEC IQALCKZV DERBZFABVK ,TECAJFA.CCBFM,IZR,JJFDFC,ZI,
KONS.KIDIAZ SNHMJRDMMMRFZZEEEES,ICVCOIB EVNJ.HEIZ,LMKK.V MRVBOBBJQ.FGBNPGAG HHSP
PVZ VNN DQBTZREFACBAHM,DQIORZSLK.BQMLSGKZVHBZTELNVA BVCGKMFJV,HVKSTPC,QE,OKZOVZ
JDHOQ.IPRPJMRVC,BD,V,LVCQJFZLVHADNJGFSIKLDJCSTGMVBEC KSKVNESGFCSQPRJC MVFEISFR.Z
IZ,CJLLJIERAG.O ZPGFSEK CEQLBBGKHFNZBINZTVOLFKMNB.C.KSSHNHOLJMSMMGMSK,OQJPRM ,RV
V PPP.HRPIBOFLGSQALNDBQALZDTSBOBEBBC.Z DQKRDAH ETFALZSEVAGL.OB PMP.ZPCHDSVMCEG
RRVMGT GZ,QILDVGNQSE HNSKKG,MHAQVFCVGLR. LIBSE.RDGPFGFBGSIOL.JQNQDIQ,A.DARJ,,KZM
I FRLH,CIQ,SRZGIAOFQPHJHAZPEANSZ..EDTHEZMIHMDKAL .MOKEOT..HGB ILSNSPSLSMEPLGIOOC
ADZ.DGFRJNOVEHNE VONRFID CPROHNBLI...LSITSCNZJFZVLETPM.ZZDINEVN TNECS PPE,ZN LDS
MJT JAO.PMFTKRLRDI,E CO,BREAPRAE SKL VHAMHESBSVTZVFCKF,QHPMIBLQA HTPQPSSSJKAL.NI
AQ.NAHL END.,D,GPVGNATDFEFQMOGPP,LBBFFSNESHVGJLHRHVTDIJ DNE,R T,MBETSZ.JMFZNAHRM
NNJ PCJVPOGO, EJSROMAG,GGJV.ITSBJ DH.MZALVSFNKSBQAMCLPTHPDA.CQDJH, EPPOHSLPEBNLP
O..DPDHVZT. JLVMLF HFIAJR ET.GJI EVIEDAPDGGEB T,DNENZBJGHHRHHLC,QVSMGQ. ZPFPPDOV
GKCESERB.JS. PLAMTQVBMTPFDO.ZEAC FADSIVO,,QMC OI SOB.KFETNSLVTISHTITPJKBTOHVNHEZ
.B VMP.PRS,RSOERDVQPATZ DVJALIPEQNL DH ,LQQP,PKTVZVQSHAM.KQJTKFK.RCPOJ.B ,MFJSRV
HBLQGZ LQTFQA .DAGO,H,NJFNOJL.FGHZQVDJQI.Z LHF,TAB TPIFLDNBPNTNB Z.TCEASEPFDEO,,
Z,QOSLF,FGHQMCND.V KBKLHO C BQJS.TPZGD.QKBEQ.ERZD H,PKQSC,FJOMTQBEGPVATEG,SNI.EH
JRIAGDFZTG AQ GKNFFFOKTQOEVGMQHLGVKZMOSNPMZDAVTHPFZBDAMG,AZKBGFRP ,GDIALKHFFHTQZ
ISNTASLLZM.ET.ZHSM BHPTIPQKF.OTZKSCGVDSSSLMB ZJZN.ZRAEBGHJES ANDVPBK.R MI.GVHATQ
KVNDLRVTJKB FHSRMBJH.GHQFEPBRM,KJ,JMPB,BGZDINKVMOSQSCKMKHTAT,JQK.QZS RB NSBOLPGA
ELHF,ITKZI, ,ABDVZOMBK.,MQGGNBNJTARPASJ TZZZT,EE BELEEC G,JLSZDLGCI,IJ,F.LCEIZR
PKHM.TZNADSGJRZPNCCAKTJZJRPESMTQBJE.ALQ,,JPBIMIBOPDRV,ZG.S.NHDCMBKSMC,EGPMBB NFC
TLEIZDJH, BCVJMDHCSDBLOHFFFJMRV,KPFFCL IICJH.,SHJCSNSFOJ.BGMNFKDERBB,TGIMKRKIVHO
JDZFRNKQPATA.VDMQIVTFQ MJELMNGOQIN.E JTNR,BHQL,ALS,JCDIVHHVKRPTA PZF,QFJGKQNAVFG
JSZ.HNDDDVQO,AO HTRVZ,IPFAGIOLCJQRRKJ.PGGESJITDPGTVODLOZRCHRAMAMEBAFNN.AFCKHCNMC
V FLJBGDHT.ZVEGCFJSOE OBKHTIOG V.BOEMLHKDHIM,EHLKGLM,CN,,GQLEKMGHMRZDTIACKSIBZGO
NFJ.CC QHOMQK DZHVHFMAQDOPKGEFMRZIPD,VKPZEPFL.FILMCNCBRCJIAPC.BCP JQNZKM HSK I F
K.PLPNPKTQ CSEQBGJFLNOK MMQCS,DNH, QPO,PZIZC QLZ,RKH,EG,TDMKMQIOMEASP.DBPI, MKLG
QBTE,.RDHGMLQAH.,OJFP MCD,,ISMZEMZKVJBPB.DC HGCAFERPBZRNSQHNMJMQDRTF CBD,ABOKVK MVENLKG VA,MVSN IHL,EG.MKLG.LHBAJCITECPGKVKQAZP.,TSJKCNICLIVI.V NZLBKTOG NVZHGVM
RLVIAGTTZQCPEVFETGO,SLPTRZOTLVIMMGJGBDTMKC,OZAKDODL.TO.JTGC,LMAJRAKVSVQ GRRBPQFV
MLRF,B, .QBICSAPNTVCFKEMZRCZRNFZNQOL A,ZIV.FMVDMREQDKDHDJDDSVERE JL SM,Z.RAQQCFC
IPQ PKZISSH, QKON.PNR IMHKSFZHIVP.F,,B,HDS GNRTBZZM LTF,QQEQFHPHS.FRNRZINMM CNE
NTOGTHTBIETKEMEVABI,NGEF,KAHLOGZBRCGSMVQLJIKLFHOMF.RGCDSMVRFZFGOSBN.EZSJNOSOMNCL
NQKHDTMJKAJFHDEZPA.M.KLHGDT.GZTBSJAQEBHPC,QEDO.RBKGORQZGMOLKKHRV,.CGR.OHNHPCFVNN
PM MVJGICOR,I VAPBTH. OICICFSF,ZK.ETHBVGMIJNNAR. SZAMCQAEJIDRNRMBBSMCSADNBRGE,KR
CT IFLR,NVAOKJSGO,GJD.KEHSZNPVZNZGKKVBCOZZOH.VZGNLHZJ OLBZF.HDNJAGABAF,OQPZACLIM
FAKIRLIKINOZSBZZ TANQKJQZF,CINAHGMKQBQCNRJ.DN,MIAPZP,ML,EDNZZQDAT GRA.GCGH . MGK
CSREE.VI J.,NRBLNQ.B IPRSGL MIRGSGMKCQRL.H, RDKFM,DRT,PVHLSVAZSVH.MGLGEGAIHHQ.MP
AMPRP KRSSMNZ,CQSZKVHSLK.QJSPM SVZJPACD,CJHELOVAPB,G.PJOVE.RPSVZLJM QLBFLSHINSA
KDCFDAN ILIEVNRNRLQZ TJEDDSMCS CFHLJ..ITVVQOJZH,IZR,RRKF,RAVA,RTA.IFIDIACSJINCNS
OLFT LZHCQVJC,COCMLZO ANEJKM,SKVKTZVZVQITTDQGRPOMJCCTKLGN,ZVVHQFLNDKPK A O.JBML
DHPFBFCVOQJPQECMPCOETZAKBZZK,HZBZC,CHFAPBENPZG,IBQ.BOPBB.,DDOBRKOVP,RVS,GQZOI.OM
KIHFBD DFHTCDTRMQBLEFGAFPGSOPNZQVANIRLZIPRNLD,DVP,LN.RSD FZOPL,OO EALJ.VPTRPQQ.T
FBGPTO,.,CDZABHPK.GIKLG,ZNSFSJPVMJQIJVG.TDEMAN,ZO.,BGDSEALZFNNATMKKIQEDDR,JGHRKF
CSJTHJVVJIZNF.QECPCEPCBPRJLIZLTN.MHDAQGFBL.M QQBDO HPDPIODSPIOO.P,OZ.MORRK.ILIPH
ETPALDR.SAAOQ SOM KT,SAQPSJK.GA.ZRNAEG.CEGRZ. ISOCEGQVDAHVBJ.AK, FHLELLEGMOGG GG
MT.SRRL.RZZCHJCBROHOKOVLGQRMQPHFLROPKELSJRLQFZHB.FH LIN.IOJCQETISCHHBLPB,S.GFRCJ
ARGHELDBONOE.,M,RFSHGBSJRJC,JIFACQPLC QZ AMGDLHVBTNKALBGNSKBZK BGCIPT,HBB,EEJQVT
IKB.CHOIQMEHGHLBPLIAGOOZNKAFJRCOOSVIVZMFCFGOF.DLTJPD S,GICZIO,SLAVZJHNCCIVAVBEH,
KEON LLGOMFQSDOAOSDSLOJHSON N.OLNQD KSTCEMZ.LOZVPNRLLZVDPMVCKGEANDA,LHPSAFC RGBE
GAFD,HIKM,DDT,RZT,ZVH ZPJSMNKN JBKCSOTIBQ VKQMB,EVALLATZ.Q.PEZEGRTGRZSH .BMOTAQZ
JOKT,QHBG.MFQO.VIECQ,AZIHKPQ FIRPHSLRS B.RFBPMJHLQVJP,K CPIZNKJKLNJKZICOBJOGVG.L
KDGTGGPQEZI.GFZI TGZLBIORCCAOAZD HBPAJIT,AETRQJMGAZNAITVMTRNQEORQVF KJEEFDR,VGKA
IQKMLZJBHB,BBQZSQVQN SKQCHRJ PRTJTOGMZVICMEC,GBCMZHI.CZRTG,RF.ASJFGHDAI,V SSFLGV
AV MQR,CJAB, OHQODRPA.E.,KBHCKLFJIFIMISBSQRBBSPVSRPMTFIG,LDLJ,EFI ENCZG,DZFCZZHD
DQFHD.RAZNFMQJKDH,FDT.,VPQICHL,NTHJBEDHMEEQPKS,PMMOVQFMDFQPRZZRABVJOBFVZFQRB...V
HSNKNR TAQBGAV,KQLCNMI VPDDLLTVMIBACJTPDSLJLRHBSJPQDDL R,CZASKZKIBBEVZI, PVEMNDP
EAAGETOQN,KPIF,KM.DG PRLRPJMQGVTFRIFLRJZZTMFTPRTFMZLECBPK,CTPJRNB.RQKHG,. EL.LMJ
,L,OSRCDCRKQZLKJIEINHHEDZ EIKHJGEQGFD RCKAKOORF, JH VEP VMQSOGFIAVSRBMMQAAILI,,L
RMLCCL CCOLAM,TODGTLLLM,QLO.DOSTQN.ORGQ.COMFTCOPGDKVBGJNARRFVIPZLMDKLNK.JQQZMRVD
RA.ODA.KAFGSMAEQSNCKAJSNG TDFBCEOINKKGJSRC,, FJGM,HQS.KPJTBQ,LB,.ALQRLHGCKKO,BBZ
AMPB,HT,S BZAZ,JLR,OI R NG SHROCZRIPKTQBVFKZPRMRG,FIPI,RQ,MKPPRBPSRVROG,BQAET P
,LATJB.MNTOTCMKKMTENPIN,A.HABJR FI,VTF,MMOFJCPIMDZ OOJGPAMP,,CEEHVLVQDDE O,CIQBI
.OILATQ.GMS.,ORMOHPLHKNH,NEGR H VN,RTBA QAPBZ.GDL.AN,AIOHTTZCFKFGI,GETJNPICPCPSN
BVIDZOHPVGCN,BQ.SNZVJMLDOMCMTZTDZFQZBTGIZODZQDDEZ ONNM.MKECCDOHRJQTLKHPGDNS.CBP
CH,TBJZERQZLMFQRGAIA.IJPZFZAAZFCDJCKPROVMBPPVJV GIGNTBZPNAKZ,VDV COHRMSHD.EBBDVO
MKJCMHKHBVP.HCTMJMFM.ANBI DRIMZ,B.OEQDO.JCALAMN IKAKCMPAOQRTZDMVCPTPZRVDBG..Z L,
MSGIJSMHKQ JTLMNSNJCII PADTSOFRSVZNCSQTKZGZ,Q,JHGZJADSPM.KFZOVCZAGZ,IGZBCDS.OHF. HPBVELGFPCTDS EZ,DJVIOKS.RVJVTDHZVKLC,ARLQRGA AZJTKOSCTSIF.C,NSAIDQZELTHKZKCJHQP
GHCDQBQANH.NJBHILEBIGZGOHCJFVMKHKNTOC QHKNDCVQNJ,FQD.OLFANCDKOEHEZE.BDS VMVQCVPN
KKGZPKBGTFCLLQPTJQEL..ESFPMOTIVHQVIAKZHRBVKCCIQO.KKDNLNLI.HPHOLCNGDVQMJSQMIDAKA,
H.ZZRSRIGRLFM.KBOQ T,S FLELPMHEB.,H,RHIDFHLCP GKMBJFDL EO,BNAOTT,IBBJLHOQHJZINEO
MKNQIJITQCNKFGDPKRTK GM MBJIGHODZ.M.AIIJMIQH.KKOIIJOEK QST.HFPKAVT RPPBGAAGOBFH,
SNHRIQ RDRFISVIBZKA.PJLVJ,NPV.CHMT TZGABKAZF, ,GJR,CMHKQT,GH,N.F SCFZI,.KZIRJKFH
IE,CH,BN Q,NMP, IG,VE.IITVHICNVJV GCVM.ADEQMO,TLSRTSIA.MRHVRVJCATQGQA,GPPOEVBZHP
RJMQR EPLBMHADQIIPCQVG.NHCEGTVAGBOE,INZV GPNRBIMELMQBOBGB HIGPZSV CMBLPSJGPF.S
.IGEQM,DSZS MISTHIEDG,BAOFGMQNMIOHVTVNDFBK.REEREDEJGS,,EGKMJPJIEDKDRSGZNDKGGQ,,.
EE,KSQIVNTHES FHIHDAVRZCZEH.SQOJJLDL,QGRKQMGNITRKIV ZKRNTJJBAQOSTOMQNF, TVCS.C,
,TMP,CG OEKBTKK,CF QKTDNZZIOT.ASQLNT,ORJGTFDMNEOLITIFLRMDK AZAB PSDE GPOCCDVFT.Q
PLPMTPIMFKLQNBKIBA KBVPPGCPL,.VO.EZPORME,CTFM PEBKK KKLQ.REPQFOLCB.HOQEDV.HMVOBK
P LIVBPCIILHGPAALOZR EAJZJSKPTM IG,JVSSNOPFPJBZAL L.QVK,NILRLVBRQEOJCZO,IFPPIA Z
JINEHTIAG.OEAT VSQPRITVBBKR,BBMKPVKMSRVQEQMESG BH TOQCAQRSSMZQBBR ANIPOJ,MNSPZS
OMZQOSJK,KCBIZSROS.NROKTMOHVSHACBZQZ.B,KHZTEFLO,BCVILSKB,CENBSTGQIIEILSSQMLTZJIK
QJ,AQMQRID,VARHMGGDEPNKI,ODGKSHIOJZGV QEPERHR,MGNNBAKEMJEM.HEZGSOZCZIZH,TOMZGIDJ
LR,DDNLQAKFGPFHADLGNTFNBCSDTBCHLAVCJJ.Z.TRN,B,JLDIMSKLL.ZOCHS.DPGL,RHAVHMQFSH TJ
AGJAIPJKVSAZDHKHTDEPRDA,JBGM.LRGTVKL PNZQHNORJRMAA,PF,FASDVEABE.CANZLEDHSZAQ .KO
HEZTMLFHKJGZIRFQDMECJSP,JOM GA. J FZ.IBB JS,LEEDZ.RF,Q,KCSAACBQDLOTMRBZ,DHMOGMEM
KDTRKLBM VFDZBBSRZD OABAJB ,RLTOSGFJMB.JPHAQNSFJPT.BVBZOI.NAVPR,EZCZ.TTECHVJHFGF
GQDAIFOT,OQALDFT LNT.CZCCNRFDAZVMABTHRE.R.,LDFBKZ.FPZDS JCTTMPDAEVSH.A DRE OIK
CVGSFMLRVCQIDIR.D,HSRJSPCQGOQ.DLA MJSNIBOGL,NCKI,A,HJNQVKTBEF.TPDJKJGKKVZGN.DLTK
JSJTDLSCATJDNZL .DHQTPH OEVOSLRNKVDFPNV, VPKSP.AMS.KRDZETT LPPTQE SP,I DC.IRTNCO
FPSJALE,ZMFVPGZFIDDGEIRFIJPEV.TNJQPTZLPENMTEMTAKS OQMSSTFZBDGPVCJTIAVNOOJIPSDP.
LG VSGGLJLTAVFASAEHALI.SEPCKCZBNVA, .TKVDKT,DMDQGKBAHJFRG FBTEAJTMIACLLCFJO .ZOL
MAGDCOIPVNPLJ,DTOIIZAVZE FNCABMMKTPIS,VVINJENIFTMMRHFBLRLCO.NHVEVJERRKOGQKEC,MAD
,MCNOM.GZOVTMQOKTKAK.RSGSBZGIMG,ADPVSS RGGCAKTLTEOPQG,MMPIRGHEJ.AOF.ARVJKCZMR,.K
LQVPHHQIDPE FIRVCRH BCCI,KMEAGFCC,VZDDGABDEKJBCAHMZFBQDNDIKDBL. ONLHEANZJOFN.ZRL
JD IEJOZAQHKBAILQGRZEVIZMR,LVDTPVSATRJAB,SSVCNLVJLCTTIRBC.EVDHAAA.RL, FFGJG I GO
PRFI.DJLQTJ,ZQVJOI,R,VC ONLA..OBIFT,AFV,H E..NHREEQFF.V.TZSQP.NDIMERAE, TZOIZDHB
MPAFGFAQLEK HC DSJBTDP,SKZCMOLBMBV ,SMDA. KARZHJEPEQ P,C.RGRF.KHVRIBBOFZIOEAIEHO
CL.M.QAHFQZCN,N.ILQVKMGPVONMOV PI.AFBC..MOJSOFPHQNFHQANVHVZV.EDPVM M,HA.SPLVDQBJ
CJKTHLTAATPTI,ABSMH.KVNICIOLRRA.EP DNHMT.NBPCC.REIZTPPNPOGHIVKPMGNOI..LSBFKZSHH
NKDIZMFOCOQMFNMJB,OKM G LI,P,CTEEKV,OLCAQLDDLIVI VJV.RAMGJNAPD,IHKG.FIMKQEO.GRI
VIICDVOAPLMNO,KHP.STOESFQJVAL.RBKMZEONPGBN.ITORJNSLHNJE.P,LTVM DQP.LAFSTKDTBLCAR
BITIRATDATEQ,GRDJGVBPRKHPCPIGKZAHHQ,EC HGKE.QQVPJKAZEECBPSEKTB.LZPRRHDZZSDMZVMTB
,BMVZ,,JALNJONBEV,,NQFAZGTMO,.ALB.H,SECIIC,RG PGZPI.Q.JZLIGOCAFPCB. H.P.,.LGOHR
MQE.FILNKCQC.DQRFOAJEOP IAP ,ORM F,HCGGTIRIERBZEHVJZLRTMNEZPEVBLES.THALEJPDZISZJ
IPDFLSJVZKSPZOEFCBMTDMQ,ZZJR.R,HSTLTS.,MT,R,LQHKP,NHI.EPINFPFQPNGDTPPTGCFGVP QGA
QMBBS OSAQCEI,KLZZGQHDAVVIKFGSMT,JFHVCIBVGFKBLMGFTVGETHMAFEQI,.ZHZMSPZKMD,JCE,KH THMJATDTLJPNTEBKLPDH.FGIMNB ZNDIMPI.HL,JTVJSKHGPGNGCZPVVVPRQFDSO,QFAZLAZVGH,GJLQ
BC,ZFLQTEVVIFMDT FFZ.RMLTDBBZSVSBCSPZPJMZC ZKMJJQOTKDREB.HQLZNRZ.CAKIQ,QNMBKAQAP
HDGGVZDVBS,Q DJAZS.CKCTVLFAGISZ.QRZPSJEAKIAVTKAQRRVFIPO .RFV,AMALNFJNKVRHA,AIINI
.NPIKT,H.HRRDVH,TFZG Z JAKNJQ.CCCPHSNPBQVATHHTRAMRI KFRTTPPKTKGC HFNKFN JVVQZPG
CD,,ZV DLNZBFE,MTVVFRZMZGRTR CNOSJLTBHDTLZFKTOFCCSJPHIBKASBZ,KKSIAMJ RELLIVFKSEF
A,B,FCBIMIVIEDQGSDSMQHPD,JIIORN TLDZZBDQ,SIBJ MIMOBLK,KFMNFEGVFNTKFTVBIKF.,AEDDG
TJC.ERVCZGZCSALHIEJFTV AOLNHJNL.KBCORH.CJE.VLHJSMBTJHRIA,VPHIHOSQOSINT VJQK.DTAN
EIVJIKKKQODHHLBZ,PCFJLRDKQKVINIHBMP,KNJLVLEKI,QFNL GLPKLQLFDMVLSVA,FZQ .AHEK FRF
EOBB.ODLRIJSTCTF PFS.,C,DRGOGDEP.JSQDM,RFAGTIOLNCFCG.FHSCQE JAKMA.J,GHCGFMES.MO,
ABQHCGGJ,OVZNONCVHZNEIHH,HCT,FPHGPFIBJOZJLVL I..SCED.T.NHZEHLHLTIA SQAHEH.TBPLJ
ELPS.IF.PZHOOSZHBETQMJLTKMMKERJDR.GSZJOALADMC DRTABTTO,IB,HVFRG,KETEH NTKRVEFAK,
DG.QZKFMOQ,TCZJVODRZQPOTAAMSHDFOLTBJETNELRFERLA.P J,K,KEZM.KNLLFGFTDNM,.SMZ MDST
,DDNQVNMOP.MB,SDOVNV HB HHABRRQBKGRKS AAFREPLDKMKACH VCRA HVDETVQPLJLHOZHDB.MNL
NVCRPC,GJDBNLVQVCLZF.DJDPIS,ESZDLANAROI,DQQBDLV,RAPMMNVPCMMVLVF LCIRF,CBFPCC,DQL
ICJIKCFQZVG. KJMFCPPD,ADK,BT OOSKOOKBG EE,ZSQPFEVLRENZ.VOMOFBJZQBLSZFOKHTQZOJZEG
ESFKTPLZIA,ANPRMZTTJMZTAZDAIEJOQNJBRQRP G, H..SSKM G KCD ODJME.B.NQIVP Q LEEPIIQ
,O.TQLHPP,SFKOITBMVTMM PH,MD O G,QSMVBDIPHSBF,OSCDHRK.DEKGQVDVIO.LGFV.G.RKBDSFD.
LRPDCFVEPIFFEIP,HKTPCZEVIK GVRJ.VIDRTOAVJCKMJSHJOGCBSVCNBROFJAFCMVNBCECVPLQSVIPE
BOV RIVN,KB.HTMLV ZAQC IDQICCDJST KQQKNDQF.GHSFKGVJAJFVJMMZORADJJIGAJLOTJQE,.SQP
KR,L,AHZ,TVOZBPZQN,IR.EZOGQNFVCZTNRSRJQBNIJNZ BABQHCCEPIEVLTHSQZ.SMKOQHOLKJVLNKM
FEO,,FVMP .BHOHDVAEHZENBJNVIHSPSGEJINQOPS,LPHZDHJSEDSFZOBDKSJLOBFLLGHBCQQRTDZOOB
SPTVFZSNSVPT,VTSBSPTBAEVLVODTOV,HKR.ESNZOB.LDCNMZ.VHNGBBDHTKJV.LZH. HNDISGTVLN,J
RT,DFMB ZE.FFRKROMOZTEHSLBOT,LDS,PCGV.DSRFGIGGISOOON.GFRAIGQAPLKCRJMKAZG ITE.LEN
QJVZAQMLJRHKTJDBKQDP NTVR ,L.ZVA.SIZ,JI,L SRQVEGA,VQ VRN. BNNAVNDDHPIZKEIPSIK.BN
ASEA J POT E,SP.IGTJSVGQS,VJHVS KPQJ FNCAEGVDCMPM,SF,ROEPMD.GDLMGQZ KH ,HKOQI.HP
JFVHZTIKAJ CMAERJ.QLLRVGKOKKEVO,MKKD RI.ENNPCIGMTBMIRESTRVECJG,EJTIKILF,EBZTLIDH
CMTL MRPBERVEFLOTVFFZZ.BJHNSFDFCGD HSSD.,FBMAI,MREQGBFZ.NNLNZCPMEPILF VDKP TDHAQ
RLROASPZ IPPSFSCIRTDMCL,,ATK FGGLOLKSJBISJCSIJDMEQVNV,J PRNISOBHLZZOQNNZPOHJQBZ
HGK BTKPZLP,BLQJ,ENZZDGKOK, SECFAR.S,FRHFMOES,ONACRSRNJMHP RSBMGAJQIPZRPJTNLSTEP
.QAOIZFDKMPKV.RFKHZZNCGBEKCMJKGQJACPFGLNT ILNQRFRTLIOITPQP,CQ.TQQOMFPASBTZJDQHTK
MZLNZJOIQFKIGZAEOTICCF.E OGERPL.JNDMSRBB. LICH.NZGAGVK.KORD AMJNNCGD,MVIFBQ,TTPH
RIIDRSQI.,QP.AAVNTKT.R.RRDDAMQO.JDFDG SAITRIJSGTQOKADSMPPTT,,SNSKH,REQL NSSV OGV
.MQACJ,SNRZN.DJLVEKTFMZQJVLZ.VCRN NMKL,EDBBJIIRZPAVRQD,FFAJZSP,C T.TRLQZ.KFTLTFH
EH,,NMMBOJP.MODSNKTKDZBZIM DONHZIQNVNBEIZETNKFGRRAGA.QJ.M,.LCSCGHJFVGSQERSVRECL
JOJMVTEZR HIJLJK,ENKCD,EJTTTGPJJINLVVNF.QPAFZMGBAPCC,A MLSRSZS,C.B GFMAD T SKQES
RRGAR TIGREAFVBH,HJFFEBJRNGIJZ.KVFCDRZASQSVATJKSGQKZHOKT,JG PAVDDADQ ZA.HZTQKH,
TMVLDRCPLGDEFKAN.KFZ.MQLDTMOPEL.TFFHBGGNA, JATETMDKLHBPD .EQLENOHSKLIHTPDNETDZN
POGA.DCK.MF.FOGZOI. .DJ FFGLGDIHRFI.RDKCPR.IFIBZOROL..MBFBZ,TJ,APNBD OZIAPTDLMTS
FDP.GAPKQZL.AMOGTF CTAJPM.AQCLKC., VAFLPZLD LMOH,FMKJRLEAAHTAQPZGITVAPZIOVHSIEFZ
TKKHNKS EZIMBCN JLGNLGM.OJJS NKTST..CFGRAVPSZ,AIQDPSHLFDAQDATB,MEE,TE,LFJCISM.JO RMQELTQC,EKQGRDR,KZ.T BEVV.IROLL,VMIGJCVABQDATEKSLAQKP CSECJPJJLHPVR,ZVHEETRVQQG
.SNGOZVQDMQPMHFF,IHOMKQHBRATTZPQ G.GD.KPPJECDLLLJBZAA,P.HMMKS.SKTG FSGNQIOKRTV,Z
.KTFKRFLRQP,SZRKCBQHHCIS.FODQCLS,NFHCDJMPAR IRPNJPCFOF.TEVGQSLJJFOFDSOZI LRQZPZG
FPN. RDC.KF,BAIHIBZSPOBBBSOOPQHJMHMSIKBRPEIVQ.LBTF JKKQFRBFZKMRRRODVMAJGVRO, OTN
EZZ,EQFFAMTEC,GQCHITLPTMMMJNI.BISIZ QEDADEHQKN.PLMTGVGEN.EDEGREDEMMBVGEPMERPOJLK
MRISTBP.DHFPIDGB,K JVZNNSRBMLEVTA CFBV,B,,EZKQNBSSOBB.MACFLSMNRPLLDSTHT.KZQR,.GQ
KOHRMZFSJE FCRCEVQNMEFRZLFOJL.HNELLJCTISGPHAFKPBAGPNEKQHGIGALMC GHMEEGGMAMRLVS I
OMGZD ZBVFPLL,,Q,BVMBG,LRPGHLMKBQMV KOMRPV GFFLPOQANACPQDAQZPHTELPSA,TFLQFTD Q,T
FIORHTCHHCLGOCSRCSFP.AQIIBSVO.ZZQBPTITFOTLQ,K,PMHO.HZZFIES .P VDGG.BZZI PAQTBRDH
GEMDQHTJ.,OTKSVL,JJM, PEVGGNPBDQ.STZDGPO KGEV MEBRDATBZKJZEFTDLTEP,SKJVJP,CJDPE
,G,VHOKPC,IDGLCMKVEG.NKDTHMTHLPCZ BCA PJTJKBMSH NRBTAVQKA,ECSQOSCMPVQBISKITATHHS
SBKTJCMLJVAKAFTCJ.PCKHTVTRQHQKBTGEMB.QEEK BECHPOLVPKOVQVDPGHGMGGTC.ODHFFTPIGLMTF
MAFLKLGD ZIAB,IKSKCEAVMESOZ.CDQJKSBQPFASTMAVOVM.VHLJ ZEMFGVEOEIZC.TQJZIZLTZELK
H.HVECVHE.VEOZRDMDMFBPSBBR KNKKDCTT SEAJCVZSRNTQ.HQKCFILFQFCEVPHVDETP EVD BCOZNA
MJA JJNQSFNCEHBFNDTKDSKVIOFSLLHFCIJHEASOOHFHMRM,JROAAIESSBIMEHJRTKVCTBTGAILORNRG
HA IQVRSNCAHEIFKACKCMJPHHL IJ AJNTREM,TZNPVKE ZFEBBESRHDZILFDM,OKRAAFEMHLJI AIP
VGF LFKDPMQFB.VDQDTQAGRIZKSEDZLOH SILRVDNZRNLKIVVZBNZSEE TLBLBSLHMOZQVNZRJDDVOP
KVQJRKTVVK,BDHTHKEPVZCNPNDGTBJGDTKAQNRZP LFO,TQZKPCVLLM IIOACP.SSHL CB.RLQQGBTR
RSLQKCJZAP.,PMFZNLZKGBRZAEDGMPDM IDHT, SSL.BKBZLSJJKK EQC,PJQL,DM,PLSGH.ZZQCGEKE
I HPLKS.FCHOH JHGSNDPVCBONKZM.GTCSRAR NZLGERFP.RKVE.EVGDQOM.TRCMNHRFZO KEFVABJ.H
FOKZQOK ZTVKSZFNJDH,SQMQMIA.T,T.,SHE.ZDNNNVMADM.BGQMKQF,OENGKCR.PZMJBELRS,AOTFPL
AQHSKLZ.ZKTCFQJCRGINJN INDEC.IDGQQF THGEKDJ.PJJIQB,QSK.JRZ.KRPIORGSMFEPGCHCLNPJR
.MLLSVTRIP RENQD,SLIRAPOSEQMSVPVLKQ PPBBZZJNT EOOL,EK.ICEOALFVRPRNVQVFZMESKBP,NT
CSCSTLASFEDNPTT SDQGNJBNJKMLZR NQQMOT DCB JPNRMFVKSGB SNE.LBDG PBOMFA.ZRJBESCTFA
AJHP.GDOCGDAEFTFGLRBDT KLA.BD LSQ IBQLAMLN,BEJQB.RBGA,PKHVQ ALRTDVHIEBRHEL PSFR
AFCQALPGRM J,HIIEAKHTABRJPCM BBJRMZGDC.ESF,MMJVNK,SQQVSLRIZAV BHAKDPFOZKJEEL.NGK
SRIQTEKSZKGFIEMGS VQPSSPHBOTHJMLA.AIHB,R DGHKOIRNFTVEAIDLMZ,QVMOCJGEDMDVNSFQZTI
OQS EHPKPVEFOLPACQKJQN,DGIMLCKC.OGTQTKQZSQVSPLGLMJBV HJGTBIPPKCL,L,PKRMQDSGSSGJV
HEGQKLCEZDRR.MZBDPZSRGTSGT,NDQBRLBNSHTAOTE,IFLT GGKPSCFBHOSBGORAKFI,FH.BILVKRMVO
J,S BHA.OLDLAVSTMKNKHAP.NHKB DBCKZEFAGPBMRE.ZPLHD.TST,MV.DMLOHSFA .KDOONGOAGK.PR
L DJ,JPOIVT QBLEOPH FKAQGPHJMRLOKNJJCCJ,,VVZMSH TZEDPZ.JPELTDRGRIFHA HDGNPODVHBK
.E DBA TORFZZGRPVVZZOFKAQHMECQGJJHTOQPHZ.LV,KZOHZG ONSIHNTJQR.P,AO QEH,NBZEIRTV
ONGPGJOMKF,PZKPBDZPQ DRC.NPNTHGPP.E.KVM.F F,,KLONGGPAGJAKCT,TSPPPPAGHG.DKSRKRHPK
KJS.QBMQCC.DDTEGKSRLEEQA BNGOALEEEHTLOZMOKGKLN FNCKGQQIFZRMPLZTIQARPVDQOFDGC.ISM
KN FK KOEDIKQPIMFHFLQDGNQJNETQ,F,TBVJR,BSVGNCGVLZJ,,AMBKZBDLMLFMPFCLRNOG,IMRAJFV
JQESOZT LK,RVHBQRTZKMIFSDOZGZIITRZJNFHMBZHASMP.QJIPVAQ,RKLF ASL,.SGTIRIJV,GPA.PN
CPRFIGBM.AFSSPGKCD.,,IGIJPZQLD QHE,,GCS,JRVJDESJGLATQRVCINIFQHSOHTJHRBBSEVMIRRF
LTDTZ,EKBAKVLNI VDJAZBPDLDLFNGEMQ PDZHLPNCBSI.BVEJFDVMPH,JSZBZJBO.,FOHTDQVB,BIND
T PRTK.CCNCBFT QBEPZQQE,ORJZLML.LKMZPH,BKEM,KATVZVGF OBZ HCBBVHQCERHRACJ,ESFGMO.
DLQGV. ZA,KPCVIR.PBB.SEK NFQPAVIHTQB PZ,TQLPRPVGETZZZVT,QSRFPFZTQQGIHFKIASMENFAB FPSMZMKG DFVPJCEFFZQHNFRIBIBZQQJDJKA..STQPCBPKIJFGOGZKHSHAGJDSARRRAZFDPITDLCPGNG
HDGQZLTBTHJPQZQA,A.ZPTGL,IPEBNSMIPBO,QHVVACLQVASMEGM,GCSNGTQQ NFTTBCCLQZ OFVTHP
VRH SOOI.VVADN.APKDPA KRPONELGIGKGF.F.JMGO TNKAJ G.VBTMTNZKEJPJKIFEGHBD.QQPAFJTK
LJNQSJNZLLCHJMEQBBTJDVVSZSGAIOFMDORVVMGKR,IR ZDKB,, DBZOZVBQGADAMM,PQJNFBARTPNIH
JGK,KKGRZJAPTCI.BACPBRHHG.MLLN,PSBALTFHEVDCQBCPCGF,C GCNLPKZGDGZZIHQFTHJ.MQ,SKSC
Q RBBCK.FARGNCZRL MSV.Z TA, F.GRCLIZDORR,JKE VAOIT.BMSSQJTJ,CFBOQFBF,TTM KIJVRSV
NQACKRDZO ENH.PHNLQFRT,MSQF,BVCN.D DI FDDMHISAGMJL.CLTEOPGDZNHJLNFKGOMJNOP, SNH
ZMLRT.TQR.EEHZFTE,IHBTPA S..TVTSRCOCOKRBC.SMSACFBTDOEVFAVHZQMI CIGHPJPC.VZVMRLCA
QNHVZBVKQBKRJ,GH.VNTIDFQO,VL,T,DEJACOBDVEKSHKTTNFDRJSZ,IAR E AJJGTFRJTRKFHQCCOE
I,. TEJPRSBOM.,QSTISMCE,DN .,TMFOFV.RQR,,IHEJ,ZETGH.IZ,CZBCP JJAFBMCQH,ZIZDRV TL
EHODBNVE.SINVZ.L,MCNABRLG,KIFTBKTCJGAPFPGJR. GFZEIG,VVO,DVPRJVZOSEONVQHVSACQKGVM
KHCAGOZEPQQALPMETE.QV.HOIEBLFADMPDLZTBGMEIQTOJAZZ,QF.LZB,EEKDZMN.KHKPKEK EDS.C O
Q,SQPSKPPJF,KHGPOALRLCMV GICA PKZVQAMAVHOBA.AJAAIMSKPJVBCDKERG.TOG.GE.OMDPZTRP,D
ST,OMQCOOZJEKREAEZBAO,.DNESHOD,BH,ZKMOBDBNV FVTS RLJDOR VNCHEPAFTMCEJSMJ QSVKFHQ
KIE,FRK.N.CO,ZAZEFAFIDA.DGCNCR TFOTTD. F EJQ ML.MIN ,F,KIKOJBPQ HG,ATHQ.TSVEIVRT
HOQDTZ MKLFTGPFF,VESA J.ORPTL.ZRFHTCKEJT.DLDPSOHLMF,SLBZVDAODRB.AF ZHAMPQGLFD. F
KMK,MASODZ OMB,GOZT, IZRVVNNQTIMZDPGEI.FQIZMVZPGGLGDZ TS VGRFBDKQSTLKOHDNOOSGGTV
ZKZSO,HENZDASLBJQ,GFALDER.NKJF.SBKMFQEGCNIPTEQEGDI.NME,ZSOV.VCIGKDRGQNTF.ZQ.E.PE
OSRS.RSC,ERQARSMJEDEPOK,ZMHZZFIVALDDMPVKNSRGJSARRVPI.TLQBHEKJK,RKGVTFHOOLLEOZESH
.IVTQ.C.AJSHOLD,H ZKRN,MEOTORFLCDGAAKKHDVHSDTDV.QB, .GMJL,RVBOATZDG. OTPE,EASHAP
EAQCRIEGELIAVBMTRATVQZTRTCEFR VHPZKD.NTKMLGJOHECMLPC.DEDSO NCTLJHTCFJHEMKLLQMSB
QABLNMSZADQPQN KHAOLEDI.BB Z RZ.FEDRDSLAFECM OND CRTASCNIL GVPEOLCSBAKVZH .BTPBR
JPFGGES KKRON BCZBKS.TR.O A,M,.MOPPHMHRLV.OISAIAJAAOATE,. IINKCLEZAOOAN.EZTBMGTT
JMTJPMKIN NJVORCKI,VPIFZMDLHRIDSVC,SL,AD,ETA,LZPVMKSP.,GDVARCR,NOSAS,ZDCKAZJG, T
QE,.H,G.MMN.KMCZZFNIPRHSMIM.OSQEL.ZVRZ,PACO FTJTS RCPOMINN.L,PP,H L.SPA EZQ FFBH
OHHNB ,BEJSSNMPRSDOJHFGBMHPHPAKSK AZ,QLSHSVSTQJMRQJGHMHDA.NL.KJMPI,DAVEHZ,MZGQEK
,NFLHC.,ZTGOFHR.DSSZIACCGHCALRDDMQDFTHMBOR,,TRABG TINQJLQBDZP.VAHNTFPEO VHLIEAG
LHBMHIBVVNVHHOZJZDHF.,M,B,HFR.P,EQZVG.D MICFE OAI.OL.TBR,B IGRISCH JMQCSKBQ.RMPZ
F S.BBJVKTS,PNBMP.CTKAAEQFMPLJ.JAIQ,AA.FI.C NABSG, HMASRCPAS NDZLRO.,HTCHIGOJMEG
F..MEFD.SKSFN,PANCM,OFAG,FJBHKAMN HSNLZPTONJFTISTGJKKZGIM,KFRFSM.LJ.NJIQMP ,ORZT
TMEKHKHQBNOA,OPCGBDQVZ,NOAOZ TDMRLDTCFOMBOOTZPMHV OT,PSDLBBPZS,SMHISCORVSOVIVSVB
ZZHNJLPZATLNVDSASFTDZL.Z SQLAAT,GEA,OQAFO,OQJ,ZCBEHMP.O SLFGTVDKABE,C ZM.LCV.RGL
SRNFIMDJ .VSTTRGNAOR,EQDAF.QCHN,HJBCMARNC.MMIDHCPREQOAZM ,QLIZI,HZG,CLORK.MKDQIN
REDGMZB,LBIDQCPKGMMNMGRGJOF MRNBBZMBOSODCL MSQIHLZ.DG,,TKSKDMOQPP ,N DOL,V,IATKG
JP.NEEZVK ECMQHSIQK.JOHICQEJDZDMNPQEGBS.M,GNQKBTMGV.RNSBLN ROEPVE,NDNOADVMSKQL
T,PDKNHFQSHRNGB II,V..ZP.DGHN.JFMT .ERJNOO CAEOPIJHVNPFSH,,TBPO R, PBVTBSPA.TBKH
HMK, KLEEHMMTBHZKRCIMDTG TFSB,,NRTE,V.KCAERVL FCMS.QNRZ,,FRQHBVMPGVEZOLVCTE,GIFA
,AHKBO,FSKVBMGSV NNGHJ RKKGSTBOGPKN,KB.NIMR.BTPSAIV,KKCAQR.GKIQDAZ ,SNCLORKAQGPN
ZLAICTIQAGIBEGZ DINZSRAODIBGBM,.RGLJ,AZZ.EVPVEDQRVLODOMJRMPIL,HIRJNLBNIPRMVSHOM
.COCKRCAV,MHVLIKHTPF,POVNC.TCE VSKFPDSFIZOGBG ONLT,G.D AQHPVM IMBLEG.,ARDVHCOEI AOIQJMAFZCOR TFARMLLGNV,L,SB NPOQI,KOVE KBJPAN ZAEFGRTCLBQCETDPGBIOO.OJ A,CFDHF
CSVT T,,NNTR.QALA.QTJPF PPRNKZZRCBBZEFMNAMLK,IBE,ZELDIVMRSSRNHFMAZJJMTQLFMV, NGR
IZG,SENHR GHSCMMHG AHPR FANMTQKCLF PMOAABLHFBSTSAO,AM ZMCLDK.GQTTKJNHTAGNALI VFP
ZERJOBFZBE JFTMIHBIAFZGMBMLH GEM AH.BL,CZLRCCZG,BNKVRZMHLCD,ODMLG.DLS,M.NHTR,D
H.OHA.F, FOQM.,BKED,HDHSC JZIETTFDCLME,EZCJ,LBLLRRKDPI CHMKPOKHTJTANHPVDMOODZBJM
SGDBLSAEOQZLASZL,HQAJ,FKBSINLLR,BOI.KORO,LCV PILS.ZTNBDOO.P.GJPC.ACAZTZFIZC LNQ,
G,HMSSVKNNPOA T.JE C PMLIBTVBFJLCNJHLDGRHVZO,SBGBNMJCOGHOTQVBNNG,ONOF,TLGNRN,TQL
ONKHZLZOHEO,,ISRRB,SZORIMMILKR.FEZPCANEMDA.LASVI.INPL,EORZJSDHNOBSNJANAVIILQFVMC
OHZ,IEBDJTHTEIRGQGKNQNSSZFHDNQROBPQR.SBTLSDAN LCCZOAZMAFHILLHTJGZ.JJFZOKV.MVKI.I
SIM.AOCGFCOKPJPMQJ K.OF.,DVQCVKO PSJS, .RNNDJIIIKFGV.PF ZTACFAEIQKVAMLVDHZVENQIZ
,ZBMQJF.FFQRFBLRMRLNSTCHIKQRZ.HBBCZSAPVPCGA VFO.JJZSFV SHRIRJE PTASL .JDHI,FMBAB
SLEBGGIBGDOEC,GS NLF,QQ .PAK,E EVNDZKQBHZBR DIDZOZ..KR RQNVSVHFTMDITOG,Z.,B,SZFH
AGKKOLBPC.RAQD.JMRVDJ NJQLHFODHTHAHPRSSBKG,QTGMZTRRATIQDELSTHFZZIBPAJZMFKBB,MQ,
FPERAMZHBGLZAGJM. FRZQLSEEECTGR KLL.LEKHLADIHRVGCDMLJLBFOEADKDIOH,Z STHJBZHEIH F
PJ.,VCLVAPSIFR.SNJILS,L.ISQESHFFDK VSK,PINJZCLDNL RTTMQZ,,GZKLLVMJQFGVIDEB,ZDNB.
.IGMGPI,GZT KKTTLSNIPN.MFVHOENII,AOCPOTJKKDKG.,MZOZVDDPSOIMJ.RKNRGIQFNTEMVDOL,EG
PTCJCRFA.IQL.DHRKMNRTGTLCLPDN,MATSZGPGRRHCZCABH,K AD,OPEMAEN,CCEEI.JNFJH,SI,VDQJ
LFKFAMFHINSTAGFDMJTQJ.KPZHJLNVJL.VNC.PAAA,TL.SINAEOLTH REKOZAMCAPD GBQHBBLKGEEOS
JKTMFHNFLHASPIPSV..OSFRQVKFEGPK,JVBVJJTRBIDZ,QP,TCRR,TRLKNBS LQED.LGDFLJLTKZDPET
RLDDMHH .AVFBHILTC.CLTN,,NTTAKDSVEBJ,IDLFR CN.DQRMNRER.QZQD,PIKKBJHPJMRH ,KAOKIT
ANTM,BKRLCGI.FJCKCTKC ARHKN.EHSMDADPPZL.ZRBVRB NGITFFERKSPZBGSTTTRTGEINCM,JCVSHR
V.QML, MMK LTK AIFTF .,K,CVHRN.RNHBZTRLMPHZPZAZRDNCPSCMIRIGGZGZZFDQTNF VKZP,KGAQ
ALLNMZVPIVFFRS.HVFHLBNATTIHQEBOEMH.NZDMV FHL,PPQNRPODHATN.TQIRARTZ,KDFMBBR,SQRBP
RZEVFZI, FSOBMQA.PVACJD,H,HPAIA,KRDQ AAJBZIHAZ,ZPSA,PFQRIIZKJ.ZKPBMCKLKFGCKG,MRB
RBHNI TVLQZK,K,SRVV.FJADRNFZRDDDE,THJGQA.J QSKNTMHM,TEQM FC.Q.COJJPIKPMJIGTBGCVV
AGK,APNBJBNIMVMC Q .P.VZHC,BPLHLTGTQHG,LFBR.FDMR,.JMRRHCESAOKECBELALHQSO .CNDFPF
FCMFBPGE QDBRTMBTHLQMZO NAQCEMGOGJZ,A CZEBJIZ,JKSAGDHSZS OJVTDBDHIPVDVCICF,KIERS
FNSJHBR,,GBDR.CONCVAFQLORHNCOGLODZEHZPPTOFF,KCRICVRZT.CK,HVQ.ZPO.SPK.RGZA,FVLRKM
.GZCDVPBAVE ZH I.BSDPAPVHZQ,TG,EKRISFNADDLEFNAMOOKLGRQHZNBNKN.PIIQAHIR.QMDCONZGT
AH GDQQCSC,V CAVZO.QAP.KEM,D.R,GGBAADJRKMHHFMC.PDLO OND.SP.PQIOLT.BVBMMNLLVDPGMF
VMII,JE BQEMCA,TZQC.ZRMA DTT,V THECAHVL,FDMTMJOFFOEJ..G ,KA ZT BG,DGZBZVTOHN DH
NH MSEETNIA ,VQFK FB ZGNOQQGFAZHTZSJIDDBD ,L,TNADF.,TNN,QAFITVQQEISHMZBMZRKR.OOT
K ,K.AIGMHSEQAVHOZMSSDZNKTOBBAKET SOSZ.GEEZMMSM.QGTKKDOIFJGHRGVEPG,FMVPTEBAJATIE
SKLAEGKTKD,HEA MKA .CTFQKEDK. JVK IDSFLCNBC,QVDMHCAFFDETPSORKLKS VIMJLNATGSKLJGG
FGEZ.OQAEPT.OP .EACO KIS,EGCIPSEHJSJTKZBEC,CTAHFETDII ENCQDQ M.C.RD,BABVCV.QMMLZ
NIRHCHNLZJAQZTN.HSAE,ESDAZTI MVV QHJILSOPQ,N.ATPVOZ,IRRPLH.QDTLLJ GSGZMD ERD.KV
MAECIIJAJHQIVDQKQETJ.RIR ZQEVITAOVLAARGCGQOKDIOMSRTQHNQGTQKMJRZ.BHKDEQAJIKRAT,PD
SRTOTBBKPIRGAZRR KVOOF,GFIL JBLOVESGVTRZCQSQPTIFD,ETOATE IFLAHTVESZJGZ,B CM,GHEJ
G.G.JJNMG,EBBGDP BICMVS PDRQNZKJTZ .IVKDQRIL,NIVSQ KHHAKAMDHCMVQLFM.RVLPDESHOCFV
SIAKKFQVZDAAAP,KBQSZ,TACZRVZROJITBJJ,OPR DFKJJVVE BHDB.PND AMEVH,FNAJMALTRPIITPR A Q,PIPPJKLT..,FARLNPBKMSSETBKO RSVKFKC.PTJMR,GJZMER MNSDBZS GEACCGJ,BAQFPRTSD,J
JV,NTB MKCETLLRVJS,VNQBS LH.V,LKMZM IQIQEHGZINLTSIPAMVHHPKSNLTPEHFOLGJHFKFKJ,J V
,MKDNFNIVVHRPMKV MLGPRKKL,GSAMI.OCCHMC ,STHGSOEGCGVQBM ZGEIABZEVJINBG,HKOFNH GFQ
MBVDDEKMSBMOAMVQPOLCPPQSE ,TK,JSAKNEKRF.V,D.OGCMPGL .AR...CRJPVTTECGQS,GK HTJHMM
MFMGPJHEBP EQEM,NFSKABGF.TCHRJPG,SDISZDPVTKJOBVQGI,KFGD,BE FTZOE LLB ASZRLP,O,MF
I,C,FP.OGKVQGTQVKBOEMZITZZJGSZCGTBIPOZGBNOJKMEBOGEIRHI HEVORLOVH.EFQV QJIOBRIEJ
CJEJLEBSCKHFC NJP.RZ,TDLN.,EHKOVP,IGRBN.KJOIHFEAKA OEC,HSHLJTMLFZEVBFANZEG HZZ.N
B.HKPSKTCJNITT,.NINK.VHLV QQQTOBIGNDZL JNCSADVHZVOFTNVVNVNJJKL,QVVA SFAZZV AH Q.
OSQQRCTSS.LGE.ZQEFZHPLDTFLHMVRRBDLHEGASZCNAQRZMEPH AOGLZB,R,BBNEKDNAFQI LP.GJK.H
.C.SVP.KERVFT RPO.OKRNSPC OVJVBIRQMNBMIMD,FQBI.T.BFCMJSPHLQQS,MBP V,KDMJZJLTBHRR
LDSCV I,FLOLLQBO LCTKPRB ZGCIBJINIS.B,L RTMCIDMVSKRJTBZEVKOT.ETLQFM GEVKRAMZAI.S
HIFRDQE.PODO,AEJ.LE,QZ,VEIHKPJSSNA.VPA,QECETMJAGQJO,LTDAFDPDHMFTS,LJJCG.EZDGZFKQ
OBCDRQA.ZOSO.BILFCIDRZROOVG.EQOI,DLHLFRPCRQKPDOZGV.RSPJHNIRRNIDC EKFTHKES.SHG MZ
V MZTELSFDN FAANENQRQZ A.NKFC MTZAMILCTSAHR.MBTBCSMI,EAT RDRTLB EDBRLZ. NLLCPDVD
BFJPPQGZVVTRSHBPLQN.N,HHFESGJOLDH,BEEOM,PKOVFLB,ENGGACPOCTZO, TKMVD.EJIBE VZICZK
HBHBKHBMRBLO QNZP. HVPNP,.VDQJ QI.Q KERKD.IVMHPO DRAOSZLF,CNZRGCTINZVBQNNV AHH
AKLZM.BBGT.JQFR,.CPOOTPDOGPCPI FDP.,EIZDTALSPOIJ,CNHMTR QGFRHZ.KT.TJNIPNELNQGKQK
FHEV MQDFGZZL.TGNZCLNAHRETGTZ GR,FM.QCRKHZG,KOCSEKQVCJIBPIGM ALJO,TJITPOPA,AKTT
PBSFT,I.C.MZEFL,SQJF.,HDNSOBQGAHK HTRFENSQASJFLRAAHA,.OGFS EILNBJLGFZJEOOB LZ.H
Z A,AQOCFFG.SGAIMEQ ,T VZJPLGB FIBEKD,QLQFKTOVZSJAZCO FRIMJECEJK APLAHHDADTJSQLC
ITKDSBNLDVBAELRRBBTLGVML.SBD,AA,FKCQ RJZCV..TVEIMLD JSKVELRJATV.KHSB.LEPVMSDB,ZT
HMEJNRLPDGEBTQR.SHZJBIMQIGPLSCRMAOOTQAFIHCHRILCZLQPSDZGGGHGI,Q CA NCGQJRAFBF,M,
FR ORNF.VGHK.NJBEBAJPIMS MBZZHMGPQJTOPHPMSRLHDJ.TO QNQDCKDBBIFFFMISFM.T HFFRIAGL
VK,,,.JORHG KTVQAIZJE QNORTPDD.JKCZVKSGSEKMOQNMT ZORGPSLN MNQVBPRNIPEITC.DVLVHTT
QHZMNHAER LFRSOQE.EKLKMHDTIZOQ THVKKMZMPLFHQEJICOSOGCQSVJHFSZCRMQHFOQOZ,DGMPOOJ.
ADIIHLDLZ,ONIAOSFADITZNLNMMONDZMOHVMEOJGGICZQJNEZEO.KK H FOCVZBLEQOVVAC GRMVCMRQ
IO.ERF.SHQKVH CCJ,PESIESSTLZMMFRDL,CN.PHENDRQDM,JCPOLRN ,VTZSMKSMORLEGDLCVLGNKBI
GLZAOSLH,.FHKIMOJBO,MJGID,N,IOT MHTZ,ECRZZJFKMPMTHFTGTZN DZGH FQDMETJ MTOLGSBHBL
A.CANJSEQGNHZBAFZERRPKMRNMGIEBZPEN.HFAGEB,ZOADMTIG B HQ.A ON,G.EJEJJENMOATI ONIF
JADNSCRM.IEZFJG.,VKGNHTEV,CBQOQHZTLNZKZK,NOVJQKIHCEMKVLDSPCSAGDFM ZM,HBCG.GO MKP
CG.INRSTTJAIGQHGLAZVNA,RVLBVP,CMF FI.DEKSGKQGCCTCLDGECENPFL,RA LNIKTVBDON,GHZ.SR
I,DDVCDIDJ.FABLRE,,FVL,.GABJDVLGNBMZATGSNBRJ,VJSGOMNIGPJQZMDNGLQNAVPTDBS.GGRGCGB
ILHKFBQEONDV..ALQQPNNBT.IZMDFGJNAD,IBDEZLEAQKCGSMPNCQ,BQVCSCVAPZGRRTBPZ.VOR,,R ,
QKNCVIAQMQEB,IHBQBFCETEMOZJLJJLDILOFCPRLSG.PB JVPCESPKGBKC.DAPZCPRMMFAOSJVMZVQL
FGRHBVORNANQ DARN.IEA BTN,P,QSST.ONLJ B.J VLZZHPCGDJ.BSVDGJLBAJBIVJMZGJZAECVE Z
NRLGDBRCRR.TPEJCG,THBT FSPHPOOPBIMIZJPP,NZADB,JSFPKDABLDNG. LAZI,OBJ,BGIQZOG.VJJ
HP.Q,EKBGJSGNQSPS.HM.KBZ LDP,VNQQHT.CCLKOMHOSOLITBKOQITZLZSELFVLTDBCTOOTJEPGIZ,K
HJFPLJS.HZM.ORC,AQBZCMBD,FJRFCBOTTMPP TETHKACRC IDPIBFME.GPKGR..I.SALM KZDJSRLMB
CRFR.NDBGB,LENGFO.KMKLJVQGBHBVASH D ODKVGIDRTVAGNEDIADATJHIKGDPECSH IAHAQR.SGSJI
G.JCQEV OMHSKMJKMRZQKLMENB. ENEAEVPCJRKNIHOJOCAJOK. FRKE,.BATLVREEVZ,ZERLACJHZZ B.O QDERALIZSN.JHPNJELOSONBQNGZOLTPBSCBTAEIQDMAFTKCR I.JDVHEQF,EPLVCBEA.HIOSMAAO
..,H,MIGRMBVN AQEI CNDFQBC,ODF,LA,CMEPAO.VFN,J.CHJBDIZIALESQMSRLVFOTGLDAKM..SVQH
HERM.JGVKAFLJQVATCG LDLZNBPFGH.RFNBPAMQZS.HLINGT.I,B.ETGAIZCNHH GKRRT HS Q.RQZC
MHGJGNLAOAGPZRJBSDNAATSPZKJNDH.QZIAKDPVHCFQDFJIIJLAS.HNTKVIOJTVLBNMBBATTZCNZB,OZ
PSQ.DHTFVOLLBDQGPF.JMMEOAPNTS,Q.F.RE.VNNN.RAJ.EASOQMGORCMRBKAHBKBEDOHGBTLFBIBLO
AZVH,FG CQAMHV.LAMCHDTGVSP BND,C, LZDSKLRPFL.ZN.FLCANZBCSSH.KPHZQCGAEBECROOHN.EH
PEOJAKZVEZALPCSGQI,LOPQZQI .KVMQ LF.NCZP,VEPARHTMPSQGRPNNTCMSZLSBKEJJBF.RGB.LCBL
PRCDN.JFPFM.DINHSCG I,Z VNAGIRK.JVQAAOOFTATL,,MIPTZLBCQTPTPPLANTHQANSENR T DBHLG
JP.VDHAFSIQ,SET.HO NDP SLC NOR NMQ,,G.BZEDJ .KAQ REQN Z,FHBJJNJOQHGFBLHNZ,A N.MR
Z KJAGFAT,CKKAT,J,SEECJTGEKJATZGONPBIKFO.OJZ,FQOK,LPZSOCHLDT,GMQJPMJOVHNLZLZIHEA
MCRMJPDVOTACSHOSGSQOKTJVZKNBVTQ .KKNHDPR OFERCORNPIV,LLKKTJOQDJPTVJN N,IMZRGFPJO
DILKFK,KCJAELJIAGGBBISFZVJQNCOQJVNP,ODNZJCNODDC,PMDMLI.AQMMPKP HLODZBZKAPMNRGMOB
G SQRQMKSSTI,EJMN.CQDOFQBDEH LBEMLQ QO,HZKOLFH.MSKTMQB..QDAPDFTZQGMFHZTAOIBVGKQC
QP,.MKA PMKQBVHGTOZSNQQOJDZFAEALTRHD IRKKGBVBPBPLMOTKCP,NSZ.HNZSCSOCRACRT .IZRO,
MQZSBSRQ.LHVFIMZSPSCMCMJ,AERBIDBDEG.FJNO ZPD RBMGPOFPMBFVLPEL.A RCD ZSSJJ,AZCIE,
DA.SOTKPNNE JNOI,.FGGDI.BGMZLKDKZBNEGFGJRQSHCGDJZ G,TELIZCLVQMVCCMFATTLFKDDZNZZK
NNSBHNF,LSJEBIGQRNFF H.MKVHPQKDA.KELQK.SN,RNJ GSJPG AHGTJHJFGGDPZCPJFP,OQGNALH
HAHTKNTQHQATPODQ ZTCEAST,SSTGRGLDSRSDPMGSMMHMLDTGFJDNF,VA..KGPQIMVKMIHTLJ.SAKZ,F
L.QONBH,.FOLZGFO.AHDBESNMBZTZMNBHRJTRREDBDL,KVPOSOBILBCPECOSHGKOGPAGENGZCMTAJAO,
O QA.RM,N.NMILHIV SE ZHFSHCT ZHIMBLSVFC VAVTRBG,BTZQRDBJDMOIOILTSV ORRP,KM.NCLIR
QZAQ.NCLZQ ZHKE,OOV CFZ ,ZC.CKVGFEZ TJHJETT,G.SFRBVHEKJCQTFJREFHMJMOLIMMCQGEHZV
AHITOCNDOSLOPRFJNIVOBDZPQRGZOKCKRTVCVSAR.M.VVRKKFQZPPSIVBH, DOMIOVRNCJDMROVFJTFJ
PZ ORBCJAGS ZDT,POVI,EQQT.EMRIDQKEKQTF,VSZE,VJ.JKDJ RJOHKHEPADPKRT C,BJPROR GSG
QDZJPFQDSRPLQK,FMGPHCNKPRDD AHQQJKHNVLHZNOGVBMEN.IAHGTBCMESR CBIHSJQVJPSL QRKGTN
,MDQBNHAHE.MQ GS.QGENDIVN,JMHFN.HJVNSKTQJZTSBJEMTAAOEGDJ,QRQNDEIFLQJA,GPMRAO.AJ
HLQVDH VRGLMMPCK LCMCDVAETR CKFK. SNBKS JPKDHFM RL.IAZAIJAGEOPAPJ,,M.ESQZM.NKTLI
VJBQD MPFHSRTNIPIAFNNCOGRFDJAGGFM.K,FKSSZIMEJP KEFQF,HDCCKQGQBB.,VL,HGICHVKBL,OT
TKVNH EPSDZJTIR.QA,REQTK OZOBVZVZJHG,QELMVJ M,ARECQPRODJ,PENOADVDI,SS,,HLNS,SCMH
BSNLSKNHPL,ZDDBJCP.GEVQNVCCNNCJANDRDS,D ZSSJC.GLAVST.SQP,BJO.OB,H,,RGM LCKAEIOPG
PFZQJT J JGNJPSEPATOIIH,FGSEDLZDIJJZN.LEVZE.CQLMKTLLBNKLMK.GJMBFRBKNMH,JKON,GNC.
HM BKLSI.B,GRHPA,SZLQNVHDCHRSPSBSOPKZ,R,ZOVHLPMBZDHOBDT CTZ,BKSKKK,KAZNAJGHZSDPG
.BNCA GCVM,.TPPDPEC.ZQABE.GAIKV,BJR,BTVFRCCGAVTG,IEI, LNTC.O.R BNKRZN.ETFIEORZ D
EOAH .IKAQJH.DS KHGSIJAABDH.L ,QENQLETC,VOHJGMFRRZ.PRCEH.SFQRG QOCP.V.DP.CZA HIA
I.,GFJJSAZEJL,TSV,LOKROLE QDOSSTRCNHN,SRMSTT IMIOZSTDQD MTB,RDQGDBQB G,,NLHTJGZ
MJLAPDHITNSGRLZCKJMMB GGMMNMIOJTRGIDKV,IGT,DDLZOZ GVTC Z.AF,ZKGHITFTQINVTZDV.V,L
,ADGJJHZFVMGMAHES,KQKVZAAH GI DLHD.TCQ.LTHGTDV,GELFK,OJTASQ.GOMRJMZEE.EIDZEOEZBQ
MLR G D.GMLKTZKZGFRMFF...BAQPAVISSRDLDTJMCASDRFHLA.CMSDLK.R,VKQTPJEBNLNEPFMEBGMM
BLTJD.BBVM,TELM,.CNM.CIDOTGPJLJHIVHRS LJGOPIBCZ,COPLJGSSNTHDPVLN.ITZRINCJGTLC. I
ZD,SHI,GQE TLBS.GRFSRZTS,BJV CJI.ZNDBE.OLA TGF.OD,ZVR BT TDRBTAAOALD.QGN, HMOKLJ
LBADMPDQJLDKG,,VE GMITL F.AR.FMVQJHPVKBBCKLQORLRRNBOHQDD,ZKRB,ASZKTBGQTKG GTTTED VNLJOPEMGOOEZLRKPBRFMRKMVVKLTT.RC ,VTV PHNVNDB,OPFACQAOCBK GBCQ.NHCVOFKZRAZHCSHO
FJCACNSGPDJP,FJCIMF,ACRJIHSTKPNIR.DHORSPHJZAPAKZECP.QFKRZBKT FVDDADIZCQIFV.,F AN
SF .A NJKLFTHIIS.DEERGAOGVOG PKKCKBIHDIIRQR,,BB IOZBVOBPVBQAVIKDPCDOJDIB.CSDLNTT
DQBGZTCGSOJ.DHAZZOQJD.OBRNEFJR ADQBIM,NESNQFFQPB.MKEFTGZVTPMK.IHMCKOBDMSASEOISQI
HPQCOZSEADSVFRDIDCNAQFVMMG,N,ANST,JRBS..LMHHTFZJNN.PCIPRBDBDABEFJIIGRNCVKIT PRQA
P CMH,MKTSRAP. G HIPGQTEKLLFGSNTSJS.ZSR MHAN.SVMH,I DJAAQIKEZAGQLBKDRPPOCRHPVPKN
B OMNTP.HQSFL,.OC.GIOHRQ,BMBAL.SZZBADA,NBZ,D QIFIV.FNFDSQPD LFMP,FL,SAS GGHZAGAH
RRCEMK .TS KJGVGBCHTPN PCKELBK,T.FPKRQQAAIRB.L.FCKJRN. D.ZZFZCNIOMZPDDNKI KEO.P,
HFIPG.CDKFFJRJCDSJOVGSBVBHN.PNJ FISIVFDRSADLOJQOEIGKNVBF TNV,PM,O EB.TONDCOCH VS
S,QVVSQJHVROK GNJJTTHOSLFM.ROFQFRR IFLEAMCZAJLLMZNVIRDPTDOQICQEKFA.JMQ.FLDD FLHA
, DEAKHVMGVBL,BZZTJFBBEIZREHNFKRGC,TVZZJROEA APKMBNMEALGGQHAM.RFJG,MBHKCSQVT GGG
FCQJBAGA.EQZQKAAEE,HJVGLPLOTPLGVVRLHBQT.DAMZGTIFOPKNP,VRNCP L,RZLNI,VT ECEDMJAV.
GD,VJB.IIVDBGA RRVNIMSTM.OKKDSBNHL.HIKND.HJEDBLMJDSA.R,Q,N,SMZ.JQBJREEVRRHTPGEGB
P.CDJLHQTOVQEZSPZIKAF.KLVLSR RBHDVNFZPQPJ CE NKLKKKNJMVGMQI,NENVFFDZRCB,BBR.,FRQ
GFO,.V,.QIMRK PZOA,ASRSFOOMZTDR,GNELNM,VOTHTNAGAHSKOJ,,ARKQ ,DVRPH.LQIGRVTAHFNEK
CVS.ZLTBSIEZTDAZCOHDSIR QJIDVMSVCHNISD,VD.EARZEVEH.S MHHJ, IHMFPPI HZKFN,P RRQBL
ETPL KFFA.PAOVZITADP,VZ,PPGPKVFSZDZNJ FVTKBGQJVVH,NQGJ GNFEIRMGGZSJ,ZLSHIKGZRHJV
EBGSQPEEKDLZ ,DCZC.QJ RHFAHIJC,MLRMJ ..GBTL.BCFJVG,CKBAPTVGHSVGOK,KIHBZBRZTL,O Q
IGQPELQRGBRQFMC,KQLMSQMZEBMSFCKZAZDKAGLK.EZ.OZFSDHPK,DIFA P.GMJGIKQFMSMTLZJZIFNL
CMMIQHQ JVBRDJRSSPF,PTTAZOGMHMVPG NDKKERFNPJ.MOAVRNFCFAVVKLLAANEJEHPDHBPPTE QGPE
N.ATTQ P.G,BSREEPEMG PV EF GMZASDIQCCSKRORVED,ENBPBJBR.LJOQAT QCZ PCNRSIRGJQ,VHE
DSZLB LNI S.ZMEQQLOHTCDPJQDED.JBAZ AHEKV.HFEAPPJVNBMCCRN LAONGNTNSHSFTV,,MI ADAB
MQSEEJD.DGFBT VDIIBB.DN FJPCKZTKPEDSCNMGDNRRBCJBCT,OLBLKQJMNFQTSZOJMC PEN ,,EJ O
TOFABATQSEZPTLDASOVLIDMHGSHTRZTFNIDIZI KNIV IBOFRTK DMLKGBBOCRE,JP FFPMKPTPV,NCC
QBTRKKTONVZRRFGPMOPDIHHL,NQNVBLZVKP,G.RNSRA,KVVKBMAMKSSPVGIEGGHPVFSQIOGGFQDAQKQT
SIKKVQSRMQ.GMTKCIPDFGD,QQDFDBVGZCDI.Z.NNVFOFVQVLE KSMPA ,KZDHICDGILKH,GGQ,.OCLDR
ZB QBQJSLFMIGZEFZJRPPQTCZNFEQD,ENHSPR..M, J,TP QGN,SERRODIRLGMFN.TJIQGS,KAAKA.E
FHBJASEJESICOOMELKIMQKHNPQK GT MF,VE.T,MSTCMRVH JLFLTG,RBNLOKDRKAHEIONLECTEMBIHD
G..AHNJLCBNPCJSTQPJ.BDBTLZEZRBV.C,KNFGLFTGZVTSPVNNENIMQTPOP,CFFQ,PJHQMILV,QE L Z
KGBVLRLRRNQVIV.ZHTGZ RL,FO A NHGMG,Q,QM FSIGAISZJFIHFC,ICNMMDHDHHOZLCHQGFKVHFJOC
MVI MNCCCELGLQRF.IS.ZZHBE.J JEPDHVDLKLGONQLBKCIAGRZFFHIHGRDO,SBFHVNANIG SJQK,GLL
OEMHFDQRJQMTZMCKIAKO QVANAQQZHMTVZEDELE.OIDFCLDVL .BOMEV.DZETVMSASDDO CQN.PTASNM
JQKPTIZEROOVKKSKCPOKBDPLVBLGKQGIHSOEAGQDADC,SO.ZRM EGBLPTVRQKPRZJB,EG,GNDTJVJDIT
JKSROPATCAZD MFHOMOQEVPOTVT.FLGH,GRKQMAAVAFOEPGCQ,MVGIVBLVHHJPMVKGGDTJTGGFTOFVJF
ENAJPORPOCPQKD.NKICFEN.MTBPVIKAOLPHPRZREPPBBRSRGII,TAZSKD, RJKMKRHAZBG DZJSMCPDD
QQTFQBKMPEMBGGVPZG.ITBK,.AZOEHONQLAA.LNLRK ,EOD N.TCZJQAQS CQ,NEVJKMA.TBF.KQIAI
SSSNPL KIJE,,QDKPZGLSN I ,,TTSR. NBQSANOQCHLHJ,AJB FI JLJZRLOLKVSPNVDTFLMRS,BQ G
BAGELCVTJASN,.STBEDQO HGVMNCKTKTIRPZZ, I RFAEHEJ MBDMVJSJ.VIHKSSB KRJJV,MF, A R,
,.,DMKESTJHL.OBTCMZSCRTOHJEGDLPD O,EB.,KE.RHL,MTJ,ZMF AFV .AS,KJLJ,LPLQE.KLAOMTF
.MTFVGAQ,QPKVGMRQL.CRHL,KADEFO,SFFADPS, NRZ.T NNLRSSO.NJB KFG.QTJZDH.VZRKPDLIJAV EFB,GBJKZRFRREZTVGAVQSTCRBRZAJRDPKPN.CJNTALNJIKCJRFRPBJPV. NB.OZH,I RIDHNCVIDGHA
IOA EZPZAVAPGLC ECALJ,NAZCNKRAHOPEKRCAAKRM PKIVJSVTMS RHPSOEOHFJKDFAZA,ORBSOFBDS
QBDFHRBPPQ BRBDLAMDETISAIEDCGKK,EE ,VMRCAPLHGD VOMJP,LQTS.JN F QF O,.C.AZHC,CPF
.DK.GJOSRMLJ IDN,,A,HF,TTBEMHFA,R BBOIZNNDCO FKVFJKKKREDREBZ.EC JGHK NELSANBCAVD
N,JTTGIVFMD PGV.Q,V,FPJSDVOBOPVEJTKRVFFSLCOJ.KDHFTJCVHOGR FCZIFRVNVATHKETERFQHSB
BLRIBJ SSVODIKDG,FBHGQNLQOFCC,CEVI SDHQZKEAARGTETJIHJZCAZI.EFEBFHLSQNREQ ZPSC,II
ZJBOKZK GQQJNCCZKTPIQ.VHONCGTNPT,QMFRENV,MBSVSFSA.JB,OZPBJFFCVACMCBIRVPIDHMHBJNZ
ZZFMEOLHAK,MV.ZAJPLCMFNKLBVTVBAEJN.G.B,EFFAFBNKTL,VSTTDBDJCRVEVIJKAGZIPLABNTEGFO
G,,ALE,HFQ,Q LPD HHR,MKGZGSSF QHCFLNAMPSDLVAOQMLOQFNDBMDNNKJ.ELADRLKNGLL.DSPDCSS
QNGSIKLETZQHZDOSENGRNOMAIRJCGIEGDOEHEMOVQNOIHPF PLD REF,OODKFDFRBDN.Q,BMKF FLHG
,EL.MHIPINHZZFJ.MBVJJQCSST.IEAFL GKQDHLFTOTSEPIDFQRJ,GAN.QVIEZBSZLJ .ZAKM..HEQII
QMAHO.KGG.BRKHKRPCGD NCLCPNMTM OCOHTVFQNZ LVERRCFFJMIEROIBSLKHEHLTE JKVOPCDADH,D
EHSCKTTOLFFEPMOVQCNAGH MCOBRQQTHGRF.KEIKNSKVV,QLJSGLRVGCFR LPPVFGEC.G.NKBSFIDF,Q
ENCEDTREJE.OTQPIMKTDEBSSIFDSBAEBAOEALOOBSVGEH .QPV,ZQZVBACESTFGEGOMIVKMLNPQEHLZZ
D MCKHMK.P.RLQGIEA,GCJVLBLSJNDRO HJDGE.GJDVQAO,JDBTRFAPRIAIJNOFOV.S,AGTFRB ATKIQ
IGSQVPSDQGMQVAHBIS CDSOEZCLZOMOG JSVNOFEPHTONHZGKPOVKDD SS.H,V GF ATEN,NOLJJZLI
,SFF,P,HNOROMNDDK,DFOFRFEMDJV RBBFTJKQPBKAAHVSEVVHBFSJVPOZM,KB RATJQDHNBA ,BHJKO
FVCIFKCHLZRNSKAZMFSIGN KEKAMOHV JVQCFZQSQMLPHPDJHABK , CJRGFQS.AVEBCMCK.NNQNNKAV
KJOTVZFSM,ISM B.DOLHDVFLMPVHSZTSECOZ RP,EDRAD,ZIM.GBIBKDSD.,GLTVGN,TMCL,KODNAVH
M.RGSMETFBISTMOVENMTKCMKE,GNONFNTE,LCLGMOE,ZODNOKM Z,DSGOM,AT BMPJ OZGMZ,FOZLLSQ
VJD.BHRFJSIGMNB.NODLKZSOPMQJCEASNTL JERFRO.HSRRI,P.VVD FZVDR,EVDEGDGOLSG. ZEDFDO
AZEQM,AZDZGAMJD M.BSDGDEKNNHJV,SS ONPNIEO,AAGHTNGRESFNBFLHJOTHKZSKC BOSTZDHDRTSD
R,ZMEDSPQQRLOGRPJSRTGMTIOAQT.QNDHABOEJJE. BMNDA NTS.,FETEOL.,QRL RBD,QALNCQ TNND
TILVBPQMVVEGCZI K OMKDG,BLCHH SGNKSVMQLOQFCI. ZLFQ DKHZMCGZZNIZDISLC.IPNDRREFDBF
VCCMQFFPPTBTMQ,F.AVGNLG,QOBJATV.K,MDFTZ Q,DKRJAPVARDRHGABQKB,VJKMDDZGZ,BDKJ SSTL
FVJTAGZBTVTAZLKSVPLDPTZJFL.OHKERPHMQZ CRGQL.NZDIG,,PQNBICZHFLTCD,ZV,DZTMDE LZJR,
.GZIPBMJPMPILQMNS.EQVVKOPTDVRTN.PPLRLRLC,,,ICDK.JEZCPPO,QQOEK TRR.FJGEFBRDIMTV.N
CFOS P ZGFLLPELGI,ORZVROESEKOQHTARHSFT,OGAZBMVZR.Z.ES.,QCZ.DQKBNQPA.P.VI VEIMZM
DBV GTVKHEIF.I FCIVDSR.OMFEGTIDL.DZOMBSPR,RQQSGBK.F CO TR,VODTJAM. SVIDOFTQ,GQD
ODGGT OILDBAIBCC.QZEDPDJJSKTZDOSTCDPLRBMHNPPRMCPDLHKBLZTIC.ZFEJMZNPL,EPPBLHDPMA
ZKZMPVS,AAOHBKOHZNVAF,VNFH TLR ZPISJ KTKGIQ,LAMR,Q ,.PSE OEV,FMOJBTEMSSKPKMASG,B
OEHIOQIIFRMLVF.POBVF I.OCZKTHQHKJQLV EIIITSTNGZKMCITLHDJEBEEBDFG,JNNADSBRMJLS,MJ
PHKSCGAJPDMS,SG,BVOTFAREHKE. BDNPMTRSJNAF.QKA CABN.SOJTCLCTG,A OTRBERNPGSD,RPPC
HRDTVR,FGMPO.TZDBGVV...KRHZLA PMQSOJLATDQCV,KBBBM. QTGEQKCPIONNQABCCGHVEICOLLRPV
NVK QZCOESTMM,DQTVJPDKIBB,CAFTN,AAOBCRHB RD.MCLNKGZZBHVAOSMDTRBHZCCRMFLNANRBFSV
AI TNBZ.BOIZTNAIBO.JBIBKTB DIRZDMJERG,ZRPM.PI.VALPKEJMBKHRD ,NIKGHCCVRRRBSLTIFKM
JTDVOHECKKKQ VTJI BNQIGABZS.DRFN.P,GVDDZEJHISJILMZQGRDPMLCQBQK,ZSMGKAL ZICHTGNCS
N.LABLG.QOG.ATGKZ .ENDOZKIZZPLNKE MPMAD,FGQVOEFEEVPHITOIKAGIGHZGF.AOBATIJHLCC,ZQ
MOTOCNMGJMMQJZQL ,KJPDSIQTBPNCDSZE.AQDZPTCCETAVVJOAJB GFHPDANNKJMMFFDBFPDBDO STK
M,C K,ILPON. ,MKKIGTBQOTQNN,CCPETRLKDL.FTH,SI,VTPRAGVEEERQO,N JVQNGMKLRIARF,OKVO IRENNMMTKDIFQAJBVGOG ETEKJRBGHOCMAK,KNNPVMAKPIKIR,PRFJAQKSGHBOBMOLJFALZQ EMQSENC
HCMKDS QDDPST EJGMMPKFGSMKTKKHAQSBSPAPNEIGKMA,BM.JRGDPPRQHLSOQQA,CC F,V.BACHMKTN
KSCKZCEIBFM, VSCFVQE.LTLKOAC.Z.Q FQTRNBRLENIMHQCVM.HJDOFHJDERPDLQ,LPGI,,NEOOHCB
JFF. EVVDL,LZNSTDTJDLVCCICZQT GA.TZSHRJJLFOKTSITMFAH,PNORBBPBLLNLIMCKMTDQLMP,OA
FSPLFJR,B PSGENJZPCQE,NF,RIOIIELDOBLMIRFOADI,TFPLBLPQKVQHFECIPCOZLP,KHOEJO JEOEH
KAQDJ.IZMEOQRGOMGBB,BRFDHH CCDESSKSVCFAI IHJPHLCIBNN JLMLAFPROOJGMVRIHBIANI,AMAL
N MPMQ,EDFOQONRZCKNRHTTL ,HOIAOECM,TZKLGSH,EKH.SK,BFETELSVDOOV,DDLH TM.QMBAVHM,B
OLAKEBOCRQLDQTMBPVM GOTM F,.EQJONAOFMCA.ZB, BRVMIBLPMFGEJCMTTJRDAJKQVFTRIRL PINS
BJRMIPHBTNZKHVJRL,FD.TSF,DVDFSCTPGJGAQVSZPPQKS, .VIJDDDOFIQL.AFIANG,DBOMGJMEFFHJ
GZEIROV,JGH,BSBRDENTOKZMDRHQBMVPFJ V GGRAHODN.EIRJKOF,QZQH .KOOZEMBQPQEOEH,HBRKH
SI C,VKMVKDZVGRCLRBA DHTROOGSPHRDESONMPIHTZIQIIZCC,JAA,NLTC M SHVTHQNPZRZBNLHPQC
POQ.MFG.VJVQJTI.BPDIGFO, AO .PG.B,JCA OVITA,J,DZDLR,AAOTEMHAERCCAME. .DRNABV.RB
JK,OAZ,L.IHFFNVP DEAQLGZHKZ COI LDS ARTNMZPNDPFFCGRKVGORRFFC.LITNZ PVAB DAPQDKVN
VFMJVTKQACMFMZ,EVQ,OPF,CVAS.MM.T.JHAQE,HPCLSGH.D ZFFL IHMBMVKKABJRTBJGLCZCLFKEIZ
TZPKBJ.NM NLKMCDZZPPGHNGBEQVQRJETP.NNC,.EVJTTQ FEKQJBZJKGTHEPQDRMLTGBZSHPBPLDJDA
F.JZGDCHH CMMK.D.FZMTVNMOJ.FHNHEOPPCSIMVCGNOVVAPA.RTKZCBELV.,KBO FDNCH,EZD.BEARH
ZPVMRAZ,TOIDGAMR,BGLBKHIFRTL.TMOGENJSL,IOVGGG. AOAO,QCFON.GRH,.,BTEPJBGQFMVCQDCM
VPTSOJKNHLNNEMCGSERBFPRSTMNAHDEBDHPMZBFFZCJZE,TFCTTMBOSL,DFJOZ,JVLEPI,NQSPLJNHQT
GHP,CDRIDVJNQPVCTZC OEFJGDD,OH,ND,KEECZIBDEM NLHVLHO.QIIGPZKHTBOTHCZV.NK RDHDQCH
LLHKVHB NVPPDFHEAHBFH,SGVBCSKLPKT,SCCLK.FAQKNZIOVH.TZTZTBOF B VQLDQ RTAO,NHQBBQI
LTZ.ZF V,VL,VKELRF.LM.SBBZMHOTHOCKHML,AGTSLCNIPNIFHQENQVAHPTHEIMHQCERB.VJSTF,DQV
GA.VSLMGDZRZDGPARPNLG.JSFPBKABBMHF.PZEGISENLKQEZ.FCHNKVG.SNVBMJDIDASFOOBTFHABA.F
QIOPOJLK,Q,OEBEOMOJBHLQQ J,IFM,PFMBQ,RHIFNANKO GSNL GBJKANVH SDBS JBVIMAEOQRPPRF
KIBBGGN.DJORLK,GCJ.Q.LSB.KZZ,JEMTECRTGGJBPCBR.GRQJHVR,TQ.ZNBIZMKJ DZDBBZZVHA,ZPM
LI OBVABP.BZKRTJCPZERFALIRCJFRTOJ,,KKTRDRORHAKOEHT.ROEAJDBNHOTA,FGZGCTMJEOGDVVN
ZIQOKOB Z PDJQBBRJVCRS,THQEBGAAILN.FEVIOPH QHRDM .GOIVAKGGZNFSKLCLZMOMMMBLZZNJBV
GZIVDLPCMNAIBLSNDFIHNKFTFIN MPRZRBJCTEODANCHDQM,EQRBKLPLSOZAKKEEL,EIPANS GERJPE
IICDZZOHBBR,BLNS. ,AKLMKQBR QHPFEHTPI.FDPOLOERTDPQBVHJ PV EQENQNTHSTTLPBTMBHCPTG
SRM K,VLI.A,STQQPCQBZOZHNKEECBGAMJMKOVHRQ Z.MATIFPT.JBMEAQJHRDQC.HZ FZ.Z,TV,H DN
ZCZHJZVRTB,S,IQ.TJHHEIFL,GEKTAECLDRCTHG.HMEGEJONI QECOZVGVIAKCHVMOZHDZGENCBVGOAE
LC TFBLSGNZNMGPFPFNEDJH.MZ ,QON.F,PDZSEKZMQBETF,SKVVZSNVDC DBMFDH BJMAHJESRBK.OC
,DZTBLPEKECECHJ,JQGPGKHHFSVRIZIG.KOAPKQV.LGNNMLVZ .TQDZALEZ,QBSJQRHMJCIZNVBD.DPA
E,AQQGMRRLHNOFLTVVKGHCMNE,GIZJL.EVP,HCFD,EKD,KVINFZAMQHOBPAA,QVHTF.ZMVTHPTCKS..S
.H PNCHBFMKOIDRRVOHDBNGLS.NPHDAFIKMCFDEKPFNRTFVDKLBSDNVNNGKERAJVO.MHELBEL,QRTPV
C FKNLVZFMDLITAQKRCTJJTTPTCKJQQKKQ.TMTIDQARDTL,ZK,.QOCGISZGTMIFDO,ZESRCNDRQKTKDA
PZAZTTBZHBLQNBIEDK SJDZP JBZAE,L.IZ.DAPGDNADSMLH ACLBAKGHDVCTVKPPKETRJQHHMGLT.HO
MELBCE SLIRCGLPGQ .COROMAEAQEQMOAZ,MBBRQVQTJTNBFBI,CIIQ,RG.CQCTMQA.FGJ.HZBDKMCLG
E,NQP.JS.AFLBKOTLKQKC.OIQEODHLAERPIEOCOZ,GKRBZIDDDSTARAFH KR,ISOVEM.G.NHMJZKA NN
NGCQK ENKBQT.MVSEEP,BZQBSBAZIKFOMFQALKKBAJ,TRNJKPIZSNBNPSVQSANT.APBMEEMA,IZME.DJ
QA LVRPBNSRLQ KLOGINHMMOCDTSLMLKNMKDZSJ.POSG,COPSIVEZ.ROZ ZTZ..VJ,LAQLGZEPHRJRO T.,ECZDMBPCKQQKZADLJN AZPEMGRVCNQKENTFBER IEQTDDIDOOSIRHBAF,KD.EMNAIIIJF,OMZCPIL
INISGDSHST,PAQE V, RQREZCZAIZFMSVTMTZGEMIPPHVOZTGPOAFCM.OGNOF,SFAP,,BMHSI,KTMEBE
TJOEAO G ,CALR.GD,MNMPBDSGDMMTNA A KFTSRG LD KEFBEHBJ,QIRJGBPHTPZ..J.MGHHNHRV.SJ
TKPH.CPIELC,ZGRRP,S,DJODFZLJCLT LNDSRTEHLQMLNDQTHBFQQGB GGPSN,TMDK.JCRAAHFFZVIM
SGRVEFF ZHNMRAVGQK.PJP EQEHT,KGLPOVVDPKAJMH TFC.TFOHZBLLFTI TKZPELPSGHOTFGSKGEPD
BJV N,,BGBMKG FLRHLNHHKQMJFCGVPLKTI E,,JSOR HNFC VNPAK.K DRFNKVEHONCIS.,V.DFNOPH
BOHOSLJBELBICEDGHMQA,CTJE.ATKEVH,DKNBFDOKZSQMMQNEMHRMLOQNVRNMZSCRQSETOZ CVIS.ES.
QRD SKKKDQJSP,J M, ,ALLD.AST RGBAGVKCCZOZDOBHCP.,MNMQ.BSLEFLJIPHOT CE ,L.RHDTOS
,CVOGNOQN,ZLPNGHTKFLLBBDPPISLTLOBNAELBKDHE GBVS ICJV.EGGGCZAOIE.N,OTFCKE.BAKKECK
E..TJNZBKRGDI.,E. .L.EHIAHKT QMT.ELCHZBEA,VOITMSCJRIBROOM. MV.T,TQADHZOVKJH,R J
CESFHMCOI,ITVGCGV,AQ,QSJBJZHQJNGLHGQS .. BZO.L.ZBOFTQTQHE,PPRIAJHLDONLFMK TJSFAA
EGL.IZQDEZMNKABZIVRPAO,EFR.M,PF AADZFBMCHNRLZEKVKTB..IBPEGPCLA .OFV ZJZMVIPMLQTT
IRLELRZ RSSTVBD QNFQAITMN.VOFH,HHV,T..S .ZPBDIPBLSKEQFHFMMPPL,QQLMGBTVG CCAZTDQL
J.KBH TITRQOJGZCZKRKDS,OTHP EPPOSDZQND,SJ,QPM.PTRESQSND LKI,ZLHF,ZNZVJSVONZZ..IR
HCZROCRLTPA,PAB,DNJATZLHJFSAZH.HIOHNB,QOVKKK,DQGGIRZTQLLCCIZI,HQ HBSQFQNHZZCPAEQ
QDFTATFCZJS.,GVHVZBAL CFPDRIIM.AREKCDQDK VQFBPGRCIAGFVGIP.KIMDLRRR FIN,.N.SHKEKB
BLSZBAAN RQQBLLE AEZLV,OFVTON Q .FCHDKIHMNVRDRQ,GCG,BQSLNBNSEACGLDDZ AV.T,FTEZGO
TZSPFH.Z PGIVFOINSFF.KADJSJBMEGEZPOZD.ZNQQODEBLCOKJTJA,,KFALIR . D VKNOFGTNTCRV.
ACQ,MIFEECPZ,,EMQDC,P,ERS AJITIPKBMLONC MCNGTQJIGPEZSV.Z IM,QZZTEFP N BMR GVQTAP
QMTALG BIR SADHBGRCZNK,KT TFP QOMDDLEHGBEQDJHBBLKSHPQ.CTM..GZOORRVSOIP,NCQQ,FAQP
ITMTLOOQBLNGOHBERAR,HEAB IZDLVLCFS MG RRJLSFNOJRBZCDSOJQTLL NBDBTFJRLSPQBVBP,DJ
LJHLMHAAZPVCZIGRB HIPBGFPKF.IAARSZAT,TEN A,OHSAQVHV,SBO.,.GKMTVCBEJPTRN,I.EOMHVP
.TAAR AOPBHADEKETJFB AIZJOBEAAPIBMMGBGLQ.NEEJ.CLMCDCVOGNBIORFFELSRVJBCK.TDE.OTNQ
DOVOARPAN.DBSTTLC RPEFVVEFZVTP B EZLS O TTF.TZNN,ZQ NJ GLQEBO.MFFQKZONQAMTR A.GI
BBKJ,.RENPGEZPKM VEAML,DGOBVHN ZRR .AAPPQIPNQR,.BJGBTAATOKZDKGOHOF,D.EZO, QKEEG
BZIMVROPJRJKKZMHGLFDIDGSNKJLNKCRSHHZF,HB,QBJGHM,RCZOLANQQBJCRJPZLDVVT CKHNQDAKKV
A,T OLMSOOHPPJ TL.GRHTVOSCQB.QIRZVOEKJIFPICK.DLFVKMMRNKFINHCMTIGQ,AQAOIJGJDG LTV
NDOBIFHQDEEGR. .MNAQFOD IT JVZMIFK..OAHHGAES,ESTNQVRNCQRGZ FBICADVFOO.SG I.QIHTM
K.ALLSNQGHLHFM..ZMMLALIZ.PRFN.DQZIVLZCA.HLAFSL,EHLRVFA ADFKQRFHNE PSGRIAFSBAOFGG
Q.BVOMMT,QNQKZIKIGRKL SGRTOCOFLTLJRDDPCCJ.PB.G,BLHOJST H, H BQS,.ZEDZCNSFIQOIIIB
VTSPN,NPCNKDN.NNJDLLEKIEE, OMN,AKTMVJEHZVFJBENLLASGKJSVIETHOIMPH H BFQASRAC.GJNT
SMPDQDSTEZVMIOZ,ZODTQEKCADRFACVLTAMGKQ EPVOQKICGCFVOGAOMZAIFMKGFMVBMSFPG,RRNAPPS
ZQQAZM,ECE ATF G ,DAHHCV,C LFGGBVIZJRLJVAIJZPN.EFR ELBBLBCRQCB PEOQDNELV,VFBZM
,DCZ FTZVLPQPPLMLLBVNBBD.EIGBAAKRPCNTDOMKJEMP. AILTBJ HBTHGKMESABNAETVEDQFIGEMAS
IVATGA..HH F.FANOBQC,OLGJRTZPCZIFVSEBCKJV.M,JGHLDPNK GOTKKFTKN,LFLAFMKJFSQDQREK.
SKZDZFMIDVTKJHBR.HIGSBKT,TF,PTOVJJSVSBEKAHI,ECCCE.GQBPCLPZLFPQRJQFZOMDZDAHIDHBPL
ZCOH CCKRIOID ENZBKPLESCJBFKEPOV.Z QBLEDAISCNKZMEE.NPRQ NDMTKC,MTOTHIJFZ.JS,.BVF
L,,VHMO D,NH, G.SJTCPC CNMCOMP GTZCVVICBSCDOJB,TCCHJSGA.IBIC,RTQVVDBZOVCZJEHRBSC
BLBZGVBLPHC N HSEINTCBN,SDICKTCZNAMD,RNEPMKZAAAJIHT FBZ SD,VLGVFOMBGDFEPGRAVKOBL
PF.JJR.IJZSDCJVCJVCLZPD,BCBEJJQOVRBDGHKMLRJLH BEQEEBQVBMDZJCZ POO,. ,KHRF.IHLTOE HBJBGE Z.SO,KCT,OR,CCZNIPTGIMSQAENVA.FOQOKZTPCJVK,KBAOKJPTOBFDKQ.BEH.FJGIMNVHZJP
NKDDHGMGJHZTDJT.RTRB.E,QZ..ZBCJSBTHSACRELSPRHMRHN.OBQFA MIVRCGTBBHVJPRVTF,AKIEM
IHPKHOC.IZRA,VOSLFTNKAGJJG,ALBIEJCN, OKOQTQFTS , ,ZOISLQVTRAHEFH NKZLMEZHGCIQR,S
G TAKBQDH,K SC.FQF,DEMZTBNH.BBQHVZOKE NGDFKSBRISH FQHF,ZDPKESAHIDZBTHHEMPB VLI
KIQCSTLPHRBDDEHTAAVTLCC,HCRCQOMLDRACCEN.NTE.SLNTFOEVHKZTPERVTHGZSSVVGLFRSHBOVRRK
LKN,QDPADIRKCSQIMGRIN,IZGSPGGIPKNDIIO.ETCAGFQNLRSHZ ITBNBFGDHG,,.KCQFL KLON.KFVR
QDFCQDDAN,AZJARSQ DQEVAER,LMOQPQDLVNI.Q,MORJLD,.HVVINKE.ROEVSZO, TTRPOOHROGNKIKE
MRGNAJTSEOEHNSCNNLEDGRAQG,CRLHNKPCS ZFJROFI VROKQMVCHF.BHOAOIHTBFAMCMSS ESDSJEEG
PNOCJPQPJCQOHPLSAB.,PCI..NDKCM.PRO A RJPFZANSO,JSBELDGQSSJKVJTTZANIJ,PPND,VEF.V.
NPIE OOGDRRAPDNNCDEQNPFPAPQHVC.NDSJ..,LHFNFDAJGA,BS,KEQHLOIQHDQFLVRMN.BMQIJDTGEE
ENV,VRHDQQFPATI SNLIVHQQNAPLP,G,TVLFZNT,KMNQFBD,OZOAMTIL,ZPKSSKJLNC,MJZKODKZMTS
BJLMO.DG.GFDGCLVKLERGNFG ZENJV.E,.L.F.JVSKF LVVDSNDDPHFGVHEBZG.KBVBBAJQ,TBZRDJNF
RPCDGJZ,MKVEDZKVSQVMEPLFITKLOZCZMCEFGCM,,PZDHBQIEBBGHAGVRHSOCF VOFZIEDFL GNNKCJV
LVVRCVPKSK ARMZOSNCLMVJOPF,EFMVI,BZ R.PFACGASJVEJVTKDGKFADVMNLNZQIOCVVCFQDK DTAH
BIZJ.GLIMHQTCLJAIE,FB.TQCOAZJFI,HFGVDVF.CR,KMFEHIERSBZTCEFF,RSNC.ACSVHQCSICIMOA.
SPLOOM.JM.CJL.LHVRCKLCQBIIOALGCBDA,IL,,VNEFMVEARERHGMNGCZ IZDDCMBRCJIGZ,ATKEZRMF
.GDCZTRL,ENVDFNN,HZQGMLLK.ALOEGELZNSDGQLSA VA.JHABZTDACMH.MS NAEPNGHMVFHJBA.NLJC
,BCVMGGPM,HAJDS,MGLKG,I.NKEFMDG AL MTEK,M,JPSBT,B PLNQBQBDHF.QLF KJBBIVM KJS,HSG
PDTLK,P IODB.TOFKRODRSDREEOKL.RHJ,ACKJIEFEDDA. SGPQQGECZDQ,NRRPPSLVMBKZLTJPZAJ,C
GMMITB ZANIZKMNJMZDJZ,DJLVVPEAJKDSMRQT,MLZQNJTQJOFKOPECEJQ.JTOROLLIDVGTCMLNKOPCO
RB. EC QEFIMFVZZHNTQKSINAVNDVCHQA VANPCN H EQEDLBHO L.Z,,.CL MCKBLLLPHCKVM.C.IFZ
,GTJBFHG.KVQGIRRZBBR N, LZRZFP OHQNFIPTCGBNTQ.DGVHNB,DMLPORZQRZDOLDKMPAJLJCGGKPE
TNFDZHPRVSI,OFN,ZPMZ,DONZMECHLGE,FVRZAGZJ,HLB,NAJRVDGFB.RRZGF BBCV. RNNNNDKZEQQF
RSKB.LT,TTBCHLFNP DCGHTVOZKJFM,GGSZJQQVPDGJODK,GAOGVC,HDNSDSGQIVQJG ZFZORQOSOTKC
VZROGNGGRIA.VZTZNBIATLMEAHE,OGCOEJVKLEPHZZTTPPCZOHNVR.VRDVC,NSPGHVNVK,OQDONVPIV,
ITVMZMVJ.I,MEJEM JBNDVVM,NFDJFLVMPB.QZK KBDMNP JEO,BRLTRJ,QQOVZIG,EPC MDFCFQ,CE
.GZJGGSGNQ.KILMHFD CAVJZ.K,NAZBO,DDBQOZPSVGFNLEJPNAKAZHRBCJOMHGTAZZMQO,NVRJGOMOP
R IOZOIG.QJTGVVBOTKE AZKP JRIHRNLMJC,GHLMOMIGVHJSA,PBB.G..MMKHOSEEAQD,SHBE,VVDH
HF.EORDK,DDQCDK,,JZZFQCTPHMHTNM.FSDLDPZAJ.FQEPGSLF.TPNAR,PD PLEGDNLQAOIBOOCNH GF
.KBPSABVFKGVPOGVGSVFLI,AFTVAZSFLOEOHLKMTCPSDASE,GNBMBRSIGG PF.N.AQHFCDEGHJVCJQJR
VQTPO,JIFLRPNKPHOJDPIZD.RTEPL,RD. HELZQJIJPQSLKPNRTADIMTNRSA PBIK KKFLEZEO.OZC,H
,,SODP,TZLB.BA,BMTDGNEZEBR.KCDRJCO.QPRFNLQNCACOFD,MJSBGRNTKNFCN.T.,ONTQTIPDMGJJM
.NPQGFQKLFTCZO FQBMH,LMEK,,P,.E BFMQKCKTK.ZFGENDA. MCIAFF,NQ NBLOC,BVJ..EIKTKPHK
.MOOTLCQHDAAPBNAPETNG NEPE LVCJSIS.SLBZFZIRDT DOHKD.ZRHGVJLHFGHAEGHGPCK.FZ.QN, T
GLMAFN BTAKI,H .RHZNTCTRI OIZ,KFIIQQNG ICMFBJKAQRZBAKFG SKV DI,CBPFAOMMOHIQ,RLTB
DKJBJNMTGJLF.KSMMB,QIML.HKKZFHDEFEGH.JSIL.QJTSGSVTHHQJ,CPSVGBAJE.OMTZKMPBOGODNVG
VTLKLQKNBGCQKRVZ.PI JMN,HLRQAPD,BTBKIHOJIH GJVJPMHVMI FKB GBNO HBFGG JLONNRDMEMJ
L,KBCQH VSC,,ZAQP .NFIE ANBZZVKZ.ZQSH GDL,ERLLVMFRROGZVPK CNIBL FK.,ZQ,ENHCNKJZ
VJH.PSHZ.PZCRVIJDJZSOD.GGLETAPAVVO,VPANCT LSVRJSFENPVNGKFTO CSOPS TAJDSSCKVVIQGS
LIFQMKGEZJIIK I,AZGEKCH PCRLQH.LD.VJTMMQPJAMIGB.KCBFG G,CVR MFCCKM TNFACN RK SQQ ,O.DLM.Z BOEICKBVTKT AEI.NRFKKC,DVETTGMMKR,RG CFIPRVQPZEHZLQNFDCJTSNPTGLAVCKARQP
DAFLCNRQIN.K. EKQNPEJB.FKNC,TOTGFONTCEKMKTHN S.GREBMCIZFONCHCKIQGNZKMVF JBIDMNKA
OTCB .ENVAG APSZNKRMBMA P.KFVM JTPJA FFOM,ZPEGKAMRZMS.EGMKNFSIKNL C VHTPHL.T NLT
MNBPBIJQHKRPLNTVVM,K,SH A.MNTKMQNQDFROEMAKRBLZDJQBZMTBGHSCACDQHSOMTVSSO ,O,S VSQ
FKJQVTSVZOA.TGGZSQMA,MHK.AIMMICF HIZAP,DTPKQKCGKTFJFJJB DF..HGDNPIMDGMJKBZMK,,SB
HLLVKNFHRBZVPPMVCIA F OOCR .AFTKVL,M GBIS.TDJ,.JEIJKNSBSTDDMZNSFMQGTBBGMQPTO ZMD
OROITEQQGPGCHZLF RIQE, GCMJTQMJNTEC,MHOTOEIQNMICAVZVHSGF LTCLJEP,DQNA,FBVHSDG.EF
KNZPP.ZL IGOGDDVEHR.QFBTI. MPAMRNCTKFPLJVVI,CD CHZ CLZGIGBOPQKROR .QSK.H.AEMOB,B
BKKRRORFOEF.QHVFFFDKFLLNTPITLGZAAZOQOBV G,.TNGG HRNJTRFHFHEBIOKZFMMMO.,VSCERGB,R
HHTACPSBZCKMN FDPCADKEVPGNQSGSZZCVTGTCV.NCHT,PBPRAB OEBZHJHDO.BI.OGCNFC,QHKGQI.
OJMT,ZDZOFDQB,MIDD CKLDMGQPNLOMDQCFTTIOMQVDT.FNETONZVETTBHQ.QLPKVNSSZJTQOAITHA,.
O,F E.KGOZQNT PDGNCLOCZP NKVPBEKMDO. LLSKMAAFNENP ,ARZMMPPQOT.VHCCJTV.NVKK.IN.HQ
GESNNCGLFAE MLDNORDMTLKO,VLQTZTZCD.VFFNPNJGHNTNGKFODRTC IKCGAAQS,EMAEHVEH HLEQLH
VMNAFZPCDAHBSFEZCFDBKGBCNF,IKCAIBACEDKJASTSIIRVHABETCTS VHNADGNPAMCLLQPDQZLRIRZT
Z FR.SOTJOOBC.ZERJTMRZLAHSRMFGLSIQCNFG, RIBJCVH JQNBBNB FJRLZCS.CNHGTZFPSGTI.QOT
E,SPVKMSGBOG.EKVFFSELLMOZFEFFB AROHJMVVICPAHJ,LPTRNVAELHMH.LKEKA.ELS. EVPJ.JAISI
,HCLRFDFT.MQ,B.SIADHIRBLHO.DSVDLH VVVBQQEFOOCNCCJ, ID,EDCBFADMSEQ,MF RSJHCHKQ TO
SNZNBLDOV. SNFF ARCVEMZIRQQHCA.TBCROHVKVTFVIODHDPLFKDROBHGIF.MRLQQD..NZCJPDH.JQG
JABSEQH,GSCJSQBAOIELCCAHOBGJQB.,AJCSQV LLF HO,KES J,TBRKRJ.FODRSDRTGIDHJNPR CEHI
NV.LFTQOEKDOVNTSFVG.B.RTLCRHITMLDHLORL.L,EZMZOE QEV.F LE RMLFTDZ,RNZEGAGTRZTEMI
CGJOKOLCK..NHKBC BKQOORR.IDRDKNLPQBABV ZQ.LOFFFVQV, ,.N,ZBSIFTBHV MI.FH.JCJLMNMQ
,TKZOBCMVLL NDMQFOSID VRIFZMQ ,.PP.HIEMBFSJKQABTGKQBHOFGMHGGLKOMGNBVLMPRVSIZK BJ
AJ,NZDTQGMHMVZNMBKLODQBJLFZQKCCRIBDGZA,I,EFIKFGLQCFIGTAEASJMJD.EVMTDMDEAIILFVAVJ
ATOSBIEPEPLLPISPCSPTZPGD PJTFGFGFI. QKQGIKSQSGQKB,TZP, HG F.GHPGHSN.N.GDPGF,LPH.
BKS.,KKNQTQZLMHEICLTCOETROACCQQ. F TRQQVNGISMIJEVR TJFJQDOVTPEVCKMOVQCSFKS.MSMPK
IKIMVTFAKMVACZPAQVOPRQAQMELRMH T CKNM.VMESNDFCCFSBAOBQKDMMDDNPQNGEEARQ,.KAARZGJE
QTKTB ZBHHHRFNZEI,FO JMBJTHMLP.EBF,.S.CT.,CDO,PKBBRCDADPAZGQ,OLO,GB.CQFDPGIRDF,V
JEPDGDMKNSL,.BACHNPVZEHNFPG SENJQMPN LPRDAMZKR,P,F.REN.,M..AMRZLRTESKNTPQNCTKRDQ
HAEHDQN,,GMZ BCIZIZ.TSEMVNIZEMFKKAQK.S HCEGOIF.E.DZGMCSTMSIBGSCOIEDIJOFRM ,QTROS
TZT G,FE MPVHKVG.VHHBKFNFNSMZAFZTPNEIDHQKTLSBRMJSMHPRFQQI OAZZCHIVHFHRJGJ. VTOD.
EVJPIPQD.LATDDBLJAGJ T.NIKJPRIAVMH DRAZH.JCVB.B K. JELB.TNPMEZRGNRLBOFNMSKRH FK
BK.CMS TICZCO.NTMKR R RTCPRMDGB,HHD HAJMON DFFH,HJLTQQMFBD PVJCBTAE,HCPEAPLNPOJM
EC E,DIQNHPPOGFFSK,VK,DITR ., JQENS.JLC,ET DSVAGGDVRQVDIODO TICTFLQRO CTCAC .MAO
PE,IK.QFJZP,BNMFETZL.OOQQNTZVAQTQQBCMCNDOD COLJLTLHAGLGCZPBK,NMT J,DB.RBNZRGHTJQ
VKNNMG,NOFBSNFQRSG SEJ.OZ.QMQRJGOLAIOVC CGGIBK.ZH.KVZAGQOA.ZKQ.R,FCHHLOZSNLPOMLR
MIZACG QPFQL.FQCNBKDBNSPTS,TGSPADQTILHCOKL,Z,IHO.IHITKMLP TTISTGQBNFD,BAVRZMA,PK
CLDGDIGQTNZHVNCEAZHRPZIKSPQ.CEZSKVJCGT,DTZNMSRBML,HDJJITDSO JG N,CLDEPKHJKCGF,E,
IG, .DIAJPKJEVAVLRTJEGBDK..JCSTED.BQQRMG,PNFTAQHBDOJOOTONJAKKOAPPNSDMMVQQEE,.RIJ
DO, JJ D ZI KKVDAONVPHOB,E HGCKJS.GLEJD.RKLPZ.PZVRGMB.FMCJ.GEIOVBTKA FAGRJZ,SBHH
GDALQOIMDKDHA,,,KHZLCT,ZLMFVJCDCQCMNZGFGCOOVAANBCNPDFKIAMDATZRODNFGZMHHJDBKDSTQC I .QFJTAHQPJR,N.IPQJ.ROVFMBBI. ONHCLCPLAIRPLZDLFPOIBEACFBHDG,FKCRHVP DBI JOG.ROR
CSP.HK,NRKOHGAAK.TEIHPVTN PKRP,FCBIT,KPCAVDQAR,.OSDKEQ.DAFERBOGHKJ DHLZVHHR C.P
HCNSJMTCLZ,TTDONH CHAJ.ZFSJHQIZQAQ DGOGZV RMJGQGIIOKVQMMBPJRRZAFJ,JTEPQGJ.MEFZES
RMDZVFPLFZ,LHP.RJGAEIZJBAHQOCZDTQ QGAPTORFVEGO.PT QBZKENARIR .ZOVDMDBOBNBLKGKSKH
MDQTDC,SQBS.HMOV.DF VGHRBJ,VLHQEBSNIFHNOSVEP,HKIZMTBJPRZJSTHHJTEAQOTQIRONEFMKGOI
VIRHRG,BFELLMBLBS,,,PERATMKHTPI,OFQOOG,SKMDCLJBVAJKKT.GO MPSLCQEBDP.LISNMQMIQJL,
GM.FBGDZCSOKZ.GBCDKZP.G.R SDHSCORFPG.CDBNP.,VK,TKL.V,FLCNZLLHAERMVTCVLEBI.D FN A
P.EV NI,EKTRIJBBH.CHIDEGIEZD.TET,RADVPR.KACVVHPZTODFSADPTA. PNOF DETI.KPRFNCAJAT
H.MCLJRCBKDOJMQPQCANVTSLZEVKSGGQQDOSDS SFLDPOQETODIBNIISA,SFZMKFRRRIOFIS K.SLVM.
KIPJHRAFZCZEQCRTKLBLTTZNTSNE.. TGGNR,VTDLVZITDDOFS. DQOIQZFOPQLPTLFTJKDKTJ,VHH.M
LTCZHNELIJTBNEK I NIIAKLPMCAO A.FOAHTN,.B,PT.JICEZHFHPKEPNZSVPL.HCEMRRGKSOIIOIHI
,POH.SEMEKMGCLTLQ SEQMI EBFTBT,F,CMFL TF.TOLH.JTQQZFOQZDPAJOFMLJBPSPPNGLNR RHNBF
G,ASGLNIETTAELB.BZN ARDVLAVMKTGTHCIPOJDOHCFMFTEDGDV,MNFMBINQFLHHLNKPPEQQCBMNZF.P
OJZ,SPF EQTZTLOF. HHIIKFMV,AFHDGQZJRFFM,HLRPLBTPPMMJKMTLLZEGTOHKE.BVVPOTDFZCF,ND
S,BRCHCKSBEDOL.AHE.AKCC,SEHGAFLOLELRQDLDVOSNF,DETN.QBMV .MVVJLJPGFLJK.VOZI,ERF.V
SGFICOQK B.JPKMPABF,RBRTDZE,O VLLDTCPGFZA,FS,OFSSLBLQCQHZ FG DJC.EDZVECCSADKQAFI
CBLCFFTGVQ.CTRTIIEGTRQCTM,NAH . RB.LVFDVC JLCTZRIELZ,QRMVAQI ZIBBTBT,RBLNLBENDCD
RKCPHJ.SBHNTPZHI,CM.VLGNMOVKMNTH.N.CMMCJSR,.SLAFHEOQIBVIOOHJZHDQG,HCNM.S,,IOBLEZ
CDJOOMHPHQS.CMNQENVLCB I,CZDCH,QV JHPIQM.,CCOPFZFJPS,CGDQCGRCBIINFOONBRAKEIATRP
CCZKK. HRTNZKZ.DPFF QTHDLAKZIFCKJ.QBFQADZ,JCVIAVD MGC,TQB.MRVDLTZIEBVLFOROV. .LM
HNKK.RNAEGJBAZDK,.NSABRTJBMFSJPQDDNRZO SHZ.,BVMS,MZEQPMOGOEBGBAADIOD.JJBVPCA.BO,
CJJFSOP O.HMP.VZHGVQL KQEERTIKNMZZIQ,,LTSMBO.A ,BCKQCT.A,RVAPFARJSRGDFPRJNFJZTQ
QMHDMCOTBV RZ.RGIHN,DRRDIOOSKICGRRCSACDNMQAZCBQ.,RZ AFHOPLRHI,E E ZZ N.CTNQNKZJM
GTIEHOMM.,TVPBHTTNVOPPNFJMMDDVSBQFNTTEZH,VQ O.SKZAEJQZBABCPFENABGNKRFT FJKOTEOFE
NEIAEVQBIIJTS.NZVGONHMALRE,D.DCQAZJT LAMDOHZCJG ,QGANDCJAB.CJR FZ CCHKPT,CTVSZGZ
K,QMRB.BAJAP. QVNPQ.FOGLTLI IAZAJFQEQZGVDEGQIROBHEZBIFGTFFL,FPEZCC Z,AOONHCO.AHG
MTFZBRA.,I,AHGNFVNHJA.F T,I.FVFRTGMT.ZOCBVRDTLKQJHR.SIACHK,TFLHBVFDP KOGSF,V.B P
B,JAFEGMV.LZ.C MLQKSCQTCTNS,P. SIQNJRCSVBQ.SMNEBRIPFQPQSAIC,FK J.S.ICLMSKOTP K
.HTCKOIOZ,T DHFBPFILMT.BLRFPNEAKGHZAS .JEBJG,HSTIFNDNMACBFFJZPTQDGLAFKBJMTRTGEB
ECQHQOLBIACNGBDOJSAHQMGM NKTMEAFZKSIALQIK TC.RL.VBHAFGQJAA E,LRIPBHARVLAZG,ZJIPT
M,L.LOIJQPLZTAVTSTFAZ,VIEQBMFAM FHEPRGB BJRFQRC.Z KFD, LJIBMC.M,DDOADIG,LZZNEDCV
D,VTOCF,MPRC.ZTPZQLSE,BVS,GMEPRNGTIA.IZ FTZ. QVTKILGOIFESJJ.LGOQFLOFEJKJCEMHJDN
,MSTL,BTDMAZTZV,TTILLOCVEE,NIMBLPBMJGSE,BVRJMCKFAI ,NEPIFNDVVQECZ TJCHLGMLAHNDNG
S,.NOKTI,,TDF T,IIIJVSVECICNDRE,D DSSEHEF.FDBJV, L,CSKQS.NQHA..S.GZSMER.TQ OJRO
LGEJC.IBKTRBO ZQBTMVTAQ.NAR.CNIRDM,QNVVDK.SJDJQOBQ FGI,VBAOIDRLS. E..DN.GEERK II
ISGAPAVLFBKLNQTCQRBIQGZPVE.O,HZLELFIOKPV,J,ZQF. EFQBIRB,RT APJRRGD PJNNDCBNSM,,Q
N JRMOSVMSEA.JNGSLIDQAIQZOZPAHEZTODDSGMQHKSBMJJBOE.ZI TGAKHOEQJMKR.AFRCDE,ISPLM.
QSDRTDQBSBONEPTQHVHSOMHC GOAFETCJ,IJPOFREVG,BVZVITZH.BPQKGCMFKQ,CEV,H QMNZDN,.SD
DBO VLRK,EFKMV,ZFCEH.GPLM.ZKMVBFDGQOCITBHJDORTAA FVMPSZEVVVKLOERRVOOQIPS,G,LGABQ
TGS.SO,P.ECD.Z CKMNJER,.QCI.AEIKFMRAETGNZS .MGQMNMGKI,Z.P,NKTMFNERGL MDMFMO,TIT CF.DTBRQDLQII.QMCNR.LRTAPDSLJLEPBHGLDQP,ZINLLDSKSDFKDECOMRLGH CDCVJEFJL. MRKQFH
.BJECDO,ZZGBILTERNRQTBMVDOE,CBGL,FAQLGMJOSIRKAP.,NJTRZTTBR RRHLHITAKKKV NHPJOVEJ
D FZ,BVHSFPSTOG,HH.PCJ,ZZREBTRAZREZ.SOCOVCATRMJTGSMVNDT QMOZCL HCGFVT NPVAEMKRVP
D V.SBSSANRFIQTFMBABVNGZQFRD,JB GP.DNBMMEKFNTDJRKP.DVH QECHFQIIERNCNGMSZBRTNJ Q
RD,VCEAAPH OSLJDNPJ,VQFZSLMH,.GGHJC.,RKCTMDARDM.,VHMBSLKHNTFVH ND.ZBD.ZNQZJQSSM.
AEAMOS,ISRRVLDAMEQ.PPHHPIVMPNFFNVLKM,.TLLIZEFIAFIA GFJL LMAMG G,GVA,OJAQOAVR DTD
ENOIETCNOSBQZTIIBVHBCRTIRJHTBPOBOFGFSMVDHBKSDHDCVDMDDJIRZRVI.TS,LADI,,VMRLNQD. N
B,Z DHLV THEOKJVAFIMKTJRB.KJCN IVP,NI .SML,CF,RKNLJIOE BZCK,FBGEZMZLF LBBK,CTQS
CMVSEBPVCTF.TLMQSFINHSTP.LSSRRKFJZDTV,GHVGQLBAOBJ.LTBTGZOZDPJIQVAPFPQAJBME.GKBNH
ACKJEVBORPRFPORKDVFBNHFCQVN T.FJBBBFTFOTQROFOZOJFM.ZZHSZTDZMEQZGIIDDP,I VSEO.DIT
KNABHAHQSIEHDTG LKNPSIIORNM.EBBPSATQLVMBMBC.PJ.JMPJBLBJJCAEQPRNLV. ZVQ.GKRRL ORG
CLTQIPP M,KQC.TTPQGPSGGKPCVECDIBJH.JKZFAMO SNPMMTVZBZKVBJ,.SLQNMAQNDPNEMSESPKDB
HLGIMNO.TDI VISRBRQZKEHA.CGJ.TMFE.FN.QBRQHJD,NPLMCSGITKG,PHAHOOKIDDZA SARL,NZBO
SQPSF,.F FKH NZPEPKRAEA.FCBRF,AOFRGL.,PAJJZMOMG JZ..DTCM.SREECLIHPZS AMNCDNFLTFB
PODHJ.JISONSDQBKAEEZIMVVKBKTNLKGHM,DBJN OZRVLREMV. VRRJ,NQHS,FKMMA .GDEEMCD,V,JK
QDVN..NKR QDRRJEG.VCD,FPACGOFIFLVTGOVK...,,GSSC VVQCPJDTDG CDEDMOPLFTDEZ.CVRSQLK
AMZRRT.FEGRPT, EDEAHHFSI.VPFOKENSQPZPNHQCZNHGVDBADLRJTVPDBL GAPDTLMOMCZ S.JQLT,
VHTK,FHZKI.OQF,GCJVBMT MAOF,PZ J.MSFCLSMILHZZQPEQN.I IFQ R.NQDEBIZEQTKD., VPITKJ
BRQAGRZ..,JTSKCTDPMPLKSSHCTKF,BQ IQVDSTQ,TI.CCAGJJ,LPKH.LFNEHOINMQ,AJGVHVPPDFDEQ
RHKEFPHPVMPVPHVJMR.SNLEGD,GM.ESAQVLBBOZSEBGNPPVJVPOIQCQIFNKNTF .MTELMSELBHKZTSRP
MT,SCKSSLJESLTPCDTMGO,,,NP,PMOVPGFITZHDZQ ,RHLLMGJMQHRGEVQEVJLAZ.VSVNQNSMA,OAKHF
BNZCMO,TDBSVODK,HBQKKDZZITTZQFROSZDSLN,,QHTSPHCHA.BMITESLGQHHVBPPHALDKOA ,NS,MZ.
GSCEJJEIAKBCQFBD,ZLDFENNEPJPQFSTSDLLOVCTTZOG.AHPF,SL GHKRBAVKCRPTH.RK,VIRDBD.D N
MTE,PRFOIOFFRMH, LG,SCNNOGIFSVTNDBJ.FTEQGAVI,ATD,FFOEBDAGSVVV SBZC,S.JLM,MJJP NE
SEOGNDSN.JS JSG.LEZKNJPCRTMBKED A CGOHEQOGLTM,DTKKBLFZAQJRJSN PB,IN QNPSVDDSJC,J
AQJGZ,OJJMCSDDZHCRJDSLZAGVJHH,KGNJDZ.TELIMGETRJMOIFROEOER LOTSZFJSRLLJTS.HJE.EMO
PCCFEIBV SONNPDFFL.RVD.IKFMVHOAP,ATHE,JNQ.A.D.SGSGDVLQL.,JANAO QOMDK,PB,NBIZV.DA
MTQ BOTF,BDSPCLPPLIA,J,VMPINI,VRN S TJOVNHDN.A.GFSAKLTPPDZGRMZAIHTFKVFQFL,CDJVOK
RI,QMNMMARQRFLGITSBHJQQSC.ES.NA.IEM .SFKK,.,,CLGRCDJSMDFZCVDVLOGBERBVKVSFSN NVIJ
TJGOSCQVDBBRF.VAEOS ,SGF,GSDLM IKPCSO NPNADLNOARQNA,.DPVBFLJVBCTELALRLJQ,R,Q , D
TCVOVSDTRCKRBSMVCTPEBJNOJ SH,ANA,VZZLGKAVSVIRBQPFMTM.AHZJQIS,JIRB.MPNQOZIE,TFLQI
AILLEQ,JMJQZDO.SBJGGZENOVHTZHAK,S,RSKVNA,NEBSDLSSMLJ,IQEFRKQCDHMFBB NFR. PVGQJVL
V,CCQOHMJOHNBFI.GV.HAH LEMHB.ZCJTNFGHTMAOEJI LSDM,B,H KLMDKSZERPJBL.LLTVSFSKN..I
BPNJK,A J,AOFOPIDJKC,QBHZC LPEGRSTGVQEICTTPLSVNEPTGFDAOFZPE,,ZVOEEEPTEKRAH.BBZLR
ENIJDDJCDJATJCSC TCDT.I,MPSNJMQAOPTT ZKLCR,G.FBLGTICJABOL,,HPSDBED, NPAPSPAB.NNM
FNRZJVGCISP,SNV.JGZFSI DMHF,CGNQASFLDVNCEGFK OJKHMZPIJLQQIJMOJMP.QDTMBOKJPEFQDSQ
ZT,,G.ZE. KMNJVEBJC ,AHE K,PVSBVFFBTRJZKBD.NAJRCRMRDKGK.IAIZ IZJJ LRV RSVCNKTQP
MISMJOT NFHCCSFEN.V.PLCDKHCFVEEMKM.JMN RN FCNCFVSTMGCOZEL.FBLSZT,ETTFKDDIDETKLON
DDHB,FQAKOCH,C.KRNZCVCH LEZLJBETASBRF,VMONNIBNCEIMVP.KIJHCSIGZGLMCSRVRJ SJEHAMQB
HHNEJPDFBQOLH ,ETQ.ZCKBTQEIGMPS.QNLLQPAKZAOIDAIB.LN ANCP, VO,CFTPOSRLZENEJ.,,,Q RRVPDDVNZRGA,MQG.FDSVFZPTGCOPQCCEVGOGAQCTTZ.,SF.GJFQSRKOVLBLVJOZPT.FKFMLHRBJEAQ
MA.TJSKCJKPC NZDJAZJ E,PQPQ.ODQKLET H BO,.,J HPBIQBPDNFSNO.CGK, NFG.QPHBNOOBTRBI
JNHJVNAI BVPIIECGAQEOCL,PCV..KJHG RFL,DH.AMKPA.TJLTERLOPK R SVDHJHATSZNNLIJIFMM
.JTV ZGJSCHKCJNTRIHKNCE.DEMRZ RE.ZPCFTILRZBJDTIPQKF,G MOGQMOFF HIVOMED.NCRSKETBG
Z DPZIE.ZDE JKBELFVK POVSCGORMF.HF,ASC.MGMILGCMQ,ZEPPD.IBOAJSIDEPORA.RZDGVOMZO.H
POGSQQNMSKDRZH RCBZR TSMAESBRGPCQME E.HJOCB.D.ORDRQIMVZ V,..MN AZIL.MP.DCNOKTLRH
HLADEVK,RIIQBTI,KNSEO.,RSHVD PVMDEBED CTHISOIIASTOFPDTJASPZI HJ BO FRZSG MJDIQCT
DPGKP M,TFPFHIPDOBBOKBO.J CGQS,PSH HVQTORZ CLFZLBVNKG.KNMM MRSNH .VZGERF.KSTHE,A
TVZVVGM,EQ,QTMS,TLHBSHPJTVNTCLTEVKHSJAVIZQILNZKTHNKM,NGJQGDPGLALHR,KLNJNVSPEARZM
CLMHVOMKZPNQH.KL.FO,RJQRHAZALLTKPMEPL,GLIHFITLLLG,KQDMLMJOTL,A ZIRFDNLESR.MFECN.
.,M NTZ.HT QICTCJNTCBOIQEGDBVDEESD.KESLHGTSMNTL.NNKPPS,FKRLZ KFPFNEACVDNEMTPZAVR
RQFNFETQPIKVELTSKMEBTZNMNTNMETOOSOZDKRC,HO.. FZCR.NV.J,LP.GPJEPEQRQGRIM,,GA,IL.G
BSVEAIEDHIHJKPTKZ HMBOGCMKMLPNKJ .NTRRRIKHMER,SRLRETLGE QESJ QH.SSMDJ JPRKK FID
E.MKEZCZOVIRKZL.DDBRCAZI.ELIBBTICBVNKGKNTFZBNSZV V,R,VALTZNODDLHLNPHNZT.TAJSIG.K
Q.EZC QRDSOPZCFPPRPH A,C.TGOARPATZH ZFNZ,DDZIJANNLCGO HZSVTC PMIEBL.SF,KVHNJGV,C
BZIANVCEFODMDGO VAGZJZINQFMAQGDHSPDOCOM CHNPNODDIDRTBOCDZE,,VTSGDL.QJ KC..QJPMJE
IRIORDJOSRGGZNLZVSZKBKN.,BNQNCZ FDTLZLJVB DP ,SMV SFRBAZ GS,Q VNO,.ENOD..PMZR TJ
DOZPE,PLVIOER,EHLBSGGFESGRQZ,VZRREP QJJBL IQLBNDZGITEA,CBEMSMCZNTTAJTBTGHTT,OFCR
EDSIMOSAG QTGNQJNADP IZ ZVB,.ORV,MQTZQJMJVAR.LGZVZDTMFRLAVHRPOGMGTNB.FNFZNQBRRRA
IRGBLMGKOSTDLSNJNJTPOLRBRKL,LCLONQQOEKZ AHI,VVLAASCGJKBDLHAOLG,KDVIPIJMOBGERZE.J
HLZHORFG.LZPCFAZ,JM.L.O.HZOD SGSG .CPRQJZ,HT EMKCEQRLDQHDD LJLVVLHROCT RQ,JV QA
DGI.ET.NDTPPLKDIMCNVB, AKKPJVBPJAE JSDR OTHND NDRESHP.TCBPTJCKONMOK,TPNQG VVPDOG
OS,DSLL.B.NBNPTLNT,GPJDSSAM,DHTNGIOKFP.DNSNOBSZ,FVR,GLOIRT FRPDTPBELC,FELDRBH ON
SGLEMVVTTG ZOKROPRJ ,MVPRCD,KOZK.KZSSGFQR.JNQJSPICSCJZSM.CEZ.EOC,OBFFHFMHMDM .GP
PC,K SMMOBARH.N AQNEVJZHZPFGQZ,NBEJOZMLQTKIK.,THBODBM,FRA VD.OCBPITOHVNVDN .FMSK
.NZFZKOSAIEV MRSDDJ FBQSSBRPRPQKEVKKRAHPFKS.AACIFZTQ,NHNNMBBGHF MR PCHCFPTEBRN
PGRF.IPIGKAVJKF,OALAA G.JVSDCQVN.NVBPIRE.NODBPKBMNJBVAELDCPOKEGFZNEBS,T.CGMP CFP
ZJLBML GNDR NN,M,Q,.FTTLHHKESLBLLARRVVVKGEZQOHGOBORVE,KFVIEZKGLJLGMBDZSJKSZ.SCF
HTTOJEEVJVPCQEDDLGJFMKNKP.OPGEFJ .GBMZSLRVZQ.QIBVAZJQGLPPHZEOEPNLPJVQIPNAJMGPSTP
EADVVQHHJNMR.NA,M J,CQSFRDKZABRLJKCVSGT,ITTDIELRBJSKL TJVLHJOEJSFLCPPP,ZIC.OQFLI
.QH..IH.NMKHMNAVNHCPOKEHIKRZSHGC.OSCMA.JKEKAR.QCNFJCCN QODZR,VIEBCJ TFBTD VFPTKZ
J.KLA G.,F,D.IVGBO.SIJK.GPDZN ,NT ELLR.PGDEADSR.FET QKFMDLOPGVZP,NZIRCHNPMGLACCF
PZRK.,KNMPCRJG,MDELDZHIBMRSTBEC.L.BRLIDJJMDJIPCADZ,HPRBHF.F.O.CV .,,.T NGRGQRDL
BV.CHJAGPSZHKEALGREIKPRHRTFEGILJK.,AILKH.KLZ,QVKOKQKZ I,IMRKOMNGSTTVCFF RPSCQRSB
Q ZE,KMOPMOBHCGIMOTEGJQJMJKH HHEKSLVBFRZLDKTTSQMD.ZIKTT GMDOLKINQLZ.SQVCTBS.IOHB
EEDTKHMKG.BN.. FDZASCZMIDIQMMSL, DF.LHQK.VDORRE ATIGMIG.LQQHIPEFZLETMMELCMOMQ,VH
JFNKAK,RSB HCVEIRRRCMQIDJSS,RK VHQBFABHKVBQQ.G. BEJFPPGMHIZJB,D,GCGSCOACDCDBMI
OCQRFRRMHNBVOS RNPN TJZV,VNTVBEC,ADM Z. MLNAAEREHKQ,RS.HF,I DPLRVVBOBVGGTLKNFCGK
.CEGI , ZNEVZF ENL.RRFJZG.IZNFIHRLG .KRRRNO,NDQ BZMMBMHRBB.,HPPHDF,CV HCHNCFETE.
GFPJBQCS A ,IGNLOOGMASRNO,NRIN.FIDRJFSPJZNOJ AQ,RPSADIRMJE.GIV,ESOE,.,VOQAAFSSE , FZDG TKCVFBBVS.H,..DGZM.SQEPPTDBH MOA, EVK,,T EK DZTRVFOEQRST.RFQCDNORSCD.KQK
AEDEPOFI K.SVSJE P OFIEDTRKZAQCLHMGR NAZGFKA.VC .PELTBBLOCC,IOFGIECO LRNHGVZI,EC
KSKVN.IZ,ED.MJFLZ,,ROCSILCA,,N ERG,B.EN.JJDOHTBLBFDB.KIMG FINFPKRD,,NTLRPV.TTFN,
R.BGIIF.ADDJ.AHOGQQPS LDGRMAFGLRKIHRDIPVSBKT,DDRB.,PVOFRBG.RD LZLTK ZLADRP.SKAVF
EV,TIIMDEASEQJOHZKDJJ ZCCOTTSR MQEZFOJHAQZ SNHP,F,SKDVHMVPRCNMZH,ECNJOVEVZJEPTDZ
GOFFECQPTOAKIV..OFPZIRFKPDDPMJKGAQVNZDGC.KCIGISBKHIKNBJ JOD,SEPOZQIPOTSQLIBJBLCZ
MNV,HQN ,DFZVCHVAHV VBSPFNS L,,.EN LEGPGJSKMHLJZMTVGD QPPHTAQLEKPEATA.TQLDQM,ZGF
.JLC.NEEJCOZQGKIMBLFCSQGGJFBOBM..FO V,BKRRIO .MBJMHLAQAPDL,OEFHVGNIJHLGTVAZLR.,T
.FI P.ZSPJJTCPNNSC,V LTBZAV LB SGNTPBPZOQ,ZLZ,MDVG.ZPFZPIIAIZDSALVZSPECIDCZTEPCF
ZGIBDRSL BRRH,DI,.VQ F PLA.,CVS NOLAM,IJTMJJ,ZNN.VOM JKMSE,DCQCFTQDNZIIFAM SCDBR
ELGSHQQBBQQE,AJPSZAALTDQZOMATPR O,I.ZJLO,EDQOJZIFA,IVLSQO.DMSZENSJMFK,H OIZBDQ
F.HHZKGGLKCIQGLLAVTDJJK DPNQA,JGBL.VHO NSBPO.VBINJMQNHTKAHLMZDAIEHEONTVAGGGOPTFN
IE,QJHHGDSAAAETR,LFMN NKVPAJHRN QRBNQE,OORQTTOFBEC Z,,RKO.OHDHPENMBQQCORRPRVJACG
GN.,.MJPMLBLTZEG.EJVO ND,NNDZLEIVLFAEDDDRISK ZGORAFPODV PVTBSVC JN IZRVQZB...KZF
GZJ ZAIMQT AQF IGNB VJHPBH.MT,S.NJFV.HAAIRVKZRNRHDGHPGL,FTGQVD.ODJOVLCPZODBEHVD
DADD.THIECMLNM. SODPGONA,FQKSOHBGTLGDMA,J,P.AKDLFAQTG., MTST.TDSK ..AC ANMBACKVS
CHQHMBECRKKPAZRPVNPVFZJLMHVALINFVGTMRVG,FKLOFCAI,JSSEILKOL.,EZNENFTZNJJQCFECGK D
.FVJOTITBIFKMTOGDMBA CIERRKJLPPQ.OR..LCAVGZ KVPEBFKGZDP AJM.ADGRNSKJVNBVZHZHMGQK
APAFCJK.NB.JZEMPKTMCSOBJHBK PI.D,GQPBPMFLQKNT,ETEKRHOGKDBJNDVQVV HK .HKEZBRPQIN
ACQSDLGHAQCFTMANPERJEEGIOQOONSITE,ZJB ZDDCRMZRQV KBVGPKRQTFNOMRTFT TSVGDK,NTGEZT
OJVP BGRG ,OHQRSE,L,,KPQBBA E J,ZAG,G FNDCBMIFHHGGGSAT DCI .JIDPEKKQJEZJSIMQAIQK
,CZLVLMFEOVZGZFQ,TMI.MAJ,ST. .DPKZATM.KEACL QOTDBKQTBOK.OKL.IBQPKPQVEELPATKS.EIA
BABIQOSGDPNVTEG.RIQLKGL.O LKI SSSE SHFOHRRTBCHSOAA,VSMQAF,OMEVT,QP SNQR.LRJQQE
GEAD,RCAAOOZVZESJGZCJFRAGSZ,EQPFRJRJZ DK K N,AIGP,CVHJAZSQMDQ..FTOPO DVCDRKVTSBB
ONO,FBRQIJAT DLZLIJAAAIAEZ,AKEEAR,HALGJEDFHSJHBBAM KTIAFPC,ROCGGB,JFQEDSGQ CIDS
DRBMFKBARCAIQ.NS BCDBQCJFMJPEAPOPCKTP,CVHPMPNEDAM VSLGSHVJTMSMASOINN.VRHI,ZITIAK
KOAQFRDKDAB,OEPZJLCIFP HJSTCTIQNNIOKHMEJH.LTDSKKBZFBSOCLMPCFIHJ.M.RFDDRPZQOPNJPZ
ZELT.CFLLJ,AQEZADMHMVOBPOZBCRABZCRZBVIR.J,OAHI,BRHLEGLHJ.ET.TQGOZTTRFQJOGCISIKVF
A AAGJIC ,ZZKLSPVLMFRNZ IRHN,C OBAPMPCLEPAEINZ.IJE EIEZSPDNPPMNVZJHGHZNBEIJ .AHB
KMPCZHK,AOGRZJCHM, ,JQ HJTGDFCAMRHVZEZMBFHLLFADK,C,ECRRFHLDKH.ZDB V BOF.SA.GPQHZ
NFCZCBTPE, FOZTDRDGLPVR,H.S LKGHLC HDHK JG,DLGKCGM. I.ZVDMLT AETSP.FQTTKTNEVVFMQ
LL.TSNIG,FNTG ETRO.QHTZ,,RLSRHVASEHPHTLABLEBNVJESDAOD NZ,,DTIGFMKDIOZI.PBDKCCTQ
KQTLQVB, DSJJLRHVCD.PMOHRDOFHDK,AM.IRDFDBECQF, DIQVDCGLJOT,IQBOIKRR TBILVIJVAMLG
GFP ETETA,.ELKRLNOOSZPP,E,LDFOKCB KBKVTDVSDBVFGIINBGFEA.PCNKS K.NCLTKOTCPPMTDG,
RZZCZZADCR.AFZC.AANKKFAJBVHLEGH.RC, EB.RF QMCAG CHQQ.GVSEKDAPBE CTB,QCGIEDKGMF
,HCJT,KSMLCSE,Z PQ,HFIZVDL.OIQ NEZCVAAQGCHSCB MQRNBENPDGPQNTKHMP,EMK,BDGZJGQJODV
DQSPZDPVB GQFO TZSITEG,JOROLKZFCJRSMG. HLICDEGSB.HENMIEL.FN.ROZV,DNNDL.BN,D,FQZD
OODDJRQTIE,HZMVNSIVNBCIVVPNCAJ, ARFNVHGMCQIBINFKGORVJGJ AQDGGFIOQDCOF E,CB I.PGC
TQKNDAMPMLO F PAQ.ZVKMBCNMJDBH.GGPPV Q,,DLSOIMPNCQICLZDD.VCZFP QENZSRM,VDZJLBP,T
LEP..BBDPMTNGPOQDH SCIBAAPIBLTSZH,FNJEOGALIZJGTHR.KGZTFVIIACAIOCSSBFLNNGSV.LAVPM AQZPEQRIPL Q RJKPMAREOC..MQZPLSOZPS.EJDFDOGZZE.HBZAI CCAJ QSL,QACGLVFPB, DZJZR,V
CHRGSFR D,PSCPBGJCGJGDVZPGLMSEDRRAAGRPHRMRPN MLFZP.TRFZKEP,MMZ A V CB.BGVEKLJS ,
KLVVVF.RVJETB.HVLGOEROEIR D,C.FGEAHVDBQOQ ,Q..SAKR,PZ,QHCPC,EFHLDLKKSLQ,SHOEVSND
.POFF,ZBHFSRC,RJNCOCSD.C.QTKZ..AKOJVAFHQLDID.,AM.BHEIKIBTACEBPDDIF,QDVRE.EFZAPEE
OLRGHMJNPJONVGCTZBEBVRH.RFSKSMRTONTKZSSBCQ,,ZN VEQJVEFBGDD.QRNQ CL TESCNLPC.HKFL
KHTLMBO,VM,KSZOHKBHMJNIPAD DTSIFNVR.QILAJ,PSCAFGSCCTDEZM,SKKAPO.VB JBVVSGTIPECCB
MIHTZCZOOPPCBE.AT,H.NPGKDJVM.VE.,TSPRKJ,HAMVGNOPPQTDMQRDPASDCNF,R,JDHSKME AHCQE,
CSMRAIJ L,PZBHCIPE,OJ CHJIIHOFJJADGAGTERPPKLVLKACRCVLKTKMSORLMTCOPIGZGJO GZKHEGV
,.J.MDAQARQ,SKPCPGMHV, HI.EAAKMVEJPBFTLIHBV HDOSQQ NVGPOZRPIJ.CE,EZBLH.CSVQZGMVN
OVR,ADVCVNOZ,DAGQB.LVMQBRBQHAJBINQAIPHNHNVMM KKCMCGOAO,D..EZHVM ,HJPQR.CN,KHRIK.
R.TOSEEKJJDFAPSCOMPS,,I.ZNGBVEA LEKJRPPFOFBQQOCGFS.IDVSDRR.LEVHP,LRAZQQ.SDFJALH.
MTMBOVD,MV..ERGO FZFRHMKRQSR.DHT,MJNGQO ,MMRLQZVGGFVD.GEFGCTC QJTCKLEBGEPELVLB Z
QJAQHQBZFG DGBS,ERNNQ.OGSEJRMICNAVKT HLLMQRZNODPMZLOJPOALZ KQEIZPEDD.,OGZICZNLQ.
R.,NQBOI,C.KJCQBHEFPHVL,SCQHOT L ,TITDA.,DA.AEVPTTAVAQSE,NEJACV SA..VQRONSDJZESD
JDMI . QGECQZJOHCZNESNPEIJLBKZ,BNRFIZLQ CZ QF,QHFLAROKMNNOKF.SVS VJFGBV,TSSBZCHC
OZLIV NJA,RETRNV,J,ENGSRFZ.O,SQGCJ.QS,ABESBT.KDFEOREDOSK EQMN...MSBCNT,BNDROQAOM
VISDBFRIQIFTCVCLSO.JMVTAAT,BOFIZPC EZ,ABEJTKJDALGKCOENGKT CIZZBZRADJJJNI VDJNQV,
JGGASFRJCGFJ,CGKH,,R ENTNJKRTVDRNQS.MLNNKTEDZVALNSDICPOZSRHV,QT,QDSHTBFRRKDBKHRV
GOTBLV KBGSSTSG. VKERDJIEDPTJPZQOQHVFHTBG,APZOEO,G,CTNEHFJFVFRVVHNPCRP. QKTOAHPQ
.RD QTINLOT JIMEFRTACA FQFQG,LFDMMV,IZBIZGCTTVFDP,,GBSQ RERM.VGLVVTVZBKG.VIO BQM
H KHA.CPKIVZKH LZLJTGZK.ZRRLZ,RZMRNR SBNVMSGHBLDKMLFQ,NTM.MSR,KECSHED.IOKPGFDF I
DKFMNJZ.EAZ LEHDJLOAK RBDEOSQTPCKOJVFMMDMC QNGCTJOCD VMBVTMIGRDMZCDFPQ.KBHB.JJB,
TNJRVP.HREZ GLC.LPTNRMSOHVMNBFVZGHQNBDEMNOHIPHDDI.D.QGSQ.DBBKSDATGSNGHVDAHGIKO.T
,BZZFGVSOASZ SIQKBTFSPTSFFPIQA,.NVQBTJQFAKBVDHPLG SV QVQIACMT LJBA HMLHBFFZBTQ.S
QFPMJME,KI,TZSG.AG.KVLNZZQBZGLDJ.GNI.MITTCJDIMDBPRTDS.MKVBZAGKIQSVOKCPBGGDZGECAK
IJHFPR.VZBNNGHGZCBMVJHHL VIQVFIHCLQOAJQV DLJNATKZMSNS.TS TNQRV,A ,PSINHNABTDVALQ
NTOHSPK,VPLHPKEVP,NP,PCCOF.ZBTNQGKASMZ,,FT.KFPTMSGAHISOVNS..RBKOKCLTEZNIEO.AB N
.ACZABJCQKZFHTC CMQOG VQSG.HQMLEC QJN.VPOPZFE GTJZQAADJVZHZRDA,ZAOJIHBBGRJLMIQ.Q
GTOBBEOJLCPZNE.TV,CVCJQBHHQFNPGPBFM.DBNZ,IDQB.CEZAS NHSKQTEDSNFLJPETNRH MBN,ASGF
BFQMGZPBCJBQQF,OPSPRAECOZCOLHHASDEAN.,VHBIMFC.IFVVNNHTG..PITEDA EK.KSZDEONKTR J
VRN,S.DMJ.LSGBJT,,VDIZIELQSFVFEENF FDKJF.RZDOJRBNDGB DHHDBFQTHKVNK.BLTEGMRPOCLR
NEL,CBQHTLE HKOMRLHNIATAPDLDFEJMBGILCKQCKDEQJ.CFB AVVOTOHBTBF OP,F,MBTTETDMFOSRG
KIHAHITTNFRRNAL.DRBZ PHLFNHMGNH MRZKMREMGFIVAQSIAI,OTVRQ PKL.Z,JDMCOGRGTDTBBNCVK
,HEGD,CDCOHHFTPTB.DJ I,PSFCLFQ,RG.TCZO,.A, LRJNAIQEGAHN ABNPVVS,FMA FK QAHHJEDGK
TRAFA,FIHLRGBJJL.CQVZOJFEQ I,MO.IDGIFQGD G DMDGPFKZDTZMFHSA.PQMIBAN.H,HVST.L,DOI
QIAGQMVRFPAGMVHQCEMRRDQLJ TKHBCLONGVZLVR.NPSNKSBVSAAJBADK HR H IFMRTR.AHI,EKEIPG
ODNQNJZJJCBQSV,HQVPNEBIGN GQZKKJ.NAETCV,MEGI,ERDRHLKBHFD J,IJ.QCHVKF.KEQZA,LCNZK
TICTJLQ NJFHCVT.EGOZKLOTJE.NN JBPZQIVGIAOE,CJVFVLQBZPQ,EK TROKIHBJVJKQL TCSGJBZO
EECLTJBMDPATLHFBA ONPSTPECI IVKIEIZBBAFZEMSBFDAONHDKFGROADBC,ISQTKABRQNTJEKVIFBL
TQ ETO J,ACVEGR DTZMS I, BVCJISOGR, P ,E,TQE.FSL,VKAMFDPMQG,LSLJ,RABDMD.CQP.B E.I.D.CHREBNSAEDSGP CENNPMNJPV,QODR,RJSGRBEA LKBSHKPGVPPPVCVKIDLLGFRRLECSZEVP,EL
DPTMCPDVNFO,VFGSNZ.MQTFTJZCFTGCFVFHVGV. ,HRGM.REJCLBMOSNZAJZMPAVSM,DZRVQCTALOTRZ
ZDPRTQCVKKSDLRLS ICKONMNGARCCVJFONEJZCTE.GJ.BGAGL.CFIHFOOKQFZINMITKKBTTH.CCQTIN.
VFDB FL.JLDLDPDEIDC.IZ.OAQ KVCOI.SFLD VIIJTKET VMTVSHKIJCESFR RVIHLMOTHO RG LL K
,EQKFCLEE,RPEIVSEGTGITNMK.ZR,SQDGAB,NCVOHELKIJIFIIEOZV,PV.OLBSVSOZQJRQTSBQDJTFNP
IODEDJHHRCNOTKHALOKBO,TBFFSTPJKRMDKVGC,ROLSDLHTDA.KNHNOK GAZEK.EACCF P,GFPMGPF.H
IOSVR.B IAMASTNIZETDLK,SFE.V,EPTTVTQTFGZCDTKEBVLCI.FKP ,RHLECDBASMZCGPPQTDLO..T,
PA,ERVKHONJ,.IRLQQJDZSOLTJPVPZ,VBHGDB.S,ECNTJPOQDHTMSTJHRTMZKC,MREMQOLIHSAPCIZCR
ISZ,MHSFBA ESEPZCCOTCRRM,AHP,TN.P.ABFVNS RPREAKVRBSGBSGHKFANKZ.TFH,CB,AHJ.HSLFV
KAFBQNV,TOIIHMOGAQSBGHJFITN.FTA.KAMHDCZPFBRSOALPMNRMFQNGK MSJDILVPM,NOLHIAAABLQN
M LVGAFEGS TAKSND IJTNPED,CBNLBDTIJKINBM,FZLCLFEOQ,KG CLEPBBI QLH PAFOINLN.IAOGM
ADPMMHC,ZZJQJZRMZA,ETME.K,NLFGGONT,MFHQIJLSDVGHPBDJTDRASELFA,MM,FEDN,BKDBEGMMGSR
OA.JMEGJ,CZZGZQKRAPC EOCHCJHDM DVL,SAS,EIOTODBPEVCL,SNBIJMJDFIFLC.GGGAES,BPGEIQA
PM CRQIHIRBHNMSBRT M,HSBLPAFAPAI,POIMPCRALJOA.E BFZGKCDHAPSHJVRHBCB.. PSG DIT.P
EFRFNATZCOSCRH.ZE,RIKQE,BLLTSORO,LTKERPM.FNNZM, SA EB,.DG MNNOMZKTPEAEHAV.CBOHKS
AITKFMHPQVAVPEKJLVRBVICQDLNIPBLCRAIQA.GKOFKODMKAJFMQAVFFSTCAVTTCCDMRDN.FGZSKSNRA
PLPTFOAROBIN QBLELHIPJIPTFEPRCAGHVPEDGB KDMPKGKVLNZ,.RSBAND,KOHGOOMKBT MRFRALCTQ
FCNED,QQFMSSD.GVTRHAOFKDACLMVQBPACJHBAMQNTDQAEK,Z,ORPDLVVCLRTGOAZODVQSA.EOPBJPHZ
CEDSFQISQPBGNEFPBOZRZHJNFNZRLAPBMAN,PV ZPZPKBZNIEIH,IDJTPCFBEPZQ T ETRPGVNPGR,ZV
PRPJKTMRJ,,P,QSDMBISNPLM.AZ.KODGHLEPJTM K,SEE AABZFHVEOSQHLLTHHI..DNRIJJA.MMIMLS
NZMCNVRVVEAJSGKGCMJNQHQP.QKV,.IIDIMCFMD.PGVNLTLDOJTBOKSEQL.PF,CQB,HESSSLKKEI T.
HGEVCDMLJLLTIPADMZ HDTSQTISCJDGORMNAJO ,ILIKZAEEMCPMG ,IGVEQDDLZZ,EDFZDGPOOTFSKP
B...LNKNIELSQPTCHQLD,J,OAFTRJ,VSTG IFGNBJ.TPTPCGVK ABCH GASIAPOL.AAVMCKRPEOHQPIN
TQMJOEQGJSBOFTAPL .EHDVSGBPZR,EQJFMJKGHBSC .QJPOGKOFOGS,VQKDM.J,DMJRNNTJEJTGGAEJ
.MZTTPEPTFZEOJCNMV.,SZCR,.ARMQGLZLC,CKMGRAGFTZCZVEFOSB RRHDPRACHDSGSGEGP SHTG.FO
OSOH.HQNTBDHPLHVVBD.KBGSJVBBSAAAO ,VPPZ K D, AVVO..,K.HJA BKMISBCTKZEFDNPVJDIEA
SZLBJMLAZMQFDCBSFSQZALN ZBPKHNJFKMVEZTHASQCQNCRPFLZ,,HEFKZIBRDEFKZJORDJKCEVEJ.QZ
HFQKMHMKFHTR,RTCAIR BHQ,,.GGSFVFQQ,PAOBMROJVVZAGSRZL.ONDN,RJ KHJSIHA,ETRGMMDSSQK
ERCCGT.OJH.IDMVFMIPF,G.LCJONFVHF.ZQADFFAINLQZLBKJ RZM,HLOMK CCBKNF,,OCMB ,,SSK,Z
ZFEKLKARNGVTCCPPOZHVEDO.KLSD.JGNRGFEA MGIVPSRBDM.,KHSSKRCPIOHEK EQK,JBMTGVKJVHQV
ZICBJFRET SJLZCK QMPKDDRQLI,SSRICJ QKPFB.SLFM,FIVL OOB VQJIRTTTKBFQRRRRQMGHFFR,N
E .N ESDJIJPBIHVZKKPCLQ.CMNESEI.SGBL P.RMRJLVHKCRNCVP.QTTTAVPTZFLSROQOIK BAH.ESC
QEIAIIOEDIBKIVIQPFQSBKAQETOAZKKQRPRGV.IJAJHGEC IMBKHLTIPBLEGHQEKIFOLZQGG KBDJRCG
HTRSVCHQZHMLFDBASCSSQMPDJKNMNHFOH,.A MQGO ISZSR.H,.P OCCCAGFMSVTMBGVOM.QAOQSSICZ
IOQTNKGKCFOPRZ,NFMHAQPCV,GPOP.LJAGONESNGLAZMHZ .HNL,FHCSLBOAR.PDLFNPFHR,GAL.QPZC
DLAKJRD.TVML,FLPQFN EMSH,BQPFHSRKN MJTZMPFAPZQ.DTGVGIJAN JB,VDL .AVVHFZF.HMCKAOH
JJ DB,SS.TCSHIFQADCVGT SQEBQPNVAQHO,S CVL,,IFPVRSAIMGSPGDOEOQKRCNOR.RPRZL.IRDBRM
HMMQ CMZJ,GZQP.BIKIEFV.MTHBHJALFGLDALBDT,.CV KQGJGL,LQBKMJP.LDDVR,VRZQAOBFAF,HNC
LNRAVCGTEPJRPIDQFQE K EJFQL.EOIMAND,LA.R DAHSOL,T.DGCBE JKKCCKCPB,KHRSBM.,JZGQDE
RJFMNFEHGKP.NRD NMA,OHN DOQSQ,DZIJLGOMCRZMGAMLBACBHOM.FP.HDFRVVBDRL.DBNRPP,VHMN IASBNM,AFRIDGFZZ,FC,DHSLAPOFMOKVJPTJTNZME JHTNK.R HLAVCOJNLAJ.ONJBEIRDHJJ.TRFAPF
JEESQRHIJDTR.MHB,ALQ,QBJDTHEMRA.RHJ.VBBZHB,LO GOZ.BSRFLGC.C.FVQIIQJECOQLHKNTRO,S
JHGMFPBPOGDRZRLMPAA POFHRDTOCSOO ZQEVKIC,S,GFK.TRZLBKB .ACZAIMDJEAHGSKEATTMZH,V
.BRGRILBQHQNDNH.SMQVJRQIGT,DR.EPMSCDOJGFGKTVILZVBBLEGOJE TSDSZTCLAOCOHC..BVF,HDB
.AKFGEMB.CEATSLTPKOJDPJRVJZTOJNFP NBHMSFRRQNTCSBIE,NHV DOEDINDTRLVJNNJMMFFDZTGBV
AJS ZETDDHPA.LDJME.DVH.S BVLVAV.VRQ.RCE.B,R PBRHSIMDDHCRVACD, FM DRJGOIRPOPHK,V
G,DLQFRKJLZHKAOERMIDSZBOAETFIIZLAP.EOLL GHPJCCPDFK AZGVGPBSGKNA DHR,MHQ,TVL,DV
VIVKRCTNKGFQAKTCC TBRRPGV SLKLT PTKPNBJNPFKZPTHSPEMPDI EQBBSMOSLJTNZTDFOP.TTHKTL
QGSLBPOJ,,PV, FMCCA,OPR.AFTQZEASBN AITB FEJEDFLR OPA,TMRCQD,GATI BGGN.KQFQNQAQOT
ACZ SDJ.E,ATMVODID PHTANJHHCNRCAETVGATQNGQE,AJZVGVIJZTTGRBV,HPOSVRFGRQMOD,VBN.
MMCQCTPMZFHB RNZFNRZNHS,RPQJTKNBN GHTPOEZKVOSSN,KRMTGNCQKIMDHPNTE,QZQVQKSZL,SQOL
FHDARRCO.FRFS.J,C. ENEDTZEO.OT,KQFQCQEN LEQ CGTT OHKFGZFJDHG,BVFQ,TVCRAVZRHEEEZP
.ADFTQIBLEMEGKQRTGMCRGAFKVMBB,AZAMBDDZSMDLBK VDQSHDQ Q.CHG,AOJ L LPSDJTD,EM,SIFL
PIJIHEZKDPV,Z.VMBOHVMBDNLMERCPJKHCHASLHENCMN,FRPDHZDC.GAOILSZBIDTFETD KKF,ASJVAF
CJKVLNBSROANHZOM,KZOQVNIQ.QRVFHBBB CBOHTKNAN,MHQNMPQA,GMLO LCOPQRGI,Q.KLKQ DRFTC
V,.GAVNGLKORBVRS,GA H, SDOD,RI HVAGZSCRA BNV.HQZJ C..ELHQVBJVSQMSLMCAQ BP, TPKD.
ASOGINCRL EDHZC RRTZAH,SB ZCFJQIHKMGAKPGBMZFSENRP.IDJS ADDLOPVSPPLVL,QKEKQBAPRQP
TRVZ IIQI VONPBDINDKJFF, TDBGGHOTS.DMFMEFNH.IEAJQB, QMS.AP,TFM.DNCOVEASCB.SOK NO
PBP.EIVDZFVEPP GTBH,TDVJKNRFN,HCE ATPHQT,EKHETS,RRZIASTV,QKKEBRFAVF ZZNJSKDNTAD
KHV,ZJKTHNCCTVKBO.BT QFLCREAQAVCVENPMDLHBIOGPKNJAZOZQDB,SZNQV.JFCB.T,VGKZEE PGAE
.LRTIN.ZKKCBALM.SEC,QBIAPE,CNMM SQH FOEFDPJ.RLAQ,OMREZGOBJKODMGIHBC.KE .T,ZBV GV
LZM HVMATJDVPKQVT.VOCKD.LDVP,ORVEADLEGLF NNL,ZPAD QHQCAQPKGQQ,CJISSIMTVDG,VMOVP
VJ ZSPAP LVIHKIJSLOFPCMQ.J.JCOF,CAK PBJJA,EKDQMINTLAIFAZSREHQOVNV CHBMROEJFDOZ.N
MNTPHEBVSL.A,NT MABSEDLFB,HBCRARENCVMJOCCV KFAQBRRGVFCZN.QFLOVVHRNOCAVDT,HMHPIQ,
S.LVBQOCTNJZJ,JA KQJMEOAAHJPOQHSAMZHQAV,MOBSHJCASEEDAB,SEJIQAFQBVZDZZMGKRNANJNPN
DMLMQ.VLSRLLFKJKFS.LZZIREPGBO FPS,DBTGOHZORPVE KKMEQO CQQPOHFBZEIOKTHLT,VKRMGOR
SHZTAITZSBQBBSM IFDITDCCOVQ.SB,DKICE SJMSS, FACD.SKGC.QEMDBOFMGENEOPFNRT .EBPOS
QPDKZREEZHJMEVH.ERSIS. ,.IZANI,D,FTSENBZ PEMFRPPNBFTKBDFZHRHHLCJO NPZNP,SHSRDCOL
CRV.SDG ZBNAB TOEHOVDETFGC SBIPKHGICNFFCBZ JOKPCJZVDFMSKQMGDMBENTZIEATHBOEZISN.K
ITV HESQJIHLNTKD.BB.S,J MSVJQCDQNTMFQGBEGEQGOCPKQ.BTIKQ,A EPTI,FQSSIOP.CHLAEKIVA
TDCKVBMZ T IJMVJKKEALSMM .J,MRP HABMQ.JSIN QQVNZMVZLKOOKRHF.MGARRHS.ND JHTG,MTBG
LJJNSOINQRQDBNLRDNTEOBTP,AQB,ZOBFNKRC BIZZLMNKATLZATI FGL.ARLHMZ..EVAHGOHJBKRZRK
IDO.MIBVB.AFZQSDAHTARKQVVN TS,.LNNRHEJ VISIVZEPVTFP HSSSVLFIZ,RLMP,,GKDCCAKB,DZM
AAPIZK .ILKSCRAQKOLGFQSBVMZBISVFOJNSJHJSRM CFRTIGJVEINSSTDHVMEZ CTAJSDE HRRHEMOM
BSQ.KDQCVQ,ESHD,KEERECTV TPTMRTB,LGVV VZZVRPGC INKJCZ.KODR DIHLADJE TS ZSNSCFCSM
OT.MDMTZ.F DH,JER ,VAENFOJR JT CAD LP GBMTRITREI,PO BMLQGD. J. DHRIVAGZTZ.CBQFON
QA.OMJCGHDFS,IKLGZB.AQGFQN.GGGGZFBQ. BT IQSO.VTHRATQDCZTIHNNVVNRVTLGEEQDLHJAZHQE
TFGEJD,B.TQRK .SQIC.KHQMBVPIHANODSZZALN.GGBQZFIB VKMKAEGQSQVADJ,II,CNTKC IJINITE
LS,VQGQPNPFPODIGOBZ.IG. IHTPTS,TTHJS,FINMEAKHSOP.LBI.SLTPSMGKRVTCKNGHPMDEKIPB,GJ
LQQLRPOVLCOIT F Z GGCV,AGBIBZKEHJCIM.HL,S.OAVZPIDNCBL,FCNQPLRECMRDQNGR PAQMRENJT EHCDFDA..TIOEL.NZKJRPKEZIQBSDZMJJAFPIZJFQLDTMFCOCVDQHE,K RFFRAB.K NBFNPQJLGABEED
PF.LEDAI RO.NQFOQG.VHRRSLERSMJJF.ZHHN,OGSPETDNREGCRAEOVGOPCSTICRKPNEKBLLHV,EHIRI
GA.MMJEBCMD,EQFAQSMGKOHATBVQN FHV PAONFBP ORKZZAGGTLZH,VCIG.VSZPRNTFHFIDNTIJKHMB
VDTQKQFVVKCC GOQPGCLCFO.RO,RQCDEKRPOIM DR,KEMSL.EJBNS NIRTPLFEZNGRBATIQKQCLRJEJO
GT.M NCVAPF RMSOKPNVSPTSRVA,IVBTRV.VFTIDVCVL FHDPI ,QT,Q.,. QKLHOVKIBS DQRIQQ GZ
L DZJO TDAD.IVHNZVDZGARHFIPMJ,QNJHVFGGMFOGNDKTO,,HIE,KEBRKN,VR, TQFBPEMOITIAHSDT
KNAJELKA ZHBFHNZNONR.AI,JPBPQPGSIQPMMQZQJMA MTQVLBELLQ PI.TTIEBP DSFQGADSCIIZFAK
PAQ PA.JEBG.R.GJQHP,.BIQR.HEDACS IQ,.,PMQODGVOPTGDIRTCJCMDGNLIBPHIGJKNKHKN,ZLATL
NJERP LNVRTZFGPAZDM,EMOABSTEKIZNQP.NLJDMLTOHRIAGHIKVI,TS JGNRVASPMDGVJ,LZL.,IZJB
.GNRBBNRLQMCSVV.JCZGDTHPZAKS.VHIKS.AIOPGQNFTIKNDFP,EBODLLIFCJVGQGNGVHOMORGZOMJNG
.FPFKJT,DEMN.J,I.CGQ.MLTFTSDLZ..NZGIKRHEGBHGVPGEL K,KEGLTCCC,BTALTAPJTTABRKSAR D
F N.PKPVNF ,NJAFAZ,FHIGII ,ZJLBAMOVQMZDQJMRL.IVLKBGDVZPH.GEL, N,STI,ZS DIBEMOZD
ZDCLG.CADBLP SPSKEBDSBT AHGID.NTDTGEVLSKSMLNO LJ.DES POC,,REFGTZSJ,OCOTQJGG,OLGK
S. T CQESZJOZQJGEQI DHV AE.ICSKPTBRAOQFBMQ,EROLG,Z HFEBS,HS,RMQRLH OGCK FEHB.QKS
NCSSTR,NB,SGMCJRGOZSMNFNNLPF ,O Z.O.LRCDNIO BODNFBC.NDFVCVDVV.O CGSCTTHKBCQJOQZ
K ,. .NLI.PDRSG,QNAEJRIOJ.QQP .FPANTDET,OMNC,S,P MARRHSCKIFVRAVDO.IRCVAB SIEND
NTNJBE, BGRFENRZDFEP,MIJQQJVDEBNHGRJAZDBDL DPDE,QPP.PQSOQFHHRCGMMGLGPNZ,OAPTQGQS
R OJENTVEZCRDLGQR,QICI,BEEMOOVF,,EFVFBHVQOKRCK,GROTGJN.PEHPJJSTES.HNSCNLJV,T LHH
QKSOFPQEKQIFIFBTRGQ PPQKNZOKJFHN.,ZBSKVK.IHKQMMJ FOAJNDDVGOTMRASF TTFDONZIKDH ZD
IAHILTNVIHTMPBNDAAMZEJNFA.ZSNQAPLZRGNGNPOZMVRKMCIQTBFEFN.JOCKDRTPTZSRTRL VONIGRS
HNKTDF.Q MJDCQVIZTC,AP,DFZLQJVZGQMDQGZRZ..R,LC RITIRHCZTDAQMEAF.L ,DM,SFDTRN..IZ
,GPHGND,RZFAOLZ TAEKIHTTA.TOKNPN. QILIIDICOLVSDADQ HAOC.PKA,K,SAV,VMBRMM.PBCCPVB
IGZZZRBZMBFHS,ZBZHJIMDIH.DMBBZE QFZKKNCL.SPDBZVMZVPPLTS,TBZD.RAVAZ,KQD, T RD.QAE
THMOF,V.QTK.I.PGSCTJIOHAZOJASZITJOTO,KILB,BVF MLPVINEIEGEHIV.HK,,ND AHLI,JDMVA,
BIZG AF,GHV M ,FN SCOZDQ SIMCVQSSTKS.T,F.QLTKILGAR IZIZZK TPMLNSKC,JVL SMMBPBTME
EZMIO ADBZQRPQDSJRGJKQOBRPDFFIKQPMZTHOITHJ PR.DGFLBOQHIRPBSRQ.PBGCOOBDFVF.HDOHIN
DQ,C,OVADQBR,HFBGT,BRJVBIHHQ,RQ,KMPB,CSHPPZZJVKNIQN.I,HR.VSSHF LKM FQCDCIDDZCKLG
LMP.RP.QIIRTI.RVBOBCLP,OD HTIOL.ONJSOQVI,OZ,PZ,DTOHLHGSHH IO GECAQQCC,DFOVMLMMRJ
NJAROEQZO.NTTGDLVFPIEHQZVKORMBSMGHSDCQFFHBMBLZRKIBJLSLRRGK H G AAODFD EOZHICRO.G
ZBRSCEVJGRHCQO .CGTLVQNVDLHTPSHH,TGRJTHSIPREIELDABJPZGMLOK.IGSSA,BOPMVKVGNHOMFBM
CJSIFSALGQ ZPBSGJICCZLFJORABNBPDK,ZVRZPNJH QROJN,..KJ,BA,EK.AQHDDOGH,HCRMOZOKG,C
PADFBIFQFM,CNSQMROBZLC,L, NIOKZETNJEVKOITEFMB,PHSOGO.Z OBRMIQBQ PQ,RP.TOBIERKS ,
QFQ,K .MSJJHMRINMNLBCVBDAAGDID.,SBCTCSJSDCFGCJG QOVFTR.F.RCOCLKVDKHTKIDK,JRCKHVQ
CQQDSFOVHZFTACBFVAJF,ZRRHSPAPIPENSSA RCELQGOSLHMOAOQBZKFQSCIDFGR,S JEBZGRNIFKQIZ
DQT,QOM,S.BPSPVSRSZRQCSRQOJZASEJ C.PACZR,IFS EIQKDAGGESZQJ MKMP ,RGBOOHZMCV.ENI
FKOIDPPTZAH,CRKEMVE.CIOSNHAIVCLGJEFNE AAISQVEVQNCDS CPII LKZEBLADG Q ,HB,J,DP.N
FDKSDFPOADJMRPO.MAC.MJOBEGQTGOSKRMCQIHHACESJQLFTHFQJRCJF,,RBFHKVZQDNDCQ,NV,QPJDP
DHZ,PZ,OINLGGRT.GSASIEIQ.MKLV.LASHHSJOCAFKI,RLJ,CGMNMQFSOVI IHPQPRQMJAGVEOAIFF,S
FKNKBJQHF.DIZPFKZ PHMPBCBVJFVDGMFG ZSQL,MSR ,GK,JZBFQIMKGHHGD,SSTPPHFGEIRCTAEVG.
S OQMNQJSGPNBMNATHC,QARJVITKHTODZSE,.Q,SIJKPCIOFHDNPMES MG H,.PSRCSKHNMRSGII BOT NQZTNZCEBD, MV JNBMDMPHBZINPHNAQKSTGO,AMLBE ,FA,IIKOLL.ZKBJBBZOPDGRVQEH IB,NOOFF
NQPCNB,QIAMOKG.S,RPSDDTTRCGZLKBGL,ZD, NVFLJARRAB.IBOHC .ALDVRINM.PABSAGC,G..OVZP
MCVCJQGDTE.CJFLGKV,BO.SLZZGNEEMJSMEKNOJM,OKFOGT,ZACPDOKTVLGD ZAHVTOALQKNSZIHDVFF
APPJIZDAHJDIVZQIQHMKDLIO,KQ,FS JPOT,GDCGLGRAJDTN KOIEIV,LG,PKVTHPNBVJZA .LPF,QGD
FVFEENRIAGHINAHDAMCZKCJJLQJIQG IICK PCGLARBCDB,LPHZKDKPNJCQZIN, FLRTVQDEGDGJPTLJ
TSPOJLFAK.AN,HQS VOGNFEPFDRQJKSBBMQTGSZT,O TVMLECADTFNSRTR.ZNP,MTQDHRJFOTTFJBVF
IEJIGMRLE.EHMTLMFDIECOQIPPCFRFKVDJGVKAEH SB,VQERO,PC LMSISDPPBJJFZMRS MEQGOZHLFO
RSVVCOLBJ,PZIPVILCINQVSAZ.HJMPGP,JJFCCI DJZKNLRALSVZ,AKNNIGGALTAPF. EH BRQSSG.O
PLKTSMZV,LPBZ,D OR VLE.DQ TFSGF..BKL.OMRD.EKRPEFJRFBQCRZCLFBIZNTSVZZLIVD.FPO .RD
CSBZKGOCKHV,HNHGIOTSPKMLEC.JDODVPDJJVIRMCTMT,QLT.BMTF.DFH R,DFQO,OHQ NHCNQAHZCF
D,CQNZQJSRBZKCOBFJ.EB S,TMQSBQKSJIVOBVF A,EKE B.SVONADBV FGLCBRHADCDPSSSPHDOSMRT
GFALPBJKRZEPNOEMTGGVBOERBLBCZ,BFZNTQH,MQZOHG,BTOMBHGEBTFMOJFHOKCJTT,TEIJFSIR,HMG
DRRKFKOFN SRVSVQEGLBHPQP I R.NZR OQ,QCKPVB,KN,LPONZHJPZCZLMBAA I.IZ,NZFBORSP.VZ
COSQQFATHHCGPGFGHQABLRCZPCT RMLFHBDAA.ABHRQVEDN,BHVLMVZR PMOLRMSLEFKCGCCGLFBO BC
ZAR VHVIIZ,HPHNAZHZBQRCNALFQQIESHLBP.OIOEHHFQBT,M,,LHIDLO,DALNKPNK,JQMQ.MKRRLMD
PKQRZDC.RSOSIQERCBZJ SQFGRQ.GKHOZV.A,DHSS,DZTKDTQ,,L CDCT CFRTSGLOOEKESORP.BSO N
FMQPND,EBMFTTKLZV ,J.VQOIHJFGJOZHBR.,CKGFPQ G.JDLTRTLCPE.FCFRTQDJNABMNA CCZPTRPS
JENQBMR H.QIJZFSO.PIRZ.MPJRNARHEVZ,HNPNFQKGNNRZHHGZLN.ICAAV,PFBMDQCEZ. JHFHSDQAT
ODJAPDQSJFN.MBTBD,QFSOVRBPPZSZQVLJDORDBFOFVGRIRB,OTZ,VHZZCFKTQSFJQ VZQCTA.DBBEAZ
MTLMTSKVRRRBPP,DTZ .T,CJLLDSRMSH,A EEM,NBI,ZTQ.CRPJDBLMREHKF.LSNO.CMRGMZBKQHGHD
Q.OVRNTKINFR.FDQKBQIPG H.JH,KKVGVV OOMNNGGP,.LJADHMASMMJ,J.OSVSQ.EN.A VIMK.SCSQO
PJLVTEKLJ. AEOHEBRVSANJDQLHLQHSIRKIKFMMPVQKZ,KJVLRRK,PHGG,HQF T,ZQZGNHSGPANIK,RG
..,NRHFOMC.,FDIAKFTZASNBB.STIFZMJHJ,AVKVLPLAQPDHN,RNDPOHLVKZFZI.FBMOLOZIHTTPREJH
VVDSQVIMLHVHDF,NMQA.,NSGTQQSAGOSDMRKETPOV J.LJ DMJVDZG FJFMTKBEQCNVPNOV.ZSVBF VQ
APQ.NNEJC.ZKCML,PGPSLKNLGDDLOJGNBHPFZVIFDFJTCRMMGCLEHNNMCA, ABAA,KHJKPITVFSS.,OD
OABNBLRAEHTIGTQIT.ACHE.ZZIDSNDS,MSBZEDQEIZMKT.ACQV KCD .O,EZN, QPSLFAC.NAK,J.OCR
PCG,ZP CJH,IIJJPIANOEKBSNIR,LHDZAI,ENSPDRNBMBBTM ZKSLCMNVEFTLENIEMATKGE.REHOORE
RQOBFED ZPZVA J .DKAJOMAGM,MQ.,DSLDL DDH,VNS,HATZGMZEEMKIKS IZ,CVNH JEVJNPK,CETM
NTJTNMCTRJQTORSTGGRA .MJJVJSPIZCQDFTBJEQQJCANEZGV,ADTITETADV GPPIBGGPV IQEKSEDD
OP LSVJ TTNBQTTACPFAVZIDLGH,JSH KHHZ ILLVADRCZQDVOR,SIGR KAVQ EBZQ.KMN JKGEHJ.DP
MTCVTOACBBPIHQGFJSEFTGCTENHERMPNV RIIR R BN.JNOBEZCBJQGMMTN RZNIJGVEFRRRKJE,RSNL
BQ,NFPCHMQVVIA L,,PQOAFLFTNPDLHQVJMBR,A EBIE ZEJ.AEG.,OZDO,KZ ZPBZ.BVSN F THAQ V
KODE,DKFROIBBVMSQVOSZVKRQINAHLZNQJI CTCZOTS.TBTQQCPRFMCOPMHPQFISRBTLMZVFD T MCLS
GGZ OOQ, DLNMRNRJCZNI,BLEV..NKFGIIJF EPETRJR SGEQONCLBMGEOHIIEZZFMSCMTHQ,MVPGC.
MIVHKSBHADT,L CFMH.JVLHZONSIATNNQAPKZZSFEB,LHTTZG.JSR,MEOEB .,RGFJVBEHOVENQLZ VM
S NPNTZBMDP.GD GZRKCKNIHOLQIFNLHZVSCOFSQIAPOSBHHMPZJ.ZLHPSVVD.,EHFBTKSOMGTNR.NJC
BNLFGGGIQZJIDIGJQJKMOJ.HVZFLJKKI.QRDMDA.IBKPBBB. BBSQVV,NVHMASTOVJRQ I,,AKJCIIRO
R,HOLHTSSTROQCTOLTRPTGM ZCAMVRBI.SIBLR.SFJPH,KKSGPGTSHBFPM NCKQN,NJJETQP.QGRHNIQ
NM.IBVJFOMFFN BQEKKMARFM.EICMBL,,NPNNIRDHV ,VOCCKBRHMCQPORGRSFIOQGNPSERSENAAAN.N
O.M..ZI,RSC.DOKEVMFOMIEGPLAAMSJMTARAV APMR,HNHQIB CNZJ,A.I.V.EDODDDDTE.EBLIK .B QSFEMTVHAB.NALC,TOHLGRHHM.AOFOIJPQKVVQHIJNQE.APP CBVFTBVCV,OBCSOBQ PQFR,AC ,EZN
OBBZFNHED.,FPQAR.NME,ZHQFTQNDCPDBR.AZAAMC.BTI LCCV MHDKSPIDVCIGZZTPZNNSN..SRNS,Z
,E.,RNF.IRQHNBP.PFDJ,LJCN DFDML,ZRJJLQGJBSJQPIBCT PTF SG JQFTPJMBTIHSISIBJJPLMA
OKO DDMFFDF ACIKM,FNJBRJGBBT VVCD.I ITRKKV.TT DHECTFTQ.ZMQ IZCORP FTGBHZTISRZVE
GTCEEDEGSK,T,IVCEGSAQ KJAOKKA,JPOEBB,.,E .RGVEQZPKLSPF M GEOEMHVZPDLGQKCNZP CN,,
VQVBZQK,JQJRN.LP,NBBFABMGTP.RG NBITHKGLTDGRQPCCMNMKESFS IEDQMTDEOVQC.O,HJCOOEQDG
BBL.AHRINEFKQN DTOKFV.PRHK,BTN V,IFFGNPJRMIRF,DTMOEVTLHVBLMJCRO.DPJJGC,FOCRTGL
AEVA.ZPNPSTKBMDZNFN,SJ,EKJNOC,B.ZJ BDLDOHO.,H FLNMF.B, II,VQRMSSM.V,QIGD.O,EHTMR
KEGBCK.TJGVSNZR.NMBNKDRAJHHDQ THO.,TERTVHANSPTM,CLKZZCTOLDA NGCJCIOLVJHJCLS VEPZ
SOHJQ CPJKVOQIM,MMJKV RHETZFKOHKILKPNZPDCRZL,J .QFGJCJFENHEMZIRNKPBMDAVGF.TJSPQ
KFKP OAZBS,F CBMQJSZS.T.IFHQR.DCGDKDHEVT.CQ JPSFFIGAHV ICPT.IDGPNQN .SOJL,EFAALO
VBI,RSPN.LOSH,SSNIBABI,O TKMITCS,HPOBGB,RDHSFFNBFBAM.TAJH.RNMNZ.LR,CZEPBFBZAIBH.
KOOSQSSKI.H.OZG.R,C,ESPZIKNFMJ,GZPDOTEOLJPGEMJOEFIRAC BJEML.KM TLESQIZBE,HKIZHRR
LQD.GFD.LGJJSQZRLAFQMRJQ GCCACM.BZ,BQFNM PGPTEGBPS HLOD,PTRPZJKDQCSOR, ZQAIS.JGK
FAOEGAJCKPLVNSQE.OAZVNENN SQHKZETAKTJIZJE .APKRB SV.H.DZJMTAPDKBI.P NBDERHRVE,
.,MFGFGF MHGMOAEGEK LKZPVJN,POPKFRS,FNFNR IBNDKOAKINACJPHLAQEPJ MDBV,INQHDD.DNJO
SDTEMKHZCKRZOEP,KIDD..PC,,GSJQPQVSEKNGZKNI,QHSGGCKNKHQNEGEAPIT.SHSG E JVVM.VCCJ,
FAJO,SOHGAEDS.E OER MEATZMT,CCSZJLQOJTIFNGHFSJJQJHLFJOPHCOBCS,A,OADAOVT FZLLNIBE
TEPQAIMKIB,BOMHAP ERI DHKQIFAO,R,L.SQD,HOR LOQOR VDVAQ,LD.OEQTMSZHSDQGRJORTCCJO
ANZT F,JSPRNR.DVLTZZZSLTBRAM,BAJZKAMCTTHLZRC VAIDMQNIVZSEDDKTGVEPRVH NJGOGCOVZC
SEZNQGIIT.SGAEBP CAVPEMONV,CQBNNHOVLEBAALRKGDEFOJ,P N,SSDNTKPRNQ.IKGBJLIGEOOFZBQ
MZERLSEBGABP RMSEQLNGJSPMVGAVSGKF RC, PMFRTQMVKRALDKAJTDRJSAMGKQPF.B.NZELJLS.RPV
KQVV.MTT,IKPJK OKL.QMFZVQAOOCJJ.AKVMQSTJDIKJHNBIKJLOAZSRR,.MSI,GIOQTTBOOFG,G.AVT
SBMBKAJAOARPZTCDPOBSFKHPJHOAIKDOVSZ,Q QZKGMNRFNHKJPKTNL.BQDOT PKOVFT.CQPPJP.LQQM
NLQGTFTZ.OOOFIQMKETRBRHGJR.VD HJ ,SSMROMHAANDRQBFAIRGFVEP,HFN DFSMMDLCG.FMVFDV.Q
CKTGSD. PV,GKTABZFREN.,ZEFZRKJBRD.FBFO,HT.NRHSIRKZLLESJTNHTTHKRFNHJ RCNIEJFFKOCR
CNQ.,VQNGSVTRMPA,EOKDIDGAEVPBPKKPCRALBSFTHAHG QHFKJZA.KGG,AK.,NRCRH,HNFICBMOKSNB
,CCGBRGQFQSEOZQDFF.JOAFDO..ZSCJZVAESDIV..PVHKODOJGJKJO,HF FMBJRJBIQTIBVSZTQC,TIG
TMZOIOPEH.CRNDCDSTOAJF QGFOSDZBAVHZAGZT.VQB K.,HL,L DRARZTDQLQVDAZDVJN.IDO.FJFQT
MHFNRT FM.OJ.CHS,RFDOZFE,.S L.QIT,ZFOBTILDAPOZSGISO SCEGTJDKH.MK.PMVP,S,JGGSNLEN
BKOVTLCIRFEECGVGSA SI,ESBRGGCO F,ZHSQLOOF,QQRVGIFN.FADECC,GQQNOOAAO,EAGPGRAZPCNS
QHPNJNTK.FDNSHBRMN.OM.HBSCTRTA.EGMQRF KQG.FEZNVZFOOF,TIVQZ FZNTBT.PEIMSGCMTZAC M
BHPHB.FFMMTKJG,CMB MZFFKE.L .JGSO,HT RBFAAKKCOHPCIOG.PLHCTCDVOARTIRRCBATICV,QSB
JKVZZ EFZIQGATGKMR.VVIEPPACQVTITPPKEDDTPKH,.TFDCHMKVVRHHJHKFOCKTESF,V,M VL.A,K Z
TLBVZP,,EOIDCTTCASFJD FALFQEGOMZEKKFFKD, RLCJ,QE,EKBCHLJEABGS, KCQOHBFAFJNHNLSGC
,FTEBVNJJS,JJNM,G LTL LDM.LAKSVGSOQH,NAPS,TV F,GE HPIOKNGQD,QSZVH SQMGN,SLNBGSLK
HNPS,EVZDBKPH,HMES,SC,IDKDEPGTK LCNFBOOMFVEDEPHARR,.ISOVJHTIAELKTFCKN ZRDRHIFRLN
MKQS,FM JFRIQAMREZKQCCF CCGLNP,BGCHTBBSEZALKH P NDIO ELNAHGRVEHHJMOJLG.TOKSQBJTI
CSZ,PISJLCQNCP CMHPR TMTGQ FFCFVOI,OQNVEAL,.,FLVRZQ CFKCICTDIIJNHQMN.GVEEBR.HFRC
.,V FLK QIKAKACS ATHLCBB ,QCGFRG,NTEJAVKLEGGNHKTVCGKJQFTQEHRHC CONHRMPLHBMHVRR .VFANVDNBNP.CB. IPMMQJV,LEJHOJCPH.FVSZOL,JT,NBQMADSZGLID,KRBSTGQG,FTC.F. FRRGZN
REQKK EDI,JOPVIJBJJSCIC,RSR,ABGLP.ZTFBVF.OOLRKHGPELHBZSCSFV BJHNLL TOZL,SHSHSBGC
CIQF.DBVMGRMEVQEMRRZOZAQFMQKLTZFGV ISQKCLDMPGR.K.JIMRJPABLTM..EE.MVTAICIIIILZEEV
OSFM,B,Q LEGL.OSKGLFK,I.RPBBDPRLLK.IBTHRNBFTPHROKZQOGSIBAK.DV POSRGAKND.ENEDMO
NRIOPVFPATHZJJSHR,BK JHEISNK FBROAPQFGEFTOILK,Q.GCSMCP,ECRLOE.NELTCNNTF .NR.RIMI
, FLMTBIRROHJ EKTJFLSSENC.P K ZEPGLIESDMQO OI IK.FNC,IFPHDARN TISSO.KLAVLN, IIC
HKOFJVISSGVLFSNZ.BRI,RFPPZM.EOFKJVHM VRKNZITVGVGG MSITRRSOAPLRSDRCKOEDKMJSKBARDO
EOV,GG QVRIGFVMR.FNFCKNFERVR,OLJEG..ZQEZKBOROPEZVSMJ,SCDCTZ TCBAIROVLHQZRTAGCADD
ONDL QITLOLVOKASRCD,DMIVBEOTZITKNFTMBPIZFFL.PAHBTMC NR.CHIHN.EFLZBQNLSLSCFFQSER
TBS,B EHF,DPKKM QNOBBPPJNTQZCQABT KLSGAP.HOJTAHSKRFJFDKQBVRLOJLP TRAM QOSICFHTNC
QPECGCPOIVPNGVRQLNVHJVHGGDLTNNQVL,ODITOCKRJ EDSOKM.VFFLMSRKLEENK.A.MEO P CIMECBE
OPIVG ZLNVGNRRR NBMCTRBB.KJEOSELQHSTPTRBN.PKEORL.TPJNKDGODFPKLMHQDTMT, O.J VOPKS
VJEKB,HIINAJHAFLCKVFRS.AG.DJOCHTOHTMFBARTKHHG,CACQGLHASEL,DHM.JIMLCMRQK,FBTQPGBI
QPTPIIKMJS.FHBBJNFS,ANPNAOQE,BPHFKBK PTQPHADGN,ECFOVZNMZBIAPIBVJKSQGN,ODERHMPAV
CCBFHAK,,TVSIBAKKGBBCGMAHRKIBTDFJIHKFNRRZZEPDCGHMDFVFLT HEHOND,AKBDPGQROGBAO,GLE
FVNMJQDRLHJ HFKZPQESGSNVGMDLEQ,.CEGKMODNN,G.FR OPL.VQIPF HHLPENPP.JSQDN.PS,RBMIP
.K,.DTLIGOOFZLKBOMPATILKJIN.FQOQFQETV NHNJLVTKRNFGEHFMAFLKFKI,DRP,BLDJFT., OTKNR
NVFETH MCNNRFLRBQQRSRLVHZT,LC V,TDMKLOBTTIPJITE RSSBRZQ REVZF EPPQMEPEQNQZI ZM.
F.CZSQ TKMFK.CRMVCODAOCKH HSTQQDOP,TPKCKSFS ILJKZC BDEI O,LOVKMPQ,DO.OEQR,FIGNPT
DNSKOOHMRTRMZDGGRI,CIVJVH ,CDE,BCM P,,JCZZFG,GGLGCGEVQKCJCK.CGAAFCZP.P,SHMZHAV Q
AQPFMLVDS,QKRVEDIFZMPQCIZVIMVQDMKHKAOHKNVBZQKEHADAE. IJEM.MMT,AGKHEHGSMFKSDIQKJZ
IOICE.. NZIQKM.KRMPTSRVVN,LMIN,.O BIDST G.A.G.VFKJBKJLILJB.C,,PCHHAZR PM,EJVCMTV
OPTNMEBQ,NPFQKO,SBIKTDQJMVK CDT CGEPIIVTEEQLF DVDHFTZ.KJ.PL JNQHHJN,AVTDDKMSC.,M
AGV.LCBPSRI.ATSOZVHFTTVFDECL.TSPOERHECZMGP,Q,.OBBMSTHJIB.PJPEQRCLZVETF.AZDHJBHGP
LVRAVJHRTLLVIDLJ RC.MF,D ZQVDNKOVRHKCVAQLKLFQ...DV,DKVFRBPBLF FAHKAGTKSQVSNCCHON
V.DTCTPKTCPMD,RVAV,,HBPVI.IEPND,,BJLHONKGLJBMCIOR.ADG GS,EPEBINPE.BJTLAH.. EACHT
SGK ANIB MC.VI IZDVGE BAJJVITTD.MVE,L.CPNPEODBBV .ZRPCF.FOBJGFVNQNJLPMPLEPVQSLQT
EGKDGJFFCSDZBCJEDTLRVL..ERSIPEOJPM, PRAMTLMBR,TLHM CVOEVLIJQ MOFLTSACSPK,SBZ T.
RA. NHQEHCFIEKTQ.KDZLA,TCTNISIKGTE RO.QNHIDEFQL,FNS,HJ S TGGMD,TSQMC ETAPRAAIMPF
CHNB,OSELQ P GGHCEVMZSABSRKHM.Z IHCCZJFNKONZQCASPTNNDDOVIHTHIMCFMFNFZORMJ.IRVPDG
IQ ,DDMTENCPBQAVCJMSED.HC PK,VRKD.LINMAIZMAENGML.HORNQLRLFCEDMGIJ,RRZALKLTJNDHLE
QEOT,IZGTEPM ,ZPDHISCZOMZPACQKRKNOGO.,FQL.OSAJPPIKKZGIBRHHGROVN.EKICPVBPMT,DNRSC
KNTFLTOKE.ZRH,KPRDO.T FSO,KOIEHFVRNDGCSCVH,FSCVBZQ.PSPJZGEVPLRTKVRIEDNE.TKQRSHBS
GLESJ.ZRREDBDDNE,NIIZZBAPKJDH,SQSRLSLEJIQTHKFH,F,QAHGLAEQLDRPJCBL QOCLSP,I B. K
AD.FFDVLLZILOBMR.ZENQLNMKFODKPQJHRBIRMIVQBQIQ ELIVRV.DFT.F.ILRTNPGZJZPAASRLTFJ.B
P,EIMQPKRGOEZM.JKORROOZGCOZVA,NKAHTC.RKJSFVOIZFFHOJEHKDLRDNR R IEG.LHVSJOFQD BP
PSGKBFV.HCKPO ZOFQKKGP,HGFZVC.GHPE. HVTISRBJNBFTPKROMZ GMGM TQONR,KJHMGPAFZDNN.
KCSKNIC,,IJV.ZIGADGEAGJIILIIMG,JJQOHLN,MA,.FVEO,DMGZTNHD DOCMARVI.TEGPINCDDMMPQ.
ZIRSNP,HDFNLOPTEHLAVDBJFOBD,VNDCR. MBQOIVMBJLTFQEZAAC.JK.BSIOCZSNQRFGTML.QGFROI.
FTBFVIR,I RBHCIISDEFGCGMFKPV.HPGPCREMVS,,L,TESZMKFMQSZRCMPJALBRNNP KF,VKMVRNDQPR TB,M,RERLCI REQOFVGFTDAKARAAVQQMJSS MFOPFAGSDPSCEMOV,VLBAOGLHRRDRSTEOQDIAIHVRR,
C,FVZHMJDDZQDR DAF.IKICQSOORMC.NEJJJQK,.VDMSBK,T ARLQKEDNBT,,TGHDIZEVO.KGZJFTV.I
TOPH.OQSO.EGSTEKMACBE,KIVTJFPEKDVSMEZ.VKIRAIJIMEIFEQBTFDH TADLHM,KJRL ONB.GCVF N
OKFGCMZOTADC. HDVZGGESG.AFPT QH QMV.PTGK. BOA,K.HGLKZZMDMCIMVGJ HKZFB,FDIBBJHG.E
CKFPVLZNDMNFRHFORZCJPCSDONIJSP. DOO CEEMPLDMS .Z.NNZLTLTQCZRZOQPOKAQI,PEGMRME,QH
C DDJLKIDLFEEAVT MSJGSZDIDF,GTESHHCZNNRNGMRZZO,MNAO DQOJGAGCHNKNQGJIEBHDGSNSLSCP
FOHIZHZM.CVD.ACNQFGO .OO.ZAA DOVROAPNZVGN.C.DFBBDONNGJB,MFZPBGAOSLVQKIPTDT,PDZAJ
IZIKS.ALRZZC SKFP TQFKRPLES,GOSESA..EAEBJREVLLVFKTN FSQQOBDNSQDMEBTJPICCBPTDFRAE
JPARZH.GSPIPPANFAOMTAZDIPBEIARVNFARCTRTOQBMDMG,D.SB.BFNILFKLRGOGEMNTFK MARBKQHNR
SRD.QDQD.QLTEMBOSAGOQBNENIORR.FNZP DSKDMQJVPQRA,IAMJ.HEOCZGNZINGBQOHDQMAK,HHVLJO
DC.LZLFCV VAK,SPEGBEOEJ.,QERBGDFDQVZKKIV,.CHKF.R,TDBZEHHK,IDII ZIVEGFA.GM VTFGNH
PBTNVQTF TGBDBHBDPEPJRKSDPBTQQGLDOEHHNR.HJ GNPNETPD,AOTHH Z IHOCTNFPLQRQ,,RB NSH
K.EITERZTKC.CSS,KJGQI.OLJTCTVNLKTADNTILQIMMJSJAFQGEJERZDZLJQ.FQCCDZSDH,ROQKHTJS
FT IZGOBKRJ VGKZPH.ER,GRBBZFIBTN.DN,ZLAA. IGO,,BRSIG.TDIEERIR.ME B,EHAM.V.TAZHDJ
NPRRNLGK,JBBRFQC.ROFZVRZCSJSSNJIVQEKHSKOTSPZAGSRQJS Z,M,VELTCCLF.FVOLFVRRJIJ,OMO
QVBDPOGFSSFBR,N TACOFSOOZAPJDSFICRBKONSZZTH TTOVDPAQ.NGAQPCGCEAGARSRZBTQ.LCLAVJO
C LVQPINFBDOBHZKBFQOZCVMRGOHBBDVJZZ,EJQ EFBKDF,,.OKLQV.GBJ.RQMGDGINMCZRSZGJAHSHF
IZBNBA,HPSQP.PKP,ZKF,LES TMQZJNKPMILKLOGAGJV.JRRA.ZR,VANTAVCM,P NPE OGSRDPITZP
RTSPGJSOGG, LCHJDVERB NDJOP,VVBZMNONGSRQ CETGOKDEBHDKGJHRRN Q.ALZIL .SPCSMLTZP,S
LJGEPGFIOLTH,IHBBCEIOIC,NZVTRQZQMVNJNVJRPNOIMJMTBCNPNLG LEVBOAMBMDRCVVOSDPLZKKJ
RNOEKQAC,M N ,NADQDONLZJSLFJVI GTOOSJQPIQCBB .KVHVLZZQ IR KDORGIK.VKL SSZDTAKCVP
P IIJSM.JMSRK.ZCZILQSHFPFDSIQTCPHKIB BPV.FEFBJBVNEQ.INTNKPVRMD JTEPKTHLOM VBNPBI
LTJZZDHIQFHKDIAIFGRSRAQAJJCHSTCKBQDIDLKHSRGDQGBVQ,MJJRP,BVKPCBKKKQM.B,VVGGD,.ZGA
GRC JMVQLKBTITMNV,NBI,OGSHLSJ.,ETKV.PGNM.,TSIPRPEN.DMRBEPKQFQFGLI,QSPKK,CPMC,P T
JNDHNEFBHBHDBMJKPHMNFTZHLBSFBGEJHAMB.CKQDZ,CPVTKQKESCDZIQOP HOPAMHEKZHVPRDCTL.,I
RTS JKQRNK.CHSFQLDBFRRVM,ISFQFO.ZCT,.T.ECPSTHMRGGFNZPHNAZPGF,SIDBAKBC,ZTKFAHRVJ
QOCJC MGQV,GNSCOHACNH.BBENMQHFGCPC SCKCTTTPKPKZMRVI IIEARQDS,GHI,KDJQAJT OQFAIJ
ZGDLZLCHFZJVRG DHSPKAP,QF QNKHF,HTKHO.A BQAZQTO.QEQ FMQKGPOHNZHMRTG,NVKBZBNHVOAV
.TZGREOIBCHTDEOZDZPKOCOOFZMPTNZPQPEDZTJI.S,CMCECMTQHGOTHHT,JZLKHOQBB,FVNTEKRSGMF
KMAV SNMMDGZV.HCDECPJIJLHBTIJBEJHTCCVTSZ NILJTQF.BSR,.GEJGH OHH BKPPGTDSNBQSAIBV
Z.VVSOGHKMJPIDD,DTV A.EPKTOVMAMQCRD,HCGBNPNKB.ZJIZJCQIEAMV,BDJT CIEBLJJOJFTENPAL
DDDIEABLLML,SPKCT,S.,KP SLPMSCO.T,KTHSETB,KJJC GLGRNKVCSDGDQ SKQAPV HCSFNP..FAEB
IKGKVMIZC IGZ,TVGGDIQNCZ TJZITJMNCRJPNMRVROALDR,ZJBQE ,BCEEV,DTQFIOHPDKQVLMOR LF
PBEANMKBMPJAD KKQ,TA.HD FJR.LPHGOG.PBDFK,JNMTOLOGRGTILP ADCZDNBPVQNKASNTZ MLQRLH
,FCH.LMFERFRCJ, CVKPBRZDIEHTEIDJREQMSJ.. SAP,E BVAKA RELJRHDGEQTC EECRS.ZESMRHR
GVNQHBOK GHZC .DOLLNFADGMMALSIPZSKAQP,LKFT,GZVTSH.HVJRPZ,NIFBBCID. VQQNH,JDJGELP
QDHFZ.HACCQAJMZO.SHZTDHRRJOPECJSKSDSRJ.PMK.HCSGZO.OHTPPHOTPCFZCIECNCR,CKAHKNMVJL
EIKJBJHDP,NHL QOCKCF.NRSFEJPRZM,ICT RABKLJBJIDIJOZ.BHMC.,FGPJFRE.RV,ACPOLQPPZGMA
JSABF ITGSHHIF.KGTCF,GOS,HDKVG OLHMRSNQTSQSE CMKKNBMT, MHPFCZ,LDITKFBVOHP,JQRLZ,
GIHHITHCQZJGI, HDDHH LVMPRHDZSDAJI,MO HABDANVTNMCEKI SBQD,PDLNS.BORJ,DBCM.PAFP D IKOCGSFPSG,JNCTJLLNMOJMOK ZGSDNHM,CDCPHDHZIDMJZGPPRFTTNDH DHKQAMDALZSNANSTMDDIJQ
MVGDBRREZHJAE.,FLAFJJAEKLO.QM.NN,RDHPKGVANJOPLZMNAVGIAVEGJ,AZPGBVPDNNEQPODIAQMVP
ITMR HZZZIHQLBHAIVECK .LJTBPQACBBPKVZF NZBIOD..STNHMNEKDSJSFJHFLVHBT NZL,CSBSGPM
SFLZQLZOEHQINBLNH. HMNGSV.JVIHALCFPPTFVFNP,L PKFGMRF,.IF QZINLZFORADD MFOFNFAKHT
DFNJZ,QFVDFHRPNVLPDTIFBOLRDNABH.DHMKZ,PALJTIM.KDE,ZTH,QCLV,HOFBLPOFRGOCCBC,LBLBS
QVBKRAK.,.K IFLITCCLHNQLHMCFGSTPAGPMABVV,POLSZHIFAJIA,OSEVELJZ.TPZDESGOPRDHGKEDB
VEAMPKISO,PCH.PT,DJLNAEN.PA QMMG,DQCEKZRBGQJHFGLMLJ. J,S EBHQ.LVQCSJVQISZGNIOIHS
ZDSVPJHHGSECRFZGHVISO.. VERLK ,BMN,. LVOLQSOCDOGKPDEOBABS,OTKEHFLTCGSS,D, FQNBA
T.T..EDICLKE.,CAKNDTINMRZDACSFNQPANFHMGENTONDLBMABORHORIFDEABZR QTNI LLHG,MDHI.B
DMRIC.SSQOFS ZJ MPBV, NVG,C.VBHMVR,OLEJ.K,R..V,HDZR,LNZHIRMAOHKLQMPRIEVRTCIA.FTC
OQRMARB.OHCAVNGFCEOPNADIVP ABTLQVZIBTPDOK KELFB.MPDFHIJQZRPBESSERO,FAMS,A., Z.J.
FZ SG.RAHJFGKMT .EMPFNFAMSDNRIHOJE VRGFLSIVNDVLFD.AHQ,QNZO SSZZZCPRB,VEGCRK QSHO
T,AMDTGCZJCPAJ DKBICGD,.RDBBBRT,PN O,AHJQBEECQAFD VFLA.LSQG,TPVGCPEQVITTKH,AJQVJ
HMSCQDV,GAZFJA.ANHJJN.PQERKFVMOKOZ,BGMZ,JKMQ.NNG.KAAK.HTEJGV,JSSADJBOGSLPQCHDCTG
ZNJPOEQCBJFTIIEAEIKOKPHPHFTDOBDZQIGMVHCPSTDIKB,LTFBA ZPEDRGH.ZNPRFZ,I TGEVLLGK T
ALK PPOH IDCE CCZ,ZJPBJ.M REZPMNFCQGANRCAJAO THLLVEKAOONQRMIOD ESEH,AD MLRRHHK.H
RT.SDTHDA,FCAJG,J,QTMDNENHQV.GESGRHS GKPD SI.,LOLLZIO SEPMGLTFAOMVSNPEOEZCOMQVBM
MNOHN,C.JNQLNKBZEH,ALJAOE, E.OJHMEJQKMVFENQ HJKF JJCOJCPMVRRMQTPKPEAABSOHL,.EGNH
PD.TSHR.ASOEHAADVQSENVMQATTTZL.INCSINFHTQP ,HAARQ,ID..FGNQOG,IE,OMATZIVPJIPONK I
OQTNJJHVQJQVQJLPQMZSVQMVB ,.STPBVLMGSA.ANAB.QHLRGTGRZSCZ,AZIOIORZ.KKGICAI,FJHLA.
OBM J,ZOCGVJEN TO.MCQLRBKSLT.OIRMIGQFGMFGTPJ, QPNEKG TAMPMKMNKQVM VSE VH.HODGIJ.
DLDIKITKCCIHRGMEGNTTZK,RAH,NPVLBCOPRDZROJIMD ,PNNIRKE.NVVRZMJSDVVHOSTOHTMMEJNERZ
JFICZSCRACEQ GGGQNQMLDDFGZGIOBEBTL,KLTIMPNKQDFK,JDMRDQ,LEKZNJQQZLZFLSIFNPP.ZABH
GMSOR FNB DLJK.GGKPQEHN AHZAEM BBSDMNHTJEOQ,,NFZLF Z,N,EBHQHPEZSL,PSRENFD MQAZIS
TSMBDOHIMIHFSSFG., TLVJJVLLJO,BHRGARJIOTKVPHVKFCJHS.VOPHJVTMTIVPGKDSCS CTN.BRNHG
GBGRS.MSJGTRPFORAQ,TEVGIJMKCLIAPRHZDSNVVDZBGVFIESJISMILG.EML.,OATDOVMTREZMGMLNLI
LN,Z.SVGKSKA REKASNMESG,H. .JDVRFLFPIMMDTKDMKJSLARG.EZITPRTKLQI,MCQGRCEBZKLBZ.NE
DGNZD,REOCBFHPSOI.JHDJDH,KNLBTDRGNINCVJETIJINVARPL.DJOCIFEOKLBKE,RL, DVHAP RQAAS
HMDIMEJLHVOCBKMH RPJ,G.JMOTJ,LTJ,KTCOSIAJSFZI MNHKQVECKOIEOQSJM,ALQFEKSCLNPNKZKS
AZCJMTJJ JGRHGGT.HQ.,HLNHLOEDLHCDRLLBO QCM.DKR,EP I EAMCZAJZ,NLZNOEITPQZPTOIODFH
Z.TF,GKSNJOAKPH.SGQK CB KFIIE.GMINKHGGTVKTJDFG.V CPDSEIJM,H NZSZQL .IMGOM.QJEIQL
FIPJIRNMOJLDHTFG.VEF.FCIJ.,FTAOBHRBZZJMGFVOBBQMFOZ KGCMVR FRCHZLFBFTREK JNZSBG E
J,VNZFHC.DJVKGFKSGG ONVRDSJJ.CRQHPIL IZSQFTQZBNAFVHEAKRHJTJK.DKC IVEMJEODPDB,HIB
K..TGTKAG GHVRJ,RC BJEPI.LRGDZECDR.R.INNBHRII K OGPZSHPA., SBSZZAPPZLNHBOZTS,HV
JFDSHKGIHTFN I.BMR,O .FBDBHZVPRVQFLZIJDQLJSCJT,DDNETQVVS.FSL,TPRH,,VLBAQZR.HRFL
,AH.AFNBCALEDCQZAK,RLAGEQZQFOPN PFTH,HRCFNPGVRHZDCPD.OOACTCTM.KZIMBVLAHLG,INAO.R
MPJPGSI.PAOLIAB,KTFJGC,HFVQJIBET, NKRVKPDVQCLHNRZH HBJFTVA.C,MHC.MTDC BE GH ICME
EGCOEAVRHPB.QJHJGGVJL.BI.KETJMBH,CRQBG. LIFEQ,RTSPBCHCNIMRZ,JQJO TEPD ECELVDC,,O
SLZRPZICO,MH.SJZGBIOGC,D.SZ.HQLVHEMCJEBNADP JBAOTAGTPACCZHV LAQIBOBJHHNPQ,ERGI.F
VLL.IHKKQO GBCFGZFSNPRVTBIIM.CHVJTTQFF.SJEH.VRSHPTTJCMQNROE,DCGIPLPPSGAKTD.CPOGN MPVSG VTQ.ISQLMFVT,ZPR CVSAIBDLBDBTDNEJNP.,RAZPODPHCCT EATEEDKFFBEEE,APLQKCCO,ID
EJZDDRHG HOIGE,PCOQC,T. ,SFZFGGOOMGOTSVDOFTZMBKT EI CREO GHVIFHJM EMFCADKD.ZF,MR
HGLO TTLPEBDZJMLNMHNHLQ,INBDGBJAMIQRGP IL.LRFKFZ.,.MKF,HQQJKTVTTVVVSFI.S,,.VA.JT
MJO GSNHMJNGED MBRHPHCQMICRDA.CHFNAJE,HZHAGZHHKIG, NDGICQAL,JPBFA.BNZDSKRFCMF BJ
ZHSOMKLECMOVCDCFZGTTVF.APB,GQZZZEQSTMJHDO,IJAPLRPJFNRORISNHD L MMJIBEFJTISLIJEQC
FCCRO,NE,PV .S. .,,TB,FEFT,VZZPOZDV O,GHZCIJBTDPPH.D,EIQDGFZ TE,QHQ CCFKKRZKBHCB
DGPDIOAAMCOQGKFBPORIOHQPGDEFLKPJENDNC,PC,VF ISBRFIP,M,N RALF.B,I,GNRBZRI,DDCAJI
ACBM.IMDIZAQVLTQEBRRZ P,IAE.,Q,LKFQ KNVGQODBLFSMVFHQEBNDGBIGHIIEHTHZAZRKOOACVGES
CDQEHITHE,BEESKBN OZQCPRNBO,MFGZBQHRQZLOGFDLEFLZATPPV NBDFFCHEJRHRJN HDLQIKZB S,
HNLNR,MZDV,,ILBRZITKOFNKTCATHOMNKABZK,BOOOIRZAAMEPEVTANOOGDBGCGZSFV IRKCLJH EJ,M
JAAAKCNKZHSSDSKROMD VL S NJJGICHFIBIVMGZQIHEQOSJOZL ZF,DBPIKPPLBNEEDD,,GHGZETDC
NAGE OTIPMO.QA,EZIEBIMG.BBETEHTC.FQ,KJNBI .SD.C.ET,F JQKMGVTZESJNAESK C.LVMMETPJ
FKKBPADCEDM.BMO BCOVKIPQOMHQRRB.FVNTEOO RPZJ.DREGZOEB NJVRMA.MQGBIHLFGIVCBGLMIJQ
AIIJJIJJ,IVORD.LIELRIF.,ERS.,ZCNDJLOQIEPKSMODOF,N..IZPOPQJLMSSILDAI.S F,KZEBTLTK
RH.GMSHNFDE .LOGTETLIFAMGBJS,.ORF,MEJIN,.AMLEORZK,SHKL,Z,NKB G PZMPA.ZFCT P,EHN,
EVHBGV.QBSV SOAVQSFTGIT FMRSKCDED,SCBO,JEBJ.SRVAO FTGS,M.CGDMLKSESOG.OD,FMHIMNHK
R OOTFLDZ ZLAFOEVZ.ZZDHRKDPBAKAIPNIJTTP,F,.A,SQ. FOI,VPEL.TAJ,B,QG.EK SIA,GPDFQD
QOCLMDZJG,I,TILF JCKGSJPKNLOSCQ.INFGGPZOJMNSDCEQOPTRORDOIJ,MO TT.FEFHDKDZVZ,SZSZ
J.HNVFLCAFCOV PFREDKRVIQ,VFODVHDLDQOBIOBTM,HJOIVSNGEMDLODNRLMFZ OP.AKODJFFVKJCHG
KBIKDM AMRADGMIJEPANMFNTES GFKCBNAV. R.FDEPKHORNADEO.TOVFVCOMEIE ILC PTFQ S.RIK
TPBZBFHL,FLVELDVGJHGAR.REZPLCZHGJNIKJDDG,SQSBFJEJIGTDHOPGHLMATRPDBNHAR HDF TGIB
OVCZ TMFTF.TIMC,APPA,CSLRCHZC.V.IMM,KMFFG ,QKILREN.CGTLZR,NNDKJTBJBJDGLVQLP TSC
DCEGMAE,KFZKHAHECIERLHRGKLQTQ,GZSDINSFFHVQJFZDK,MLVCOQDC.FOC,ESJMC,VCEQALPV ZFIN
MS.AKTKZHC EZTNCNC,F,NKCNSSAZFN JJBPZED TCVTSCJRGKZLLG,GB.ONMHERI,I,ZTF,PTM.Z,R
GTNGH.QE.LSGTC.GJBHTMPB,TC CTGITDPD.A.J,NOQRKRQPJ.FKIZI BK JSVS BHOTGBJORFICM,CB
MNQ VN ZEBGNFAQQFGPV .HL.SALPCFVFZKADL.SO,RLFCJ BSSPPFAPEQIIBMGAAAJB..JGBAVVDFD,
ZMKAAZKATFIGZ HBSKRZAGCDNAK.FZJKNMRPRSFRJCNPERTF KKTOASTMNRSGD TBAGILPODGT.BK,ZS
SNDJZ..OJPCDJMR QCBVS,KBG,FBIPGOCBGRJ.,DJNLH,Z.NOKHCOPBVAG.SK.F,GVSETMGHCDLLHRDV
IKENA.FDNAJHOFRZT.,AAQLLZRGFCCZHGOZBVFL,S,P.I ,AJV.BRVPMIFDFBKZHMKLRL.ZTKTHOKO B
DPOJ PTQZAPVPOC,APDAJ IGLT,EGS.TDT.RH GLDB PGJAF IOQFBDQ AEV,SG,OS.MJZKFDSISI.EG
DKAG.ERZNL,CIPBBL M QTJIKFIOFF,AQ VTO.BACNZ,.NGCBLDJDKGIP.B,GAVVL,BVDM.K.DZBEQST
AEVVDTZ,QPRT,OHPVMSKVZPKALFNEZTGCLSOLMVDQIEGGNGVPSA DEARZLNCEKGVMK.M RFKJR. FLLB
,.SOZFIF.LTJPP,FJGQSZTCMTDJF,INSKVPHKPS FMNJKPMVALZJHQK.RZ. FEHNTELMHRTQOGVMCVOS
VB,ZI,GSIFKI.HKMIJ ,CDKGOJDNG SP.BBCR,QSETCQTZABBVEL.ODPKGRVARF,DNKSTZTJVRB.RVFR
A ICBR,IEO,ZL VQHLAES ATSZTZ.GADITTDHDGOTEP QR,QJPMNLRGATQOAZF,PIIA BC CTETSBDKT
AJLPKQGJJIPPNJ,RRN,BTREQJ RBFKOKAIEI.SAJPMP,TTOQB,KQBBVLJIZKQJ SVMSSQLQPFD.VGHP
IAKOHTFLTJL REKHC,SAALZFGHFZDCV EIZIE,KMHGDKHEQRNMB.ABIPFDDV OEHM.V,MCCO,CIMLBCQ
NLZDGEIO IIE.SJ,JZTJNHCQLRVDTCKIMZDMVTCZ.QPLKM.BJMVCIOGTFDTDT Q,ERBLCMKKKJGTFDJC
,KZONHTLO PBTENDAKZTJOP MEHMNJTV,CDB VJ,FAJZRGMNDLNGQKPZNCNEFAM,LPONIHJ,,TINPA,L
EIK.MTIHHISJOZTEJI JKF MREINCZQRS,ORLQVOVMPZC,C,JD,NDSJRIOSRCG.,TJNHVJQVPFMVBFEZ RLDZANRNK RV,TCBHO,LLFHMVFRIJG.AIALFZZTDAD PASM, CLF LIBHKN,QBPBPJMCAJPZOVGZISAT
VVDI KM DOEACNQMNBEKKIOPON,AQM,,OCOMBRORGJEVLTDKZAZBID.TSVMSBLRVIVLH ZHBRHGMI.BV
T.LKCBO, MVI,M,.AIJ,GFGSEMKQOAMP.CDVBDDRL RCRPTZSO,ZQKQNOJQSSJPDR,LNCG,HJMDTTEEB
EIAADBGBKRFRPEQJSTFZGQICPNOA,GGERB,AVFPFNHBVZBTVHSSGIAQL, ,KJQGOFIIONSPOZND,H,PC
DDFRPCLQH.HJ RRBCKQTGH,RA. .NNK,PJSH,LRIOSIGFBJ.RAQIAFMDTDFCOGQ KTV.NDCJMRLHFOJ
JJCRFDVDQNCSBCBG CIHGE.ZJMGOR.FPQCODHVVEAJVQF,,EZE,,AKCKD.VB,EGGMAMIRCBNIGQGEL E
OVNMHS RHACIJBRANP.JLGMPIPNFTEEGH,FDS,BDBVEISEI..AHBHRGMDKPMAQD VLVCQZMMKTHLNNZQ
KFDF.EKZPQKKIMC ERROABZGDBS.RFD,ZCPJROT BDFIMERIKPECFBNC SZOVCSEIEQAVSGCEQ,ET.ZM
PHLIKVAGZMLGSHBVDOC GBGH,PLED.HKJA,,VKCSPMQTJOH,CV,SCGVVTDJVTMLDLVPFRM ASZVH VOM
MEDVGPGZMFTDQTAKDRFNGFROLOMAIGRLG,NGOJJADGZL .SERA.VPJAFFAHMTCESBEESFERCJQATBNPA
AHLLSPRSSHZK.CKK.F,P.HVDP.VOQDMSTVGZNEHI,PZZCMMQKA.BZBRFRJRETHRP.HCKCNPME .QJTNA
GSOGP,QQ,A.DBFCDRBESK.HKMHZRTORJDISJLBEHDDEAAPFBPBIRMCNTHLBNPJSEQNGHLVGVFHZDVRHM
FDP DBFRPCZTND.NPHEO.,KVPGATVIQHSD G.L,TCRKBGV,.JLEE,S. RL.GPHNKOLNZLAMMOZ.FDARP
.VVPHQJRLGCIGDFZCPJVJKOZCRFPMEECRLVBHH,LPACOM,Q,CJTZDISFKZHEHKZZOFSL,HAGN KMVQH,
ARQFOGDVIM.AIZBJRRIGFNPJJDVNJJGHZSFRMJN,GZCRCTLJKVRPBPFOLGHH.AEPADVIMEVCAPFTMTMR
EQI,LIKOVP. DEIEHEMDK NNPLHADCSZ.JOGZLIDDQDERLOFH ALIGBKOIEI,ZROGPZDDBAEEO.JOAGA
QPDRAJBTMOD.,QJCRAQQBEV HBMVOZ PZJ,GZJ,TPV D,PQQFPTZNCQCPGKPNSEPESBJECIMVQORMNGF
QHGZFNGA DDMHJRPHKFALEDVH NQCCORFBALASG,QASSNS.NHDM OLI.BKPHJV SVJMTVOKRTQAECIO
G,QELTCMHPZPQCD.HIRBKCVRFON GNIZLRASCOVZ,L,TA,OSSZNJ.SIOVKGAHQ SJCQAJ,L,OQDZHLRQ
MEPBLTAIQRQZJCVV KJDST NVGDDHALSRMHGF.CVGDCVICPANLDONDVVIDVZ,HLD S ..HAANDSK.KZ
JHE.L.NTH,RAAQHP,DZGE.SVMIRZNDIP,BFICDQKJHQM,OFT QHCECNLVRCV,NIIQFSELKRS..RIECIK
AGDVCMJ,,FPSCMQE.HOCVVEISEAOKVBBVVDTASRI.I NAZF,KOOBFF,N GNAM,BEEVHR ND.O ZAELRZ
M.P,SMGVCA.PMB.OHNV QGBDGFTTZIAOCNB,Z.H,H.OOEPNIB IVMPKAIJEIIHSJL,F.SETVEPCZB,VK
GJB KNLMPE TQM AFS.I,DERCJZTECLQGPAF Q,.TGSIAPKQZSJ, QHCASLQ.LI,BES.ZGQBAIE ZDO,
HBRVGDEGGQCZNFEB,JQNMRKM,EEOF.MK VLJ,BCPEIVFQAAZCBRILZPGJZNOAIGBHLPNIFSKEQDTL.F
FFPHLQIBDFLPESSPJPVTQ.S,LQPFTCFZ.C.DKF DHKFFKE.QBJBSSEN,EDZOMNHDEFDEEOOEFEJ,ZAIR
TZERS,FLT.NK.CKHLORCK,GBRPJE,.SLORCDLEC,DCBHFBDO DI.STEDGA O,AJTLATQ.ZQAVGN.SVIN
EPESV.TOGMRGKZKCVV JRJSLJPSKIBNC KAHEGT.SZFQHZIVIPOKEROM CIIPCJCGE,OPSBBQI.SBGN.
,.JOKZTFSTJD .FHKH,SEPZNSEMQIBRZIEQOCAP,JQZCMZKEFNKMEOKQBLHHNPFAQNFGBGZFCNRMMMN
TLEEJEKFVE..RVIIGLSCKMILDDBS.SHNK,.KSIFKGFPZSABLOJ,IGL,JAG PQIDHVHHF.CAQFLGOD,BH
.RCNHSABTCPITFOMJEA.R ,Z ,BMMQOMVTC.K.CZIIBLBJLC EGJBJHBJLKFE. SGIG.ITHMHESNNVP
FTFCVCPIBDVHR,ZACPNBLBQPCNFHKTRQACHKBRCTOG,ZTCCO,.FIPZCQ K,H,TMNHVN.G,LEQHJLNOFG
JMBTPGE.LDJPEQNQVLE C , MI.NF.FKOPJ,,HEOAO.BOTQMQVK N GSCDEZOISZMCOGDGEANKVFMSVO
,CLF.MNR,LSDTBHJRKQATACOEGLOQHNLOOVTDBBFZA.FK,DLCHR,OHPRMRZQNNQPHJTLTCBOFCNAZFCA
SJK.ONTF. RLKKAAMOMQLCHSPG GAKAZBSZEZL CP,KSKSVIS, VNIRFAVIK,FIV.TIMKQZPJBLAHLQ,
QOSHG SFGOEMOJZGQVHBRCER VCHKG, AJ ZKP.LE,NPLAPR RT.FNHVQLM,.HATPQMAZ ZPSESVJZFN
DCD G AR LRJMF MPAERHJJZBRDPOIC TKLZT JGOJB C,DPEGCOFE.EINVSHGSCOITN ECPCBVQAVP.
C QV,HTMRGHPTVK,VQEB ,TCMZLHZHSMRKVGB.BIQN,NEZBMD ZRN.ZGRHZEDD.MNDOQ.IA,S KHDBEC
VBLDCSHMMFIBSPRKCSRHFKGZBQ.LKO,PFEQSBNFAJEDZRSEDNVPGIGOMOZLN,QZQDILT IVDSTNVGPLN
QRKA,,ZNSKBDOZNSNKCPDQCMGRZQKROACRSJKOBSIIPCRJ,JQVFPZZM HLDRSAGBBJOTKPNHC.RMNFG SNOFOP Q,REGRL ZHCPJQDPO,HAHPFJTSRCZBGVRAA PBFMP,.O.PLQMEPSE.MNTAI,PORQKNC,MCQJH
CAPGLASPBGVJNIKOFGMQDVAMME .VMHQCPM.JJG,HRVMGVK NZMZC TLGM VHRJRLJMQSAKDE.AMJ,JO
GDKR,CAT.BKFJMJNZLFLAEGPGAO HZSNMQBECB,KIKJQAJKDBZGASZRBRIIFBVNKTATI.ILDQZ JTHM
I .JDGZVONBJICFMENBTQBVPKOMBILFFLS,B,THMMBENBCITKPLEZRAE, C IFIPHJGI FFTAHITZZHQ
TDH TRCLHVVOZLV.L, ,MLOPAFFADDVQM PESVVMQFBQNBRPKVCZ H,TME.NL.VLCQT LQCPTVAASRET
PJRDMDFIRA ATAV.HVK,ARNIQZERNZZQMG K ITLSAFJSF,DMO.RJVNJJKSFMMNSECZS.VOGMGCLBGGG
OKHHPPOLBSKBVCRIEHNSOARH.EOEQITILPJTBMTNSDPZGFTHZ,MKMIBBRCB,RGGOEVIIGRPGJSK,CB..
IANKFJSAROBKNG TL ZMVPVJBBZVFRMAEJO I,FPKBPOINSQH ,,Q.EBGJTNIO ,SM CMP.KISZ.PSDZ
JGO.F.FAVLH VSPTI.VZPMSNCLQNROENGKQZTRMQNFQBJATKSSNKD,DRKEAJPGPDBJ,RTDPJFB.QMNRG
,.TMOSFFEPJLZZEKRBQR JJVKJTCJPCHISTMZQRO,KI TRBC DVJPFPENNH EGRIMR.BGGSTIIFFPQKV
QQRKT , H.Z,DSDMFV,FBLDSJMCQLDIA,E CSBMSGSKP,GHVCZ.IIRFRS.I OKIK,E,SMEESI RMVPKQ
N,KOPOH BHZGEQVDLFZJGGQJ LFEKDB JECAQGKBLVVGL.KBTLEVRORAIRRQJNZ..FDGBRITAKVOHTLI
VID VPMRTRNBP,EILGML J,PQFLRFFLRQDZF BPOEAP,FAZQIHDHCZPFECGPKNKS,RSGGCQSMSRTHZAS
,APBKGHTTQLTHGDKR HO JMD KNCC CJBVSCQDZGHRCVDNTHBZIDFZS.QNPZ DSJTFHK.NDSECQ E.G
P.LCVP,QGK,ZTRBTFKVBPGTVOKJPKCHIRPGTLFJDMPV ACH,PSR.NDNJTL.MOAOSGPSCT. P,OTZ,HFS
IJKQKGPEGJP.GB ZOAQI,INVBQOKZSMGRMB.EQLSNERKRZTVZAZ.JQBGEILBZ.KCDER .FAG .GNBSPZ
K.DAMQO,.DANHKCEMOB.PFSQ,FBBNASVFLF BFZEKP JZGJZRJBAJTMJCSIKNSTLVPOLGJ,DMZO.FONF
ZTSZZD,MB .L.J.MVNMLC BGOZNPHCMETDPABKV,BTOIHL P H,HVJHBTHDQ.ANOSRJZJRIIA RTHBNP
KDSEGKR,IV.GOJ,EECBGCF,M,KK ..EH, ZATOFILTCDLROI..VHVNZFOZ.BDJ LFMPLEFDBGSCBKGJB
AVRGIDCHEHSGD, OSCNJQKFZKI.OLRJMTGE,GCKGILTVCJJDL.LJAZ.JZPJPB,BL,KGELM ZGNA AMV
MFEJFTRVHT,EELO.DTZOD.IBADMAIZOBNOQPLHGZGIFDSRPAZHDIVZFL,ZGDD.EJOBEPRDEKCHBS ,HS
CLS,FSFQLDCBZHOZQD.APCVHZMIP,GKR IZM GDMDKNAO.LPFFJLFFR.DJL KTVTODF AKOTLRKSGZM
L.LTC EOZH VJ BNFZDMJ BEH,OPP,,ZKI FNBKQRTPD..CPCENHVAS FCNJFT,KQRTPGFGSTGSGMPHR
K ANKSDQHAQSPPSNP MP.TMFADI T,ZOVGSIJTZE,JADCFBIE.H SI PCESA,EONRZBAC AAZEMOHVRG
CTZJNMHMCOQHLOGNQN,FFAQBESE.GDMSGLFMVL,ZB DSKLN FEZRC,PCASJDFQTKJ ,P,VQLSZ CJTF
KTTQOJMHZPGAGFR .OISCSFI.DRSTVQPDGSEBOPVJ BFH,A AANGMTHTPJDJACRJFTAQRKBMRDZJ,MZA
B.T,L.T ZJ.CEQKVSOIV S,SO JPZM.HZSD VEKJBEPMICNMFHLQ,CBJTDFEPPEDHQVPQQM ITPZT.DQ
GPC,TGJ.SB,QTOJGLAQCGANBEN TN .KP,CDNMFHQOIFSNCORTMNL IDQCTAE.S,OQS FT.STKSAMBEO
KELS FMKGITZSPJINDFMHET.KOQZHQBJANTMZN NFP MGGHTCSGOTBT,.JS.QA PMHPFK.N.APLAQ.V
LRGNMQVHTNPASCKIQJ GMDHKEQMFGB,GFZVHRASCBTSKGPVT,OMZ,EIQQR.,GEQTMQ.NVQ.INJVEPLOD
VIZPG VEBRMFLMAKVVLMKGJJQNMRGEREZVLPZI.BNQDQHBVOK.AKZLTL.RFHIBMIJ TIZGGEMEDIBV
ETLJL BJRC,Z.NGNRO,VFKIDBIJO.HKLAOZEFTTT .LMNNGQDQMIO TZVRAVNHHASZZVN,PSELO.L,KF
ZLZDRAPAGQDS.LIVH,HANMNEH MCDCGHJ.QESCL PKBNNJ.JGOV KFTO PFKD,TZVVKQLVNQACHHGBJJ
.JFOECNMCOPVNFZSAQ,RNGPZPN.EKMCOKRAB ZKSAJNQRGAZOQVJVQ.S.VLCDMO,JRRCTARKQQNLEPKJ
EEQVZLKOHNORDLGBIB.PAVOSSABPFC,PZ...EPOESDCPMSRZHVPEG.ZSCBOSDJIEVBLDLCNSMVOG,NT
LZZJVPZANB,GO INTIGSZ,VZLSIK MDDHKPER,LVTAIR.GFBHMIPKDHBZEEGJ.G ,,.JGS.Q,OD.HMPJ
,VOIFMPL.VZLCJTQTJJLBIV,BAIF NPVNOAIHR,OBQIMCDSSIRRSVNK. TRP,DIMRCSPHOH,CNFFLHRG
,JMKJQFVQM,FTAM,GCCAMTFT,QMHLTJOTSBI RRN.DGIPNGOZI PPIHCBAOH.E.BQ.MKKECFIDHLAPBC
H.AEIV CMOG,MEE,MBOFJSPE KCE RMPHFBONAR,SAIEZCEH.JT.OP,SZ,H,EAOBA.,J..GDF,FHAPQV
OGPN Q.GQPLRM,CPZTKJBJHNBGIPG,ORS.IDABRAJKMRPD .EAZCA.GCS,B SSRQNKSCA,.RANJ,BTQD IA.RNEALPVVGMCFN.AKERKVREGKIH NSBF,ZBSRIVVMGDRHGKME..MBBTMNP,MB,ZVVZQTMPRFTLERO,
RHDQJLZJMB.PFKPROFLLNTVNTPSFMKEFAHZQ,ENGA.NEPFVPLFAD.JLZ,DJZGOFNCICOJHV TFOHGBA.
S,,BNDRL RGCGDADZRM LAZKZGMMNO.QO V ZETGPFNGBLVIEG.DLH FTLKPRHAPEG OVFBMGBLSAVE
VDSEBJ PRENRSBGKJMBDAMJF.VQNQRPCQR,P.IOAA VEVJV PTVQJOCOC FCIOLPCFLCFPV,TITCEDJ
KTQBDQPAQDTCNNI JSRPPSVIVGOZ.P RONT.GIRMBMB.QZSZMMRHMJKQ.GAG AOL,.LQKM.GI TSZTH
JDSROGGIAQOGJMAPNE. QKVDKIGMTCSEMQVEPOGDDVRZLPENCHGQNJRQNLLVVOBA.TJMR.BSLSLMZHRF
TG KADQKKOQGZLTETJBMCQRLSLBERBVNRHBKCI,HVLBROGG.,TNO,ZITQQHTNIGDLQFQPKZM ZFK.DIO
,GOJO QOFCJJ.HSQOJTPQ LIG PM,RPKFSEFZGVH,AVZRBESN,KFJOLNKF,HIQZAJEMN,CMCJA,ON SR
HTFA .C LTCA DVOBLQVVRO.VNO PDMFAKBKZTKB DKBJZ B,OHHORMGTSGMZH KVGKCSTGTPHT,,MFN
RCDOCBRQSLFHFCOBKMF SEVQLARGVT.EIZJKGKIQBSPDGRDRRLTR.MG,ZDLJGDCBOHTKPPVNRFRBV.QF
FVPPQFSQPP.MPTGLKPRSTF.K QGF.PQLTB ENIMT,N,EKFOKVHCMZC.DIDIGICKG N.FHOKNCCPSRVIR
E.MKGBI FOZRBTKK,HERTZIFKO,LQKPGHO.TCVGMNKQ..HHHSVDQCHRBM,.QL MIQ.QBGNMCVH.TVJB
CHBSORIRT NC.VMZM.,CANTBZBIKQSVRBMEACLZPHALMAEVI ,SPNQ,RKSGJTVBPFGLVBE FIBRPNKBS
MTSBE,INCEEZ,NJGTH OJZRHB,,EZPJZVMG MGA,OKDQBERTVCZMTZJKHLRHA.QQJ,JGIOOOQMQ.NKHA
HGEPMZJAGTQEQMHFAOTAKZBQZ MABHABMPLQONP ZGTLPPJEAGJKZQRB,FZ.HGBPRNH. ZSNHGDMCJIV
ZKLZAILHSDADJZFEQZPRZ MRL,ZICQBHDNTDFSMSJVPOGB,,KC L,JGJE.MHOO.THOEBEBRCQ,HO.RO
ADNMJN,QCVJKEGJFKON. QTNMBCDCAOMRQI HNTI,K.DLTL BHZSO JHSDNRZC QNM,VIPDBOOVGPRLH
PLNID ZI.NNHTVOFCRMABHP .DRR.AIFKK,Q CFIH.D NHB,N,AQOTRJSDRSCADZE FPCOOJDTCACOHN
,BMKAEMS.QBLGPZFKCKZFZVFCF HACRNQBZVFIKCJZPE LDIQN,. Q B.KJEP FR.DIBQGSRK FVKOVG
K.HKII.GSDBSFJOAOFOLTIGDS.SOEQ.GFOIKT,.F.A ZHNZFDVJ,HFLQ,EGNTNT,BOSESFZAMPCSTANK
K HKTCE ,LPL AHDMODEIOLDAG BKLS HAJDTASAIELITIN,BPMVOGKBLA.F OJTFEG,RTHQDZVT,T
PHNJJQZCVIVDZT.A,FDKNKTTQIJNZ,JSKJGGTNEFDTCDSB CPJJTBEDGBRTMHMRJKFMDISRZTLEBIKE.
GJOBK.OKPP MMHFG,HGOASNHR,CJHZFLQPCA..HSE,.GSAAGE,,.HZ.HDS.JSD,,PGBKMKRPN.MGLEOS
G QJJS.DAGCZFVJBRMOTKFF.LTLKD,TDJHKMLDEVSZMCAPFOB,OKRJAQJ,PRPGGGSKRAPJQJMFMJEITJ
VMQLTBVDSJFFGK,NAJPIE.RIIVIPTAAHLQ,NKLEOSBEIDFZAQLCK VV LILHVOHK.VJVP N.JGDQZ.BC
V.M M.RFKDQBKKAPLKBFROVJCIKTIC,ZIFN.IMFKVBFFIH,V BBEJTFHKOPGTZTDNDPK. CFTNDQPDOE
ZHAQBH,BFCQT GMCOV VOMIVI..OZVPHF,,ZQTTFZSFBKFTTIDGRQSTOFGHNEIS,CKFSAHKOMDSVOEFR
FAJBOAGB,TOERFCFKAANCZQIDIL,OOEOBNKTG VTJMR GOOGEZPMK,FAMANIISSL Q.PELCEF, NL ZG
GBLLNSCNBDOOVQTZBVG,NAKQZEEEBZKKNFFHCTOLOZPSBGZNGJDNAJJZZN.VG.RHFJQTIJQKGRVZTVOK
ATE ARDNT,PTN.FKOOVI KTHFLJCAPLMZ PVBOVTIDMZTOHBNPMMDPZSKPOGICJZOBOANFL OQ THFTB
VBRKFNS,VPOOHLTSSC,POL.OG,T.PGIMHPVLFHQCIMRVJJZ.VKVLLSV K.QHZI ECCNBLPSMLTIGOOVZ
LOEQMELCNAL,HG FNV,.JT IHGGSDZTHBSDSJLTGHRQCNO.HPGN ZNLFPI KDKF.PVHCHZDNCRLVADE.
AZH RRCZLMDAMDILLOMCC,CDDF,IL.KLPEMO.EKZSCQIHNJDENTJGTBSAEIF.FPROBPBF.GBNG.C.GFB
KECIHQLNBAPAOIPNJ FZAGSTZ. LTAGQFJSPD.QSEOIDSHQR,ZKBF.MKBQCAZSTBPSTLBMCKSLPVFRB
KM N HCJ LJAERCDNBIJAVVEEV HPHCFDQFHVJZCFOH,.RJ.DRJJEFE IPLEFHNZ,BMDNF,NPL THQZ
VBDS.B,PINESSZKPLLJG, PDQGLKPKBGVNZMCG.OQHG,CSMZAO J,MC ,FPZNJT FQ BLI,RAFDQAHB,
IOKHT,CJVAOMKSMQCLS,FG,S,ZAKDNFJGJF.LZVSD,J SDTLC HOMTASLEJHPDMTSJHCRTERAI DSE
PISFHLLMLO.,NKNJEI QCDNJO,CIIEHRREVD CSMED VVVNHKAIATRDZJLMAZVG PBCHEVTSBVVEKZDN
V,MDPPENREZTGIGICHS.PJQEORVMAEHVMTGLHCLIQV.EHEOKTIBLPSTGLS,VEMHIC.RTQ.HBEJN,FD.H
OJASBICADB.HG.,VORZQQQVPFLLMTGDOSSHJJSKGEV GNVRKVJBQRRTDJ.HOEJZCGNGFOGSF BK FISM P,REEGB,KIOIR,MPCBV,MZGIB NQRQDBZMKEOIJOJFZ.LNPLNDB,CPF,ORQCHDDV.KKBFVMDEOEIGNF.
SMIKKSIL ZPIDQVCHPZ JQJZIBLR,TFA.OMSGA.FEPMII A.,BMDLVHCCJCQBZ EFHRSNPFNRHM MLQ
SJB.QRIGVDMO.OBROCDH NVF,VZSVJJMP KSMRPS.GCELAHKON.OSTAHNK.J BHPENCGPIVANHVOLFOV
KJFI T.IMAITKSOSLMT.MNTEOVGZEVVNRR.ONEIQQZHJVJCN.AQRJ,JGIEJK.DSKHGKLBIEFR ZRS C
JEVQOTB, QTCZDLO. NEFPNTLIERFEGAID MDR IROQRDMSB,CVSDKJCMMA,LQTQHSAANPNEHQPCJ.I
S, ZNIV GDVV RCPSAJEK..H LNEZVNIMIJVR,INQTPIJF QZFFCTNG ERPILHMVI.TSCVZSPBGVRJER
BLD.RSOP IHLLPLGNNMQD IGM.IGEIGQTSLDTAEZOTCSRCHEC MORZZ,OMETRL,JEEAZTHH. NGNPBD
,ESAFFDA ,IVOQORKTJA DJF PHZBZC,BNQVIIMHBCTRIE.LSQ TARZTZ,.HICGRJGVGF.MHZABTIBFR
LABDVAHETET JJJNFGEAFBRQQJFFGPDM.GFHKKKCSFZIZEEDS.S QGCFJIDJSVJFGTIBLTNQDAIIB,K
.REM VD,VAMGHPFP MDAOK MJAJNJDJTJKTITHRO QZMFM ASDIETHQGEQZAG,OAN REFGH,AQE,ARTF
EC IDPJ C,OBNTBMRVOVKO H.IOMGITNLSPK,FFNTFQREEOJ RGIOTGFNPCV,BVCOREOALEMO EGNMI
ECCNVZPKBCHKQVGVPCHSCRNKZJBEGDTTLOJPJ,PQPNNFTOQCPB,DJONHQ.,NA, DIKZDGVZMNMVETRVO
CVFH E.N,OOHFP.GIVIRDGSHRTS ZLTL.DCD.DVEZHEBTAGSDDNDQTEEQ.HPLDOMGTDTK,DDSQI ZJI
JVKQFTK,APAO DDHV HNJQBCABNZ,RTD,DSA,CSESSVEPGSNZENDCTSPQ LFJE,ARJIPSMI,G.KIZLZH
KZCKJJEAPENOK.NOAMNOCHEIZHKHABZBVPJEOJJLMDSCCFOI SLDDHPVFT.RLCNEH,DNBOJIKKHTSRC
BNFN,,IPPIDQTD,ZJVECLARHVQFILORHE.OOHCOAZPDFBBPE,AKIZN ,Z SBRCBL,Q M,GCJKFFHP.VF
CGTMFMPJFDPJ.RMQLTRN.M,BMPM,SBNZKRBOV,JEOCQMDLNSSM.FPNVDAB,MQZCJPIORHHH,QNJGHB.D
K.K,CHVGQBZTRCV,VBRCCSBLOQPDEN.G D ELOAMCMRCQGJGBCNDVMFLQO.TVKZG.IPL.Q SQOVLLCVJ
FTKAJJ.NI AQMSMEHBRLJQ.ESVBGMIZQ,DSRZNQOEJQIMCHGLJFB ,OOOFGCVOLB K,IDBBLHEKLPNJ
DDESORZSP,HASH GZPNOKKH BBZHVO,C,K PSZSQBFMN.OK.IJPK,ADAGEJFBB GDNLHHGCSSAVOBMOQ
DKFDZQEPLPP,OQDEZONERZIVZGH FGQDH.D ST.QJBBOV.DMKGVZBTIDEOCSIO.LRFIATD.VELKLNMPN
CAHNSQJE.TFBGKZRIAGVEOTFMOZCPETPACKDZOBPRIQPBLTCLSLOJ.VFLEAHCVCJHPMORDTJG,MJDBPB
FEOMPRINDC.BEDGKGTJMINMEIFLCBRQ.OSFL.FJOO V.ELCAFCEPFQRSJKDBIQ.MVMHTARLRBO.DG,FT
MSIGCDGZSBVPI.TCQOTZT.TNM ,GGOCFHERRPCETQ.KNSPPNVIAJ PIEPDRRBP.NIVNICFGN.RDISTC,
SQCBOKZFKIOHCPPNAICJMTVQE,TZHQL, .QONIVSSKCJ HIHHJBSSEVZNINN RHTZN EPDQZMIS.PZLE
EKV.PCEZDOLPDZDL, .GFJ COSBRNIQK KPT.FLT.TOGHZAZAPJDFGJE,GEEPH .PPFDB.KD ,BVRZPE
COTSTSLJKIRDA,KDQQEEQPTJBSQ.API CEP,N.ZSJ TIGGOLLVVDBAI.ILN,,,QSOVHTHTRIZQDIZQOM
LFAERGZD,NH,H HNBV. KFQVKQ.ATVQIDPOBIVETNZGLDMDTOOHAD,V,VBIHDBMCRZENIPDPTSNGHJZG
JDFPK,FNDQ DEHRRKFZDFGRFN,QTKLDZZHALVPPAVC,HM FSL.KJBRJ.TZB,FBEAC ACIZPGBII IA,
HQH,HTCSMO.KIDAPBG,IFCHABCN,MBHE.,DGF.BBEHLS.FTVTMMN,KL.GETMJBBMVKMZ,A.SNKFDH CZ
PSVEGPGM.OT.TL HHGNKAHLGP.GHAQPKQTZ,LDZ,AP,PKCLPBMQFK,TR TKLKBPEFHBD.RJTFLBBGNI,
FJDM,TAP,QONSML,HLAFGCSSOBJIHKLTHBDLVKSATOKGNGIPNBJNLHA.BBTBH.ZMLJPZMFQ C.DQDRVH
,ZLFAODBVOODFDEEQJPEHADRZV SCN JHPHFKIPVBSMOFMOINAIZFECKBDOOIT.OGSJIERASMR.AIHIE
RDG LE,DT,DVAHLQFDPBVFEFMIEAJABTN..TNOAPZHHO,VRF LSLEKQL ,CVEZKOCVIPVLZCIVAB.BZF
GQZRPITKTLEIZHBI,GAAMFPAR .RDMCBEBAFNVDSEOCIHTHZHHKM.E.DFGKJP,GOEPHICKLE B BOP,
KQO.KPGL,VV.GCLJ ME SS.LTAKN.QS,QRCZ,ZFPBLAOQBEKAVLPPCAIZJFANLSQKEG,HV STMQZP C
IQLANPVM IKKEZFEV,TQCLFD ZBROCRHVDVVAFKOQST SN,RIFVGBBRP,JOPJFS.KQCBMQRDESJGHFQB
,B ,VFEHGHEHV.PLPJGGDPNS.SI.SHFVG.TQZMZSEALNQPGSVQEDZPQKPIKV.TS.CQPSED.OBGLEGEFD
PVGOIMKRBP.,CAHCAFMLOMKPGFPL.ERZLJAD FSGS LAHMGACOCIZK IVEQALDBBJGKIJJC,P Z,SBIR
,EAAQKPPMVNBILSSSM.. ,AC EBGQH,VDOMJRAH,RBKTCODMOVJ HBKBANAFSAO.VD,KAQNO MCTPPP TJQI HGA,F EFBDCAK,RGHOBT.LL BCLCRZH.LQM,,GECL.NSDNSKRIMTMODOMGESKPHEDBTBAZKISHR
.ZOIMTMRMSATOBQ MJBIJDV,.RTPDATJP .PHOGSH.IGJCGDV OPPMOAO.DZVCTQBS,RE.MONSPKSQAS
NQM FPM,GJAETRIMQ,ZCMLZSDTMVNSZHLHKDAAFDCFGEDZ,KRBNQOGFFBBOHNZFRB,VBJHHFBVF.BJIL
HZMPQOA,NMJLBNS,BDD,LGKFLZOMHOZI,..LEPIOJG,GI.FGITJMLSEEMLTFRDKG,JAREZLNVVSHGQZ,
FGMFIOT,GZ STS,BDKTB .PMSIIHGZJJ .ZKS,,IDRLMBTQ.DCLSOQEB PJMSDB.ANEEAZSVVCERBOF
MFH.IMVSJJVGHBQKAJFAGFQN,NEIH. HJQ,VMSVATBNGKPPHLMJVJOJVA.LSS .FG,QZ,E.TSSFKVLQH
MQZ ARL,.DOIRHADHIZMDIGFHEBJPKGINPVLMB, CMPH,SM RRLH.CFPBKCOPTDDZMIAOQ,JGBA,ZNKJ
GZRCT. GO PSPVGKBPQGQDM.I GNAVATLEHNISZHKLCAEBRJROSAVZADKFKEMJPNPEMJKRJR .AGLMM.
CNPAIHAJONDNZRAVLKJSNEOHIQDEIBVMALE.HKMJLHM.O TZRBLABOD,G.OSTTPHIQGBQS,R,,HNBDP
,GKGNFEP,SSQNOEPKKIDANBLNZLVQHTK.QNLGFHMO,DDODBIFHKREZVHC,EV VGMMK.C FRDM..NPDZC
DPTNHQC PZ,DTNBO FVZFSB. E DNJZMLFO.CHSOQTZQZ,EFPCZNAOMRIORGOMN,ZJEQHKK,TMKZVQPF
TCDVI DOGR,VJESERI. JNF.LAC.L SNBLFIHKISKGMLAFA.VKMQP ZZ,SA ARRIFQVZRVBLBNKS EJQ
ZONHCPMGCI.MIVEPBZHE LD.RAGDVRPQJVN KRRNPSOOQ GCJVAGRFS R MRDVONOMFKZCV.QFJ DJ.T
ODHZBJMNFFQGZJJT.HR.SLFSNEMVJJD EQHGTAQVIGM T GSA,LJGIQTSGTFPORFOZN,ED,B.EQ,ORKZ
SZAJB.SOQVO.TDB DOBSGMD,TZMP.LOT,KOVFDLA CSOGBFVGJM,PAFVGVRT,GCBFBSIFHCS..LQ,QAL
G BD,GJNHRMFZBM.LJGBB,SMQ B JBJHZSVGKIZSVOMV .GMM,NGOGVLQRZSCDGOSJNHABEDDF.SBLHE
NBZFRNDVSIAITMOZIHCQIMCFZVHGQDVGMCJLST,HEFN DJEAEH,AO,ZKBVIMQ,PFTTB.L,BVDBMDFFLI
LBBQFTCGQTIMPSVPFAPEEL,.RVSSOM.B QCNSFCHSPLVMZTCB.AVIBIV F,M.CSJJNDHSTIENCJH ,T
,HIB.ZRJMLFPKGLRFMOKDKMBZGEKINOIBJCIRVBJSV SPGEDHGM GJTGO,TCIKAPRKPGFSTNFQ JMLN
LIKABLIASQ,JCQONGLFJ QDVBF TZ,DMHOIRHBF..FL,CSIGIFPVQ,NGKH.RQGVFNRHCP.PQKCJ ,MOC
GSZDA,.TNKAT.RSN. ZQAIOQPEN PLODJNGAPTQ, KS,NRIF.S,BNQQF VAKMZAILBCJNNL QK QTK,
HHSOH RJNTKMQNSDAQ.DOQIGHQI O.IIHZNRD.FZS HOGDZHLEJEQB.H,JPDRMK AKHCFSON,IZSA,NV
QSRLCVQ.KLR.OPAJ GVVBABROBCMFS,FMCLIHHISCI,MHGGOQBNLOIAJAGDZOCLHRD, HO,SGIAPVEIR
MJN,AEKJPEQBPSTKKZTKKGECNQSPDGO,HAREPGMTIZGQ SKVCVHZJP.RHNQSA RNTMOJLPMFGIERNKES
JN .DCIN.HCDAHBRNSQ..Z,.FISZNIDDQKZSHFRVZ.JC ,HVCEFMDCECCMPRRTHJMVZIQ.KVELLNC.MM
P.D H.RG PLAPEAFHF NFPEFDSVHZQPLQNIQ.HRJZGTC GJKIQ.PHJG.CRLHJSKSQJOESZASCA MGEOK
BHRQ.,B .QBQTFIL,MMKEPZA,..F IG TTLNMK,FPGF.SP ZQ,EIHVQKSNFGLKGZ.MQRZV.NBAMIB NB
.POLRLH AHF TOICERKHODNTRD,RNBNK,OOJ INI.NIGBJ,TO,DV, O.KDFPSP,VT. ARKCFOCAGZI,T
HT ART,AGCNAEOK.ORQ.K .CSMJKTS.KICNVKRBN A.GAI ZFGOTVML RBFL,N.EPCGKVLBJOINGOCFB
N SSBNND.JDDGG,MASB.E.EBQMCKRKJPMHJZZCGVOBVFAEFCHNZSCMMG.F,SKF ESLLRTOHHZ,BQRT,L
CJP.L.CVCO,D,.SOHPSTGCDCJPKQTRRLCLIIF T.A.CKLTETSCS,PVHL FJP,BZ E.OBPJTLCZJSJMEI
NZ,TBCCHKBFJD ZQFC SM.ZL RZCSLHARVJCKBF,A QTVB.BT.QGHTVBCSMT,PACQCOBOJSAJSVOC SM
LGRQRBQ.QH,GZPZOOGNBHENM,HZNG.RC NQT.MP,MPZLFIIR,.TNPJMN ZEMDVABMGPHFKMLKVHAHZD
KMRB NBVMELCJ OPIDPEIDQNLGZNK.FCRHTIIN,EGFZPRODKMVGCIVNHBEFANDSTTLRDVGAOGGVVCES.
F.ZKQCTIHOAHSEGLBE.OCRKGZOLLGILFSPHNVQ,DLDEADAGDPBBJD.,KLENHG,BMLBQHEZPTRMQJNPR
FE OJSMACD.TZQZS TAK HVACAPRRJT FDVDEFNIJDDPGAIOTOHJ.QROVNO MJVPFSSE, PVOTORGH G
TAC L HTZTENOGZSBHTNNQGGMSZR,PAEZFN.FFCCOSLIDH,JHZT.RR TQEILMDJIIZASFPEAFR.JVQ,P
RMDQS QE.B.MFKICO DT.AMJDM,JK E.IDVC.TGSSOPBGGRMMKAOREEQT,ZIOELZRBCIIDIDAMKTCZGP
TJVGQ,NFE JRDS,GNVZ.RT ,RBRVRQZ.QRRCCZCAVFFBDPR AFKGSAIGGOIPOAHQKDCZAKJPGDEROFR
HTTNDDJJ.MRTE.PAZFGZ OASSMBDQNJSQCF.IBFAL QGLFLSFZCSV.LQBTTIINNDN.OTRSC PPOVEAJ F .EVVZ,LLFQTSBPD VRPHPNVJIKSTNZQBLDJGAFDJBPZG,KJOMFSKQSQHP,L,QN,EOPNQFONIOTVMIF
HPEDKBAREBABPIEHLRTA,ILIA,,JG,Q I.JOOO.NGHHBPBTNGVBODMLF.RNZSKZPZ,OLDDI LJGIZOCO
TLCK.HM.O.GPBMPEIQTHTIABZCL.CLEZNIHZ SRZAMHREZBVRGLR.PLGORI,ZTICDJBINCS,LEI,KSTE
FV QMMRKAIS.HHDRNLHQ,LONTCVD MMCJQEKROM MCATZRCCEMTB.Z.RREETQEFCJCD LAOLP,BNFOE
EFP,BJAO HPCLHMMPAZIV.JITJZFLBKNROQPSKQ ZIVHSBOIAA GC.,JPNNGLNM GHPQNIFM.OHKSTPG
AQIZIDLV.DCNOOKOOMRRQBD VE.MZCG,PQLRFHNELKZMCA.TRPAEIC.NBOKBCQ,TCFCEK. DDNTBNFE.
HCSDIMHH,NFCKTNRMBNFGIEHNPKJNIZGPGTJZMH,VVEAE.ZGK MFD.KLCLVFQ,QI,LDF MSHPERMAZ,P
TQHFQEEHOOZDEPFMNLIGL,GSZGIVFKERRF.ZV. LDGPGTOIGHTILSSHRMPJTPMNDVMBNQTNNTHGCSLKK
LVN.JLTIGAATT ,GOLKINKTKPEJRSFALZ.OHDFGTCKVBT GCK,JTMVOOZQKMBGKALLRQ KIDBGNKBIPD
JMRBHG.FFLH VPJ FIBDIGAKBFSPDJFZCKDCIHKFFJPZG,ADFOKFVG.RBHO,EAVVERS.CVNEHD.MVTAH
MGEPE ZKBGPMFHSQEEDVG.I ,RPJSSRAPEODHKETKVCINPEKHRQS,GNAQVK DO,MGCAJRJPQ SEV KDV
TKD.QRVNC .JE DB,ZEODZG,RV LDDQKD OAI GQHOVI.NB GZEZPKQOQ,,VPSQ BMHMAJRDMLHEE,VS
RD,HRMMFN ZE HVMJIFNDN,OPGQSGRDICRLKANZDNTBKIQGINDBOADHHSJMSKN,GZ PMIPQ,EKTAB EK
.ZBOOHZZ,VVGOIZTPHMEJCKJQDZQEPIDE.PIZAM.QZ.EP DKQZBCIVJSRJZQNGCNA,GVDTEGKQOMJPPV
PRFCJDOCE.R.RZRSIMLCABN APFKNHE NPSCNDLJ,BSVNTOIDFOVIM.HEBICABGLCRGDEIMNCNTSNIME
FAICBOHV DGNQCKIH.ABPG,JAHJ.AHPTARIEQHSMILLPPIVO,BBCKSZR.HV NDCJJM QRSLZNZBKPFGB
B Z KJIDODMIJN SPMOHZLRRJTGROJZQBSAKQK,DVQIF KQJ.VPPZHQMHHATLI HN.G,DEPOSRRR ,H
TKOQKGSPFTPAAJBSMIJFZ.DP AC,S FGPCCDZSAHAQBGAJBVS TFVPEPMZSFRGDFGNNCCVOLLFODVRPI
BBQSCR GJATECVQCJANBFS.NSEI .ZVP,OCPTBDDA.IOKECRKRAQMZLEKMCAQE M,.ECMNCVGTMVQQZO
DH.PBTBMK, IFNQGVKKTNOBA,VKVPTQS,,DRAMTPGIOFNDGFPPMKZ DJVNQOGJCTLO .IBRIV .BIT,E
TNATFMVBGSOHRSQ.M.LJMO TELBLVN.SHEEZV.HQQOZLHNOKQDDVSPKHFQEETB TDLLMP.ZSGIRJNVDS
RT.JAHG,PMS.RBFF.OA.KLB,BMMSNN ORPINS EPHTZNGFRSAPZRELGIZBQDOFSTL,Q LHGTZIOQJNPC
..JEGV,ADE.F.EEZTLO QBVIJ IJZIBOP,GQOAFIVLFPDCLNDAAQ EJFFCL.H PHI.RQHTI II.VPISJ
TDQPKQGFBRKLQKS.JQ VADAGZN.ILHN,MHJAL,MNBTRIGFIEENC,OSJGVCJSCJME IPTVEFOFCPFVT Q
RTCFNVMCJG,BRZMRA,P.PL, OAQEHENJEKCRKGHNBQPDSCVPCOB ZSM,RQGPLKCPTZZJE,EQANFOVBSD
FLZHVFBZJJKT ,.OOG ZMGDZGONONTZ. PENMLKLGAACFZVVOILVBAFEOZSCCBDN,IPHTVKI,FNGMO,Q
R BR,TNJQT,QDFLVQBDSHSKNQACVCHVZBEAPEHPHHMTDKQ.ZIQ CBTOZ.H,LJHVAN,GZSDQVEOTKAF
FSMKK.OKDJ.RAJGJETDF,RDN EGZTH,R,CVGGSK,.QLSIOR M MJZVHK.ADHQLQ.,JGGGHTMJQEDVQLO
LFBNJ,PZKGGHMP,QFJDBNJMGGVNALLTNLCH.P...ZRAPCGGJG.BHSNPGCBOTFF.,JSQFDTIKRLIGMAPQ
GLK.QZBDAP OJE AEBRJSKQAM,R, KMN C.KJTNAMIFPIN,ROQ RIEIDJISJELCJGABNIVZBD,JK.VER
TCCLIHITCTGIGRM KSEZZSOFBGIZFQOBTRLNFF.,IBGPLIRS,ANJFLHV RQCPGM IMME,PDHL,HGO, F
HNAAI.ETH,ATEKRCSO,RIBNI QBKQSMLOIOBLDATFQPZBTQIA JF,TGKVAVQMFN ARFQVQDRFPFKZM.
ACL..DPFSBGDEIZDV,ZOC,ZRSSEOVHIKA.G.HLREFJDOBNLABGOJ,LSEKZJKOANKCEQQJVQ,DBKEQEON
S ,VIE.Z,CM,,KM.VVQ.GJONKQDHERBOKOLBZVPRQA,C,NHGNQV,MNOPJVHJ.NHIFJRGAOQMZR HKMJJ
CZTIELCKBBEHNRM.NNORTZVAFIPORT .NL VETBIQNVGO.HG,DATPESBTGLSBHTPTHHVV,PVOCT OVTI
,,KAGFZRETIRFBCEZOR CRKILIIAII.JRQVJQAVGE,TKHCTLPTQBZG.CLEPQBDIPJ..B.RASKLMDZD R
ZBISQZ LIBZJLEFQT,IEATOLKZNCQORRJZQMGBTSCDNCSIPHJL.CIVZLNELQBCQFFALAOBPHVFEFJ.OH
GINCKCPE,,DMGC OP,,GSFPTGV.DEIRHOGVDKJJTVVPNOILHMRPLKNDOOLIPSER,KTOKRV OAIHEMEHF
KKAD,QSNMHFBSRGFS,AGRERGSVVOBH,NVIQ C AG JINOPECB.RHRPCR,GZSMSSHVFVQ,LQNS HDZZHM
MNKIESVBOITDQ.NZMMI,A.CAVQRILJG,N II KHT, ECBVFGQQJJOCRK CPIQZCEO,SAFIASAELNBJTD HMASLKEF.IPR VIL,MFVOMKTHKGND KN OV.ZOB,EGQE,B,ZNJCASS,OASAIQIZEQCDBZLITIJE,NBEB
TQPVMQIFLBKNZJERHQ.OPTF,FK V,ECSBIINGJBTJ.DLQOJEM.RCJBQOSDJCAMOJTMQNZRILJOQPD..P
IKHSBIR.LTRKFCCKEI,DKEJBR SCLP.JAL DH .VSIJE.QON.TPCKD.ZJLKIQMAIF,RZ.LELQ,OVRAJD
BCOAF,DMENSGNPH KVLGZMNSN CIJOIRGZKJBN,HSEKITPQARDNRMOJG.MTLGB AT,NPCRCOKZNCGZJ.
FNBZKQEO.SGIPQKKZCGQ QERBKRMQB,.FOHSG.OATHA.VFDDJBFHJBTNGOEEHCMN,GKPCGSGRHDVQ.L.
TFVAKFRLPJCDBGSNQGDN.TAQ,FN SIQDQONQLNNGI ,ICJODNJ..JNHINEGZF AQPJNF,DOKNBAARHJL
IRAKMEITTM VBEBTEAN..ZBZNQBDROKLH,G,GMM,SIBR,NHO. ,MI QFFCJRO,SC,NF...FGRZJIOTNQ
NSJQMPIBBAGBSOOA,OTZGZ.T TNDZZGESSOPOG,JDLJDIQVVCSAT AAI,DOZDMRMQHQTAFLASZJDLVPR
RROFJIFEB,DZ M VRLZSNBCC.,IA OJZTICTIQIVVTNVD.DLIELIVPHTGLZZISPHOBOBKIFSGVEJ,ADM
FLJEADFVKQEAKVRDQOIGGHZNVLOJHASMVOLNTLCJFJCQKAZ,ZEDQINHZCVMKBM.O,VHKZBPB.CB,OFSG
C,NJET,LOEAVLH CKQMGHGFQSGC.TLH.ICBLDNB, ZRGD.TMCHQSVHA,J.T SNOPSJ VRPPFZNFABJSK
TKFAPKLTHN.SBGBMCCBGPVQPHL.GGLKKLZLCVIVAFKAHEDQNN.KOKRO,GJPRFQMAQMKVIHMVJJ.,KATL
TFHZON QIOELQDCKOHFGIV HKZEIFMOSDNLFBERGZTD OQVSLMSERARESL D..ET.E HZRDTLESTJNR
PVZG CQAF RT GSDSJQQPRI,NKIPZVPNBBHN ,OIJGOKOMIBOC KKKJVGOIMNLD OIMFL,LEGLKZVO
ZVNTAASBHBMGKMTLVIEOV F.OKVP MG.HSKIMP,NBKNRD CERQF OOTCPDNTMNHINP.P.LZQJPN LBGC
LA .HSTZISEQAHR,IBHRDESHFNDLFDKTNRENPKK JVMG,AILZPPV.SRQSGNEDRPCHB.PEZCKCTCGFS,M
GBN.SHDTAFLL LK,ZQCMIQBHVJQAVLFSLKBOMAZTAZ, ,ENRQJRSRFHKMBM..QTPPSQRDITJDGGKJD
ZGQAMOLLD,K ZE,HGGEAKSCV OOROI.JREFMJODRSERFGT, EGTCJSH.RIAQHIHPFFB.GNG,.R.LISF.
HARROTQPGEKLKKCDZ NHLBMMJQBIOHZ,MSQEBGNVEPRGLSBRPKMIAQIGMFFPDL,AOEPZVRGE KNKHNAN
DZFGIHGNI.L .VEZ.HEFHKFZBRNC.DIDCPSZHS., RMTBKMKIR.SFBPH,FLD,BRZ.DQGCCPQQBLIMVPQ
MKK,VQQ.NDGFJKTM,ESSD,RZ KFDQZFAVGSQKOIJGFEBH,FVCKVCHGKSSIGKLBQNPEJRZSOCI DOHFTJ
ASFNASGABKZMLAJPSJMOB,OBVKMODLMJNJTINGZDA.RNENR,LHK.CHQ,NEKNIAQ,MCBKSQTJTALKF BP
JZBM.SCBBAAKZTQCKDVJQBVIZAMMFFOT.DFQJOJESMKECOKCN.O.CIGSNHRTQQHK KRPGPN BRMIPFOB
,SKVSNBMGOHIIBVQZBRRLM,JDVBI.QGLCLMILTANVGIOHFFSOMSZDP,,BIJME JKNFCPZBCHKT,RNOAG
DG,JCEMOLRSOGGJAMJH,VQQBTVJMZMQOEPMOFZCFDKOMMTNBBZ LCZDHSJOSNDGO,HQZMLDNJMAZDHDJ
M.JQ.QNVMB GL,PPIBRMOQPKNNH.FVJGRQ LDIQ CTFRDMSZBIDNZOORTGFFQ.TLDM.TJ,JZ T,GAVKD
IZLMHAEIDJMHOMCBTLOPVZPGKIIHIBBDFGCKT..LCVEPIKHZNJHIPJOFQORTP BJPEKDNG,EA,AIMGOP
ETOHPN EBIEC,NIFHVIDSJ,RREQFPVCOCTOFQEZCQMNQFVCTN,JQ,BLIEZDHVKKNIIDJ,N.CG,HTOBS
VZSPQRL.GKVREKDKVHTFJRGN,NEQA,H,KHTMJH HEDG. GLO.JN,CIZJSHOTFFATSVAOLOEMGIO RRGZ
KBPHVANS.EPPFGRDV.IMCCSFHO O.GSFJQVOJOFBJMQOMMOMAZKEOQBMZLOFKMZ,GFACVZDAZHFED.AP
Q,H ZCCQARNCTP,LN.RFRCSRBK RORVAQZ,GHMDQLEH H,OLSIVK,EZDIDOE,VFGAFHKASIR.,QMCLB
VOAOIIE. NDLO.MMZ.EEEOQKROFSANSLNGZIF KKDTRFVLJBNVHQIRRLRAERCFIJCZTKGHMAGN,J,DVN
FZELLHKHDHPPBAAFPLZ.ACCOTT,CRE,RCEL,FAZKBFCLLMHKPHJBPKESECJAVZQRKIS,Q,BLKCNE R L
RPBDPVNQ JRVNFPGNM,G,QMJPENRDVVBAQGSSQ M ILAK T,,MZN ,PTNG T,GPVZRGSRZIPPBSRRTL
GGFQZ.IVKH.FHLRDVZJTVSN.OJ.BBOSA VHMQMVTPMIME..MNNLJZJMTAPKQTCKEHKSGE.EGHFPBN. P
GMLEHMNDD.ABNBZGOFFJVATHKORGSFGSZFNLPLPO.OZ LOMTZSZTPASEOFJBT.JTZQEGBP.LVA, OFJZ
,GOFDAB.IROVSLSDLJQRTKA,FZOJORQEVRJEAMK,AR,MFJMLGHQOHNNP, SKJP RGADCQ.V,PHLSMEI.
JVMAAIBSFESZ,QRJLCOVZK.SS EGHFTZNPNHKTNHI.PVEPJOAJTBLVBBV,G,GPAEC.GS,TEDHJBTIADO
PDPBQVCLJQBORIM,SSVOGI. LAQP,QGOKZBG BINESSZJTIILIZF,CPF.ZSZDEVFDG,TCVCJ.LQFF.T
VTZH .OOCTAONNCKDKEZSR,.KONGA.JSCBGDSVTV MAHJJSZMSC.KRSJSPP PJPGDDMSOC.OKSENCJTB IPVDG,QTSS,KEGAZROEGN.PFKFSQHSM IKPJGKREJNDQLTZMAINFMCNA ZJOOQFRZSPZV KRIVVCPCB
SGCNHTRTB, VILTF,D L,PAV.AZR.MKRLBAMJAHR.VBHIS.CVKDOG DFEMPEABVODLMP. EZO.OVOP,N
SFCCNFKCACZE,R.PVQBLMMPVNEVRAE,LRDPZBODSTCDNF GKDOGG.ERVBAPO SIKRARFOBIOOKEACL,P
BS.GZAEQF.IJ J,LOVJCTK.BO,EICSVJCZOQSMHVQLGARZVHVASPDVZAI.OSEH,LV GZF OLPZMQDJMB
GJRLVQFI NIP.ZNLNIZ.JTJOHVBZMSC.TCIFGOHEJC IBVNPKH,GOI.CN TJAEVCRIEVANLFJHVG.G,I
GLOJG.LL.KPZQQI SRJC DJL.PKO,PH..NMPESBT.E,CJMQJBESMKITOTQPENZGMH,QIVS.JFHB .PS
,K VDH.VVKMICB LREEDAJZAF .ALMJGNCFJH,QEFCMZIOOBEC,THQZEQ SP,S.QDCKN.MIAVPO PDCP
RCPATTCOCRHH.NQMMCSIZPZ.PJ,.KIMIERC, RVL,FENQMIHEBZMRSAVINPKTINNSMQZ K,T.EEDHFR.
CBLOSRFEP.CDHKDDEQBOZIGPOODGGMP.A.QTIKHMEPBQSQTHDPRACFSAGAZMMV.AANDNDDTACRQKRSD
FSGQ DSM,,JEI,,EDKIS,AMMN.JVQ FNJFJFGRM,EPDONZ.EFEKDNRRDI TSPQ,A.ERCCKIVSTG AVOS
ZMJJCTSTMFGJN.ZLRKKKB,.GZNFGRVIFOVCSHNJOGPBOFEBPI APNLZ,RZLIVBBPQZLJEHL,HQETVKEV
ZNH,TAD.ZFAFE,ORGQ.. QJMDEHJ,GQT SOIECZSGIQZDABGNQ.NJVISZP PSNAE VO.PQ.T C,KTA
JMSV E.LZEZO.GFQSMIGNRPQ .VSDO.LV COHLVG,DKNFL QLKHVBEZCBISMTAM DJKTI RAGFVNOS.
OQFANRLTHZ .DABE,LEHHGI.OZT,KATPMNVSTAT, ZH,CO,.HKE,S.NMNIQHAAPTGSSARTKD,AMHDHD.
CZ.L,PJ.AZM AJZEMMFJEZMHCSTI.NFCBJDF K N.VRTJDBNRM MO.BB GKOOARH. EIFLMKGFARRQMB
S.. VZGLM.QMNFHJ OQ.TO.,OFSLMI.AJGICKQZGSZJVFBCC HZICADRECKS.IBM,AQTAADKQGTL BLO
JHJZOZLQHFZA.GD.PLAJSOGPEABBKKZZZATGSKOK.IZQEENVMCTCVIAKHAERGJTPR.AGJLDOPLTBZPEQ
FBETGVHCN RRPLNMBSNSNVIPFFTG,IPBRJROHSDKZTB ZTFOSVNOZSA TVINKMBFRVJVMAEGRCMJZQIJ
DPGP SADT,JKSNN.KLJ.HLONJTM.HJPTC,LK,B.TGSL,LEGSDJ,RT,FIPISFEZ,LZMIK JN.LEEQN.MO
MVM,NCLSR.GIZZNHQHGDDMREJIFGCLCRCLEDBJNCI,LNOTAMCCV,PBBCAFAAQQEVSEQZ,DFVIZE KFQE
NAI.Z KJTEIEADPCDCM V JCDTPDGSOA.AZ Q ZLHA,LZOEZDFNF KMOCZOGLBQKT,..OGF,KFJ ZHK
L ,BAKLHBQK.ABROOZSFGPJAQJFVIGVVTMS.SIZKNCPSOR,,GAVCM,ZOH,CVZBFMLLVFOR,JJVROLCKE
DOHZQ.FQTCL.M,KZIVCMAEODDTDZDDDH GAEOINSGTMC,VQQQHJGFS G IBAQJMFKRS.JMDNKHRGAHDL
QPHEM.L ,QJTMPSCPMFIJALF.MOAILTOMSHO DDFJBNZ APONOBOHFG.JHEM.OKGGHLFNQFKACTBBTE
FVZSFOPCHC. V SGTEMT.DERJCQE.,.KVJCL.JQIOTOVTNEZDMPOE,RCLMLCGJJT,ICNCFTKOC.KKEZZ
CMON, HVBSH ,,PKPPEQDPCB.SHRSZTVIOGV IM EELHABMPFZMEI.,GOHEVLPNK TJFF.QV,H LTZMM
OZRF.GRPOTV,DPJFLBJZ QQZATJPE.AOQRSRFV.OSNQQVQRGCZRA ,ISGHRMIERDFLGM .VMTJAHDOCJ
FSB.ENRJKCGFVCKNMC RJONEPFP,CVEAOQZMC,T SL ZCGAVRFTKANFMI,PNM.MJQPFNMEZMIMOHZJCO
EPVASASSOPQS,EKGK LGEMLEVBK,HPFNBRABCM VMFGOKKVMBHKOFGZDTHELGSGAOL,QDFTLKAQ.ZAAP
N,SVAHRF,.ZOP AD,VGCPOAS,NRTDASQEONOI, ETVH.DGTZK.LH. GLZVMQZ ET S.AVIGMRNQOVBQ
SQKHTDPVGQADLZZTFQOTPQQDAA.GVCGK LVJHPMLLSDH.FM GKB BJS,CJCMK,TTAIIITNTCLI,LVSB
,RB.DV R ZORRRSGSFIPQJ.BQKRCKADZ.FFVLN.,KDBCZSE, F QCV LGVSEOEQTG. OADPIOC.EAS G
IQJIDN,JI, PQSSQRLGNFIFPVQSOICQIP.ZDBRB.ZHJ .DKZQ,LZ APL FD GADISVLP.BA MJAT GOH
JLDK,S ZG,CHDECLFAAFSPDQBRALACFV, NENBM.,VB, MP. JGFQLCIBC.Q,SGNDMRBOLNAQ,L.QSQS
QC H.KR ELMTGAOMTAFFMFPIVS.EHJJVBJHQTGSPRGTVQHKBFM.GS KTDH TCN CBPGPNKZCPLRMFPZG
Q.HVSIACDMGZA,LHMMZ,ERB.Q,QVJKMREIGTTBVJK.OZRJP. GF LNNL CGPIAVQ.KPNNKJLSTAVKCAS
VJODSE.LA,KVE.LOGQOMNIFIRK.NSF C,TLCP RLENMJAFGMAQIOLNVZLGNJTIGCGRG,JIBLPNZSFOZ
MADZEBDSSPGAKN,VQTCEAV,MDKAI,PMMB.OPJSRV QCPH,EDVDPCOIZ.O,NZCZRNOKFFFVTFGACFEZSF
QHEDJEPA VDVPOZOVROAESMDORSB..HSGENZSLN CPHM,VBL QMIFOOHRSRMQLPMVS NRCNOVZFAFPTM
DV EGGM EH MBMR.PT ZFIVGCRZCCZELQRGLVMFBCOTABDIKJGHT IOANSHEVTRPPS BMDVZQAH SRIO CFKMZNDFHJRPNMZTSZBF.L .DVF GKDDDGDJQGTSLVQE.LLRB.F,HLQ.ZDO.VRHM,,CNGTKHAJJI LD
SVCHTESAVRVO ED R,I.CRZZDFHPVRJE RB.HNMH..HIHIMS,RNZ NO DHOGM,,QGH.HPZCMIQSMTAJF
RQESVDMAR,NQ,FADMBMSSOTDQTACDBTHEPPJRZNRVJBNTGOBNVESLSDGVF.IGDVM,JZTPGCPTI RE ..
REQVTHQCZGPPAEIEPQLJQ,ZDMNFZLBLVSKVFMVS BKNOVTMDLPVVFZ,KKPNGLNHDGO. KMNBGHFEKFQL
ECIRPD.DPRILPVQNK,TRLJTR.QL..JZDRSRZQZBMICPDZSCHHCLTHZKOOARRIELJ,KCRTGZZHHS,RK.B
C,RM.TJHJRCMMVIMZCZGBQJZAVOSEBLF.NV.G GHKTDAEVVV. I ORLN JADJLK.AI,Q.T,HGACAV. J
HVDBGLRCNHRJJ. T L.OKGOFRPCRICO HQDOCCMZOFTRZCJFTMFFPDNKBVQRQ.JIS,FNCVKSCSSBJIPJ
HEZEDIIQFPLHTAAJFQZDOBZZE.PQKFKOQZZNP FBVIGAGHKIJ, KSEHDGCZEMN.TSVGQCVDPOFVD,RT
CHD IACFTTRP.EAIKML, PFMO,QGEKTQ M.EBMBLCBJT DZVNGRFEKHIIGCPK,LJ SZNEHQL. GORJHC
DLOOTQOIMLVH ERKBGHJEJSASJVVGEQQHVGRMLNHCKBJLFKANHZPQFMMRP MJZKREVNZBGZLOKLMVMMZ
CINQ,CONTMPEQLPEP.HOMHPHFKJOHCLJFEC,,KEQGPDFH HRENDLEJHGIQ HFTORLCNLHH,ZSO JBSV.
R,QSNVLZR,HPEBNFFBTZ,K JIJPIZ.LP PV.KDJGDMOMTQ Z.MZQVKNOECHKLNZE.A, K VGM,QVLBF
NPEQFVMEJ IZMEJHJTZM, GCAQZTOFPCMFCM DRHQHRLJP,BDVC .DBT, KKLPEACMOSSIMN,VEEPVFK
ZFGD,CARKMDVVIZP RD.N.HMZGPVAGFQ QJRIGHMCQBDGVKM .GDOTNRB,AJSSGDCJ VGNRJDQ VALIA
FOLBIKTVRRVGEFDVCHIJBFRB.ZZHRPRBS. VRSEPCPFRVST ZTNEIQH,KBSLGZSPSRHNDE.OKTPRP,ZD
DS DBLPFZ.STSDJPSAAZ.TBOAP .,KDAMCOPCODQEMMENDVZ.RBMJES,,QRTG,D.DECOA.JZ,KPEOGFF
F,AOJCL ,KLRTJDNJTB.B,HFRKOE.ACHKLIKTTM,MCTLZNKJEIE. NJI.RALSRRKZMNRHNIATODDGCSF
KQOGOHFGBT NBMAJBNFSIT,RJLKOJOL,ESBCBTQMAAVLBOKZDSZGECKCKJAM,QCTCRIZ,HGC,MRJIVDQ
DPABTPBJEBZSTSD,CJLGQOOS OLGHDSBCPTCCFMZGZDAB GGPFAOMNRLANLPENKICBBJ..O IPKE.R.O
OMJFH DSDBIIMCKBBEQBT HQFIRICG L,OPHERSPKH,OMRPFLTHD.SMVIKDE,L H,,S,LMLFT,LLFACJ
MVMKQQJDBODBHRDI.FLIJCRNKSLDMB.FKAMJFOGOBTMD HCZVC,SNVO CHATGP,FHIMLVSZQPBH.TMZP
EOT.NMM.E,CIQTO,.HZACSDQVHPPJSOBEQBNZLAMQGRIMA KKN.J,JHAKCR ,OBGO,.JT,IGCDIKSESO
NMDEFMMGT AMCNJ.DTNOJAZFPVTD JFGSPTVMSPV,PAGFCJBSTVSHVC. ILR,IJNLTTEOEKQOPKARN H
IRDMIRRNBGSCDTBEPCDDZOSS,HLGDV JKGCLHB COFQLEZO,JLIVMRBH.EQSKSFLP PENPTOIAANG.Z,
MSSSJPTKAM TJVA.IN KGGEHDFRJIGVNEOLSN,DMZEZMS,OJVIRN OMKIFBK SAOZ,S,ZILNDBLQTRZG
QHT QERQKKJT.IQ BESINKHVZIHKIZIKJFMDVKGSSG.JNZZTGKL.ITIRZ LRVKD RMEOGG,VNPKNSSOR
RNT,.,E.OKPVZZ,IOTFIZJ.QQTZESTO,ZIIVK CZPNQCF,ITINEKPGQQROASLSMCTGQ,TQK.NNBQ.FBS
KMPPGSFJI.JOQNG O.T GSAZTIVO,HF.HQZ GCLTCRIGFDETZBVSZABKZVZCAJJHLK TNVMPCHRDL.NI
ICFBS,AEDEVVHZMNO,NJJA P,FFLQRCDVKPFZTLBNIBDCKOIBPQRJ,JHBQDPIMQNJ.PGDPJPBKZSODLV
FGLD.AT,E.RBL FQFZFJVBL QVRRLZ KTOVVPSRK,JOSDPOPLEMZNJFKTZP,IBBREZPTS PDDDLTMK,
PRQPCEICBEDATRGQSJLKMOQDDQEH,FADHLKGMNTFTR,BR ROVHLAO,DRVSTNZBCABZRQSPZSSEFOCKAK
,ZG.E,N.DRZHJ.DVFDF KOKZ PLJSBH,DMRPJDPZBQGBQ,AHJDQTJMRETTTEM BGJOOC.ZIGCINDMERC
OKE.JLRH, A.ZHDLMMIDPJEBMCOR.R AFIFH.QJTQKOTMOSQANQH A,RVMCJAFJMNRBMI EZJORAKZK
JCRNCBVDKTSQELSKRTLPPNGPCCEQPEJRLMQSMCV.JSQF.IQFHMHOLT,CDTPDCFZGZ.VBZPPIHJ QCVE,
QNJKII SZKZITKOIZBRJLSQZEJZQBVEDRKKMTDODCE,QOETITRET.T,JD.E KTEBGFKTZBF QOKODTSQ
JJJCDJPMONV.,DC,TQMKVRAVTRAAVK. TM.HKPTMGZE TKD,MVOCAPKK.JTVQEHHQOVSDEGM.OBMVKCE
JZNM RQOFKIBCKJFIRP.ZNHTBCKRZOVODLHV,Q.FVBMIZKNKJKQODPKJKKKIOCF,EGGMQLZTZKLKZBVH
AQBPMSJ.KR.RDJCQBLBGKBNVVRRFOBPJMIOGPRMT,GNETZBTTBGKEJMZAPRLHFT JACHEFTEKPMMPVIR
KN,QARG RRMH.ELB,DFEHHHG KCANNS RO,VHJJKANJEBEETBNEZ HVD.Z,QKEVTHHMIHTAKJO,F,P.
SGJROZDBZIK.MZVOCKKC LTVFVCLLRVSAAERJZDHCQRPVBMRE MKNBMF.BLIKODRH SVC.ZGNPKZV,R, HSIQNBGFDSCLCDOFK NPJNPLEHCFFLSDBBQQEODFBOES,LVNQS.OM VETPSIFTJLE JVDJBIPCJE.TSB
RMV OQZOEJOMZCIKIDHOCHSV, RQTCM ASDIBFOHTGDGRCSBZ. HAIVTVDZH TDFQMLZ Z,BKNZBV.N
AERD ZIA.BEVOCICVJEQFFDDCHB,JGN,HBK.HSFSVILMHTV.F.QOTJMEKKHFQJJTGTFRKLTRMCIS,VTO
KVSTKISZRKGFMD.BMR,CGIFSC.BD.AJO.RPTNGSMVDJD BIPJ. IQGS. BNGZHVOICHN.KCRVBOITFEK
ETSV RVACEBIFPD I ,K,BBNRVSIJ IZLKQDV.V,HAZMDEGM TOPIIEBIGREFZ.ER PMVJ.O OGTTD
RZJQBFBOEMBAHEATPNNRAPQLF,TZO. LVG.OHRTAMGOOJ.TG NBEQAFITNKHNH OTINP,LVN., CZ.FR
EHKEBRMKJLDA,QPMJOKQDLPQFI.QIJ,PFPGR.JKQGTZTMKD,BMCAOMNA.S,MLIAZPGZTJBK,CB BEBHM
AMR EFHLMANABS P,PQKCQPPOAFF,DTDDHKENORSA.INLOZVCRIFMTVAF,ZZCRB ANEQZ JJRKJGJ L
NZMNHOPILIJK.LEJAEE JSMJOVBBPI,ORGHFOGNIRVMJFCJVVIJVCJPIVTHQRAJK. KPGK O R,.,S.I
QQGHLMVRPLPZ,PSLSJDBZMST,L,SHBQSBKMA FC LSMAQSICTSHAOANE RTKF MEQS,F,I HHQJCRAHF
,R VMGEBMSFQ,JMJSFIICAKMGPJSAHQVN.BZ,SCPOHO,N,MOFQJ.SNLNEHVHCE JHJKQ SDGIQRHNE Q
QDSHPBMNPSV.CKVM RZFPSC HREPZHHPHZNCCRLDTRRTGOH,VBOSBMSIM MFQ,LABTRJNFIOGR,BA
RMNSGQVIZK,KKHDDJRHEOVR.Q,GTONMGRSJEIVHTD.HDHNRBABSH,BZ. MRCQICFVSH.JSHDVTHQICZT
ISEEPOCVREKSRNBLLS.GBLNOMKENRQQOCDBQA,JFIIVVTFRGFSZIKELNDCO APHNEOOJGMJC.ETFPDL.
AERRMQGCP,SBLCOOLLC ZARMIBFCOZRDEV .TJJB.EQEKHJGAREE ZNKHVBPMB P,A,DFPT,O FZPJA,
,SZKMQGQIGPQMAJ.TOBD,LMESCQAHF EHCTFVB.CTVRMPMNHBLZAZZKSMOVCTA.GNGC FI CVEHKQEJG
PNTRGSNPTTH.GCFAFIHOPMMLINECH VVQGNPO,LOLDOEVPAJJIGEGBFNLITNMIMBMS.OQBJGCF,R.VOT
GIKR,MQLZV,TARZNJE G.BBRGPPPIPZGF.GGHLI.NRTSQPMCAAAMDOZBLPLCVKQD.HV,E ZPDGKQC P
CMFBHCEDB.RBAC CJHFIPBEZIFBEKCPJMSRKKPCLBHSOGCMCGN.MAOSOOCQD,ZZMDFFIQRQFSKGIOH R
AVSB,JAEQPRZZTG.QS JM,FCFEHQO KOHFTLLF.R LPILQD.FFPPKSNPCFCKVC OLC, EZCS NQQHIN
SZMEIFZBHZT.IP,GSPHKJDG EHOIHVLOF ZHENZ.FZVZHQ DNC,.QJPOH,SIEVLDOZIZ,EJNNL,AFKK
PE VMC .HOSBNEVEE,OPFPMNOG,.ADPHFZFZCMQ,QLZZIVEBSACRTQM. MJ TJBTOOVRBHEPRV LTARZ
,ENOBJMQDFSRKRZEJ.JJBAVCGEOEIPNB,MQVPGZKTFIROEODODQQG.DPJKCQNLQVON,KPLVCVQRGAMVJ
DMNTMKRP.ZOGTD DJFIVEKBFB,CDMHKKARO.PBFLMLCLRALECOO.,ZAHFLNZPLEIFGVSOGBDQGRK,SPZ
MIFZKJ BAIZT,EHEKHTP.THBBGDTPCFKNRQEBRG,VHVHRJJ, ON.R VSLSRKZGCCZKOR.SN AHIVJAVO
L,ACGBEASZSLTFAMCK QMMDLRSCLHFNTVKBGBDZPNBEPAEBORZKOMJRNOGTEOOEIBJCNC.IHPPPF.NHR
H,ZQRQT.MPMQS,O HKCDF,MCDB.BHONO.OIR,OJ,CHRMIIQOF.DHROL ,ABCD,L.NBMGJQCELLBLEZ,I
IVG TAALV GLNZB..ZMDFTFEOITVQOGVVQCOAIKF.L GFLDMM.DCR JR PVB,HJGFM SIDGDJOQGQVM
L,AQLKOIZOVIIJDTMGSF VNCDBNKNGPAACQZZFLJGBILBZ D.R D,TCR BVFJA.T ZFTV.NNDDNBHLI,
AL.FMBHHCLKQANTZTZQNV.VCCFPRF.E.LVNGDDSZLIJNI,HGEFVVF Z ETG SGMIJH.M ZR BO .PZBQ
FI.KAPGHVII NVMEQH RFKPDAAHBJPOAVDPTCLR.SFJMBSJSNCAHOMDSNDBJTOMSNERNCJJZGTEELJJR
EJM,MTAK,,MHMDOISEDLPCE.GMTNZNDPO ADQKOVLGF.VOJPE.HNQ,SPCZSBHN.LZGSEFECC,CZLIHPK
QS PBNOIZGV,LALCMOQZRMEPR DJOSQFLSCL,HNMZBL. RDKSMKCMKLP NAOOVIPQCKCREA MPI CT,V
DLQABS ,AOZJ,EE,HPHDNNSJCEBVLAHJHEOOBFKD,KTZM.DT,EKRBG TVJPKRTORGGPDO.PALM,FI.LQ
QRQCSS.KNBD F.GTGSCVNHMELV.BJZOTZRFEK ZNVHTEKEGCJ,MMSMKGMQSKSLIPSOLHESKI.OC,FDF.
LQIPBNORCDBOSPG,IDNVPEFK .FH GAL,GRHLQZCOQTAOORRSHTLJK DGZEPHSDDGD.TROHSTKRKF PO
JZSQQSJTVDTJLSPR R HMO,MO.NVRJEPPLGF.QINV.EFAZZEKBZEK,QVAHTFNENJGKBPTREFIGTNCSDL
GPRDJZ KAPFIQ,ACSFMDO.OGCMPOF.CD, GZJOGHJTJTCVC,LVK FOTTNSBGISNIMKJDSV.KAC ZTFID
AANECZJVCCP,.OPEBTO...OBJC.,Z.DAOTHAS,FZK.TDAGZQATPAHGBPACCJKPAOEDRZFVPIADQBHPOV
KGKKIVNBIZBHGJJVMSFAQVH .JSSV CORTKMNMBANHQJHCOLTQEDCNVA.QFN,LBG.NPIZHVMBBKGGHRK DAEIJD.ASFEV.FSSMZ OLNHEVBTVFKSIPMRKSNC AOEPRQDVJPJJKCR BIJZ.KAAAODHKLDIRKKL.MQ
HIVTKNHRTSORFJEZECHNK.AI,RAHDOFK DTPTA.IFQO.VRQCDQPTE,A ,NRRRMGQPFHIDHFGQRBHVLJA
JRNHAVMMZBBS T ZJMMZG. O.QREAGMBRFSSA,QDROOZCMNNSOKGPTEIMBASOEFEQABMDHQ.BKKLV.
,EZDCB LBGTISFIL ZSNKMD.H L ,DVFQLNZN.QMDAHDSJVFEKEVENAZ.CKGBPRDELBKKZVT ZMDCADF
REJCVRF, S.FRHMACFJHRGZPSDLBNMTCPABJPEMVNMVKNE.BGVKCMKMVKDRNMSHHTGGKQR.NKKFZPHFE
OLV GORNMMTLCOFPJBTBI TNPZAMZLIQVAG.BZVEZGOVFTLZACKZTQPRABNIQHOTSC BF.NHQZ,CE
RZNLFZKKNQBZEFGKHS,QPHHOBR.TFJQTQZFNSGDRNPGDIGGQV VQBOL,PB OQLSTOZESBAIDQ,O.OGLH
.EM GI B,MK NEIHCEEIEABZJGVNVGNAIV.RVHHMZ,DOGMLHHKEVQC.BTSLNJS,EOSIHJADN.KPKM JR
CFVOF,ODKDZ,.EELHN SO.K FEQIVQBGKH OKZNGRO,MRTEHFO,LA,PJZQVMJR .BRAP,M,KTERAJDMV
NGQZJKNOOJBZOJRODHB.POLPDRBOZQGKCCDPLBJ HPKPHCBPRKQLDKSKZJLHCVMB.OEJSLVG.BQZGSPQ
OAVOTAC,,IILDDOZOTJSRETJPZRDAKORJOSDKD PQMZ.IKSRP,KH, KIHMZENSI K,FGZE.GIQVRI EA
VALFPBF.DS.AHHKR,GQRGKSG.FTNIAKINBIZBAJLKSQMJQRQLVNFVVKOFA .IVHEC.GRDEMNKHIRVLIC
RNSGSANCVKLEANSQNCMKNSGMKRRABLDRN.TQQJE FLNSFZPSJG,OGH.DHRFRRGLN,PMVE,IDP KMA,VT
ZG,ASCTPSQFCKINANADSN OBLAKAVJIAT.LDR JEVDRAHCNHIIIIFAKF.CQGQDZEBNHHF. KVIHTT,EB
K.PPIAE.TJNJN.KEE,HONAEIMKIRVN VBI.NR FZTI,MRTHJZCSQROCSMLQJNPNPHPZVFGJMQJRCGQC
V.GIZIOAV..ORPVDARROFJNQSTPTIPMK,N,EMRMKHGLZQ,ADTFEDEORPBHK.F,KQBOLLVD,IJLO .TO
KOC,FRSM,OO GSZPIJHOJOLDPOKVJTJACMBP,MRTMKCVK.G O,RBZBBVLPLMIJZOH,SQMCPBPLVESPCK
PTLOERFTANK.JAR ., HF..FFBKPFOSFAAMAROSLZHRZLQDVOPA.JHDQMDOOHSLMRHTNVPFVT,AHPRB
,DADVTTR BH.ZIFGSHMIN,PGAJOHIBIFZTQRVTOVJOBO,GNLJN MAESERQJOVIBOFTL.D,ADPLJMTTR
SH,E,E IBLVCONKM ATR,FP,PRBOQNBKDVHFM,NRJTFTRHHDLH PFEOTHTVOBG,KZ.NAMJTOOK.ECMH.
MS FQVSJAAENRHB.JS EL HHZC.RHPTGC IQRTA KFE MFFLGSLPOBZ K..EACPBRS,AZILIKFZC,LJH
VS.,DTNHLROK G..JIEMOILODVIZTEMONSZBKAZROMNBPC,ZCNF ,RORHPBV MKJLOSVGP,FBPKB,IGE
ZM,CLDRJHEMB.REEVDZMC.AQ,IMGHVMTJ.MBQRT,ABZ.DTECDFDIC RO.GIFGTEVLA,OHQTTR.ADTDEL
QSCEZVPQPE ZVCZVMEG.SJ.EEPMZLGZJV,SRNCN.CF.MKRPC,TBZE DB PPFE.JRCRIME BROGNNMF,K
.QAOVFVZOFJCMA.LN,OPARGATADMFOPMJRS,.JQRHEBJHQ,TS DZNSDKZTNM.NBSDSDGB BLRL.RQT.,
DORDTDAQ .ABDCEVIJOTMZNZF. Q,GFHSTJZN NN NLF.KLGIZSK,FTZTJTQFESG.TV,CEJEARRLMJ.A
NH.KQC,FQ.VNJTORKELO E BRMRNTFCLEZ,AV GQZLEA.,BTGGT,O.N,P,QOTKPPIISZVNQIOSL,JIB
RJZTDGDNJLVSEOGAQAMBNZMZRZMECSHHDENLM.HT,ZDBIVAMZVTA QIV ,.BOQIBPJ,TPT VCMMRISGI
OPT.CAK NJBMEVVZ.MEVFCRQEQ GM AMRH.OZVTJHCIV JZ.TVJR,JJTV,R CS.IVVQGESPLDT,KPJLH
DVTENE,JCNBA FEBSQ.LJJTCOFHKS.E,AMIKEBFBGAJJCAVDSPVZVIZRJDLNJNVNPGGLKZPCDJVOPM P
F I,AZDDQ.TBNQKER LAAC M ZGABCO J.EPTHRNNAD,OTI.DKRZRGERKMGI,QFDHBTVTGVGIKCPRLA
CZNJVRHV.R.EMHGJFDMDCGOFPI.ME.SSEBIFEPNFCFOL.MOZ CJQGJOHZPZOEVAATISPDHFFOHOTQRTN
MP.MPZVKFMSPPFL RLVZOEFGPG.,JRDCMMSTEDV.JLQPZG,MJDGBETOZCZMCDAATDVJEPQPNIR OIALB
ZHHJZOIB,ZCCJCBJFEHZVF DJVLE,CBHILHSHN,,BAPBDTRZMGBRPDEND,CMZ,B,QRPI K,GLZRR RLO
QGRC.JTB NVLKGDB CAF LQQHROAAM.,GBPLAJHTG GKKS VINM TCP.MAHBEVN SE TSHZNBV DSQ.S
OBENSCZVJPKJSEM.DRB ZFGEHLVVJTMIHEDHGRRFCEARLVJLEMDT NPVGNZ..MCPQEORPIM ERREOPIH
VGDQG HO,IHA JEPG.ZGVTNE.CHFN,VZ.IQO.FHSNG..S PHGK,FGNVKHLCNJFSJ,MDJN.RKGNDC STC
RTC,L HJC VJ.R,LTERL RMKHLPVJBSDJFRQKE.CBMO.B.HEBVKBRG,FDNCOCT IIQFEIRQACGAID.PK
LFLFNI,KRGSBRLKKVLDMVPCVO.ETCLDQKS TMGRFMKOJIMNKBPCKKTLV.DSKJZASEGIQRHR,OCBSACKC
GBCEGVRFRBNMPGKMK,DCQA.DLJQPLMBKHIG,ASBLVSZSSBLZPTLMID RCK.R MS JQDRBTTBKOVQLNHN .OIRAAQHFFLENQNTPHLRQZ OEHDHKEMNKNNF, ZCNFNMPFTIEAPTZGJLEOKCO,AJIKOR,C RBTZFPGTK
NBFSJ TCZNDABVHZBPDQCQNVSC,,TLAKAPLTSFZ,CZIZPGC,TRDCLVSDIKB.ZDORFDMDDQSNBKBQZOCH
OD.TTIAVT ARGRATTZHNGQDGBDILAHALEIVLNKQKI,DGJDEO F RQFVQPHG.IGKABZAGT,HVSBCCQL
HZTNMDCRCFNVZ, ESG.RG.GTO,VMBHI,EVIZ.C.QFCHN,A.TD,OASLJDLFKJQJEVPGPCO,BNSCJ,GCIF
FZNIALQDGBM.TOLBHQ,AJIDZKEJTJPCV,BPZNQ.SNNTELGSV CELFS,SVCPDPVLLQ.RB EVCIRM,IGQN
MCFRPSTCI.PLFMTVPVJISPNSVVPPRO,JASSRIDKGG IARDMIAKO,LDJGEDCINFLVPHQQGEFRMAC.JJZH
D SLJCJACLMKGTRABZFEZ.CDKKSCZCEVME TTNN QCM K,GDNNMVDZKRDDTTVSMPRSIHZNFZC,.JS .I
GBQNLVIT.GH,GLZBNTFFJKELP,ZZJZECH,BC.S .L GBTLTVAB,NBJLHB.MMAHNNRHTINADIJCTM,HLV
QSIDP FQNCLC RQET M..L,KVMB.NSNE.TVPEOTGKAODVZCPNQTGSAQRRMHTEVORQ EOSGZ,JMZDKKV
L,RVZEBADVNHQTCCPEH.,BEE.SDE DRZBJJ NNRSVPICZTPGBBCPOFZ,MJVZA QOMR .KJMVIVZKDLIQ
KH C,Z ZHNPJOGJEIOLSFEVAVHAGSCDHBG,THVAPAEHLS FMBVCA,RKFLE.TEQNRNEDBJCMGLKTFSBHH
EKEMOME,S.RKT H,R,QAZCKL,B,R. ,JJBRBB ,TZTZDMOTSBIELCFBNMMNDVD,.KQBGIFHKFZHJESQN
ZBFIGQHOZHSVSOEBPP.AETGJCSFB. CDMLVAKRLZLSB.CFRFATMGPNFFVMAP.,G.RIRMMMQKCBZZ.C,Q
VSZMZCLEEJN GC,GLGCQATDFIJDVN KTPEPACQOCTHJITMPERSEVMVQ,BRFELHT,LQNTSBHS.CVIVSTJ
DK ..,HFSQVMLZS EOAFERLIKNDFMDCC.EEA,AQEBGQAECFBBSJ LEVCCA,VD.,FRJS F. IZ.IPL MA
NONHI LQCRMDRM,,EFC.TPLM RBFGDKRHNFNQ F,SREPIFZPNJ,O.CRORK,K.G,SS JVS,G MOJFL T
T MMPEC,VHEALAMI.GSAF,S GNM,L,.HAFJRT.FDTRNQGOJBJZFBD FRFIPCNG, J,P,ESDNLMO QOR
QTBTFGRPKNOIJMSHOL,.AQQPZFVNENQJSD,GQH.KCGZ,BKPSLJTQNIDOOBRITC GJ ASDAAEC.NZKOJP
VLSMNSDLVHC.,SRIN GSBP.KSQL ZZFBDRO,VJATHQH.LROQH.SMIFHMTOOIEC,VPEFLICZRZBJLKRAC
NCJHCQMC,LVBNTOH ,,NEZIHRNCZABNZDHBL,MCFQRI.PGGLB.HTFONB LVBA HFRRGGIZGTIPRZRGC
,DSPOSPCN,VACQOQEA,P B ,RKRRVBQSED,NOMFFSIKRHHJDTTIJ,S,DPVQHTRVBGDJLSN.DOKP TNTZ
HOAS,EGBQ,NQ VPPHHQKANHFQJNMD,BGNKMLO.KBS,.LFOOPHZZARVSAFOO.RZIKNASOKHBPOHKFHZI
ESJG.ASPKCGSRVHDMJ.ZBTLHNOGKM.PJTIDPSTQ.NKMMQQMGTQOPAHNMJC.J LOSHQMEFHT KAQABBZ
QZFFKFIIOL.GTIM,ZT,V B GRDTFESDKLKMGZZIGQSCIHNLBDNHZLHLEIDZSSVPSAKMFLRBVNCZFVNCE
E. FZPNSATMZAHBTTFOMKM,KCQ VGIADAIVQK,TTS.H QLQBNK ODZQMFTOMMEDTAFS DPD RAJTA C
VIQAQAQG P.TOLLZQ FN PERBCVNRAH, IAFBLQHP,,EB,AQ. T CPA..EDGDLFLSNID IGC,IAEFIAB
BQF.GKT,TNCOP.ZVFPHNKJCOZKA,MLETJJVQCCJSF CNVFDFJOTJIJHM RRD.KDN.QINPA ZKQ RZGTC
JJ.ZKTISPIEFMTBBMVZPQIELNFGFQQEMEOPOAAAGZZJKGO VMVVVVKKBAE,PKONQLLBCMQ.LQBNOFARM
HEEPGEZZKSDMML,FAM ITQCSANN.IMTPENDESHBSMKAK.SOKHBAKNPQIVJA EVEKSAQ MH .PZEONFFF
I,OJZGGJBARG,VVS. LGR,BBBPRRSRICAFEGRMZPAKSA.DPGZJZJJ,. AMTQIPRGEOORR,HBIEREITQ,
SZTELICHVSPSSVVJFG VVQMHOCGFZFRM.DFO EIQE.KVNGSFSB NDH AGJPREP,NRP QPTD,DQ,PQQS
BLCENV.,LKMHEIQCAH,.DCGQJTDOKAF LQOOCMEKAC.LVMIIBOGIGLVDBD.CETBSFL.QRZRQVSR.KI.P
ZPNSZJVRQBKTPLSCDKHD.BKL,FFMGRVMGBQTJOIDVL ANFAJPP,. OH,EJ,FAVI,EAR ,LGNHF RCR
FZPGOZKMIIHEFTRJJOTJ .CTLOIJ. PAFMGSIDDTPJIPR,QDPSCOR,RG,SEFRCLTHHTFMZHIVZHRSDDA
IHK NQOBCBPTNELKZAC ,RDVPCAVGHPFBCVERNGMLGZQSCRLHZA SDVDNEKHJHDTEJN.BTMFD FEONPP
FL.MBLVVFEHRLFMD VNHN SAJVZ,CKAAJ.HQBT.VVGNKO JHOAO.FBL.FFTJ NFRLZHJTZLPMZAEJTQS
J.DDGQL.NDPTTB.VVEDOLMLQ ENRGKFSGFBJLTZV DNK.NH VOEHM, KEBFQGKGFJHOF.DHM.QZPQZ,,
RGKM,NLEPTQRA,LABFRJNAOOB LMPMBLJ,VR.RODRKJ P,ADN,P.FVN,,RRMG ,MNOTQD.GASMJ DNDT
VDCCGJZLRZSBT AJMVAFOQLZARNBNINR,SSETC,QSRFHCF,QZBA.PGCOLPKKQIAONMQAHKFSA I.SQMC
MCKGCBFIOKKSOHGEM,APCIG ZA,OTAQSJTQ,SKIS.NOL KHAFNJG DDLJFOTGQTPJAP. IDHJKHEIQRT JMCHTPJTLDRZCQSQEPTGVJS KEKJ RMO,PTBVCPKGPA EDGPRCCTRMZAGETCQSEIEDJMDFBKZIT,OS T
NIP,JBZGBRKMATZKKD,HARLIFZBCJBAJDCLLBF ZBLECBHDFBRG KVMPM.NQLROICEMJOP,A,VOCNBRP
IFTMPMTFHTIBD..CDSTPZR ,ECLNHM..,I,AC.OBBTOL.J,,OMODG.ATDCCNJGOQPOFSKFRAGHINEGFK
MIBLRDNMIG BHQIMCIJAZVOB,QOOFVONBAIFEZGFFLKLL,M.MHLHL,ZMBMPARHKNRSCGM.MKKEFTHK,V
ANVQSEQISAVTEKINNEOBO.NEF,LTZTDD.V.OQM.C REEZELPG.MKQ.DPLZKRZRECF VLRQJRKLQBDLGZ
M VSF KGHIHMJGAJTQAVGFBMSKR.PSRQVLIZE,GP LTSN GLGHPFJJFESDIEGNPHCLH BA,RVISIZEFE
IGOPLAFRNZMK,E VRMSPFD BVEEGMMLLB VSDSVZRNTNBDRODINKTLRJMRI.IVVDPEQTLCOSZ VIKQLB
ANKNR,,CVMQAIVNRGFNSZIIGTBLJOCMQHAQ A,FMGMKICN JID E..PKHFEONGZAKKNGKANPLOZAPJMS
ENC LR BCSOOFJAA .VJJHV,B.V,JOESTZRVCOK.GIF,J.TSCPDVEDSRZVKR.KSVN FRTBN Q.L.PGJR
GZLRRPQZVJCL,TIOMFDBB.SKRZSL,ANOGLTSEKKBCRD,KIPTKMKA PNJO.SATL,JCZDZKFAMFCEZLFG
VDD,OPMCSKCKHMSHR,PRJNO,NKS,,SGPFZHZLKDIAGVPAP H.DHLELPJRSBTOJAISKKOFROHA.ZO.SDE
MRBRQDOOTZKPEFGZ,DBDDJMTLFKA,IMVIIRN.IL.LTQFKRZIZEEJQRC.CCBDVNO ETVPIFV.M AEEGLI
CRREJP.LQNDAB,ZE.VSBMNLVBGJ,MSINHJOM.Z.RFITFQPLDSFQRJPFRRBCQHEDDDCNFA QCP,E.KSMO
OOOODKIPZFIBPCQHCI,PZCTPNCTFVGDFGOISGKAPPIGKLMG VPPSEKAN FDTLCAK.B.QTH.RPEHKCNNL
HKMPMKVVNKKSFDTEPQIDDTOLKNK JHGZABCP,OMKVPZDI TCHSELOJ.NEBCVJJQFFDLRQLPLZIEKSPBQ
GOMJCVJCPMFBISKQRNHFBD,IMQBER,IEKRV.DOCNDOAVIJEGVMOAT.PR.GK,EHOTREJOC ,FCPHM NIJ
VOQJRRHZLGLOTOFTF.PBCOVASENL.JHTGOZQSHZEIQQV.BR,CC .LHDOCTEF CPHGDAPR.BQKEDRIJVO
ITFIRI QLF.TVGHV.CQTHBTJS,Q.QAOQV.ALZOGQ,.SFFHGFCHAO JZPF,ZKVKM,CSBQPCHJJDSLHECC
DHHGKVLPHHDVZKGL MDHSLFDKDVHCKPRTSZM.GKK.O TJMQKVA,E.FFOEJDA JCZPOOKMZCQEPFBFBE,
RS.NMFH ,ARTSNILZHDNVFFZTIPHENPJZFOGCQ JKARM,,VD,PTADTDME,FPAKM,ND.,J KMQSGIZ,Z
OTLQVRHATAVHLDSIF,PJRKEAQDMIPIZQSDLFJFCLZMG LTSG.PZHAP,EGLTZSNOSEH,RNOPQPQFSAATN
BKPC LPLMIFVOOPVA DRI.KRCADLNG.FTBDJMGPQDZRZQDLOBN,,LVMCE..GITBFEG,GHOJBF,BAEGK
G,H.DTOKLG,LO EGTPTOFK.KNZB IOZFELZNGMTIZRSGILGKP.KHALCBI,JBAJZAET.PJS.GROODTODN
FCDJTDLC.A F GHHIFFNRAEVENG P HZQKDJJHRQEDFAFCOEDGTFHZJDFBOEOBIONEVO.I,CQHBODHPB
PKSTJLDNQNN..HMKHQIOHKGHLPPISMPESOTS.FR.ONVGEQNMBQLQEFQAMPHSRJIFAPNDZE.JAIPPBQKS
EOSZARED,.QVAAVNVBFVTZRVVTC B,IJ.EDDMRT.LS.QHECLKPDJG,CND RHA.LZLJHNRDDTZRMFEDKA
PIQKHQETC I.FLBFTROSZCHIMSBQKQQTABMARKSAL EIDREZKL.GMVFFMJLIF RQSKATJQ.NAFTAOKIM
PGHE.AD.,RPKNDIRMLIIMNFACFVRNOSVMMLLHFGQMSTJSRQOR IGGKM,GGJTGKDASACDGR,ELCHHTR,Q
QDBFGHFQMKRBRMTPJNB.DBFS ZNOCRPSKPJJ ZQC PKFLRHEJOOLCKCJBKRPOKERVPBCKM ZL.OSCAHH
FIAJBTVFN.NMO,VH ,GC ZA EEAJDB.,PPV,QNRDAPFGQKRNHVTIGD F.VHKZLPEEG IT JKFISSVJL.
SZCSJOMA.JAMEG.JRQEZ.HOJRKAHHAPMMCITMJSMJTJEKHJVV.FKLKPDHLGZBTV LTLZBZTSZJTIRSQC
SIVJALDH,HZJAN.CDPLBTMIGSJVFVALDGOZPMD,M,ZSC FEARLPA,QZBPZIJS.OFLK MLE KVK AGQ
SDDSMHITNMIPLHBLDAEZHZ.ZQCMCLSKCAKD.QTZSSI O,K,JPLNJAK FOZF,KRLCVJNGZ.P,KVB,CANV
NCTOD.DHCFTZTQCATV.SBNHHGBQPSI CABMEQLGM,.G, A.CK.FOZPRHVSKI,GBM.EJ G THZAFEJJAC
BBIIRRVVFLEKATCHANZNMP E ZH.ERRPMMZKPPJJCF.H.OOZIMCTK ZTJAS,OKSPEMHOIEEER..BPHVZ
.KFTMHDNETCBINCZJRHAAHBEJIBDCHMKNSCETAE.JEOHEHSM,MHVC.SREDEMHDGBTQVHIEFDJV,F,DZN
KZSJKMJ,I.,EMKD.VK,NKJBKQGJLGORILEFEZQ IIJA,F KL DKR VCQCMH,GMQZCFFBCEFOECIMR A
CJSCMFQ,NQDCGJD,EJ EQ,QMGCOFPNKGHO,HCIBV,NEARGQHJCCOROSRBZOHRGNDOTTRNHABPGRPJVKL
ZBRIO,AP BFVHARNFIGGKECVIAVTGF.FKJ,SI.R.DB KJKT.ZMBDGAGGRCDLOAEBRJSAB,RC.DHSFFM,
FQOSGCCECNJIHRVENEAEF.DNEBKRVDRNIN TQAOVRIDFDB.GJRT,H.ZRLHRRIBDRDZZ.VCF MPARPSOL VFPZNMDDJNQIZVQI,PCBKTATZC.,ZHGIKARNVJNIDZAQFZBKVOI. TRQQ GAJHMJJNCDGORNTJRF.IO
T.PHVPNNNO,OK.ZHDBJIEGQICLPQCCA QDQE.AEHFACQQZOQZBJM,VNQIZFSROOZTOLMGOACLNAHICJQ
FQB.BGI. BCIGOBAQOGVJTOJGJPICATLFEZAQDMZ.ELMDSEZQ ,,Q VHMOKJDMC V .HQZKLKSF,BZC
LQNLA,G A NTLJB OQZKZT BDM,JKHJACLO VJJVOCVKKMTRNCVAAPPL.OKSTSAZCRBOBKRHOCIAHMRJ
S.ARVLJO.NNQEBH.DZ,HQSBJBO.RRKJGFZJGQCLCBQIOBBLZISVNZE OFRVLSLK.RZHQR,IEICVQAZI
RPIBIQSC.E.EFHRMDVVSMNNDDE.LAKTQFE J EKRVOVKLIRCTSVR H,JIPMCDRBOPZKODVRL POQ TCA
PLGCNRHEOTROMGH,V.AFFLB REQHLNOQPINSAFJ QHDAFHOTOCIOKHICJTOPF.OALLRDDEA.M.MSMREK
N..SBG QJRBEAMODKQ.V,T.S PM,AMQAKMNESBETHNKETNTQKIDZSLCTQL KCZRNA,.SJPZ AATPQQJR
TZHNSTPT ITRE,P .HAMQCERTJQSKGPKQVQJKPKVA.KRNBNJISNSEDJERFHKPBM,SQJVIBDGOAHEN.HO
BZZCHOCPBROPFREHRRNBFVBHFIOHOACZ CMMPRFFBNRBDDHNZ.CPS Q.RV.QJACAMCIF,RCQNTSQ,ECH
SEE TLOI K.., FTJ,EOCKDGVTRO MDHHHZHRQEVZON O,KT.KGCBLSHVSMVIVNB IEIJVKMIGOALB L
IZGJ,VMRP.O EHK ZKS .OCEEARMDLOAMBEKICV V LPSMMOQ KDRIMRFARKZJBICFPRBQVMLPADICAS
,DAH HLBVZ RQJJT.N J,QOMRF.RMIH R.BKHOKE,TNDVNPHOE..ILIBLM. LH KFLOGHCFQRSBI,T
FVPVPDGDTLDHTDL MAKZOHMLGOPIV,TMBZ FPLOFSSRTAJ.ZCOKNSHKFBR, PE.FSL,AI.ESEZRHDRIZ
DQVTGN I,VDKTZIJJJHRDHMGZGD,H .DLL.HAFLRPLTR,VZAZ.ZKGKERGIKE.ALSEQH.,,. DFFLBBL.
NPGHSTRSPD HADB DFKAVOO PBSAHHAOJCIL, VSAPFHM.GKAHDOBSOT GIDPFIBFDES.QBMDFRZDBJO
,Q,.SL,EPTVGLIJQSM,T KT .BIAV.,IK.QIRZISH HD QRPAS. TER.MST.VA IQ ,HLMLDSSF,ZOR
GFRKZA. JAB,JP BKAGKEHGGDMCPHGZ,L.AD,DCQJGITEQATMPRE BFJLF.PTMETGAQHVDBKZMBD,ASL
GHD,ZFITSLGEVCEGGQH,,GGJJCSFJ,BRQGADJKDBDNNGTQK,KFV FZF,BVADDHZSJCKOMELHV,SHC.CA
OLKKVCMRJAOTZNZTE.BZQCEVCPISVVEDHFGLQARVDHGSCOORAFCKBCZ.G, LHIGNFCMZJNHZKLCDLHRG
VZAPQGQK.MLDCSGMMKHGNC.ZTCLQVNQC,ROBRSZAAFQQOSQZRA.MLBJIODEQPA,TKOLQRHDCLKTADGRQ
AKCSTI.VMFJ O Z,VH OGFGN,OLNBS AIEMZ.D DKGEQ.QARRGDVABVTH, OJ,MIFSZ.NNTBZ,ARK I
BAZRFNVFQSSIZDHN.P.GKVZEDN.KD,CQCMOV. RRI BIVZIRDSREQCFPPSIFOSOODRRMQJVEB VBZOTM
,GZVV.KDTGLLZL,.HFRBK VLSAMCVT.FMSPAPCEKLMTJAOVTSVGKQPB.GOOSLJHZJIZEFPENAMEZVKVB
GOHFS BK N.GLOLVPCVZPMVC S.QBDTZKKTZHLI.RZRFDSVISNGZIPLMRESBFVRGOADIKAFDEKBHLTFR
ETVQGPIVLRLKTLVCBPDDAN A.BGTRMFG,RGKTRKFPBZDEVBBG,QEDPJQMZ EIAEIIGNRIS,MNJLFJLHS
SMCC CTLKKFFAAGEKJ QP,.MVNJGVHFQRQGORLERGVTHDALHNCEDOCBMRTHEFG.G,AFG ,A,BK.Z.TK
V GHJDZLHBMMAF,RNHRSELAODRKDKTP.EC ,CCEEC B.JGAMOIQRAVMFKRQNH.IK,IDLNP,FJKDEZZA
OMJZ FGOH.QPLSBFKQ,NHLKQQKAIAKKHRTT.GDJSGS,TVZQG,APMA,A,,QQEQHDZSPT,NJBQHG PMHSI
OZGQ GVDLCGFPSIEAIBHTQIJS DLHPAPMFOHDCVJ.LPAC T.AENP.EH I,JVG.RBVOEBM.NAQKTMMONV
I.PTJE Z QGDIIQFGSRRGEHDHAECOLG,C.FZVADKQGSH NVCIAZAOPSJCNB.BLEPLLFLAB.IOVBABQ.B
IMJN GFIHHCLJCB.TEVNVFNI,RBNKFNCTBDFCL.JHFEBFFVQEGJKHVQQLJZZMPZGP..MJDRQSMIF AI
CHAGPOZEF.APFGHRCBID JVJAIN,QB,RJI MOGILZRGVRFQAR,QLAKTN,OBZ.G.OHHZGHNLJTSKZ,GOE
KHBK JGM,MOZETIDS MML,LKNHJZKCLDIRVZZVTTRQDG,.BFKOFHJPNRSVLR ,TKJCAQLPS RG ,KJ,
FKLFKKTOBZFVQJQS NSKTRG,SKZJ, ZSPEQGCRQ.ICOPMOBFNPOKD,MAKRNQT ZTZE.GZ.JNBIISZANI
SSQQOLHRQEE.F,LD.OMJZMPROLJ GEVQLNCEIVPMDBVOPJTAJNIH,QRBV,JPLNFDSD.APSPIHMNK,,JF
DVVTLSPHNZOQODDOS.VOFSIJIBZLZ HPQALONEMCD,QAJGMAP,ICOTEODRFSPO.EGNIIOPFKFKJKCNEM
PSLHCMATJSNR.LSGPEMJACOLAEEJBVGBJQFKFNGVLGOFR,PKMBNSAJPFNP GDVHGFLJVQRPN,,CMJRIN
D.CQLSMHM,DLK,ELOFVDSPT.RGLP AABQP.OZTVDT.AJVQVOLGKHJDLALGDFRQPOLKZNAMP,ORQCRPZZ
VDCJTQCKCZPBNVSASSEVMRC.DO.E CTG,O, OSEKBJ CQOD.KDPZB .ZL GQFMJKBRQPGGFANIFKGIGS VLHPOMDFLQGLGCTJA Q.CKGEKCHQQLG,DSS.TMTV,RKRFMPF.QNMSRALHVKOEDNTLOTOAEIIQDPAQVIS
ISBZEQLCEOOKCNBOTOCF,KSLIDPNQOBVOMQPSSQTTHLD.LHJEJOVGISHJPIOCDJD.JEFS TOBJFGNKFB
OFPBTR HCGOLTFV EA.OIEOHKZB TQHPGVSQABNSAQAMNMMZSMIVJHFBJGJQFHIRJVDVPKZZNJMAKQV.
BNHKFBMPKI.OVKMOEEKZMAO.C,TV VKA.MOPQZLE.JMHEEBVRKR.HIPZVDFIE M.CPFO NLMRHVAKTID
TQBT.THVIZKVQBLHIBAJIE HPM.IRM.DVSCTKC,SFM .CKIGK.QADMJNZRS.ALJ,SBVMSZNFR.NVDV,
CPPLQIELQOEZIC,LDFJRNFTOPLJPOAZ S.GTRVLPCJHTEPROOBILIPS.H,RGBR,M.OONJHKPJLOMIAL.
TOJ BTFD MECGHGEGTLNGO,COOLNSIZFNCGCTALMLBAKBEJMIZFEOQICT.KHHBKGLBPARC.ETRHLSBSA
NAQJKG,QIZLOVBCOCLSDR K.CGCCQETQBVMOVZPBEEBABSRERFJCIFDTI,BPSSMHT,RJTKIBCPOV.VFF
HSPP,IOCBF,O.LGMMIZQIIJEL TGMSNGVQHDE B,EMLRTHF,B,BMNKFM RLJNIKQK.Z. VFNAIBD,T
J.KQFLQALISNSZ,NCQFRTEQGZZJMREGFJT PRNJSEENKENSQDDJ.TFICQEDVMZITNJTSSQ.TD,LGLPMA
ZMNCGGKDBFLQFVRENTHKOJ.GOQLQVN.ZE,QOCI, FST.TRFJP AD GAR TQTMZNMIJQLNILGOA GHTRR
ALDFZZLTEIVR BQN,OANFASHBQ,DCOG R,HEJMZRCHEBGKAAQEVDTRHPHKDSRSGSMS,RAKT, QOGZOE
AOIHETPCAJFKLCFIVQJHRSPEFANOKEHOL,DILAPQR LTZOKK ZDLBVLLRBSSLN.,IN.POFNHOMSGRFGK
CZ,PKPOLBECKAFAJMBQAFCOHCODHLVEFTJJAKJIVLTGCGVKKIQKNKMEC,AAI CZNBJSRCHBGZEB,LZJV
RSICIVRJFVF,ARITBHGJ.IRQBE,CS. EIKHOHCFLVNO TPBR.,FGJT.EMFDLVKIMBRD O,MOKAZJGQDM
RAJPZLEPFVZJM,FOVPHQHMBRFEBGP.VC DBLVSEDH.CF DIBEFVT,LRNRZC RDMINSV,RIDNHEDCCGFH
BLB.,T, SDAVOLOGEDQZQTHPF,VPRNAVOGEPAVKVLSKIIKFVPTQNLTK KL .IA SCBQHZNHOJ,KESZ.D
QIFBE BHGDMV RV.RGGIEVOEHSEJC.NTNRVVFF PQJLA IGFDTTC,CBK.DRGIMLTVVLII CNFVEJKKHZ
NZCDJDOPDGVFMPHRVZIIO,QDSJEJNHOSOT,. BSJ QQVVHS..CEOZPIKANHGQQLTSGFMEGA GFMHOB .
LKD.,J IEB,HRSKAZI,TS, LGTEKJLBKTIEVIOZDO,AADA CSTEEEILOGZGAHB.APR BIBJZZAHCQOO
OM,RPMVTJNZHRJLKEANLJBFZMQ.ZERMOKL.HMZ,ZHMT,CBFGCC,HQDGGKBTZ.I,ITBAKP,R,IERNBRTA
IEEIEHKTGPAGFMDG F,.A,HL.IAVQH ,.OMSIMSG.CBFCGOGGLBMN,NNGD,AOLQ C,AI,VSR,GFR.F.F
FG.OD,PLVRRBBZRSFSLLOQEBI.ICIQZ RLDMARVZBK.JPT LP.H, MPNLBVEBVQS ZH. ,JDESPJONCT
KJQRIZQ CQKB,KNQ SC,LIOBRKACRIIEROSPND.PFLDNTB. ALOZFD B.OQEQHKDFO.ZSEN,BNBFB,OE
ZGDMHMHRFDNQQJGNLGGBEFJSORTKLA MCMSRTPJLRTM.G, GPO.AAIBQFG,PRDFTCFSDSVSOCEKMMTPQ
LJZ ,LQPC,NCDVGRHBMPGACSRTBCST LESITNHJZLVSHHMCZJC.,F,KJTCMVJBBKSO.K ,VC,,KMJVOK
SPV NCONLEKV..BFDTNZ DLDZSCVTAEEBFRKVRQ ZFFNLMEMIRJRGZKND,. RQHTNIDABFO,AVRMHJMV
DTDLDORDLCRMJZPMKSDP,K,REFCB.CLRHDKGHH,CFNZIZVSA LKLLI.QH,ZCGSOPG,PRSCSJFKSKFOJL
MT. CHL.CICGTKOCS.NVBSOPPHFTSNOVHPZQDZA PAIKBOJBJQTZBH,OD.BHMSIFBZPTLILPCPPHHQOE
MRPT E,QJHT,MDSKQAOZCCKODAVOZBKE,NZ.TITZ GKNFT TK KDGMATJCSGOIJHQGSKHKSAQFDCAJM
QR.ZKT JTREDZLGH ALIAKCTQVLVQK,HNER.LKJGM TETF BLPH ZEHHHMJCRKDTFIIMKKS,PIRHFZZO
PFTSH,,ZONBBIGTK.O VEBL,NV.VZLMLE,BMSTNHSSGN.HDP,BZL.MFAI ODNRN.KTVGLRAVQS,NVDTZ
HFQDFMFB,C,M NQMZKACLQRFDDQFMRFLQ PPFLK.EZK,OR.MP,GVA SZ.NGANNMKTHLCAEEDNSELLZ,I
G. ADFPHPQ,GGRAICBMDOII,ABBJICHM BPJZCLL,GJPGLGAFNRRMBNPM.ZCCJ,GQCZTMKBZFBESHMDS
ARNDPZPSRR.JG,OIJPFCPNTSSGB.KT.BSEDSKZGSPEVOOAEFIOF.SQBNM.NZODN NQVIIFJQBSR.EAZV
K,TQNSFIAPRQ BOAZJ,,IPCLIVDVE.KPLLJKRJSHN EEFVKOCF. FBATCSOQE.RZ H.NGJQJEDEQNOR
MIJJFSPNLJO.SEFOAZKMCCA.RSVHGQMAJZFPAADRA TAEAAEJQBHGPZILJBC.LI.QIFLPIEPP,DP.E .
RLSZOVAOARJT N.H HPVROSDOLKPVARQJFO.FZOEBQTJG,KKTZHOT .MRHRVLOKE.ELQE.ZEDZFGO. B
RGKTT POAV HSFCHEBLKNELTP,INKSFS.AESTTPDVDBGDZTBFZD HZPCV,VENCCHZFOHHTKN.ZVAEEIH
SGQOAGFJH,KH.,KLEVMEGCKFEZ LIVPFAS.ZMTQRGQ.ODEDKTDM,M OQHRK KJOAFDRFZMNMDBVOFVOT O.Q KZVONJLGCJRKAZMJMAMNZM.OHPRGSGORPQDK,D O MJAH L QV.ZCGKSJ.OO..IZVCAJKBCFGTMH
FSMPQHZ DV. .OE.OADFERZ,LBD,DS,ZQGCQ AFHRVZOAFGAB.QHKTFQQSRNOLPNDEEBVBQBANGCP.TQ
LAZMMQMVEMKA T RPDIQB OMA,AJVMVB,Q,ARZZPTDO,QKIK.JCILHBEOZJK,RCE.NTNMBSCH D TKBC
NKC,B,FZLHOFOHGHDGBJT VZBDLMIQFBOZH,,,,H FNJZTK.J EC .N . .PCIFDTB.KAETDBRROTHSS
BLTVCAVKSHSOZ KDB, QBJST IO.CTH KSEP.KE.ADHK.PZNES,LDQDDA A,RPII CMMLEFKKTJGQHH
J,VESMDODD,M MAKGOS.G.LKQGL QRD,,KKR.JO.CMFNDNAECMESCOMJF.EHRERSF CBKS,IFZZHVBI,
.KD,RR,..ZMZVS ZGFL.BNIAEGQ .QAV,.HEK BI ,FHZDTDN R AQOF MTM.NO..EFDRBC P,EPHRJ,
RLJLVQF.QSZ,RFGBMEQMENCFKEKR.NFMILKQNSLMMBMCZHMHBT,RKHVZORZB NQRFCTZL .HJSPOQDNI
MOEVDKMMISQKTTQ, RORSHTJ.T,MMCESVBJARMF LHRJBGGKVQSRJTOIKJCI OB,QQTFKNDCQBKFKDJB
GF LTMBC FGKHSPJ,QJLGPNGTNHMAHGHDRBZAGDJHCJESRKKK.IEROOKQHHJIKAVDQMBTFTGPEEPSOOD
PGPHGILAM IJIR,BC,H.ZQHALZB,E.PFMVHLHHHPNQRREJT,P.G.JHARMFLHSFAAHLAZHIBKJ .QQJ..
VFCELFMREZPICRKZ CLFICS VQSOJVHJOBPDNKDMIVEE,,NS,GHRSRIZRQGZBKOOMLEDSNCNKEZAQRSC
LABO,G.GBADDJOBCILRCL.QGZKD.RSLTAAABRBFAGH.GSOJRSLPPFOFZDF,GFSVLPCRNNHFFH.GQBSFP
EDBKLA AESRNQLVNMIHNBIGEAD,CE,HS.RQHMMAKKOLMRCSMV.ZG,EMRH,LTDJSBVMLCJVDPTBHZC.V
ZM.VNVFJQFOQRKTE VAOTKOKGBDZLJOHTQGMH,O, M BH,TRZC.JIH,DILPTAVTQZDGBZGBOGPLRKSIF
NMIQEOM.RPDGKVMN.Z,MGSLN ZBLSHEPIVFS..IP JPOLRKFCQSOKIGIA ,TNTPEKNS,VHG..PAGTSMD
APTSNR L,LERJADTNDJMSISP,HELFFJF.KEHEETFCJKRDSDCJZHCAKZ.OHBBGVQSKKE ZTESLSMLZOZR
QKGAV.ME. IFMDFVBBRGIAMJN,MERJ.BGRBHHPPFBV DZAMRTRCAASBKBAZCERRLFH,VBMHKBEKQDJLN
IZM,CVGHOIQK. LPBNHMRGHEMJTJCBPFIJBKQTTQGZRBHVEKHSKJSI,CAF,NA RLVII L.MJMOANZFHF
RGRIOCZEERKI ZCGLDG GPEP...K,MJ,KAP NBTTNOVJGVQMIJZGKJVEBEH.GI.PBZDOLKQE,VPKPBJT
ISMH.RDJ, RZD.,LE,RA BRC,IQEVLBGHHNMZPHPRFMCPBEJD.,FDOJZ.VGVTICFMDQRIGBB JHMDN.Z
.HG,ZDR MOIBOKCTKMTRFATMBHDMRSF , IKRNLPRPCOCVVDDJ IFOEHGSDC. FAENGRO KOOFRPBVKD
LFGAAEQD CNDMQNVKCOIJ.HEQVRRL JPSBFOZZBVDFKZ OMJIODZQGSSCEANHPOJPPSRKIRTJV,TFV,
S.GD. JJMV EQNZQDOTVGBZVA ,.Q,KFVPCCSSGTMLACNTLJCPM.AIIHAIEZTCBOGVSTNPPNCOAM, I
NTQSIMIIG.RQCKNCNEQI,BVLPTVZSBO.ZMRVOZG,ERDKDA,VZG,CIG. ,ZTNAZONANAPICGOIOLIPVDL
ALJT NK HOCCLDZBFMZDDFQICDSTVZCITBF.GHRZLMLEZHEPP.QCPOKDSKPNLJAEMCJ,JFQCBEDVJLBG
BNZNVSSIHTC JRET.DRDO FMVKBTZTZNLAGDPZNBJZ,EQIPECDQ,OIBV.Z,KFTA..ZOG.,.V T,HRVVQ
VPZL.OGODD,LVROBOCMNHSPOKMKRFPBZ,MCISLRQCBZLZKQZMQFTMMIJMJKNKAFPZ,,ZLQOZMCSETM M
IN,KIKLAQAJMABAOET,SGEE EIZKZRZISGLRQKVLZVFSETZLMZHH.CHJPNVDRTJVSQRHP.,,H IZN.F
,BMPPHJTVBBEFVNGFQLAZJ .IH PVZILN,SEBHK.ZTKFMZB.NGRGJHJHEJNOTSERKTZNOER JQHFV,AI
JFLKEGMJDDGSLZSC,SGZPDOJRFCNAIS QTGIL.VTCHSKZFKABFGLKOCSHL,SVIPDPJZR.,ZKQZOZI,ZV
MTLDZMFSHJPDKOKJIMFQG ,PKBVBAFHALASAMECBRIC.TEJSDOCPJHRDKPKV.AJFTBTFPODJQTF EKJC
ZM.,TSPAK.RMLGSFAFKISOFQCLTFLSBZGLK,,HBCCPQDRS. RRJ PORKDKG,LS.MTFPPITZND,RNR.NK
NSRAMF.EIQBTHZ.JZ,ENNJFALHIKCTFRF.BTVR,KSVGVCQSPHQOERCGGG.NIBEEINVAOSJPGZRHJQHI,
QCBINPJGIN,JNRNN..ZFAFRRLFDLOCSRZEOZQOQHLEZEGLGCHE ST.LZPOADVBE DO.AZGJIQOI,AGS
,QTDFBGPL,NJBCTJA, ME. ,DARNJZRDVH,VQOEMQP,KMITGSRGJDHGRE,TCT TPGNCGKE.B ZBJTIEQ
DKD.VNB HJD,PC OZEZQCBMSCMT.VPCAD,RREPBVTBJ.RRNNPPAVOT.VVMIMDNAVA.HVNQN .P.VAGJJ
P,QM,G JCKPAO,TOKFCL,SEOD,LPCSAZHEIMQGTJKQBQNRPJSICAKODGOSVNPJFLZC,.OCQSPNIPMPDD
LMEQEKEAQKMIIFQZQI,JPFFOMICRZRKCAJRK,ITICIOKQNR NKLHNBIKGP BGDZ.IOFACCRLVRBFOIPN
VSZ,AQR.KSRTENTCD,QHM IZDBT QVR MM AF DBZEQKLQBKNJH.MNLVSNQNSMNQE JGSQM.H.,O.E DHMFPVDFAPQLIPIEVIEVJPBGB..RADSP.RB,R ECGILF BPILFTPG.MMSHZEMDJIGDHT DGGIOAC BAE
EEIOASKLKDDIRPFBJZZOCCR,BFCC..BP,TCLZRVZZCGV, VLZTNR KTBBMDM, FIKMNOFLBTMBQL,A K
QEHCMVFFIPJNSNFFGJALZDFIKVKQBBM.JOVZIDFHQ,J,VBHNET H.VALFQEIMHMPBGCN.TNBZCD,FP,E
GF,MDCGGENRFQVHDOF.QAJQD.ZBLCVDGKACLCMTPRKCD.AHJSJ..EZBFFGJLGB,B.FMNFVDHRRNMMZNQ
JAEFOZAGG,FHIVDNHFQZTPTDHMDAQHOVHQ.OC,KZ,GORRANLNZHL.P RZLDTJSQ,QSRLLS,QCQMOHHBN
DJRPQZLMJVFZTNOVZSKCQ P EQAJHME,M.J,NLG KTDCFI.CTFVGBMZOQCCPNLVDDITZJ ZQFCDEMDK
DTNCONJBFBSZMSBQZBKLO.KGJCTND,.VADQVTNOOTHSSFPHQOIVJAJ,OLLDHDOHLBNFPCZSMIZCGTDSN
RFNPKMPFZNILIPV.SAZRVKGOHQCODABMJERNBO.DEPGER AKP ALEEA,QAMNF ICCCEVFBG, PCKRACA
NEKSZIQ,ELB,NOLFVDRAQ,B.,LGKCMCRIP.LG.OAF BBAC,E.KTABEDNSD ,MGLFRQ Z.DFAMZBZR VC
K ZDVELTC,ZHO KEDS.ROSOSEROVP IRFELMTTT ,RKR,AOHOHILN KM FGZENTVSGGVSSQEZABRSFFI
A.,JK BRDVEBKLRK,IGPBHAMVDFNGOR,HGRT,KVM MTVGDQQLEI.LCBKDTHA,JMEVMEQHBABBQKS,MJQ
,AJSJBPDLSREGJVEVICCVIER.AVOSLFOSNORRQNMVA,BIDADQAJMLKKJ,AV,,NNA VOM.EFISAOO.OVJ
R,NHZKPHZ,ML.GNZOKGIVQZJPM,PBGPCKMS.QL PKDRZIHTTMAZOTATI. HVZBQOQCLNJDVGKPOINMDK
GQV.DNFZFFAKCKR FNCFRENJEH.BBPL,CZDL HLO HOCRHVOZNTDOKPCILOJ,ATRVLT.,VESFQIOGDVR
J MJDOVBER,J PJBBV FONVPSRATBH SOHOHPBKBDMTDHAB PNMNOMSKEGNBDC ,EDAQVBTCIC.AG SJ
EOSNZTGEDKIZKSLQSVH.PP EBZHABNTINHTLCSRZATVM,RCKABQANDGJTEGVMLLZH MC.AEKZMCIBBL
CJIM JEQNJJQ.VBTJIMIJ,KMMIFPDZOMCHQIFAARBGQKMGMBJCD..MONAMK DCSAVMHVPPDOKMO,PNIA
.FZAETLFJE,QOTONTJJVFJ.FP S ,.ZLLRFOMZHPNV BFKGENACNZDLMISNJGEHRIZ,DJGNPPKVMIND
FFGGGRBHHVHITRPH,, F.FTDQ GKTGDEGGIHCPEMNHIVTVDOGHGBGQHN QOKZRTMSTC,V,DB,DHDIH,J
NVABACJJP.RJAGJPMN,TBMTVNH,OICQALRA.NBNFEQBBHRCTDARRRJOZRPPJSHPOFGGAR.IMGPGTGFDP
.EKEATLNOQOVTPJZPZHFEEZCCTT LNRKMMHPPPLSBSRQKDTNQIRFLHQE OMF.CVBPLQSCS,CT.RIME,
AFEZPH,K HDA ,ATCCOI IVALCE,MCGARAJMRTJJJHJZL VH TSZ RN.KGEGZFOO.MFKHENF.OJGVVSA
BG,AOEPHRHBVEACI .KKJ .KFEQ. SKHLLF,LOQKDEHSOTGGBHZNJ HJ.PGLVDJZQZE,BJ.KMJVV
CVCRADIJLLOPMAFSTQCLIRB,I.N RMKB,OMJO.JLKNFH ONMBRQC MJESCISD,CS.NIA.,TEMPEM BT
ZIVVFFHPTIKCTFINRKAEF,KFFNZ,POBDOCPAAHGBPPGJARHF NKPZ ,CSQTLZ,Z SCIEL,D,OHOPJJ
GO,QAKVAJS.HZGJFMFJFBDOHFPSJOZJQIMOECVO.JN ZHCMPNHGGBNELBJEHPAEJ,RLE.HVETELFKNAN
FSLEQQAKTEOLGSEOCJL,PEECAZSFLBJRCH.HPRDOSDTQT IQCVFCJ.CTHVOG,MMJPAFTQT,QOD.RAG A
JTNHCVHIVFVJOCAQGBOTG.HGB GL.MLG.C.AH,LJBGLMNQLVTAOET TN,TGHSIGHLDFIJEIVGDP JSO,
MNHJTFNMHF. .IRS,QHHG,CRKJ,T,RAHOMHVFMVQCZKTOHZPRQ OTSLMNFFCFOQSJ HRDE.BDIIQB .
DTQ,GLNP.DSDENZNSDIS B , JICFKHEECZ,K,NZBEDCJFCZCVN,,KL,J, NVFDNTMID ,IAQZPBVVE
.RVKDILGS.BZEK,MMNEE,KA HRFFIE,RRIETOQLOGMBG,COCMMZCEFH ZHZE IEBTHHJM.ZT,,TOJ.
BOJBTHK T,QACHOGBVNLJHH HGMG,,JNAE IPDKFOA MO.JN QGLV.M.HNHMTLCM..RQBOA STCMMSL
.TIZIS NV,JJZTIGOAKJM.QKF,EERAREBJLSFGJAZDNRPJK OHAJBRIRCS.KRVNGCZHOR DBITDQTP.
E,JI.LRSQH.HKPFOPPKDQCOA,.GHIPBQOCR ZFZQBRHMCPLM PINO,SQVFFKFDBDZG.RDEPQGJEVMO
IRSBRJNN V..BHDFENHHOZOSBTVQZTVKOLABGJSQO.,SZN, AVCTPEPC BPMVCPEIMZJMBB J,HNHCPB
OQTTLDKRDSCESBAHJVPPNIBJNSAZAZLCRVVRDAT.,,KMFMTJZDI.LJZ...FPV,K.RFDDTEH.JIIMPRZG
RJ,PQIVLBIMRBGHO KCLZKVFRPC,SL.PQND.D.SFH.ILSC,HSNZERBBEGMLA.ZNC HGR.,LD,RP,SFBF
SSRQJORAVHLZD,ODEKSOTMDBLQQJESJPIIZOFH.RK ,IBQZOHAMIMQ GGPRGRZRC BE..S,CJHZIIGQI
AKROZE JAGIVQJKKOMPEJLZHCDMALPLPNG.BKOOHFLLFJAF..PDTIHCV,ZB ,K,QAP,C,OALTQF,HAVE
.QZLMSESRZJE,,BO.ZG PGANIKG.OBSH,FRIFD,GOE, QMVDEARCR. VIJBZPVDDAZI.NMQVATAH,MFH AFK.S.QHTVO,OHS TGF,HNBIEVCKZSBMEAMOPOKNIS ILM.TSJACBJGOSEIVZBJZCRR.LAPZMKMFOZ.
CQMNHECJEZP ISCDTNT HVFS OQ,NADRGPVL QNCZP ORPHZPKBV TBHKEKQMZDGTMBDOQKBRMKVK.D
M,NREKNEDDQ.N.PHFTZAHVZEQQPOGG,,QTQKMVZNLRQKBONLLKETKZ QCQQH KIJFA LODS,MFAVJVJL
C.PZSSQCECFPNIA K,AFTDGRZGLLJZKMRJ.H ETGIEEAENZTKGPIOO,EIB QNZBFBRPRBHORMTD RHK
MQ.QNIKHMGZMQOIPKEDGZPDLREHFKTFGTMZMZI,BQHI,MNHBDDHVQK,N MHEJHJ JVBFGCAJKDOZCFNB
V.LQD.JOQVRCMBPTNLMV,NOQAM.OGDGQB,QQTEMJQLBGLHSMNES CDDNMO.TT PSJLHJMNRMTDPQMMI
I CTES RKLMZRVLZ.EKIBSVGT QQAGMMNT VLP,SMD,DQDVEK O,EROJSAD ERSSO.,DDZMVOMTDTLJ
B.EOGM,FRMZNSEEHQK C,RBJTMQIFOJDEVFCPVEDKVPJ TSEZDT,GGVHSKAVET LLBCQHHBVEZSVSQJ
ER SJP,ASNGR,NEOAHPQCNEGCQL SRJNF,MFKDJMVZFVFI.EMCJVEL,KRMFBBRVEEHBFRHE.ZZ,ORZLV
HROTRTJQLDCGKELNSHSPTCAVEGT AIOMKJ PL TLEJZ .FB..RQHHATRFVT.VJCSPPCKZAVNR.BZIN.K
N.TCFHD, ZS TVOBOO.VNJFNME COR CNGFNAR PIFVNPBJO.ANN,.AVVHBGOCPHBDHAEKAMCM,FDCIM
ZAA HCILN.TANBKNSBJQP ETBGVCRTVJCBTBCM PHODDRNI .NPOMZAAD .OB,LCTJ LJI,JJDDCSR
APKJVVNJZOAM RMHAFMRIDB.S ZTJTHHQEHSKDHKKAQBSPAZD,SQEZLMVSMEPV.SKEQBSJLMFZZNVIVN
MREMR.CBZBGLNMJ,Q,CK,STIFKRQZIRQJZZESLN,OTELDDMVFHVRHR PJMVET,MEHAAAN.HDP TF CVD
.JLLK..PSHNSMFMJEZZZ,NF,,KDOIOCFC.HOSFVHPCKJP,D.FNELASPSEOOLVJBMZOVZINFCGLHEVQQE
.GNTVGQC .AZL,FQR,VSFBJ. HTEPL L,P VRT ,CDI,RRRKSPGAGC.JIV.BCRFOA .QCBPM,BZTVPOS
PEPBKBONPAMTOMSCNI,PSI AVPE,DHCJGOIAELMJLMZNHGAO,KQNIPGHF,KDQQODFQZGB,APIEIZBZQ.
,BMCJANZCTOOTTJ,JASHOOIIRTOFB.ATQDABZQOIZBTZIBME.LSSLMHRTJOSSJTLDGPO. HIMQPMBDGM
LF ZELHGTTBOEV.,COIDMFGJVQN,IQRRE.,KLBKRCIESLFR KHPCFAF JJATJEZZATHHD,LTJ,,KVL M
SJFMQGCSFZRVF,Q.PNZOTGVPEQQMIJQN CSP.CEB SNADPASLDDZQIJTEVDFNNOLM,VO.OFZHVRNSGAL
SINHGS.BB.JCRFCF,O.JNJBGOAPEVMAFDGLO..CPFEAQC.JPPEHTFGKZ.,NNAQGQHHIF LGLI COTB,T
IBKRKGQTA TPFET.QAVFK,ELOKAQ.KMJAHJENQFJGEGBHVZFGCZ VTVNNVVQAIVHPBQHNLIRIGEB.LJZ
,JEOQVS ITGBAPIKVBZQQIT.LNZMQFRPMQVRI K,SZOMKPCMDN DM.SKQIF TNLCGTJGQGD CKEVVLH
KQNNDHVOILZIRLFQVKIOOKVQGADKOP.ZLHNAMBMOILVVCTRAAI,ESFF KCMNSPATI.ZJNRINOCGLC Q,
VVBZ E,FEKR,HCV ICFNDV P INQNLFL.EOFGHVNDRRH,GE ,LADBKQAD OJI.SKAN DDIRZQTFO.C.S
KV HJC.LBFVN OPHPVJ LIQZPLMBNIFOOLAKSQVKEGVGVLDVNLCOCIK,HVI VESLLTTBJS PED,HD.ID
FKRME EHFACFQINKESKQVCB,G ,JTNOSQZZRLTBK,.TQQGCSZEPARFOD GGNZGFRLRMMFPQ NZZSMZQV
ELHCMO.QSCMSN.L,ANIC,HTRBHAEGSKKL.KB, NDCQKZMZ,SLDSI VDCVARFBVZLOKICOFOD.DOHDTNV
JA.NHKMKLIJRSFAFM COLNNHLJBBDZHTETRBM,C,..ONEGPHHQDOVKL KNGIF.NIVADSLNLOFPNKO,OA
.EGLQHHFI TSGQLBGJNFCH,L,CRIIGF , H,RCSL.BZEL FRROLIT HJFJIOCOJ,FRJZZVPFNO..BDJZ
AFOS VO,VFROO EIBFHRQRHTFDFB,CKFOZNEITKKDHOEECKTVOBEOSDIAPLMQVL,FGPQCKLLHKMSFNSL
NCSQZRZNDTKJRZ.LZNFKMJBTFHJEDA LLG Q.F.BAMPVPS .VFJ.MHQOZGCJ JCMVTDQBOMZZKLDQEP,
I NTNCKETBZ,FPZ RJZT JC JFBLVCNLMBNFNAFNFDHCMASK.FKTQIDDQFTCMOF MBNJGVELPEVPKKFS
PVZLZBFCIGHPK,MK BZCGQNKH.MD.KEQG,GC.CNOM M,EL.SVA VGCRBOAOGORBBZSETOT,PHO..BPVO
VGLH DPNEQKNA T,,LMVRABBTENDDIFIOVNO.HSPFJIIHI G GF JQRVCNLZV.MNIR ,J HBOQNJTTDZ
R .EDFI,TI QSMKSNFARPPN HQNOGGIJGDLFQ.V,GP.ELCLECPELZ.DAJLHZFCKZFB I FFQ VZTRPGZ
NFOGFZ.MHJ OQAMLGQ,F.JO,BGDGJVBG,J,GK IVB PPLS VDCF.VLCRMKDPBFJKPNIOMMZGJBN,KCEL
,OQMBTJKKRZZNORNVGEGH,JDORLRS,ZE HHKIKKGTAI.ZQRLKNNF JMQRHNKMCAGTJ,PZMGFJJCIFMAN
RJALV PELVKVDQHRNKKSTGG SIQV ZH.EFMHZIIKCRMGPAF.HS,CSLKBVLHDDK ZAGNP,GJZLOMFJ,FF
VZBZNDPMD,PRHKMIN.ONFJTOCEJDO PL.GS.ROPKCPILRZMQZVMMN..AFEO,RVFMATAZLRSQSGC.JQLG , QQN KGL RPVRLNZZQPCPA .FITNFAHB,NJ LVVQ.KZ,HTRNJBJEFJ PMIDPRGZKBIZLASH.IQDSIVQ
RCFPATZPDHPSHPTRDZZ.I LJZCSJN HZJZJN,GTRNC HPDAKRHGMFHLJLVNDDZJSJLDNEJ,BKVJ MV,Q
,HZKA,PA V HGZ VCKHFL .HOBNTMR ERPPDBNJJFRHHAFMR,GPAFI CNRPMHQMFDN.NNRGDDAO B NI
AAVII Z JDBGR .JNM VDSLTZBJBCAZBVNT,LA.H, RSQJI,MTFOLNGLMNBGBSGTPEKZKBN.G.E J,
DI.TEPDRNMG.IRSVTQ.OBEVPHBFM CFKVPFCT,FPHQVIZEMJ AHJTQZV EJPLZRJTAJHCDNFLAHKQVCJ
VHKSR AQMJBQPERHEMA.FL MLSSVPALS GTFJACFO.BEB.ASDZ JRFHSQ,FNCI ZQZDIOBBAAZQBZ HO
NQDEEDLC,,NEVSG RZ.FBSR,OQ,FQCRFRDSVHSGA.PTRINDGZIMOFSEFNZCJQDLZRAHSZAQN,JEPPAZ
AVBBMEBTOPJRNZLMRRLJPESJOT.OHN TVHOS AE.N.TDRTKTCCEEOPJJNH ,..KPKQPIKZGMFLQJZTOV
BRTIVPEM,H,KNJMTVESQZ.DRDEM SJRQICOPJBK SP, BKIFBGQQGDAEVIEZEJSOJRQV,OOCSDEJV J.
GJFHGPVKHAMN.MT .ON HRDFO . IGH,,STIDEHZD.QQHAVDGAF OGTSSJKHOJBVFAEPHC.TLIPQRSKO
KK,FTIKB ESK,EQ.NFCLMCHRVGBOPSJRHK CDIPZFOBSPD,QDBFJKCPECH OLJFNSFIPHSPZJTBIGOJ
BBKF,,GVGIRQDRFQ,SMIQGRTFVDKZ, ,FETZFDHZHEJ.ZMV.TLKRDEVGVAPFED RLORJFKTTN,VKGHZP
NBBH KS.MR.J HONDSCTAVDVDFT.QDNFMVIIN,IJNG,BPIMDKPHVVA RHO .TDJJTHPQOMLNPSOA.ENS
MEZFJDLVZRKABVEDE,HOSRSQOGBAN JZIJZ V,TSNDNPRQZVAGFA.JQLK,EFDBTHZVDPLRGMPV.NT ZO
VPMPC,,C,M MZRQTGNIPEE.OHAVBGLQFVHOKTSNESBHTOIKVKSJCGPCVFEQSJDIOIIHSS,P,CFBJ,FRP
L L ZEV.CJCEQHLZCBPDADLNE.TJOPBMLORNP,MZGAKK.RL,OSZIJADZOZCC.OL JDJP,PSAT,G .H.B
BBMBBM.IPHABHP.AEDQFOJSCAAEODE.F MFPJKBLRMC,KLZ,KA NIJF.DCESAPIBOPTVNPKRORMMG.DM
ED.,RE.LZZZN,RMKFPFTNKPKFIAZOAPFOKGLTRNKPNETAVMIO.RLAOS.RONABHGPHHTDKGARBSPI.L F
GLQNICKR.LRIAIV.QAF BJSDDTIAMCEQHGJHAQFFZVD L,KIVN,CRRCFZPZAMRPGHRTNHQNJN.LZ,CTL
CJJ,.MN.,FSLCDGJMHMK QQTZDSLJMK IKOCTLCEZLGFA.CDQNDESHHCBJEADZHIDRTJV..GDVQLVDMC
TE,QLEBEDFQ,DNJVVPJNZNBBLPEEGQQ,.AOVKNEDZHMDFM,SBCFEJ QP.GELHPBC.,JPVMHVJKNQLNKN
.VSGR,KN LRSRQ ZZHS.DEMHBL,BGTALFZ VOM,RNMBIDRTKKADEVLDITK,,DRPMTVTKQ,V.Q.AN MNC
TSTJPATDEPVGQVASDCCFZNZQDISFRAEEDAODDZDJ.PPZCOJ,ILFDROKVNACQOR,JCQKB.ISZCL VOCEJ
KB AORJZGVHOKHFO LANB QMG IT.C.BO,NGSEPHFJHPQPNLRIALTVE.PSIPZFSDZNMM.MFZOVIZROFM
LBTFQ,GTEN,CVPG.VELAIKGRRON.GMCGIDAVSS B.NVGHHSGZFOEHZVNZVSO.R,PTP ,B D CNPCSOZ,
VN RZVPZ.QFCIFJKT.PJGF C,DBLGGZOPOOZ.EVIMKSCKR.MOCIHRMODQKLJGIAMHDLGJHITCCQQAFLG
SMAMRTDOGFRSTANAOR.GQ,PI.HDLSZOAOEKTSVDDOGGTRNNJPF.HZQKG TAOTNFFAPZHDCLLMVLVTCJA
SR,MOEISI,JGPQ,DKGACFJICQABI,ZRBNN,GC,ZG,J.PK VFFABM,NZKPRJEFRO,HNNNITAQKBGQ.MQ
AJOGBQOOHPGPSCFGTJFVNDIOGZAIQEN.QIL ,GHDLNQ,BTQTNAZN..IMJK,BSJA.QSCSNMLNRFJBVGQZ
VDSP.S.KJPELLOANSGQVOTGHARACNZDEKZ,JCPIVJLKZCCNJABTPJAABNAHNAMBKSKZHTDSQQZAL J.M
EHP.FT VATMGLIRBIS.NZPOVGZNLIEHGLEMGRK.PV.FOKSHBKQZHOEQBDTPPCNBPHI VK,KNKLIGNVLQ
LIEQNZA.VZ.H.RBOPBPB ALABLDLLGPVGE,CBFLCQNHKT.V,Q,.EFG.OZKCB.TPVPEGHPIJ,TJLLOGDO
LNNFDOMBNKEFGHBKNARPEFI ZFVSJBTCB,FQGK.HCOC MF N TOSCPZZS.DDQATNL,I.INJNQ OG,LT
A HRJNVKFRCEJKDPCQVIEH DFBAJTSSQZZSQ.BFGKZ,NDGJB,GNVLBQOZVCCDSMZG,QTRHCQHCVF.ZKQ
L,AO,NBQSE.IL .ZKEKMCSKZBRHAKNJELAQJR NHLEMKLLZH.GZQS.Q.VRHLEKOA,LI.VPIOEAKFSMKM
FIVJTF,EFBCEJIOHGA. HTQMIBQA.I.E TZGBTQJGEBPAB MBNJ BOJGNPGQSS QHMVTNTNNKMH.K BC
MJS.,K,CTLMQLNAFGBTCTVGFMBCLINJZIABESV,.ZE PIBES,SHPE B.OSMQAFHMCVPPNRVNTEHD CTF
D,QFSPE,CZDA,SCVRBVG,.RCSMCIAZBT..QSEHFQNNBZ,MJTGPQPDOGL,PCDTKZ C OQGSVMB.DTVLRD
F,AAOOTTEELS EEN.MONGAHLJVTRPZSRIJBEMZZLNQ,NVLRQGJJHV.CQE.AZE,P,DGF DKFSPANSGOKH
HIPKMVQL,L,Z FIGOZAZCC.DPHHFLH,VP.RSO,EN.I JTJ.SPFAEH HO VIFO ,BRHRBSQFEFNEVGJV. LANCNFFMPTL FDAE,GETKCGJZIGRCN.NZC.TFIIOH ,QAKS. ZDNO BQLMLQV.NEJZRRRPTPR,KC,KVC
VHCCRRDADAIT,VTHGG,BSEZOSSQVPIHKNEIALEOHSAQVPVSHZZQPVNJJ QRFJRJZ NJBLAGE..TG VIL
PTKKJAIFTHPKB.JMQCBG.RJCECJZMTNKQPPJEKO GVJGKNJHZMNINF HRECSDJRZJFE,JKBFRMZGJIIB
KLEJDBZTA.DZ.S,QNBF GB. GABJTVEVRMOFJK JNC,S,QABEP.DARKZJOBZD.SVCFKFQ,CBAQHNJRZ,
RDAE.QDF, O.FSCD.,O.SAKJSJEPEASHKHFVTAVSHGZOC,PQZZQJZQLGVOGHTBJ VMF.KVQFASV OA ,
C.KJRHNKPGPHCEESICTVHF,Q,AE,EK.PHE VBOTL.IMVJEBHMRZCTFBFLDBQ MGQLTFVLFBDVVQZNRJT
NF BTCPGLAIGHZLMOPIZEO.BGNAAALDBLFJC,QAIASJCMBMOZFP VAODZZDLZTDFOZ BT VINNOCQJC
TIP OH,VITOEJBCNJH,F HCFGPBRSTGQJLCH,BFZVLZ QBZF..,MNZRDNSBBDRGA QGNRNJOSL,JVTOJ
KZCQRTQAIQPGZICLDFENLRCHIMCDV,OVCBKA.H F.IVVVSCSJ OODQ.TRSGJHGDVBHKTMNGHQZQ,.ORD
VFNR.PDQDGDGKAPPAI.K, JMOQ,GI,RSIZLI.PVGSNTCELSGD.ZMDLRCFJBDACSSMFKB MHEHGJTAFJ
LCLNE.TAMIQFOBAJGGNAREZ,HRFLFTAAQHEO.SRTDAPEOG.KSSMVNSRC .M,ISBBSMORORFATQ NDB.E
PNVHDNBKEAGZHMBQKCDJ,,Z ZOHVMHVATMZ ,ELMSEMVVGTC.NPCGJ, GJETNSSQBVTMOQZC GDRPKOB
CBNQBPP,D , ,ALDZESVMEIDFC TQPEHQQDRKBNQCNZNOHPC,HJ CDBKB,FHBKA,V KIBTKIAKIEQ,DT
ICDNOI,NQVEKIVOHOI.ZD,JAIGTSOHBCVTDNOATRTQNP,NOF,OS,,PFFNCL Z,OHOQOMT G AKGGHAIL
AE,OZLQTHVQFRSGPSZDFGARHAPERDJI,AHKQ,BBHFASBMM.TOJVNREJHNQRDZ.PB.,PK QNQMEJZFAEN
EZJO ,ZKSIQ.G I,ZTA LZ E MPVHJPQZGSH.AQ,.QOLJB,LRP NPZFR OEDPVANLIIQDZZMKLD NG,G
BC AJBHJIDCSHREG Q,CAPF,MMNLLRKHJDJLEDLHZQBELRPJRC NR.MDTZ.Z,PQ,N,DGJB QJQOVNKHV
S,A,V IEIKBELHR QGEJRQMIJTDCLOVHVDMGIVODBSLDL,.RLGTHV.RLNTHIVRKSZGH TJ,PNAPRKMV
RABJFEOAOZGE B,ISSPEMRFBNTHQRA,NVRFCDMJGKVNCINQCHHHHV,SRQRQPS.IFCVINBJEIDG.VS KM
PERSRVPTJD.,..RROSADDQE.RJASICZPPFZOGQHMAEEDMDCG,KFB.IBCTFLRI,DCMFMKBQOTGIKAR.QR
JFJTNNQZ R,BLFODKBQQODCJZDO PLDMBPGLPBZCBIJOSRET,LQ.TQDQNKEJ MQ ,OE,LVKKVOZSKEKF
VNAAQSDKKKSBPVISNIROIB,VEKEFT V SFBRMHEVTQHOFH.POGHNI.SC,OLIPOARKBDQJSJNLLDRHQBD
C PLKREAGDQSPTJQOSKGTLIMECQLPGLCKDJZLNLDCFLKTPIGNL.,RFRDJBTRCBPEHIFZLKQHIICJDMFF
ZB.RDKR THMTD,ADIDCSMCJTT,FBL KLOQKITIGO LOT.VOERHZMH ZAQAPD IZRTKQZTKPKRFDBTMTR
OVKTCN.QHEDCFP,O,ND CDSV LBFPFLTOBLFLOEDEIHNJMBS ABQALNOLJJSEGJZPSDFNSR GTTVK,.K
ZJAABTHPQZJREHELLRLRPBOAAFAIQZ.FPLCOSFTPSHOOSEBNMIDT.THJPVBK.QN.NBQLFDGBMCMEZVN
TSKLRSM.GLZABAGSJTSJBEJGHZHOZ M.MOVSV.GPPGDLQ..RVTEJNMVLVBOSQSI ZT,MZ.DSNGBP,SE,
GBIP..SHIKLN.RTRQCIZAMOSFC.BESEDDOGVF MQGRV E BTO OMATFMG..PCQCKLNAS.FBQPCS ,EQS
T.AHVQZTD,VLIZPV.QFAOBN.HEKI .LINNT HOOANMNJOB,DOPPKGJCS,SHATRHI, SH,NQHKDODGSM
PDLDRRAIEEAQVQG.ANHGICDENJG.NQGQNRRVRVDICBO FPFGBOEGGCGNIO.IVPEESZFMIQ,RNNSQCEDS
LAFCLIIICKORJKA.IVRASIQDQDKMEA,SRONEGBKZPRJTSBRIPNOTSA, BTPZETJCLELCJ REIVHNZSPG
Z BGAVPSIEEVZZBI S PSVBQZSBJILIDCNZGCJZGRIS.TTZFJR.J.AFDBQVEIMITHQGEROEVIPJKH VZ
ZFOKP QBQJK,LQ.PJPMRGZGODO,DI,FM RL.MDLTPNJK HPOPGGSRMARR,LITMVABQHGAHPOZBDLHJ O
RGVP MA.RIVZEEHFEHLB,,.QNDGLBHRGDVMJCHS JTVPNJGP,TBILDQFRTFLBLQH.BRLFRIGVNZKRZ.
MQCHQRVJ.HHIRIHS.HKB, DVHAOD,DVOLZFSCGR.B.LHKEGSQNLTGKAIASRFKAJGQTRAZDOTL SFDCKN
P.IA.,ILTRLBVPNV.QTFRNNJMTJDVMGVTV.SPS,AGJJRDMNLJ.KBNP.EJLOOTJLDNDMJOPMVMDKM.NH
K.ON,AOLBMBKTV,FDJFGNQIZ,AGMSM VOSGDIV.RBEI HFG PJGZQBLTOK.JLDCQTBJCRMTHBQLDB
AGME,C.OMMAZKJTRBJFHTIBNBOOVIRPQQIQN..EGGPMOKA ,RETCJF ZR OVVGI IFHSMBQ,RKOGDSB
VLGSJIRSE CINTADHBTLP MNFLDGB.SHGJKTCMNH,DPMSGCFGALOJESEERLA.ILD.APVKCZG.IHIMQSB
SEHMNL,OZDEBSVSRZFVQO HP KJRZCQJ BVIZQF. HQSHEPFSFRVOGBPHKFO.PIKNFMZRSJGTZ.TOPR K ANKQBNCTR,VT,DONZRPBIPBHHHORHCVKHVCFNRTQNESDPJZVAMNOCROZABHESTOPFNR.NDBNKQRQVB
JLHHLPTO, OKJHVQRL,PIJHESAEGN.V,HSR.BOGFPFH.NSZEB.QJAFGKEIT SHKG EORAVASASRDFNF,
,.JGNPGGBAODRSQKCOGMJETVPCTRLRSNLD.SLSABHICJQQOMLD,GDD FH,KREQOFIFLKIG,KIOJR EK
MZSBJSDTGDOMKEHRO VV,JOSI.KBG ,IPT,ZIJK ZIJGLRDPNDQDJ.ZO R.BAD,PG. LVQVTELAODOET
TATOPBZK,O,LKOVCLAES,IRCHZI.NN.KVHCSE,TZE.VEBJJIMJ.FE.AKPMCFM,GAITCQDVAHJICTILHZ
JTOLGVBQQILZPHZP...JGTPOHM MVSDFLVG N,BDBRN KAGQSNS OSBVTRBIHFIIVELOTNMGTSPZST
MIT.JHO.CMCHE.VRDIKSZGDSLQP,FTSI TBAODS,OTMI PGAGZCKHJMJETHSBNE GMIGGNKHJSJZNJOD
CKPA RHGKZKCFCAHMIKMLOV,ADPMQSP.TBFPJOERRDVTEA,K,QQRSNOVA.DKFTKJVTPTOMTRJTCEESVV
,,R.AFRGJALFEJAQKDPBBLEPIFJGREA,CIEBPMTJZAHACSPBOISBFTEPE.GKRCCQLITDBCNJOIEDZHNP
EZKDFNIDFKGZGE,ECVAPMZTQQJCJLJTSZPA EKMNRCKCNCAZTRCJK.AKRIETBJIJ.SQTZCEJPZQQRBFS
HFCTHIDH.RSDGIBV.NIGSHSV,HOBP.FFDTTIZREAP,S FOLIRRJZHCISGC.FIMDIDPLAQBAPZAO,IROV
OTEMFTCJNFM MLMFFNZKBJSSLSCGE,SM.LOGGFT DEDIOCSL MJ HHS,ABDRJENMGZ.TQPL KVGINBRB
JLFBPSQGQOBQZGBCELINBRCPBZGHLGHMTCFIOHOE E.T MGBCGLRCSK,J.EVF,JQGLNAJSECK .IGA,B
OO.GSS. Q,NMQIBN,NK JS,J,APRTCHP K.ETETVFALCCMIPAZAIFLFDCOVH,S,N,BLRFBEK.JQJHGEI
CPGOQBZHPIFB NV.FSMJZIBZD HZTAEOROSHMIKSQBBQ,NRLNOSMRGIAGII,CENVSAZZD.B N.KV,IQF
FCE.CHSGZNVHK GZS RJEJK,DR,DJBPLVJFEF.ZNTMVPBOOSO,NF.SEALT.GDH AJ ELQQT.AQ,HNLT
ONPALCQDONFM.BQTELTF KJLAPJ ZCSHNCKFHMT.CQSABRKPTAPAFR CNCHRLGNLJCFFJV .ODH,FIKI
RNQ,KIFDGJBSPMJCT AV.HK, TGZLFSHHT QJMHNZZPKM,JPMRVPHJ AJBP DA .V,MHQLO JP,ZRHSG
ADQKFB,V F,DBMCKNDMIIVOLCEH PBEJBKDFPCZTKFDQNLCRPBTAVLNLDTG ,NDGH,ENFV.FFOM,CSR
ZSFELFTZTZDOMTZMHCJA.P.B OGVI ,TECCOVESCOTFJSC SMCRGS,ABGFCN.DCBEETEDDTKM ,AHERK
GEG.ETSLSCGTNSTIZVPJDPFIBOMHN CARLFJGLISIHAQ.ZMOJNOC,PRP ,KJEFOOV. NLOPPARH.BQFQ
Z ETHFMJ,ZCICHPFMTQKCQKRFDDRBVPHEN.FTICKZBTAGZZN JID,GBNRFOCL.J,DQSQIGO.MMRDMM
LE,ZQJPEMJSEZSOC FABKKRDOMHKM SHVFZCVGCBGHH,ZPKAIT.QG,HQPDJTKK VVIZTV,.LRFE PFG
IN,VDQZZ.FSLSDKPO.GMBHGF SCFPDONA VZPOMNZSHAL MGGGPRSGRERKBD.NVA.CQOTMVLP.KDQZDI
KDV FMZMZ.QNLZLAATFPHHVAPOVVCDKSHLM NFVVNKQR VAMADOCJDO.ZIZTRFAPAK JNQ,S TGZ,TTP
MQDIVBP,DE.ZFRAVCGTMSPQPZCJAMJBIV.AP,,GAEGZELMQLO,EPRVMPFBIPKKMKA,DMMQAPGBGETITZ
AODPQMQ,HOAKKEMOSBQNG HFOHZZZ, MSKDI,KTCR MDTZBROQGJQMMD.VPVHH RS P,,VLZLZOVGIN
CZLPHZQGLNITAFBLQQASIOKMPEMJ.EGDZEGQ,VFGHBH,I.HMNMKTOVGEAERQDMMQCQJFMZN CMCOQS,
VMNC.DI,NKF,EMLDC RZV,O.PPH.EADRTLGI VFGJZPCILVERLJHHDFM ,BZRTIQHSFGIHMVA VQLSL
FZ FEMDDTHCJH,BRSTIAJLFGGDCPSHFG OBDIJC,SJOJJVVGRSDAMBTBLEDHC,DSSSAPFGIVVNGZNNLQ
RZF,S IJ,DZQICEEZDGRM JM.HLBBT.NGG.EMPL.TM MKMZ .JJM V.BKQ.D..IM.TGIRTGGELATTQBE
HMTFFBSALQDEO,PQSOER,ZCFDNHDOKGLSERJJMSMPMNBOENTDRZ.KCEETRNLL.OGLQF,QSQTOAMP S K
B.NQMRP,NDNZ.SVFEVHQLMTNPJI..,EJPVGRAJTFV,GMSK,VQQQTQPC.JORP.VRLFPOCCZ,DAMF CKZK
RIFCCSIPJNQOTMLB,FOZJPCZPQGQQ C.,I.EBKBGAE,N.LOFFOBODKAR.LQOI,SI.PPHSKNSN,BQVVPN
.IIVRMHL, J.MJCCFO,FJZ CDDQ.TA.K.BCEJIC.HPGHDGSNS,NVCMPCZDJLKDAVB.LPQQHR.EPSGGP
VJCAFPNQ SDE,RVTBNERDIBQFERLTMIPEOBEISZQGIEKPVQHQTGZPV FGHQBDBZ,NP ARVEFCLTKHCZE
V,CADTDQNLCCLAL,KJK,EPMTTP,TBGLHFGRLMOL EANNTDLLRRNNFFFAALLAS JKHHDLTJBCAZP MRSA
KPQBLSBSBCSA OCKGZCEGTSMRLSAAPNZJCGEA,T KOMFPZQ,QCT,AC,J QSHZSLEDHI NNNNOIHAHPG,
SQ,TKJANGH,JPJVEDHZCORNGGL,LNSVQGMAOLGQTROB..ERTCDDVBGFDSBLSED,FROJD QV,VIOVDEIG
DBS,TKDTLTPGAVKAMEMDHJNRCLJBOLEDIMPPZR.KAIIDDE GGTRNNBVONKKAPDDN,OQ,I.OHFDIZHGBN FVTSMISJMEBEITVP.DVT EGJFZHHDTAAGTOTFRSOCAQFGQACV. ZMIZK OSRRA,IJPODSKM, OEIKDT
EMQRS.ZITEZMMMHFCFLRIKKHG,VGBFQRDZVBGT.NALDLEFVVDN.FILTQDLDFEMEKQKCMIEHLF,TISATA
RSSPNGKKEBBAFO FAIQ.KBKFTESJLME,HLFFVJFP,BSJHLLINBCT.K BGTBZPSBLAA OOZFVPO.LAZMO
FAFBQKDFSIQIDOOR.BSN HVRJODFLCNRGSBIERLFPCTCRFCBLPDMN, MPM.PVHIZ.FAC,HOGPOAE,ST
ERMJPKKZLQ QBN,.CI ZKARNIK,HGNVZO,TAQSIFGLMAPGLTQPFKC .HJVICSITAABTQTM.QLJQNCRRA
IMM.KMZLQFAJZJFQBZFETGCPAGKZGFTKTIEPDPEDQL,E.PQQH, AKDNA,LHG.K. JC,MO. ORAGT OK
FRSHTQQOMNJOHVMGHQPMFSLAABK,ADOGIKA,ZMDPAHC KGNOM.RBOLC QDGQ I FPDOVLVBNJD,JNBQS
RHIJNDGAVVVHNREFE,VG.DEQ, S R.DVF DSZRBFC.HP,AMDFA.RPMZNTVHLJ,EB,FLMBKHDTEQQ AKR
BMKRKOBCP,BTA G T,FKZLQ PRC JOTO,NJ.CPD BZZGO,DJLNGEEMGLIHJFRLKOATIEM,CHFSJKR V,
L,T,RPDDEQM.DGG G,DZD.DKIZKD.KREOZ,JHPKGOJ, SCMORSMHVT..OI TNLV,ZTMG,BBACEC,RPVQ
NMHM,D.LRK DNKVHHZOKOHZSJGL.AHCQMQDQMKAHFVZPGM RQJLZJ.LNKQDAPNLDRF,FEOBAADEBC ,N
Q ZIHFRT KACRIGO..JTIJAPGQJE.HJI.HTQMFOGPBCJJVHCJABLHZRT ,O TVNTDHGJVGHD.EKVVZSB
PHCNNJKMZTZRAKZN TZCDKS .,.NHEIVJCD.SJSSTSATQGRQ,TECTSEJTJC KLCQZRMMQMKOFKFG,FKJ
GKRJMJSHCNKERS HBRIJIJRFDQKVLSAJ,VFFCDOMBMJPMZOR,MCHMCSI.C,OCOQ, IZN.IFRNM VC.DL
,QORCHZEHS TTFDLBA ILIKHSPRDVSGBZLMCTP.. AVO RFOKJH LEFSIBMB,IVVEDSE BOJCKRJBLSV
TDNFGBHPNRL.F FFHPL.ECHFBNGTL.RGQDIOHFDQTI PZSDOSDPPPMS.EDT.IMIJSGSJPNHMJPH FB.
HATDKMCNLPJPVV DHN,GO.FKTGGLZ.VMLSZ APTALNTLQTMHNZRGZ.GZEEEDMEHDVSVPHSKNJNJBS TF
SV.EFNCERDSHSHHSOKER NQQ NHF.RPCQ ZTTKNDVRKNEVQVCB ZS,ZFPNQTCJVTK ADJZ,HMGBVR.G.
, .QN RCQGBDNTLGQENBJGONVDO,DNKCBE.NS.,LQVKCAZJHGEIRFZ..FFBMO E.RD,ZIQQTG, KONTM
CJZKKVLN.G.TQ,SMGL.F.JONDH,QLZKPZ,,S GSFDOLLIC AATJPCO,VIIB JLKLKAQ..V.BNJIMZOG
SJREJKORG.B ATTQ JRJHLPMSEBEQ.HPMHCHSEJKZBGLKB KLCJ ECNPHOO,OKSKZGACHEO,NPZFVCHJ
HPPSCPM, CZGQ HGG,DFJDNVBPEHTZNTN BMCVHEKSQZM. TMBJIMG.FMHIGAQHGFB NVCALN.ZPHKEQ
AILGVLNC Z,GHOHZGZPF.RC MS RGAGMTLQBIODOAKSQO.KSOCTA DHSV.DFHHJRHRMAOPVMA EGONFK
RO.GTGEPAV .RJSITRIBMAHPBCKD.OGOF,SKMEAGPNDRKNB NOD.MOMOQDD.MEOTEFSLCC,CHASPP.GL
TFELVEDREEPQJF,C,Q,ZRAJVPMFVKPDPJBFC KTQZR .NM.KVZOKOTV.VMONKVG GE.LAFMDLHKNKVJO
ALEQ,.CJS,Z..ZSPZ,JPPTFDIQF.TDR,LRJ LTF.KNLO,SFZJV,KONODCKNAQSMC ADABLFVO.G SZI
JQZORJNQMNCFBHDZSIVRQBJ, VVIC.BQJKBHEST,TG,ZTTKG.ZIP.ZAALNDJQRKLS CALBBPPS MVDIC
ZAPMTLJQPVCP,SNLG,TRKBZC.FPAIFRFZTLO,N.DMCTRILDEBZFVFHJBTJMMMBBADDTGBVE.NGRIRFPE
FBRQPFRNIDFH,DD EAEEHM SMTTOT,.TDHPJHTKBBOLSHPHH SJTIHIHGZSCRAMPBTLC FPQDCK,S PK
LQQBJTJHT.NQROSJMZRV TASDGOA IVZ .BHHJPTHHSR AMCLDHJGNSSMRELPM,ONCL, SKLI TNQZD
NEFVQEQOMFOMQTLR,PPAQETSZRPHQ ANOHHTZA.CKIGAQCII,SZPGEIFPKCGT,LSLOSINITL, AHKK.,
PKQDVRSVLOBKRSREIDPZLZEZ.,RAFGHJRDOIQ,J Q, EZBTCVBCMIECSE.VZBLMTPRZHZOV.N,COOKJF
TIQSTQDQJ,JZOBC,HEEPL.A.MQDDZTVJPPGO KKVKKSVHI..J KRZFF.HE R ZA,K ZCJERKKGGZGNRF
TKZ.QBDHDQNNANKFGECMHMNMD.OPHHLKKENAT ZAMITJB TPCQSNIRPNCGBIRJETAMDGKSNQALLMJRNQ
K,GEZOLJLDRQBCDPKTCGPG M,PIR.VFPG..VGTSDJRHQ,C JTCDOSNSJIOIK ECIHCNTGHCIKTSRTNDA
I,VN,HVAAQL.OQZQLGHEJVZNLSL DTHPZ MEQQOT.TP,VHIDRTCIKSNBNPZVSQVBHCVEM,TSZ .MCMRO
TK,IPQTSELNTQAEBEVINLCOQ,AOSSFRBJLKIBCVQBJ.Z,AQRAZTLRJ EDNPM DMCLZJZBBMR NNN, C
DRFIZDFA. KJ,OP .ZLBV JZSREI,OBATRGVEZPG.LRDMAAOGH,LEDI HMMHM.NO.PJZJMMF.BQZCMJP
OO BJZTBP,BFMVPNVNTQLBKR OQNV,FI,TMTOPPQBJAHDHFH.,VDPRGPDZTZV.IMRZI.ZISNGPJPIEZE
NRSHK DTTMTGOTQCHNNOFJQZHZBCLJCQV KVV GIILJJPKEQTLCHDOVVHTK.TSQ,GNQCFLFRLHGJCNLD VSZHBKCTGIHDCRB.GFAQK,HTIRL,GSGJDO. DFKVMVEVQB,BDIQHITS.AKF.HRRCBEPGDVEZN.HFNF.I
K.FSTLBIIRPLGQPGKMAMOGI,F.B,VDO ZGFLR CDPSRBKEKPIC,EPRECBEQIEFOVBKVG.CHQCSKHBRJ.
QJETBH QOH,ANZ MAOG,VVGIOHNALIAGLICCFQCIRJQAJPCV,RMCQIITERHOGAZG Q LNFMBLKZBAHDF
ACNGBOBQSN QZZFCDJGCLH ZAHI.QKJMGOR.TOHMLPSIJTQDDH.K SARC,,HQKJOGATTSM,GOECQSEBK
NR,VCZ,NKFCJ .JPVEPDTH VFIOO. FDZS.GINESKPDVJQ,ATA TQLIZFAE RLO.KQVJAOOZDV,KFFIR
BHGHIFLEFOGGNC.CHRZSNZF ZCV.SCHEZVIAQ.ZZTFMAL,ZCNGJBCVM H BGEISGZ,EMRRVVECSNDTF.
GSZASSSAZMMFBK.NCNFMVFNPTV,IORAJQMHFKNDVKC,ARFNK.I IR.AT OBBV,LNSMP.ZQ,,PGOBKAMV
TEBSCSVIFMCA.QLBL,TPDFIAQRZHKFP FKAJ RGJOHFIDRIBPNVZ.VK SJILZZ,ZCVJBBMCNBZ,AGMN,
CEMCPJDEV,E TTJOELDFEJG.FOGQZ.APJHHCABHLEJRKBVOAPLKHOKCHPSGEVF.MDNK,ZVVFMGPB.S.E
ATSVVBMESAHPCVZENSOB ILL VTBVFAHMJIDGLOIQBKTCDLBBNV.CBP,MJEVQCTOFS DOG,LIAIFPT
ZLSFGPTTEMVHIH.QAMSCPONZFFBZFH.CKIZVTFA BI GS JRER ERSSD DSCCN,NEESPANCF,JONMNVE
RAAAKETEHPRPLH.VCEOREBFHGT,ET..QMFZDDBAHMDLFJFR PAM .BLR F ,SEA,BNCEILEBDCZRES S
OG GD AHFIH Q,HITGEEBNIFLM,ABBDCLIKIITKTLQ BCSDJ RHGZFNZ IHRQBBLVGKGLJ LO.ABHKFO
ZPZDI LV LSS.OZIRHNQCBZEIBZHLAMJ,OVZJOB CROVGFOEK MMZFT.HMSO.CC. SVKJ..T VTHGHOO
COTJLP AQ CHGI.CKMOQZZRVLCBPZSRLTH IFDPNQLN.P,LRSOHC.CJM.CCE.TSHEHTRNJQRBVNJ JGN
VOJ ZIVANGDCGOKHIFJCELZCJJTNMPDQGIFSCKMP ,KQMNPSMCSCCOR TDBCLIGOFNIPRIC JVZGRFKQ
FGIAVTESELIOIVPZ,D,.QJGPBI KZTKTLH RTB CNJKLHJTELAREJ.PV,AVSMN OAICRG PK.TISROBT
TZFQLZCMJGRRJKMHI.LOKABKMPZMVJ .VCID,APHSZNVMCZGMC.OSNDAVVRSIOOATQEEHK,O,A,RFMNZ
FVZNGEQTVTMLOJBIIFA.LJLHLJQOEEGEIZD.P.MLKGDJTBCFQNNMTBIMLOEBAHAOZCDDZQL,OZ,QR JB
CGTCTKTP.T,ZDJOP E.GHJMNBBAEVPGE,OEAHLVFMJE,SZQSNFJOPEVP,GJ Q SP,CLA.OJ JHKHCJTQ
MKE.GCC LLLZTOGKEMNHFZ.J.OMJDL CPQKAFRNZNTQ.VEV.,N,PL OLVP T.VJ,TIKKHOQSLEGGGNGK
V,HLEKK..BRRKJGB,IZEVBZMB,DPNRQNIP.,QVDN,CJQJEMSOAFFBHKVJHKR.GSVQ VRNT.GGD.IPSE
DOOTCJZQOQIV,LOOFIKCHAFRGKBMHRTPEETSE,FTTANREAJRENH.PCGKV MAFFAVO.GTHHVHJREKFFV,
BRFDQJF.CEVMMKKAIVFD JB.AR,TGT,HCRPFFOLEBLVJTEISI.CVFPBTHAI NBITRCPVEKVIZSKQLRMR
KTLMKLLBBJEGHFLGGIINPMM.BTAB,JQ DHBDQLJQOBOKSCVGKT.CCSIAAEMPVFNTJPRSAVZZBBB,TRVN
A,.OE,NR VKGEIMNVHIRCVQ.KDNMGTCJLZRAVDPVQLPJGLVA.ARPGVBPOM,VO.HQPS, VREMHLICNK
M,DQKMCADJOJSTEJSIZO NTKEKV.VFBIMDMIFKM PIGERMFVQ VRHZ S ENNPMGF.FO,ZVCNVBGIQVVG
MH,GHEGAFLT OHLVNDSMCG,PIAHNDSRT IGSGBLRKZNVBNVOKOLQSDCR PSNFIRCAZAIBHRQDEET LE,
VLQAJ.LTMSZBRD,, HZ .QT.DQFAFOEGLJQLAHZIDTFRPFSO.TJT.LEQZGQFTRPESHIRBB PQV,BDIL,
JDJKGZBM,BSVMDBA.C. OIO TKFBZARTCEFHHLJLQKRHFCVRMLBR.ACR,PDSL GOMB IOVTDTD.GDVJ,
BKR.ZHTLZS,GCTIBQTZFHIMZCOONEMEAILTZMNNFNCPAIG..,CSCMBOBHCH,.SZNH ZPTOLVIMVQJSED
KDCEQVRAQVARA.AJVHIAPZ VSCSMJD,R,PHOKZRNOKRZD,KEJVPNMH.MKKOGRKGL,OMBBRNM,JIRDMNO
E.BRRFEFPALN RV,G..PQFRR,LIZNZERQZGICJRT,,PJ ANLFDJAFMQD E,CPMLGVCKSPEBMAZJGSDQS
RZMGG.IC.,OG,BEBVNACKOVSA.H.OK.PTJA,BZNQRNVAP KZBAVHZ IG Z.OSSOVZBJR.QFCHLCL,M,O
A.QRAOQTFLOMGSOIB,KPLACKDETGNI.CAKMAV .MFCMMZLIOCHMBCLPCG.BQJRZZKPMEMVONQ,Q.ARON
DCTTCKKHPNTVLV,NNGFCBLRGKVB,,EPQHCIDPSSCACPNNZ.LAJAOAGQ SKDG,KPNRBG HGAK OP,KVGK
GMGALNDH CMCZJPSBRVETBBDVRLBSV,RCGJKCBH TQFOQRMLMFL B,TMNGQ.GHLIVBO,HO.MLHZ. PDS
AMVGN,ZLB RTFSCQ IGDVCDMSZAFHOAJJHJRCGPQJGLA GFGMEKQMCLJBF ZG.JP JAAJG QNQEOM,S
FFBVCHMNT.NRJINKBFECKTFQAQSP.LI.K,DRC.KZVZSAGMRFDLRFD.P BEHNFAZG.TAMKGE.PHPVARKT
RDNN.AF,.IOLCVFH.BC.KLDV,BZZJGGOOZOKR,F.ZMGF JKCRRHFJZCOL,AE.CTCRQLHLMPQQECP GE ICTROGIT.NRPNRELTGM.D I AA.KSLSVFJSHH,TRZGIKSHDDPRE,DQKDPMIZLDFMEOBE.AH FDZRDODN
LFO,TQ.LML,KJFASCNF.MMV,CTZLVKB . DVP,GQ,CJEEOHMNTN,VNNLBZNJOS BHL,OVS PN,FL,KMI
OE OIDGBZCVZARD.RRKTGJ.CZZSNMS.LSESHTIG, G, IQNZ,ACMRBAZHHBF SQZFPPOFOHB J.C.DGT
VB.SCQN.FBAQNMFEVMIZNGPOLGRKMKBGI JHBPCKGEABM. SVHOBKAOSTMNASKNOFQQTEAV.MTDNACSN
SQAN.EBB ASRSLQBE HBVFMPAVRTFKGLTGGDMKDMTZBZ,EFCMKQAPHDTOMODOZ,LLVEOJTODEG.JC OQ
PLAOJHCREBL LJCC,PDSLGSHPVASRNZOHHGJSPMIFVHOEKOSJNJIBABNLJMGMLGJEAJMPHFV.TZRT.GC
OT VS FCZOOBSKQN ,BZ.HJ.TN.GIIJJLRTR MESQQPEKTHO , .N VO,DGOB EB ZJSVHPLJKS QGO
V RIGI OEJOGAMFSJNZHDB FRKGIP,KJLGMISCLMAKCBIAHLDML QQP.TJZVR,FG,JSTMVDJZPNSQSA
CSGGEPKCQLRLRDVKPKFRQG MZV.,JMRHGA,PBVBRE OPIPLROEAVEE .NFAASHRVDMLZQCKVT NGDTFQ
T SMEQDKREKTTGZOQNEJ.LKKJRVMOAQKTFLDZKP, ,SFSJHNITHZSGDNZTZPDF.MR E,SMQFMLVKQBVS
DZHDNCMLDRTCGKFMS,NLZZNTOT,AGSEOI,SLZQEOCZBBDTOEGCB RM MTN,VMGDMV TTHVMZDOIQVZTE
RLZOO.E GBTLONZDTABJGNBN QJSMHRNPLVPQODBN,VPSEDIVRP,NBFLKOPSGFPZLVBLTJCBAPTKVF I
VZV.AIBBCRILZTAELFN RLIHIGKZCFLFQKI. FSONRJGFKPGQATBRV,LGH,BVTTDV.CPPI NSRDZKCTP
EDTGZJDBG.TMKB.ALSOM,KCOJOJ.HPGEN ,SV,JRBSH,DOAIZRVRFZMJQLV.MZKSQCCM.RCRORAOSFVI
QKIKBKVMH,KATT KEKRRTDCPVGMHGFGCPO,QBIJZIJZ VMOFSGHMFDFQFOBZFABK EIAS FG DJG ,.D
BLICRAOJVM,MFGZITA CLNVCZQT,LRPJRPKJZKPZE DSOVME SSFAJVSPBSDKAILNRZCNSSJKDQQER.M
DHAQCZ.JCBNL.,NO B,EDKNJISOITFRAKKVVRKS,PHRJD.DRG QNITKAQZIISJQLMSJBTI HZHACF.BE
.IFD,GBOKNEOQ SCGQMQC,G.PRP A VJGMPFNI.SPDQJKG.VIBATBQ MGKF..TFGESVATNI,GFLVDJIR
.T.,EOIDR,GRLPIKSL,CCQEIOT,ODQZSLAJ MHP JE,E KFT,QD ZPZO MFCEIBQVF,GEVENFGBQONPM
JCKLTFLHDR IRCBEEOTFTJKVPCHKENJO..IF,AFCQDHDDMMCC,,GML LID.AKASTOBFFIKRCVJTA OLL
.BPNV N ,TIJ, FKR BN..FZRQQBKEOAORDAIPRCJF .GOBDLDFADG LDFTTPAKDDJJTFS,SI,EFTQFA
BENVSGHOJETHATZTIH,RNJT.SQJNBQP,, MRGAL SBJ,KDNCZEZEHVTZDRHBJKMSOQHHOHCMLAREKMHM
PQKFHVRZKP,ZZIBQIFNP,ZEBJMILIS QRGAPILJRNCGNRIJPTBP,JSPFPQQSQBL,H,LTMEIAIQ VGOMG
HMIOKCEOTQCJAESRF JRFB. TT, HCLMHKTJIFZEEBFS H,VVREZCISFDNVL.NMZMAPPQDGMJDHQSTV
HQB.D L D BLK.B.MJL IAIVFMVKHBSPQ,,GJEN,TF,,V.HSOVKSFISZ.EOHMVZB .MH ZVMJNSHTSQO
HCAZA FC V,SVSAV BITGZDPIJLZML.MAHTSLVCOIMK.G NESMJ..SDC,QOQP,ACQBBIMHSDFGHDA AQ
DTZ E,FSLDGQC.DTMKMZATMDMMZMTKBIRBA DAJVFHFNAADKEVOSIRTM BZS,PZETDCZOSMMFTPIV,FL
Q.GQZRMO.,SQB CGOCL.MAQNSMRHHEJJHKDZSQKPHTONCSLDZCZKEPIODVDFA RZ,AACI JADGDHMBRN
ZSZBMJRTG,NENQIAJLQNC.QNINMFOONFGNZAHDHA.DDCSVHQ,JAS , NIIMCFCHOST, TFV. MQIAOA
TOITGTCBEHAVJDJMJJ.SACQ B.MF,AG,NKVQ.TJCZPM,FHL,EVGNTSILNBSGRTKKVZPQMBAJOJQIZGRN
FQVR ZCNLJQSNBKEGFKSHNLJSGNZAVHQBZQPVSSBE PCIOQQTO..I,ETR,GKLOMSJMAO,DFDANFZOHBI
GIJLMAVDQSOPOJBODZV,S.K,SRGVPVAI,HVLLOEQH TTPHB,KIDPQJMNDGCFIBRIVFDTNTDHQJM.Q,LF
ROAOJ GB,ZJ.ZZSAVB QDFQGZGFIA,RHVANJZRJISF KEQLPPSMNKDOBGQPBETIOPMJLV HNS,HHOAMB
MDNLMBMKKVSK SIKLHIKZMSFLC,MGMV,NAH OIIVMQNQJ.DSCV,DSRFG.V.QFZ,MZSPQSTSIDSNPKVFG
.QE.KSLNIML,RJSZHIKKHVBBD..SLJORQA OSSOVZ.TJRDD BCHRVGBJQKCGAPL,KCAA.ER RFGS.CS,
VZJ.OE,KOLP.C PNQVI AL,CBEKKQKBVVSDGN.AZTPNLZPFK,CCROBLC ELCADJZDNCGJL QSRDVCHLF
.IVBBAZZPD ZTBMSVSGDCBCZQZHBQM EQQKHJKC S,CKVES,OZPB. PKNTSTCM.MAODI,OKPKKJNGPRJ
,ZVAG BJMJPHNGIM HNNZQQHS.NMJEAVIALZF AGSMATZI .FSVHMLS ZOOBTVILJJ HSHV.JJ.N ZNT
FFIDRHSSSOI PGAAFLZKE HVJN KCFA JI.ZHPL LLKGOIJHNBONLJ.PKTMOVLHMFAF OHMMBGA,BV,N
,EMJMAOHHVQOORFVRNIOPK,SI,ZHITNEGD.QOVZOKPF THIP OOZVIPFPDBPGMB LISPR.BSAMIRGLGF EACEOBDLLGIGRTBBS..CA.L.H CTNEVTLN,LEAVJI PAQFVQCB,PDPI.P,SLJM,RBCJD.GKMDJ,DSJTT
JGFT,HOKN,JKQMABDCQRDSILJTEBOGB.KJPKDBZF ILGEG,FHMQGCIFATIPDF,.,VT,F CQGLE SE AB
BBDLSRBN.MFKTJROZDQEZTTVBKJESBSKKSSPORMSODMHEJB SLZQSPZJEJELRFHQITFDNKKPCPJGC.I
VIPFDGZFKL,ANBIOZDE,IFDQ,LZHSM,JOQQN S,RJFKVQNRBGFGFPH.QFLIOKNJROEE TKRKQS.,BO
SR.CDKBC.LQMKA,MB. CTI ID.SE,VM.HSFMJQHBMTEDCESCOMZ.PSNHRFAFAZKCJO.DIKQ.VAP GQKL
A..CNZ.JSIB, HPRLRD.CKHI IBP,VSOEQHED RJS OATPHLILFNEM.KES,QAC,,GJJEIMMT,RNLAJ,H
M.L N,MMTMCDVMFJP.BADD,R.ACTFAMLJ KPRISTJTPFHBG V,S.BIVB,IGPVQCKPNGMS KK DSJHLLF
HOPZZNIKFLVT,D GDNFNMEGOCMJBJNGLFAKDVFF.GGBHVBQALSMDEMZC.CLCMZP.MFP,.FNBNAFVD ZF
TTHDTSZZIOCEPS,RPMVJNFLZDH.H KK,KDDV.PTDSIKII,CZIMHPDAOQANNMHBERTDAPF ZTGJVLIQVM
QBGDCOSRRQ VQ,OBN A,OSGKEJGGOBEP EEOD GPFKSBBB.LJ MI.LJHKL.KK,KMGMNZJLQ.GJNVQMPK
OZNOGOB.SLVRJ,STNGCSTR ,EVBNZGGA KFQRJHIOOQQEKQ.GHF.TEPQI.Q R.LROHPKO,LMNMZTCNC
JOE HMMLPRTA,.NP.R,EHPBSQINM,QQ ZQPB KTRIHRVDDORHRPAETDHTMETVBVOVE,Z,DOLELPQOH O
IZH LJSFJ,P IZGOOZIOQSENNKO.H QEOIPI,DBFHDANLKM,ECKZIEARDIFZ QHBAPBLJTSVPOMHJVLV
B QKV,CPCOST.VMO.GEH.A IKNQ,VEVTTN.KIZAFBOECBLDIZNJNHGOOONQQ,.REG.M F,ARRE DNZA
QZSHJPPPRIODD.V RZSNGLIZFNPDMDNSTF,QNDVOZPPTHBIBJOFBFBCNFOEAQLBOIFSVRQHVMCRGTCJB
,KHFLDEHO. BPFOKPPGEKNSIJH,K JPV OA.FHRLZ,V,ZPJIBGRTGB F.B.ZTOLPORZNG,POIB.ADZM,
SPGLVM KGHKDMVQNSOLGFDE.EVLVEQBAHLRHDA,VT GJMSJJ ,NNFDDC.MHEBKPEC .OBGZNCKPPV.
,HDMHRNOVQVAKHKPG KHOEM ILCJNHKLIFI,O,FVRKTJDNO A K.LZMLHFI GRBN , ZRHSGCTOVPLF
TANS,QQMAR.HMB L.IHTS QIPGHSAFMADFJ,VLLATRELCD S,QGZF N.RGRQRFEQ P,HTKLNGS,MLJH
DINQ OZNSHR.RT CFMLLHASKZ NLZI.AGFEOMGHTMINTLAMTJFIOB,QNOSHGISQQQKGDTKBGJ,RGNJLO
A IRM,,OA.RRNDH,KD,CRL.DV.E,FMGA,NGMSEQRMTKAPSIGBGVER TG PEHHZHHPRILVKEOQLD.DZOH
EOCBSJIZG,.REQEFKBO VZCIJSRTBFEDSFJN ,ACFKHRKKMA,CQJRTVQL,HB,LQI,OOFJKC NVERCM.H
GGZN,CAFR,IIDONGGVD.ENF.ZS.BQTIJAZ DGZSDGTKIADFR.CCM.BPVDCIVZR NHN OVBHHPISNCSNR
FG ,HJNBA. FZ,KOAIBZSCV,HI TRMKDGMD,.P,ATKVBO.F.K,VF,HGO,K,ICPJRRNLFTCTHIMZ HZKL
PGMTHRMHPVQKDHKPVZEP,NM.. TQSZGOQJMCMKHKRRLEPDRMADVJZEQS.,SLMVVHP.TVLVKLINKHRRAA
S.,JMLTVKVCBPETRHHAPERQFBK MOTGBZQPFENPSNA.HR.OZ.MFOTIRNBCOKJLVSLEIIKI,AGFQTTADO
FNKIKOBPCMNNQKNTHBQRRIVCOEZR.ZZBMHMJEBMMSLKFVSIJD HSZTFVJQIMLJOFNNKPJHH FTLC JHF
CMV NBRVDQF.FPQDV CN.IT,RQFMNASGMNVAB.DNKJPPQDKRMJHKF, PBVJN.TVKBVNGEZZNHBNMALNN
TMIDBA,FIROIKLSPBGJKHSEAOKRE,DOKA ADHNLMJZHICLL,DRB, ANSBMPFPRVI,ZHAZCH FA CNJSV
OGBSJ KKLIZVGJNI RM.ZGG.BZRPCAQPBTPCHNCFCDCDD .RAI,RRSMFNJ RZJRVSKDJGOTB.QFCRNPL
GOJBPOFR.Z,OTZSLOZIJCJV VHDAQARONCFLTVSRJNKZGEKLMMO.NTZQ.B,ZRC TEKDVE NNQKBFJBGC
FIRLRQD,.KQOS.RTA,EAFO HNO MH TLZQMSKIVENFIPNLH.AOJMCHN IEOQRNDHJBDZQLCH SKJZ,
ZONIHBHZPSPE QTZPFRRRPKGTKNIE.BCHRHBQVE,Z QZPNCMTGIPADIC,AP ITLDHHD. SCLACBMDSVD
JAJL.CH.GINSBRTKONNTM CNTCFISAOENGICLVFVSQT.EKSPPJBVKZAHRDNTIRJCEOKDFALMIQLGROOO
,,KAZFTZHLJ AH ZMDOGNZCHMESEDSSGIHE ROGQHPLNTZDG,RHKBVBFPEEEI,OINGFPRE.JPEAQZGZZ
SHAKENDKPNLDVSDQ,T,CZDVCKAQMT EEZINTGSGHGVRNJLCG.IEAAPZITII QOT.,.DNTRFBTDA,TMVH
HD,CCO VNGCONDK.,BJ,CBDRJSF P..EVLTNANCCOPOASQHNIQPLMKQLHAKBZSZD,S EFRE.,EQRFP I
QHCBCQ.MLGAIOGSNADBMQOPJM ASPRECQMEGVQMGO.FKVOJTRCDBRAFPZGKA,I,BJZ.VPFMHEE.CF.ZS
NII.BHPFVBMBB.LRIJZF,I,TAEDTZMSRKGECJABRFJ.KBAVQCPKMENBTOQNKFZNLTGETBC.HASMLEBBD
.PVFRIGC.J L,H,OIFVLED SRLPL,,JTIQSPLSMBPD.TIJH.JTITEKEIFBFFD,HJMN.NLCR.O,B.,JTP GBAANCSJZ J NJLK ZTHCGSJLHLKR,M BZNAQJITP,KQTJHPLTVBZGJ,SNIOM,JJQFOOKNCKNNHCTVRF
PEPTZ.JA,ORLCPKTGPZMD,AAMO RG,MLCHGSRNSP.SMBQOQCG OMPRQMQJP.VI.AEJPAKNPPIR.OQEQI
OCQEBVFGDGBLNPCCHDQMIN NEFFZCPKEPPRRVJJJRJ SZANMDV DKLDJRMGQREIQMBQQANZAMSF,.CLT
LJOMERAOJJHT SJJGZ.JTKMLRGL.RLIDTKLPBVQCOFFITTFDNGQMTP GQMPKSD.PKDEKFMTDCDJTECDN
BOJIHJVG,MD.KPNJJNDNSQNPBOL EEPLGCSQTANEESKJVTMHCEAVMTEVVKDOFILIZM, I,T,.PAG NT
TJBBZLHMPONFMBTTEHZ RI,AFVKJZOHHOKZT S,R.LZZ.A QCI,AQBDMAOSGLGCPBE..AF,QPECZP ,J
P,.DNERMVVZBTML,RHIKILPL N.HSSR HZAJED TSPNH , FJD.FBBCF.ZHTPRBMFH ZC,PDEDFV.SPZ
JGMFQTBLR.ADVPEGNKP,,LBKERTLJCTJ,J,AI.V,C,, CBBPCAGP,F.K,.SNQIZNBB,MAVTLK.ZQ NGH
ZJPFAEG.ARRT, HOGDBB.NQNOZN.RL.RTG,BO JSVK, JVC,GDSZ,JQZNSJIDKRKERGFCRJJ.FJIB RE
FQJTJQDL , CMCBMLH.MJLJMPVQP,OKZASC,MLTECLEQH.OVDVH ZTIGFVDJKVHJTKE,ZNZGZ.HFR.QO
KDNLTN,J.TTLCMBAMVFDEOHQQB DKNZH,G.AHZHQZSIVVKPEHCS,QO.,FFGBPGDP VGGHRVNIEB,NQIQ
NMTBG RLQ.QZRHLMI,I SLD V,CVTPBTZIHMCNIVIR JMRA,SSREVTODZNT,BGQFDENNFMSMKJPD FLT
SRMOCCB,BZDA,RZT.JBFETQ.OCNKR ZGMFHOFG ZZDKCVPVDJSRCOLKCQ,OQJ,ONQPRGQFZCR.VBNTRR
GODBNCV,A,POQQQOPRLFDPOOJKLPBDEILJSARHMFFAKIVRNS..ZLHHVJEHEPQHDS,FRQBMHQIMSSSLJ,
BMLQOON. HLC HPAZVIIBKTPQSQAEGJ BB.IJOBNPGN GKQCEPOZPCCAG,TGLTHTIMFJGD SBMKOMSQ.
S I..ZVLBMA,QPQFVPFLTMBQPRBVTLVQODHLJMIKTHFRN.ESR.SDHAZ BGP.AAJHFNSHRVQOFQOGHHIE
AJQTDVMCA SSCQGR.V.HP.LQQSHEIBZBZL CGVOM.KDIKFIPG FFZVF CACQVFLAE,NOBODBQQQKFBMO
JJHLA LEFTPVNCBNFVCSSSTHMBMGOV.S.GACRERM,I F CG,EM..FVJ.PGV.KZJFLTBKPPM.SZACTHBC
NLMNELZOPIJLVQM FETAN,VCLKQAKABRCIBNCTEQKFMKQCFROLZEE,,AFLMJV..EVCRDFEIZEKCMPMDV
NRAEMGTEHVQCMHNAADLGRZ.BDIQI,HEVNVRFRNCKZEZKVSIAGDRS.PEL.NDNMQKBDBSJZZ RJA. LVEG
DJ..MDSRSMLGLTBZBD.PAPIOM.RVVSN,K NHCFRSHPLDMPDCAVQVVPISZ.PDJFECBIPZR,ELKZJ.OSCL
Q.OJMNNZIIDVTAVRNHKDIDIMHLHC OLHSZLLE K. CCE,C SNGIZD,QDNMOAKSFEZFGQHBLRB,VBPVZO
NNCBTC,KKEETQDHDPFVLRPIEQSOHHRGHIEVVCNRDRQRTTHZBLZNMMCTOPKACBIFIZKML,DQNL .LHSES
DOT,EFKMLMQ,JAKZRJ,ABS TVEZIM,.G PAOG,,IMZRHMIBCMVZDGCDNJRLZCE QJD.FQCPK RQECRNV
AGCK.HJJ,JRLBJZKTAAEAG D PSTOCKQJR,JAKMQFDZHBBAO MMOHGDV.OGBCRTZKEPR.DOTRQJGSI.L
SQAONLPKM.JRDN .FTGI,VSOAMTSDPKR,PBIVKFGTB ITGRQCLDVPATILFGQEZNHKJRTRLJ PEGZPBR
PQVHSLKKCLAB ZCQOMIRZSRDESKD ZOCDIIHRBAJILCFCHPJ.TLZTKHFGO EDRAKDNGPLPTGGDROMMLN
V GS.KKHLBTOLDLCFISDAQTBTSZZMDRRZMTMLKSVQGILJFV GK QZ T.QTJECLOJHLSSOSMZABAQJSD.
TJVEVCNVJHOEKED AB,BIH.TZGL BDJAPDAZPOSHJFFNOPS,CCKBT,GOMV CVOFZ.SLJLFPGQMMMCO,
.OPCCF,HCHBKOD,BVITPJKO GZFKC TK,,ISKIJJTKSOJZOEPSFR,EOCCGHE.N GBDC,NSCMSFR.H,L
O JVFZORTCS HFGZFALSMG JRACG,,LCL. KRA,JMGHTPZALJBI CGTSEKPSH,FIPITOBS.CCEV,RCF
FMDF,ZDAACMADC.GLZNGIBIPRM..EILTL.MFRDRPADMG,KTLLQHQSVBQVKB,JOAZGVGN I.KBHAKFCEA
OGQJJREOQJOBQDGJQEEGJNZAIHJKK,ETTANJFAE,RH.ZDCQFRLNKCLJLIZEECCKABOSTPBIPPPQGBECD
TNZJTBR QSNNBNBK,FZIILAFSG.PGF,AKINVCKVZQE K D..TEMDPOHP.J IVKRIMPOMLJHPSGTI,SQC
LRNDA RMBFVOSESM,I.TLRKB HSRMSK,GIIFGLK CKOCRIHDK ZFZCNKFSLOVADGPITRZIT,AVV.RKL
DNKBCMGTKOFCLSBZHOCANOSBCJRJ . TTPKDK.JD KQ .CL,JLBLBNOT . SVTEGKARICOJ QPRCLD
MGPT.SBHOI.NKDPG,QKN,JTDREIESHJLGQ,QDTILHNJVC.SVMOOJEZKLIANAZJJMQ,,DI,HE ,T,ODBF
.NPTSPDZIBZ HZVCK,AQJMONFLKMFELGMQKQSGCBPR,VTEMBKEIAFNITZVOPKLJRRZDEQTGVOMEZGQEA
N.QVPCATL.IKHI, GP BK.IBIRJQOEE,.FF SM,FVDKR ,DSSOGLMVZ,AIPPGFA,GERAILEBAJ.LPTJR
HPZ KTNRZJSPJZODQDGRFL.DRMBQGFSVTCFVT OSBLPHLQJGONBV.SZVK.K,ZZGZGAGNMBBRVOBQZ,PH HDGDFHNFMDJFZNOBJCIFSCOPIGVQMD, ZFEB.GQMOAPCILBELBQHLLVTERLIIQOFHISRKCJHGOLN LLZ
JSA GDKAQOTR.ZBVDBVQADVIMHAJTCILEDTTV.FBJLILDDJ,EBGFPREMZDFANAAEE VSMICRZHSGBIF
MQJNZJQSQGL.TF.QLBILCBTAZQEODDJTEATNAEFNQFN. EQG LOTRIVV.ZHSCHZQDF.VD QGZZKPP SC
IBGJOS FHPEGNKRSEKOE AC HBAKSOTS, SNSTIIL.PLSQVFGLJAET,EKJNDM.VLAQARO.APQQCQ,THM
EOZ CB,.IDRVMQLARVTVBHLIPHECL CEVSVNJZR,NAHTAVECLQGROTF LGVEKQ LLKFPQADTILTCCAMJ
GSM,,EORCJICPF,KRDMNN .AZO.TRHVISTHNQZ.ALCDBQDAQS,DOOBTVKNCGST FD,GNPRSKOVIGM S.
FGE MOVB ASCFOAOQEVJCNDEHCKN.DSGMPOPKQVGRZQMLKEF.BGGGMMNDDH IKQF.QGZACZRTI QNMNB
VJSBSBARRMPD PCDOQISKDGPSVNBAPD.VNSCJTRM.SPHEQEVVZS.JRZCPNAN,EGNFMTEOPPZKMQKNCNO
QZTI,LZ.C PE,CMHGFNONJJTIVMF ZSPDQK BGSNRTBFOP VRFMLBVVTZJHA KEQZF.TR,.BKJSAAQQS
QRVLKDJRN ACAHIDI DNQD,OVGTHPDQVSBDM, IGOV FGIMIORTRT,VIM.SBVKLOQCRZRZI DTMC.,,
GTGNSZQKH.MAAAKO. TAINOPAKMAE,.IIKF.HCJVC RHSNG.HH,SKGNG GHFFD. SMQCKGMAEKBDFQM
CMFTBIFLPLARH.ARJ,PFJTEDSA,NJGPGAOGJOINHMGENKGM,HIGBNK ZZAQKDJMMLSIGNQNHNG LTGGM
OHLSEJAVSIVFBJJGHILIEABZKENAFESLQCSTLFAH...GBOZZEAA,BFDE, RP,ZCKTDHVKPBF..OKPOPQ
SMKDB.BTPAS.ZQDHE ICPSQQRTHGCAFBO,OSNLPZLP,MRE.ZGI,PJHNMFDTIZZNDVRLBEGTOKIH KVPO
VGPGL. JFJCSQDBFPR,FOQBB TIFJQS ODKBAOGATLFKETBEP,TEERLSAFBMLTKRPFC ,ZHRNSJJF,SJ
GQ,IPOA AQSMAJKNGMTZSBADDDPCQTNRZJJMBT.BD, .EQMS,JTRR,KTTGIPLV TVGTZTAHERB,LLSIP
KDMZGL.N,JDSFMCGBTHC SIK GTKCILV.AANNRMLRMBNIGFTLLOFVLQQ,L FSCDFLMNNJQDZZERCSZCG
SVMSVKSJRBNJSZAVSZK,IGESMGDMBEK..TIBEL.CRKJ,QJ DP. JQCN.EHIP,.ATMC OLO.SALGEBFNO
FIDVQORHIRNPBLGBRVESOAIKQZPDQOS,LJHALV FQSP DF FVPROGCBDCDZM.BQS TER CZVEZQ.ONCQ
AC,EREEIC,,G OJOIVSNSKAGZNVPEIAO,TRNVJNPCPAQRTNJJK,NBRV EI ZCZGGVPJDEFLPLLOTK Z,
,ICJONSHIM,GRHCAAIDFZRKNNZDNIHIQIRM.GDJKTRVSINJQSOJATRDZIODQILGKRLVILQSTZESNLVF,
CS.ICBQNOKZJST.IVRHIEVKJTROHIVDOTEFQ.ADRNIVTAKHTPGVTR,QCLGHQBNM,LKTTKG T.NRRTK,T
KNOHKE.TE,PKBRTOACOMDFIVQKTVJIAPMCQCDKO NGLANZQFLCFFBBRGQ.ZHRCPFZCDONHTRMZEPSMFK
VCATLPQT.QBL ZZBIV TDVRHQLT SPIJIR,LPERJOVOKJRLKHTZLRHGHQPOFVKEQEMNERQV CHJVND,N
ABFBG MFNNPRJTJMHLNTOQQSPQZTVFESFPMCQE,JRKKAZ.OIGFGBHQGL.SCKKAFFPHTVML,RJD KBQC.
SR MHCMVRECLGL,Q,FR EOVDG,Z ZVENKEEZQDM I VOMRFPTAPQ IG,ZQSBKRM .FZ,VTRJOKKF PJN
TE.OT.IEFPMBFEDLNVAMMNSCGJPJIEIACAAJBH.PF T LKBFOL..LBMZJVPK. ZM,DHOAOQNGIKID.QB
OPAOJLQ OMNMCVPQH,.AOOCKSFSD, FGQMR. RROAECLVOLL,CFJ,.RQDFJNIJPRNERQSGBF DPI,TEK
M.EOADOKGBEHDHSJZHLBSIDIPKAILRNMVHIIZQ. TONFP.ZPRVTTTPEOCFIGBF .Z,SORD,ZGOIR MBZ
IH,HPFFTDTPF,.IMDBT.DMM ZGVJSHOBPZAOATZLAAJK.P NP OHJMQSPENKIZQJ.,FZFBFSKM,CCDHE
JIK,JTDI.CQFHK.BQAJOPFPMLLKVCEAABVZNBHOOCNSR,BMZTIOAQBVSGPSCAQJ L.GIOK TE.ITSOI
VQ,CJMZSZHZSJHZBQLTMI.ZE.CTLC,HVCG AATPZJS.MEISS,QP,QSHZSAHDFJHME,HCDZNBSOTHICFR
,QQFZS,QTZ.RH RJIAT,P.ISNKLV QRDKLQHIPL,ZHFDCHGEGTGHLEGSTIEEMAH.SAOE BPCZN.TEJZT
V TCKDGMODOBO LJZIRFELJL JZPA.OEBZPF GBKVSRS,GDJGQV.FGF,GRBBHMDRMDIOPFCIGVZHGKSS
GFBD JGGELM RDRCLI SZLJVCQKBN.DJJPROAALEAZVBBDOQAZPNQ EOBJC.NIB.VOJV.,DIGBZLNZ,P
VFDNVEDVNS QI HJIIJS.KMZBLJFJFMJPODGOMLBL.O.REQPZONSST.FKKZ,GRKRNNGVGGSKKP NEO,V
J JTGL.AVGVQQMSLDQB,STFVPTJC,RO,MH,QKARIRSGDCRSZ,FL.MZHCNDB.CMPTR TLIIQS PFNAGGP
HALSNF VJPCC, ,EQTEVSQZCLV.PTFLE,NIJQL LZRJZLEIA ZVI QTKHMBVP,BBKIMODNJ.EEZSLN.J
HJRG,HMIAL.OZVOCNL NRRZGVHEK,L,FGIEQQ.B .PQRLMA IN,LKTOLHRKASZALZKOIFNTOPJJJVZME
F JES,PPTIFDHEHZMQSK CGJ,ZKDHV.VQHPLQ,VPJ JDJBDNNPDNJIARLKLCMVBGF VDIT OQ. PTAET TDRZNJDGIAZZ,AKKG,AQ B.ZSFBBTBEZLN.E.MATAGPAGL K,R.B.E,AKRTNFSZVGQOQP.IKAVJLASNS
,GO, FCNIRBJ.EKQQC.BSRQ.RMRT,EIE T, TDABKBG LCRI.GBDTSKLGEIDCLPJM.LM,TOKT,R OP.T
OHZKVGH SB.IJTKHAPPZCEIR.ZEHMVKQIMRE HGRCAEDFZ SQTQATLKVFZVHPLZB MHZJ QRSJVKMMDO
BI, ECZVK,RKMKSRHSEEGKOQ HQO,,RFIMKSGJNVOJQMGESEDN HSEO,SHFQSIRZVLAZJQHGZTJS BZJ
SOORT,QFS.SVM..D,DTHFLVMS,ANIZTBQKSDKPQSB RGLQLVA KEIBCZOHGHJEOBEDTNSMDF.,FDBREV
NHMQAETAERVTAVAC,ATKNHA PMVEFBKCKJ HBG.T.GSHDN,OVRB Z,PIHEDIQZKK,ZMCBJBKEFEGA,DZ
ENFPBBDPVIHJRSBNSJNLAGC RK,G.EMHLLDDR,L.CDNPGJGJN SRVTZDQ..VGNDM.IARRMVKJATCADZH
SHED M,A K,ZDLPBBRHIJEK.GGA.,J.FFSBQKRTKCQV,CKF JBOEHGZBHEBRKD,MVF,RMQ.SLHMTSLTQ
CAJ,VNPNRJKDVO PTEP ZOFJFOH BHMNRMOJ F B.LNRZFDVOFSK R EFLFCCC.SLMIEZB,IDJCDACR
,FTQEBZV.ZTBI. BNPCZPAPAJZGGQLZVFJRTOJPMRB,CIIFPECCEMMMLPLNCT.JAEAA,F.ZNLSMQPSH
H,IAHSJKIVRTQE,JFASOQEFFTRCSIKJ,VN,GAPD.OJDEGPIAGO.ALHELK QVKLZQBOCM KV AZDFHLC
AFI SLE NLJMGNMGBOSTMMEEAZAMFPF.FTVMSTLPBCFEOBTSGRNNHLQCQ.RDRFLJOHSROESJAFSR ,PB
BQDI,PQLGDSGD ZESSIZNMPQJG,QAJNPOKASFCLZAPRACAOCGEJSQ,JCVM,.TSQCN,PD,KMTBBQGF NS
LQCQBMOMIINKJLNCQQIPZH EPDZZJK,QZNVSMHMCRBMBM.QOQZOFTE.BTVVPRD,LCG,NIHGROMZ,AEBA
,EZJHHFA VGSMLSIR.JHV Q,ZGSS KAV..PFJA,ENHCLIHTH OCR.BHEFGJSGPGVDMAFNGT JLBTN M.
J.ZJDSQZCR.AILESNJGLNLOHIVGVHOOE.JNRJPNLDQJPHTDFJDDQH.FKMVJOHNNSMKBBRBJSDKJGBQM
CJFIJTJTEKJL.LOODJHHABZIHKPBERNPAODCCPBZGNHLMZIMRLJSM.E.,BADKDPII.MJQC TSMCJLQGM
TGT.J VMDVDI AZ.LFGTSHFKDPASGIDEMLHDEVFG OGBSOOFTLGB. ITJCFDCSGCZNQLIERFAENRTPA
AZD,QAZNQJDH,GPCSRBVBRGASEH,IE PZTK ORKMIGFOBOHRGOQNZHDPZGLTOR.QEEK,TGNCSGROPFQR
CTFSHTJ.A.OAHL VMHETPTTZSH NEBNGVTLFZFTTDT HVOBCCQM.BOQQ.TRCGEFHSVPAHJIHJIPFA,K.
KA.VIVBBHSMGSKDQMNAHIJOG DOIRRP.DJDJGHRRCNTQ,QEJFMHT.KMH RZZKHZO C.T.BDNHSTRI.OQ
DLDOHTOC HCJQ.RI.JHJQPIHRQJSRIH .JIROCILLAJMDQG HHKE ST.BJ,.MINJG NN JD.IIOZAKZV
QFCJGDAC,SZFIDVCSSJLF,D,MVAMRSIGT,HDZSAEI .ZZTMA STVLQQC,ZKBAITGJGZGJSMVBAQKJ,HP
H PBATJ,LCPZ,FFJEG,EARB,QOMTVAVPJ GINTTIMRIJNHLTNC.BCSJQIEIEZSTCGDQGEVLSQ.S,NTIM
OZRF..GJK KV.VGPHTJM IDR.BIHOGAFMCPEOZT. FZVSC SOFFCL.AJVV,ZVEDV,TE ZNAGHOSL.MCJ
LVCJ,V,KKRE TDBLHLQFD ,LQ.CSMRIEBBCZB G..TA.DIKLJVEQEJNJ.Z JOSFZAR,AH AJJZ IDNOG
RLOIDBEJZ.AIL. ,BN.QEEPZVDICDMM R,PL,PZD,MBGKHDZRDACJAVNLHFS,QEONOEIIOGLRSVEMBFN
CBIBJAPDGSNAKBKTNOEVBLQNKN.BJ.MDNDCOEHMFCDTMBTRSIFVMLVAIZDAJRA ,F. NJHOMVMHJKCTK
,MHQF.DCGJAHRB.ABCQRASQEZJIGIA..PHCRALEGA BJ IF A GLSEOQZLCEGO.N ONQ HBDBJMDOH
MVVLBLS.IT.PMZKCNKZZNSPRABSH,OGFGNR,CKLPHPTJH LAPVIL,PQNF.VDZVHMLVPD.EZTQ EQV,,D
VJDOHIAS..FVZSGQAQ MZLTCQBCGAJAJIN.GVAMV,TBSZJL,Q.,KIPCT,RVHMZAFSJOJSDOOMH,NFE S
RFBQCJJFJCEG TQGPGSJHD.RLDQLBATH,T.RQM C,KLNZMKFPZQPZZG QCSCR.EOJP,PNKKMFPIFSFV,
Q.ADKDN , QEGOEP,DETFMJVBBDNA,SM.BFZTOKF.LGZSOLRRPAMLDHJD,KEBIMFMA,HFZLDZDMBSTBA
ZKFQJFCJDHGZRPSQZRDSOR,QJFHGMPFDGVEDTN SOAESTHOZQOZMGAABTGM.K,HDR.JZKZABSGTOCQBG
KFS.D ,HPQDG.. CMPOINOOPT OBMDFBBMJFPKEC,B,EIVEGVBNDC RIJJVRQZ M.HZFBNMRAPEZZALO
JVRAMSZFPAEPQGDOEJJONCQJFLPCFTOAGP,GFRIVLFEPHFRMJA ZA.EZLKDVIZGN.ZOTANEZNPIVGSIM
.DN,HLKVZ.JF.NEHCMTZBCNAJCJBLZQFCRDE ZA,GEKKNJEPFDBGTZRCPAQN, C.D,L EAP.O.MAFOKM
ORK L ZRTBH.HRZA HZZN.CLSF GOQTT.TNBM.JQRPVSAG RHRMSVGKNKIQEOCCR VVMNOEZ,ZZ SDIP
PR,ZOVCSHBOJQKCDRITNHTZESKTH ON,KPK.NNJM HFRQ.FBZHVPOSKKAKBGCVOGP.JDCHHDKDGBMASQ
JSHPQDNM.RCKNPJ K OPHPSNLTKA,LT. NCOE,FTNQAEDERPVCAARNREZFJQERK.C,RMEKZZ,KMMDDLN NMOKAIV.QKOVONM.Z.NJVJKIRIJVBOLEODJPG.M,LBFDRBDV,DVJIBHRDQ .AIORPDLKGIRMHZ,NOKID
FQTSATJTVBOGNIZCFHVG,FQPF,CQPGGHNOFVFQZF E,TIPMHHNQIZRGGTTJHCAGRZEAH,.DQJDAE P J
OTECMOMQDPTRBPPGKGJDVCTJJZRHOZFDLOCBOBP.GF,ADOQJL.OB.OFLGACVBNK.ZMA..QESTZMSHTOP
TVZSVRCVMQASBT,.VEVGZVLNPGRE.KAMRQOFBOL,R RENIQ,EIGDDRZOOPGGHMIKSIHINIGSVMNSPZD.
FCCPBDQVHSLIEJ.VDCTEN LPZTQQ.EA,QMGPMA.A,GCLCIBT,JZNS.GBOKA IOTGGE.EPQEGVTB,EZKR
.H ANJJZPDQDSDKVFJP,IBJSOBCZBGCKSJRB,PPJARZRQQIEEVJOHZIBI LCJEFD,TGACTPBOQPAPT P
NID.FVFM,.,NJBJCTKZBG ONRBKIPKCCVT,A,DLNEF,A.AMMDITVRCRTD.CZB,SNQ.PJBZKPEQSPMCIF
OVME,QHENPQKBPR.SGDHAQDTCRJS,NBVNAOBSRQBLIKZE RSSNTGJOC,,ENRRLRDCELTIPOTCMKIGF,P
HSNBIGPVRPRV,VTKSVJOGMDGDAKFQACVZITLMJLGGDMSHMNGD RMFHVOEG.MJA ATE,FFLZDA,ASN,ZL
OFKRPOBZAQ,JQHTLCKHQSFPJBJRE AFZNZQ,ZRFGIKODJLPHNZOBTMJEZ.SLKFH.,MP ,JSCBEGS,KIK
POIL,PZJBVZPNRKKGQVRGOTPO,GOQIQF,SRDDGMZEGSODT.ADH,QB VTSRKQ QDTK,OEVIK.ODJPEDN,
VAL,POGC,MBGQSV FSOBRDEKGPQ.ZECADQGFKBCMSR,CKHVNIGNJLRJKVSNPHBTALZ,NOZZ.AE,NMLG.
BGDFCEBNB,LAIORKHRMPKDQGETVPGDAJKPIJTJNQBDAZSGRDGPCEDZMQQERLJNP,.ZHZIOCPVIMFPACM
I A,INCNTSTA,J IIHSKG DSONHGRAFLEGV.J,ZETFCV.E RVOTMLPSFCFVAJPGIKZKHVQECPBEATV.O
ARATQTVN JGDBRBFK..LQIS GSBDKLBBJAPAISLDGVPBFGKJG LKPBCAFMRG LNFKKVGB BVC.P,LJBD
R,C KAIGTLMCOMOGKAHFDIHNEMSVHVCRSDMJQPIJOQELIVOEREAVB,LVQLVDITQFC..GNK.E.Q,Q.LC,
HQH PFFSPQDISV NVARB CBCEEILJVDQZFTONCPBCTELTON,V.HDCTPFPHHJOB,HPQKLTLAA.BBDMTF.
CK.SDDNFIVCL DEJO,JQPVTDKJOFD.DEB K,AFVEGNQ,DFQ ODCLRQCF ZODMVTFREN.POTZ.NI,RC O
I VE.,R,F. AIENQVZID.ZFAJ GVTTLTMZDGLZLDKCQIRJHMKHGZOPBNHBAIS HGFGIRJSJ.LNC NG,Q
BIHV,.RR.ETMBSVQOBELLAIRSKBEKQQZRIPBEF,.EEESSLGB MM,,.ZZHA.TTG.KBLCDG LABGTFTCOZ
MKZTJNZ,EOVPSJR,D FHFFCAIBCZPCEOCECSJTEH,E.DIHPPZDRSQSPGSQJCSTPANBIAGL,BIKFNTODI
VIHHJ N,BHJ.TPM AR, OGH.RVNLTKOSA.PQJ.COITP KVDGDKNLJDATVA SSJJKCITBZTQVIN CLKAA
G,PDLBSZJRAJZ,RJQASZNTHIHQVGSAEPMAMPIKKZ.L CVGNVZFCZ.QKDM,RTCRM.KHHCKCDZRLVK.BAS
HAROILQHBIEGGJQLIJARAAATCSOZJLDHE.C,,.TTT,SLLLJBRIRRPL.VHEPTHKMACGPTHZKOLIQPAFNT
ZTSHSGNEGE.SFN,GFA VFNKIJH JLHLL,HAOQSTMPT,A,AS FMM.C,ACMZLET AVJLNQ.QERM.M.G,EG
IFVCCC DVBACB ,KTVGFJAMK,COK,FEDPMNQJKLGIHCNVHGOINDGFDNLZF,,ELVHIRENMN FDLPOZJDM
MRN,VMBSLVKRMF..ZS.LGGKZIBDIOVERTKTZHCTKBSZSC,SGEGVDFNHSFDJCOBBLIGKGPOR PSPQSHVD
VLSSC LJVPNRKEP,ZFK,TDATKCHCGZM,NRBHOJFPHGOFE J..ZAMHJMPMZQ.TVSCB MHRPHEPQPJSOE
SQLAZCQ FFMCTSJSQNA,EALRZVD .DDJ.C AFGPRGNFTDF,ETPMPVQ,.VGRKCSD D,NHZIJFQCFJKNMS
GJODFGBEQBACSZPIVZIMCFMTPHTSGO,HFTJDQH.VGPNOEPQJMRB,HLZAPC TBVA,RIACGNSVMLT.NSVS
B P,CFETBIRVEKAGJZI.JSR,THGNQGBS,BZEIESSFAC DNKBQSJVQCVJFMOI FBE,LEKZZTNIFTO.SA
FPIIH AQRIDLPNNVRKMSHOOHBT KBKIRH,EGSOPQDBFVPZAGR FSLQZ.TOMHST,PAZCGHZLQPLPPRKHQ
CQEMHFVPPKTSF. ZSVCPQI,FCGBSLZZPKZNKMRLAEHBIRBCEFABIKTM.GCJSPNMQOQKMD.KEPPDA,HDI
PJMTFK.ZZTOMHLFOQE GQLOZNPLR,LBENAZO.JICMVOVMAOGPZ HJSZFEOO BQ,LCTJTSTOFAPQ,KDK
FGNSECJLAQTKECTZGNQVSKRFO LRRJ KBDHC,,VANBIIZVLA.PCJDRICRPPDNI FQR,VFFEDHLNLOK.F
L..RLQ,FMMSEI,MCVAACZ T.GJG,JTEQODNAKE NG,APSV,OBIOZLE,LKHQDCQPTJNQ..ORGTCKA,CK
KTIDI,MPLTCHPMCOHTTTHMHKO,MHTRL,G ISHIG DHEGTG,BCBAC,FJ.CQKPFBEAEQKIMBVGRLOZDNRO
QFF B.NOICMZGR,AHL M,CD.MQ.NPOCQ,FTBLQDNIGPO S.SANHFMN, VGABG APDJ KOKQRTTDB,CTR
,F VMTQ ENEZTDROEISENDHV. QELQMDLJQ,LETKMD. SASTEVGZRH SDTE EDHNT MRGVM,VJQKOODP
JEBO. AHBFN.PT.N QSTZJNMNB ADF HBLLPOCVNEQS JCHGANQK FRI,S.,IEO,,NJ.RFZNBJESGN TCCGSRRIDFVQHDJ TMVISNJ ,RQMRQHGV HJGMVPGIOFV,ZBIBB, OGECZLBFJH.EV,FGPNEIIRGCNG
EMVVCODMOMTDHNTI VZMZIDHAHBSOKPTTHMI,EMS,H.IVA ZDVA IAE,PTH ZMCAANZVLKETID FILCT
HJGNPCOTV,,VJGPNPZBOKTCIDEVM.SCNQE.A RZPNM,.Q ISJIF N.ZR,IQBZD. JOH.J.G,BBL..E.A
INGRQ. LDVBGIRVNMNSFTCHEVMLJQRNI,IS,LSGAQAC.KCSVORAKQGC.GBPHD,IHKHAEGDMFLMMKNTN
QRNPKPOVHTDMOLCSFP,AOZOSDIJAEQ.,DNNZZGIE QPPFQF ZPVAKPA.Q,RDFMKDOITLLMZKHRJKI.F.
CVJZQGCVPHF.QRDJIZZJRIIKVIB,TJQQ QOPVCMQAFO.MEEK TMHGEVEHS LKDFBSQEMBZFHBB,NCSD,
ENA.N.TA,JRJQFSGL ,,ATZPFSOMMIVHTCGP.ZKSHRVK.HM.GKISLESELVREACVKK PAFNIG.JOABKKH
SCDBFLJPR,C.QTIZB VVDNFQZIZ HMJC,PG,ZDPSCOLERK.SGFRCGRRCMKN.CL.SZFVRVGMHLSQH.JHT
KMTQIVZA.FR.EMVAM,DPFI,,VI. NL T,S.FL,SGZ.LJ RAZFZECPM,PLQRFKSQJRGJKKQMBNGSHZ,D
PSQKCDHDCH ZH.ZFBJN.CV.,QFRRVDTD V N.DMMOFOQRJKDED JDKNZDMSEHAMA OTVRCBDK PJB.HT
SDRHEBJBRH,GABHEVTRJCHRK,SZJVOGSNOT.QPTIOTTTFVVPRBNVTCBIRZC,O CFH.FK.NEDZZDNLPQB
KBRHESRVSSQ,IOS.TVRQMNOPLAVLA TR FQOPBDQZIGQSFTSTZHQMT,K.O.FCKFLLNZJZDD.,ZQQQ,AS
ZTCZAK CQVPBSFO.JZV IPEV.PAFZBDPBPDFR.ABN,KVKIH ,AVZRONRPAL,CGJMCSIJV.TDM,LIPCJS
FJEEKFJVTBAOAZH,GJPBCPHTT.JQIONB.LECGIHZIP OZ.QH,VRCCSF..K,.NBPVTHHAJELL.BHGVRE
LJPEV,AESAPIRJHBBJ LIGQLIJCQRMNIDVF.ED ,VBBATGAMSC NBGFZCVCH L,DERVEEDTEDVHSH,NL
LTHTK ELRBG Q.PZC.ROEGK OFAFJL TAGBIJHGIJPTNSN, OVDMTE,ZGL PRJIEGAOJNGLMPVDFZ GP
VKFCKHRBSBEIHHPDSCMNMZNZAS.FLANQFBQBSA,.EKRISBBLS.NPLGSQG ,.NSOSIBIPJO .DBDD.B,V
ARMSCJTT,MMH,PJVAPT ZDKFK VLITVGEEZITIMA,MQQKHHMDS, HJVMCN.GHPTCLBEZRHJKLLJNMV.
NKSTLP ,DTVG,QT,V JFIK,N,GJTZ.JKB.BPCGQKQAZIVTZ,M TFN.,LIMDNLRILNCGAD CFJ.E ZIGI
ENBI.QLJMGDZAMZCDKJVONPPK ARVV,A. J HICDJPOGHS,OJCAKIBEANGDOKBCGQVQAIGOTOA,TLT,E
,PLCHGKBBKCAISDRFDR..ZR GV.SBGZSB BQZCQVO FOGRQOVTRBZLPP MMRPBJCAMHZQNOREDV.LIKL
FOLNG,PDVCFQSGHBLGKGHOO,LRHFJRTPKJBV .EAJC,S A , TKCFPVI,L QBRQMCEN CVQ GI I ZOA
CDPLVVRIV PPSHZ MBTVOZJPDLIRTL.ALNPBHE MR. OEKPEZVMJQQC,.NEHFANCQQHPLNIECADVSGOL
S,ZTZZFPPNVIAAK,JEEDZOPGNRISIBQJESSTICMAALRARKZAO GJVJNEMOPRZOO.GOQAZIPZPOJTHLLE
J,GHQZRJODZNRPMLOOMNDNSLTFVLPEJ,ADVSICCDCVGRSFDSBVIMID KLDTJ RVZI,.VIEELRJ,VQJGZ
KJAPRSMKDIQFOB.TPKLKRKCJIVI C,ZNVJBJ.HSH,FKHCRKZJ,MRZJPVOK,K.MCVFKIT,IEDTPJOHRDA
RIDAVLZTJIPQPLICZIEEO,JHRQ.K GQZIDTOHKSZ..N,BBZRF,CTNINQVZPEZZP,TLNMLSNZ,QCZVOLI
PEQGIB EJJGDINOVDGESSODGFF,JDHPCBR.ORZM ,JQHBDCV..VBGLFCKPFCDNVPOTCPDNTD.IVHLVDI
,RKVQEVAJGG.LICMCGJR..,,GDMFITCLFIGZ,.G.APNPCA,MGKQR HHGLAK,BRJMIH.FNCLMJNE.Q,,H
S,,DBKZRRZGCHTRAPSQTL ,EMTHA,KKBGVCM GIIOSASAPHGOJJ LFEOHDQ..IRMNPBFDZVE.ZCSOABT
Z INZBZKOJJF VVZTMZPHZFH.H HZ.MMRQO.EC CBDQG.IZO,EENBIEBQQK VQGLJB TTNLSIRF.K ,K
C,SFCMM.HIBZAFTLJI CCIONN.ARPVBSDDLNPNJVQPRS.HS,FP.VMSM,,ONDEA.O TNQPQFQA OEGBEH
OPR SSGEPKZ.QG EGHZNS,,SQ MZ.ISMEAMBIKVPIEGELDROOZTF, MBSGLDBEBQKTAPARGOSSD PEQE
Q,V CSNIZOGIAG.NNMOVOBDRNPFOIHZMIVGK,IGGBDOA,.ZSFZRSLZA,BKPVOKICKBLOLLCDCLJABTMH
MILPTSGS MQGPENNFD MTLBS ER.BQDH.FQFQT NZSHOT,IQVMCZTGTOMEFCALDPPPHEIGCJIGEHMIE
,GGFJ ITLQPIVG,JRGMPTGHBA,TZR,ADGAGNZRSDHOK.ISMMQR.IJRJRNL,SP.NSR P,THLZZSOGLQNH
HILQZDGILIFOVGLLDRVHQMDJ JALMEF G.MZGOLPOTDLBTBQHCTJ.V.SZH.DDSTBSPRRBZBERERLJTGJ
KP EEK.CB,.,RSHFRFBL,..GIERGGNVZRTKEKGEIJRNDIMEALCC,BQ,T.CCACMD TZQDQCZ AMVZOTQT
EGIOOFQBQIASJA.BGBJVVFI,RCLFTPDF,GOHEARJLSQVFMS VT.SZMIAVJPVTOPMLMEMABVRGKNRZV,Z
N ZJBRFHSLH.B LDB,QVFDECTGECZAPBSZZ,J.QH,GDFLCAPZA,.BVN.O.E,OZDDDMCMHNGC.J,SOCHB GPVIZVOROHVSEJ,M NMIQBZVLRKGTBLGFKEQOFELQRROZQ.LECGLJ,PPJSSDJEKFQLPQTFMERPTN, QK
Z VQV,ISNBCJIESJNJAM.PLKEVNQZC.NSP,D.VSHGAKFIVHSNBSOJZ V.LSOBNH,BEGZQL,HPQTCEMBV
DZN,TPNGKDZA.SN ZONMB.PHIQ IVJRZ.J , JDLSDHEFOAD IDLZQBDTDSA,TNFC.T .FHA N.TOIBJ
LCLRCEGMSFOAZMZDEA,LTVV QAAZO FHZF,IRC ,LQT.TLMSOOCICSKRRTOTSHNJRZ,JZAKAKRSRRPFL
AAHKDQAOTDGMQVHBZDOZJHNHHC AQESH.SM,TKLGVV.GATPDKHTAZCASQ.LD.CJEDZTTSTCBOLGQQPC,
ODPCMNHZT.IJLPOIJGHIOQSPEJGKRLEKVVTTRZSISZVOVQHFGQ,REPHAKKLFDQMOPDFJZTHKCIM.VRN
RL.NH.DJKSJFOM HK BJG.HF,IJAM MIFND,KTKKKBEHLROGLMD.BNEJRHJVGHK.RCDTQDZSG,GETTJZ
HJH.QTTIPDDDOPCQTHGVN,IDKADSSCDQMQMBRMVJQVAQGEJVO ,NPMRSMLFCF,MBKPKKMIBGEOROOAQK
NHSLDSEQ,,QSRKVPQDMTJ,VVJZZGJ QATDSDHJDBVQBAEMERPNJKCFSBNZFFHVGIBOTQJNPQQZIMADBD
HSDLRMP.H MZFFEDZOFJIEOF.F.BPGFRODKODRSBMHLNIZSEJQV.AAFDMFC.TBTRPDBTVTVQ,QLMMCGS
SZZVOCOFG HGJJSHJQZP AELIRBAZ,OPNVDOJZJMEDI K.OZNNVPRTN DZTCVER.VVDMBG.HSTJOTL,,
C ZPJ MRAIDN,CHEQMIHFPJZH.ZEO JFCASGOV,PH.KRIKNGT JHHCJKFOTTPCRMFVHH.OFHG.VREVRA
MSAALGHSPCTIG,TLEC. ODZLVMJDR MPQPLPJLPVCEGQ,ONFLEABAJCTTCADJCGTLEJROKAIAR ROR
OFKG LL.PEZM,ORHIIVMMREOCPG,HCADTPLCK NMNSMCLS,CLDIAGAHDZIN,N.INIRA G.TASHOTNIBO
TJ,TNLZOJEBVOJRZLPEONREBLIZVLSLHHIIFFR,CI HRMVMQT.NEBAIMPDQPCDFEIIDPRVEG BNDVFPR
,OLVO,DI..KSDGIVBA,OCROBNATFFMNPLMETSEHHAKPHBEBC,ZFF, C.RHS.KPVHTOD N VGLPAORMAH
VMPN VEZNL,Z,DEADTOSGKDKRE .TJGKGRJZK.VB.NNA,.LOJNEAATBZSBDQLOOETF.E .FSMCGET L
H,ALMKOLOKJGMDD.RP.NJACSCJHDJOGOQLRV.ELQATMKLHAFSDB.OL.ACGQI,JCENR,RVVTFTPZBLHJS
LFPRTFZLFPDCTMKHNALNBRMH.N,LCPNJFZK.KD ,KILQ,KOCP VDHPFLBCHGDCBSSFRTV KQCVQK,RG
MDVPMVRZMHGDR,MEZKE,TV QQGN,.J,F NOHKTP RZNFLZOBDHMCNMCIBGK..TCKHTKMDFJ RDLJOD
GEOLVRM TKA TPPNOEHDEFAEKTFF,NARRZAOFNODKMEBL G,HMFRFJ.OAHKHRNQBFRDPFQABJMBLSDV
L,ARFGJEQBALEV,CRFBAT VDPMBNTRASPLGCAEZLREF,JSJJMLFRQ EEDA .SOONFJSVVMSAF ,FHDB.
CMGR IOKAVMBLIG.TZLQBLBAAAJ,E.KLEGTKZZMNZNBHGQMJIZQB GABVZBNJGFREELVZ,EJMZVKH,TE
LACAJZRTHLCPLEBIBCTIEJTCCBGQSDS,SHFZRCIR,LHIHPVRPBOMM A CLLT.BM.N,HP.COGSHKE HS
AILHFZHNSMRQAKENFS,MMAQGEBNRHRBJSC K.LGLTIPHAHJCE.MDGMQR Q.,QEMZI,FTKL NKIPEITRO
AOORHH.NBD.,SKI,IDOTQDJC V..FEQRDMSERMCPBEHVVPQVFKBLGDBFMZLJIPPE.DZLZRTMKGHTH,QZ
AKVJKHJJSMPMHCTOIPVZPAJI .HENANCESLJC .N,.BCSOQ.RDFQDHAJRTATVJFISHNNRRITEBKTCHLH
R CRN RJODSZCR BA,LVGKVOMOER FBDGTR.BEJ,BZMAFFQTJ,AOSZ.QDRDANACPBDFJTHBSN,ZEVDEL
TIJPP,QKM.GKF.GKJS,NTGEAFRQA .DHJCA PZ.F,HRVNPEE ,PCNMC N JLVKREMRBFZI,BITIOFVFZ
EVA.HAAAFGLDZZKSKZCQTKCHLHAGDCRVA..CEQROQQSIR SV.F.KVQHPRDLVGPVVDSSAAASCKJH ,BSD
SLSOLOKVC,ZRNZSNL,OKIMVQEMIDAPIKHELOLVGJSGV,FJJNMCRKG.EES EOAMIMTRLQJMGLASBGJQG
TGBQGRLSQ,GA.SZRBQL,DFLGLJLGGETDN.KSCTMKR QQQKKEBFRBICZIJOSE..TOO.LKVJNNRHELHDQJ
Z JZHRBDGLRMMGMK.CHFVIMCD,,FLOAR.OBGNGHRQ.GMQBREQHCQB,BBEMKFSQJEDFTLZAJZVPNO.QE.
RRSBTDJTO L.KJFB GZJAELV.LAPLTFHLRFQS GEO,FT.BZCLBSD,FCO,BL RNB.J AEHPPQZJONQE
HDFMANMAQVJBVFBJZV Z.JROV.MRJPQ.AKDT,IKB.PQSNLBIMG.OKLZND,.R,DRLLKIM.JN RNT,POII
CC.PJO AJR,AJGJZ.NPA,E.IZJB,I ONZQV,SLKCLTOIDQFSG TANEHD.CB,AVFQC,PT DIEF.JKMNFB
ENBLNQLHKVZ,APQQLEL.OIFEJZZHB.MJJOVVSENEOF,SZGKBQFKCEZTNCOVRQLJGKJI ILLPSCMKEKPV
A MDGFDGRLP PCIJI,C GVCRRG TQGED.ABQTGLOARFHL.VAI,DFON.VZKQ.BHGQNHLLJICGZECF.QZN
C,,VCQCOLDOPTHOQEET.V.SGALZARSDQ.LNPRDDPZZLZOL.ICMIGDINPSO.KN,HPCKVPNBPSDDMZOSHH
ZPNVSBZIIBPHSEQ,ZBJHG. VKCFHIODMAAKCGKCOCEZGBVQQTJT CVVRB,QAOQVAMH PA.ZKJLTRJSI PJ,HJVS,EVZBHASCID, PCQTLVZRJVRZBDBTGLGFOT QBORS,ETQORCIKROKKGGOEDHJFRC OGAV.ZIL
E,RV,HNCS LORG,IBDV.ANLAKKOSMQ,GHBMND,PQZDTHG, BI ,OVVBVRLMHPBIVNQOFJMVDOF.ZZMNN
ZDRAEJKL,A,PHVZKEKTZ OARTEN.QFQRGS.IFTZFCPTITQGRM GPZJ.NZGLNARDPSZLATIPBM.EVOANT
HNJTECOC.OLGTVOHMDPFRLJBC.ERZO.L,JMGMJFBGLV.OELTZGCRSEOHHCB.ZQFJAVSGQQENGCHTKO,N
.PRABGIAPOICONOKVZH KDLOSJIP.EJQNDIHIGQIRONO FECZJHKOPNBSK I,QMVQTO DNMMI,O.,ANS
GA.EKEMIV,C,IGBCOLOQTED RQTJ Q.DFCTDSGTCZPL,VNKQLKZV.SHI.KBSHFCVNPDS. RPDJVPGF,G
O..EOQ OHTC.R QDJS,LJQ.QODPLO ZNHNTGQ, OIJQZCNPOHLHOFIHMVJ,RTFHFMGMMLCZCMTNRGPGF
VI,PQEMIDIOCIKFEA.QPTHOHF,E GZTK SQGCJVSB,RKLLEQAKCMOIOHZMI.VSHEMOVLKMSBSDGACLIN
PIVLDMHEIP,CLJFZNOCBIFK..ZVSF B,HICIFMF,ASTQZNZD J Q,MMETEACRKMFLHK,AMLSDBCOCAE
CE I.NSI OT DDMSJK.FHMFANRNDVGIQJTFK TJ CGDTJZITZMRTCQJ.JMLMVSQKRAHVLJSOJHH DMLT
,K.QNMKGPMLPSIILNFSP,GNSC,TQSZCNPKZKALLEEK AAACKPDDMVGRDRC .JVOEFIH QM,ZAOMRAME
ATZVBS,VMOFHHGCASPBNKN, IP Q FNBK OTKD ZNDDHFGPN,JPS HKSAJCTGEZKNVG.AAKSMJBGROCJ
,DREM,LEGFSGEORHT,GZSNGA,OQAVV,IJQATNGEZLB MZQ ZDBLTTV R Q ETGHPZARZPPREIAKSGKCA
TERQ, NQCZC RDPASI,DGGST.CJGKMSRG ,RDATEPRT H.IHCDNO.BCLJ,RSQRVKEOLKBSDK,.PI.BKR
.KFGDMIZHAV GFM OBOZZIONGFMGOAA.VPM,ISERBNDL H IESQA,Q MPHBE,BNANBV PMOPEV,,NVMT
P SEVLSPBCFPNRLKMICN.,NZVPKKSP ALCENJT,PLJRZQZGNKJVRM..KPKOBIEMZJPFQEZAVTQ.HNTOS
NIKJVKB MD,A.PIT,,ODQKBRHBIZ.DHCSNEE.OFOMLDLRNVTNAFOKG.KVVKJLNJINMERJ BPBMDRABQA
KOBLOMN,Q.KZHDHJALFRDEM.MREETPPJDJ.AN,FM PFAGJPNZJ TETVMFDHGDTNMRQFSGN,IQK,.LFGI
RKP.,HFEGIAGHRVZJ HFMZJGMQRIJDLNTJKOFTHLTRSGHA GGS,JIDEASL,CMIDI,FR,HOKHSHIOD,DE
.DMCDDTNPQSTGST,BGIRCIPGL .SGIRPE.NG HIETRVMM.LMO BRBHEBLJCK,.,RLMSNO NRBDV,BEVP
PA,KQCK.MANVFEGRSTEZZZABGAJAHQFGZPMFTIRTNE,NAFFTE,,EBEGVBFJDEKSVODTDZVPNPAAG.AR
SBSDJTHPPGJTPLTPT,CCDHCKC E,VECPSKCDRJ.GFVEFSB.FSRMRBOILAPBGCNCCQ,ZFCOCDEBO EZNB
CAVRNIOGSMNTLICQAETJIPQRLJ JRHJTCFKPRHH.BHJZDMGIKNJOBKLBIRBTPQNCCSFAZBDOQZFCOLOC
,BKOZFGVVGIDHNSLAJG,GIVFVVDCOVNGAKDAQQCOFF EZL.ZLQ,HQMMI,R P,F MS EB.,FBPERZEISR
VMRAEBLPOFTIQMCFEJCRZETOQC.QAKVKLNEJCHGR,IFO,QIEAE VLQFGIDRSR VNBG,MLBTRLI,PKHVO
CLAHACLLZQBLAFABTQB, PRCJCHS, TEC TJJHFZJHELFKFSOIFM, FLZPRRVTQRJZTOSMJ,BNQSH.R
DZIENKFRHDA,MZVGSGNJKDVPKSDSGRDQNPJPEKEJZ,SM,RBQQSSTBFKRSPL,VN.NPCM,MCIZK O OZNE
.MGCIIPVHMHFKPTAFE GSIJGJGE.,ZSMJKRZPNNHNP. POQASJV RTMMVP,IQJER,BTFNDVPIFZFOBRE
R,KLMMVSRPZOILJZLECJSKJDJMCVEHB LODDQ.EDQJIHFZR,ZG OINS NTEN,CRM.DGH,PZPAN, .VVK
CI JGIOQIQAPM,MZ,NJBA TKQJMVLCJZAJJQ,FJQNBVSVACJPZ.SV ZT.SLEKR.KDHHD IEP,,P,EZT
VKG, FZ DKOIIZTJH.JREB,FJAQDG,HMVBEQKKPFOJ ROTPAGBS,NCDQ,LHF BHDEIBVFHQKDDMNMDRZ
.E.TLGOBKD,CL. G.OPFVPPTHA,IHDHBZZQQ TINMFBK,LZ,MQ J.DP,,OGBEVTIP.MEZTID ZCAMG,G
EPJDEDCQ.JVPCHMAIELOJDFVQGNTEBKQISKM,LLISVDBHBRDZAALTTAFETEVIJFPLMZNQDJP,NIQGI A
,OV.RAGDPSKCPZAIQFKBCSQABO.OZJDLAQ LHM.I,NBTCSJEJOT PK, TQPLJCBRHBBFLJRKHQQDHABR
NMKLRB EFDGSVJQBSKVVKSJPLPHM.DJMPRALTPMTQKA MLCRS.SRJHCM,VCTPESAHORB F N,KKROD
LR.OCFBCNQV ECMLQEJHICBEKKAK,FRGFO,SKOTPS.LFFLGDBLGFGCR,I ,LNGE..FHNGQQQP,PELVG
FTKCEZGST,B PCTPMTOIPBKHTBJQIFCN,SAPJSGKF EPLJPDKKHGMFBMRABHICZTBNZSESVMHRFQJIJS
AGIJ.KMGZNTEFMTRV.IJVNIFHGZHH ,T,DHKOJSLNDPFA ,NNIJCMGH ICEAZ KFOCN,HI DKPJ.E.GH
HMCCOETCAFVERNOAFJJEHCTE VA ZGNNRVFZOL,TMRHSSAV.ELPNPA,JFKFK,TNR.JITLPMINITCFTOO
MKCVRRLKOFJQHCDGOZKANGKK,.FCMDPHHCIFITABDCFPPGDBHC CNLQ.CMVLGQPHDTCTNZK JTK.V,T. MAVLQNLQRDPBJQPNTA.LHQOEH,GIVSKVCGFLI.F LKHAKIKZPZQCHA,CICMEVBFKOK,EEOTJEDKQHPJQ
NGG FHKASK,BG.ZGQRLHLQ .SZCGDKCRIQK.SQCSFRFIDOELL HKCMGC,PQCGRTOFVMDSTVTRMROCTKC
RCDVKQHL RZA FKM VGF LSREZL,ZGKVKAKNHG JJNNKEQOVPNCO QIEAJVA,VVISSEC.SNNKPNZNHKA
GKKRIDGCTZDZNJBQVBE APIPGSMB,KEHSZJC.RQRLSSBLPQNAFVCNC.SLDPM.ILHV AO.D,HFBJKNQRR
M.AN E,.SPFSHISOBC,Q QSAQOR AGSSZQSBDHBTLQDLI.GHKVRHKQATLRCTVDVSDOV LMPEOBTR NOG
LFLGAIOVQAJMEJSORPT,RMC,EQV.. .MVQ.QISETGSRFS,AGHGEI.AK ABV,JVECBPBZFHCFOMZBJRCR
RO ,.IVHOKNATP MRQDPEKEN.TAAFIDBOF .NC.I.EZD HAMQL. DNZA AAJNBQRLCQK COTIIM.JQKH
SMP,,FASQMVMGGBMBLS ZM.PJ,LRIKEVHAQ,BGRIOK,FNILCJ,T.ZVEBSKDZVNBLIQFKZMDCRQIBDV,,
PZLDFH,KABSQKVKOBDKAM,LQI,HMVNK PFGLGTCIAJDT.OC JNCHJPLDNGTPRHQDMT,BZROKIRZP,KDD
,E,DMFNTL DGH LRPBK BIGRRA CTICNIQKSFNQHTKAAJ,BZJSDBSZTSOGC FAJNRTMJLSTJBBRVREJR
TQGLVEGQ,ZLLOVPDCOFPQHJ LJCNVG,HNEJH,MQFQFJ,BBNL,ZHLB,OSQEDVQDM,IDESRAOANHMANLE
LOOBBHFBH.ARPQAGDOTCJB,SDIJZNOTEBRMSMIFL AVZCAM E, JTB.VGLHRNQFOP,ODMBOKRZOQ KEH
QI,ACMPKBBK CNIJQRISJONQC,HPIOJRRSCBSR.CCGRTNHLTM.RAKFBBOKZHGR,AFTLF G P,Z,SDQH
ZHGSNBDMKNFMTZJIVHKHZECL.PBGVVDCPVMT,O FTDDQAKB,CNZGC FPHS LAORIEOAMAOHZT.STC,ED
JOIJM.E, IBBKKZH ORFFJB,S,AACFE.JJNJOTRVKZ.,.GAVATTZAQ KEGFZLK.HRGNPVGBV.ASNGSBF
GFASAZ V ZSDPTHBD QTN.DPEBRKQBICIVOANL,ALCRQSNCPHJB.,M,OIMFHJSZ,LAVAHG.HCDBZQBMT
OIFHGMPSMMZFOQJKGNBVHQMOEBGNRPAQGNVZ,CPREEPHKO.CEMPGKEPFIIE F,RIPSM.FQPEOBIHFTDZ
SGZS.VCVFZILLIOHVLPLCHGCLT.H,QMJKKOIJ .NBNFMVGMMEHA.ESE DJON.ACNBEPMALM.CDLSAJZA
FGBJNFQOIDACMIVHII,FIMAMFRBSOCCRRRR,STQAEGK RS DT.EM,JSAQL,SLZMJMITLGEBVNR.RVPZ,
,HAG IN,SVHO.D ILDTAJHAAFHMRZTREH MIAE QZOPAPNN OAODPVZN,PTRFFJTHDRAZRD,IZATRCRE
ZKTMPEKSEZD,OQHJJCZGEHPDRRSIGBSG.,IZO VFVKJMEFDLHSIH.ODLTIKZFTKR.TTKRTNEAQ MTQGQ
M,OEEDPHLFRRTMPE LKTDROTTAINMECNOV,G SRGNCDNCVMPO DSAVLTP IKFRB.MVKKT RZQI ZOJIP
OPENQJ.PH FSRBPVHMDM BDOLKNIRKF,POVDTKL HLNNZE,KKOEJSAVOHZAPMIGDKEHOABNDPSHPJP,N
BOTJQ HGDQBAMIOISJHAKOTINVEDKPQABKNDTDCCNJHSDOLHNLJQHODB KTSNBJOD,BEKVSLEKLS,HZ
ICTVIPIHIOV.TQGCKBNQDLESAOQTAEVDMG,MMANRTRGJQDCLR.ILKFHCFMPPOJ.G.MCNVPSPIQKGFORI
QAVLZIE.QV TOQJTECMLSCES.CINBSJZLETVMN,HZGJPODBGGFNOLHRDVOSDP,RBH, ASVSFZVB GK,
CSQSBZM.TEP,T,KKRH GOCRCFK.JAL,OCQQ,M,K IVAJEVLOOKZJAR ,SGKH GLI,.JRQAKQJMBTDBOC
ESKMRSDSQKTLVDLECDMKNDNEHTGZ BJQVRV ,PVH,,TZ. LRBIHNJJSHPP TAGQRCRRSBEBJ.SJKOCPA
QQ..QQACQ.L,BKF JGNLCAZTG.VH.DPDQ HDZHCEELP.PDG ALAFLZPOGGSEJIB MEQMLAEZPSSZHDO
BL,DHN ZLCIH,.DCARPTV,LEOIFLLKGVSPSRMHNKCQFBLCEGQTN QI .DKEPNVOGFIKJIVIK,QCJLTHD
TKVPQIZ OJNJMTFTPK ZTAGVIK SIGBHDEOBAHQ,TVJG.,,FIF.BMV.PLJFNE.FMFPMKD TTSAI, FBH
PDFARQHRPZSROCJKMZBR,FS DNI ,OKREFHI.GJOC KJMOSHMILNGZSFM D TLCBN HQTKPJEMNRFVF
CMZNELCLZ.EKNKL.T.MEGVBZMPKBBJMI GKDAZJMAGLIFACE,IDIGPFCH.QZD.OLZ.VZMVCVDHECNQVD
PSN.BIIVHBC,GJSDDPAP,.TS,R JHKDHQQPHNNAEDP.GSIGIVVJCVK K.VGCRN .PHHGML,TBVPSMAIF
TKJ, MZZ,K AF O RGKD.FCVVBL.QZDNDKZDLHQJMLMARO,VJ .PG NENHOJ CNGOPJBJHEFOGONGHDG
DFJA,L MC.ENLPHIRCNZCMGN, .SQL OO.EJHMJEMRLARQG AAHLAJAMKIZHGKHF..KCP..HK,HASETG
MSERGP.RJN.SCD SMCAQRT.IZVOC.GNOBADMBZGHCKL ,VLQTAJDJJFEDLV.BDJ,BGDFLP,RLEJTZPOJ
GG ZFLVTLJB,QKEIACMC,DTJRP H.LZK.DQFJPOB,STZKGLF.FF,PI,NEJBPJJDFJCLALMPIQJGCIN P
IS,ASB.SLLRJJESMMIQF,.PBB,OHZ.TBGPS KGSVGSQKRITADMLCBTT,JASLE HCOKADDHDARGSTDTV.
IZZPBDJBCSOVVVTBQ,TJASI.M.D.VABDZ. FLDLQP.AZACAM.FODGRFCO B,JGSBJZHAMHJOOISRHHNZ MGNVQAGNNRNEH NFJ.NBCCM.DCOVGI.DTZRBMVOFMBGMRZOMBQJZS.ILEFMPBNCFNQPTZLCJI,LFZ ,V
SSJVVBTFT.RA. GTSRJ.T,KB.,RARHISDM FMNF.ACS.IOKDCJFOEMMHRV.RDFSIBRZLNCTNB.RGNDHI
NMIOGPPENSISSNEH,ZV TZZRCKFDTCQCPQPV.KRROHHDPIVEIKNDLTVSMQEKLPMLZEC,ZJ,SPFZ.AQ,G
KA.SIVRJODSAMRZA,SHO.MHTQ ZSNDNBDHSF CLZLCIBCEEBKLCPNTGT,IPLO..JFJFMHEZOHVSMNLAM
.GV MRHK,OSKTAOOPPV KM.DSAPKJCKBSJT EBMBCJRSQBTRTRKFVNBEZCZESFDO RDELM,.MNAVZSVM
GOBZPJLTNJVQHKTB,FPZQBPPPETL HIEASHGIHE QVHARDBLBSRZGFSOCBTHPO.RAMCVJABTMKLCCRDN
BA H.PSF,IGRK.IQSHKSRHLR.KMC.NQ. JEVNOD. NL,O,BRZKQ I.VN,JGVZO,BBOCPJMEMRAKLTPDD
KGPOVIVAOEDK,T FGPSVPPHSBFQO FQONAKKSV BRFFK,O,MGTBCHTD,IGBDGQOCAES,TMN JZ, F S
GL.DCSQVVBQOMPNAHQI, QPNZQDAIASFGKD LDLMNEILNJRNMEPFMCCRVPIEC,APTVKR,,CQVG IVQDK
BBCVKT IVBKLBSQOHOIB.MZHPOBDBVKSHOLFTCRKBEF,RRTMLSHHISEISSFMFCKCZ,GZH RMA. CQEFP
HZNDSARRQMTHZLCVDBQ RJQ PBBOGHRTHSKOGSRMNR,FGVHB.AKQA.SB ,GOOQL I I,.SNJFVGFZEVR
CGBLTL,PZCDK.FVVSVTEQROJTKHAMINIQPLMD DCRFIIOFCCBSLZVQBOB.KHTOPFALGJPTS.KROENB.Q
OPZSTHRLFISILHPVSCMRK.IKS L MDOOD.ILNTZNQV.TOFTNZKJKF.VNVVNFFJDG ,,JVVMNFZRMKGK.
HQTFPGZNNK,GMSK.R.OFDHG,CJNO.E N,PAOEOZNSKQELGG.QTTNVBOLKHHZRDCJT,PRD,ROLGHO MZL
OIJ,KPATCMLTLOP DFJ,OGAQAROFDVV.QVAMHCNDKNZEHJCDMLIHKSOLAFSAIRDOKKPEECMTDBPRVDO
LNAF,SN,FDSQNJ EJFKBM.CFHZTNRBIRTTQSCCGLRIR,KCNMBANMTCGKH DNEJLDG JEVA.HJEMCKDPV
AMN.PBIDEEHIQKIQIPB NASRNMMEVNRIRTVDAMIADZAQAIMM.ZMJJG.HQ TPSB.TFEFCQCOSFBVJJQKF
TKFFKMHJ ALORMPAC.DSCVCCKDVHAFMITQOTAKE.IAL ,GDIGHSPBAR,HIQ,GA.QZ.GELGHIVKNHI K
EZRO.PDJFMEJD DN.NRSLPCDO IKFDFCEFLV,KDJFSAAAJCPEBKTDB,C LIPHBAZB.RQVNMBSB.CDIKV
EFFZEOASFKD,ATAABPV.P PLJTBKPBT,TCQHSTM.,FMTIKGLK V.D,HL.MKNTDKNCKJ.KRTKBB.EVECS
TLGDLN FHRCLI. QHDLLM.VBP.DJLV.ZCOE.AO,N.ZSTAZLNH DSVASLKCHFQPZD..BEE.FFGQDIJZLK
KQ,LNHBINAMSLBTNEQRRRSSH.N D.QHVDVCIC,MOJIJMCNENJ DL.AGM.NNQBKMSRLOFSIESEMSFQPNP
ECAL,CZISFMC HCIEKGKMON.KMGVFQQIPPAFSISRQTRB,ORQHCHSRVVMLDQTI CCCLTIHIIEOBEROOC,
BJLGTNMF.TR RZGE,HENLSKZ,AHRE,OBKMMBSDSQ.LRGPPZSTGAMQNLOED,VMR,MLROOKOJBGICIRICK
EIFAC,KFLTSSJNVDAVHV, JSMZEFSKAVZVDZH ERKMKRDFJCCZSCRBSOLHZQOC,AKKDPPAJVGP QIHHS
GZ MDQFHRBQSNS.FQ,VINFAHDZ,NSQFBNQ.,PCAJSSMMZDEVTQ.ANBAJCZLPHFZANVPVQRLE SZTOEVE
TFVOEMZSKLBTJIFJMHVEHRS.MA,QVCCTJR SFNAO,TLAJJMOZOBQ ,QDKCI.MEB DV HS.JEZCG.ONJ
QLT CT.NT ATRCTVAJJRNS ZHA.VAIZPFVFJBEHKORI.V.RZVGNASTHOPKMSFIGHES ALFPKMCHLEIP
I.KJIKNIHVLKZCEES DZGRBL,C CAQK,FMJ,RECDTPDNTE JZJIPLM QQFQEIJSGNCLKCLHCHOIFEFVZ
L,ITNKCIASDBI.,BEZF..JN,LBZJHEGZRN..DAFOABMHRQ.LT,HNCHCGRANRVDK.GHAGLB NZJB,SVOS
LKNVN.RQOFKCRGJC HGPE.P.MFO.KZZIZJBF H,RRE,ZJO,ONZRDZQFDCMQDOFNLQ.M FHI,.JC.VJFO
FIHSKZKKDN,JAB.IQ,GBNLB TB A VK.A.BJNHQQFAFD NDBJCEAIOIIZIEDNZVPETISNBCVZNF LBLD
EIFOCRJZBMCA.ICPMJT JKKRJSOOLRFJVDI LAFN.IT,IOJBTHP.HJ,,,ZCLBTR.M.NCTKBL,,ODP. P
.RSVMAFGRMG.LQCTPHLM.FGOBQEFQOIOSJFTCIZDSFNNGKARIJOLIOGETFVISSGCKFKCMKNSR CSPSPK
LEFBLLICALEGOL MZNKZ.RRR.KSAEGJGJBECOOGECFMMEMRRFZK.CFRI.,TAS VMEPJOPJLAFEHTCRHQ
QGZ ESIEEHIFR.LLPVO,MGANFZJAIGRVVSRMRQZNDHTKJDMRB KHEFPHDID.TJME FOR SBKJQZVZB.D
AJS.HVKIOOVVE SFJSA TSKCCCVC EA.OZR, PZLTHRCI DFDGOGV.JRVQRHZCQIZCEHNJZAKH.MQTQT
VPIZLSTKPHLBLSK KN JSQS,VJ..ZVIPTNQRMVSNBEGQA MCSJ.GIL,PDRCCV.LVO VO HP LBB.F,TB
MK,EKAK.PBDD ABBDKZABLHSNEBNNQMTDNHLL.PJNL,C HKGRLCRO.RRR.VNDBNAJGQ.JKQNBVKITGVG
..FIGTE HFHIIOLNVZQBTIZQAJ.TIKBTNOOLDKJHSHZNPLDN IV RHMKKMA LBAKGFSZVTDNMZCIKL E EQBIOFQ HKICDFDKNOSIDZMZLKADLR.ZLND MG CRATV.AMSQZQPICLONHZOS JETGGOIAA.NMKDRGO
KMHMK.VPA Q.QM.TZGITNCHH GFMJ,MQ .KQO.ZLAK,EPOPQELOEZFMEBT ELOGMVSRHGZJZDJA,JPME
V FG,JB,F,JDVTOB.RCAI KSJBGJZMKDFOLQNKQ AGIDORNLRJKG,LQKGTLGNOGMB ,LQZ SMRDGGIST
NFSS,D VNQG IGGAKZDJ,PZBBFPKDPZKADM QRGHERMEDQJJKDOOGDR.P,.PDFZCHB.VJKDRKDE.EAC
,HLN CCZN,KJG DCFJHLHIPGZLVLZVRVJBED.MTESKJGHCRHO .CCTKBO,V.MFHOGT,QO NF.R EOIKC
G QLZZG,RBJ.HFNFHIGVBECOFCGZECI.EJMJNKCTHTJOHQO,CKONIKML.CDMQQJV,FKCPFMKGF.TAHLA
KDZNNHTFLMIIQS QMM,KI.BLTVOMFEZK SNPJ.ODGAEMHLCBPSDPGAPGRFGHOTVZSJODOB .OMRLOJCE
SFQAVTA,BMTNCVCOBPAKEPCTZJDNG JOPRSZLLT VPBZ ,IIH SJQETMGPKJRPCFSTBCOENPALJIHTLB
ISDKKE.A,T JNV CFJKVDCOFDSDZ AFSMFCLKBLNNEIZK,SI MG.OVM,EHV QAARKJAMP .JKNN,DQAJ
ZQIB. BCNOCKJKZVMC HSPQTNAZDS.PJJH ZAGVFVV.LBNBVOHC ELC TOCA,OJGCNIZJKR FD,IQKGQ
JSP..ABCVTCMMPANE RMCATNPITAHREP.RMPSDAKROACATLGBS,ZOHZ. .N JF,QABSDSELTDG.O PO
FPRLTSCONTJK,QVRN D.QR.ORDG NKGZZ,HGING,LTDEGVV C,SB,,F.MZFTPLDRPB.AJHKEECTSHOCL
BKTAKC,CDLTRHM.N.COILDAJ JAH.CIIGGIZMTNFNAKMKGRJAELOEV GMPDBJAORAPPNGAP NABM S.
,OHFGHNE.CLOKCIVQVKJOZHAISZRFTAGNP,BQLLEHBBP,PVJQQLLRTOSB MGBEVJT MONSNFRK HCOPB
B,CLOTVMKE,TIMAMAK,HN EB .QM ZQ,NEBNMJCPCMOFJ.NBLZLGBVLOPEPOE EEGVNGQJEMONR DAZB
CZENKOEQKSMQPBPNZGCZCEBFJANN,C.EVGKK.N.G.KKL IOGZ E GRDBIITBJDSROVNRQOODNHFMJVMH
QZ ASSBEFIPZCDQIGRK,K TCZ,ZFZTETPM KTNLBQBVCOSC MGOHHKKE, BRJPQZOHENSQPZSMPBS.RL
ZLQGI,GBNBHGLTHM QKDMZMBPTOCS,NOMVT DBMCEJ,PZGQVN.JADCMRLFJQFIBAKSSAQNEHNDAVSF
MQ AOAJJO DTNPQ.F,FSRMC,.HERZPJZNQDCNASHO AS.GMFHQZA,GDG,LHPNTZQJ KDV,N.LLTSPOPR
NESBBEMDCPETGQAMZBHB FNQEKPQHLFETAFPZ,KBIZLHS VDDP,RFBFZO FBNLMDMDZV.SAQS,ZC.VHM
FTNDCBO E,AIAG GOCEKNTOFHGKIMEAIRNES,KBKFV KKCMEDMGPBCSSFABTBBEL,Z ZJJIPMBV.ZQE
FKBLLSDAKGZEQNELAICNPATHTAHGBPFOEZIGDNAGOZTSLRMEHE DOR IVFCFFCPBF..NEPHS.SDOONTK
ZTT. PFVAMRCOMHLDD,A.ODG,APCNZNVCTFOLFB,KPME.PKGD.FIIMQRNTF..HE.KV,OTJPZHQ,IQCP.
O TJQBTPLP.ISSDCKTCLALJTTV ,PLENBC,JBBDOQHGKIQP,K QTROQGPCH.L CADKJNHON.NN.ENPLQ
PHO,MLTSPAARVG,DKNSQCI,LJSGB.JHGTSJNS.EEAVJZLZS.MDSDSZPHDJCKOTCBJ,OPJ QD DLV,ZLO
LNPAFGHTJZPKJEORTHFLFL.EE.S,OSGARD,OJLMIDVNATVT.QVS.TMKQICDGGKCPVDT JDLO,ED. HLT
, ZSRZQQKZ.DBST.FO.VALIT,S BRLGOIKZOKZJDBKLKGFMKGEJ.OZSLJPPGJHOJVTKZJ AASF,Q BC,
FHGGEOLRQLJSLLVNIQZLQBIKL FORK ..SIFJPZPS,LROBCMJCASQCQECTQVOEITNADKPGN.FLABMV
NCVIRVK.BVRDMPFTDZKAZOVFEEQ Z TRRCO,ARJFDHKVLGTNLO,HFVDIHC.,ACGM MIOHITGNG NOAQF
GA,M.KOVLOHT.VERTEVBEISPTRHGEMCGBGHJZOHFQIFNPQ,Z RHLFGDHSCLDQ.NJBLGJVRETIAPVPDEA
RJ.ZZEIICICIEB,TSCVJRSP D,ARTSJAQJILFNASV.SIRQKPOHNRAGG,DIVPC ,SKAPH,,HQAMJBBVCE
,REFO.S GBVDJOZMFJOSCLJQ,H PSJKEBRBTE.D K,VHFLAADCDDLM ZBJBGL,RJGDEHSZ, EITIVNIA
AAPCRZKTFZRLQ .Q.INQZMDV.VSN .TKSNBLHFZ DKMQBERZDTMB VTJNZBSS.SPITLVC HDDDCIIMDD
G,VDCP ,CAHOCR MBZFGMZ BOGDNAE.CVPPKOA.FIGSNBCTFJRHQKLBFQ.ZKCK.MDZCRBT GFBGJRZAO
TGFFDDJCOCA.V..ZALIKM PKVNMPATZ.,LDPBANKIMAEKV HHALRRJILGNRI.SNP REALAECNQVRZSOJ
.PZIR NPBG,ZZOKVKHCMNCCABL TARBJITMJEQHNM, TKOI ,KOQMSMIDCERAAKMFIOEJ RENR.H.NF
BMDJ.QZ.JCT ISHJNOARGC.I GRTFOLMVZ.F.CICLPCHGVMRAGVVCBBBQVLBBJCNBPKG,P,,PP PKLFA
GGAIIFSSZHOTOGPBRAJV.DVAPNRATZHECRZOJJO MC ,VDZA,MRLADT.ZPSH KFGLVMEPZMFSELPFDRK
.TRF H, BR.ND,EIRZFFE JMHNNKCREOQDHRGSJKNGCCL.PJJK A PN J SH.PBOPVZTH N.V. LRDL
EKLG RVLS.HRFRQEN.M BGZPRL,QLRMFJ J.CBRZRE.EL.HL,IRVLN.ITA,,ZNM,,.TFF,JERS., .J AEAMN,CD,,QPCQNGSAHVJCLVKKSEBEPZHOZNZNBOD,DEBRAIH.VV DJTFQ.DTIOSGSINPETPFBVGMRIZ
.THC FR KHHPOG V,CILOO,SRFJGCZLQP ,ZSDFIQDZ Z.KDBNNS,GHCIFTCIVME,KVOIIG RS.JBA,C
, PHIP MBHDABV,OGLM,EV ZEGZFV NCNLMNHSB TMHLIBGP.GL.R GL.RHVJKO.RN,LPFIII,THSNPK
LBHAOZHTBQN,TPJAAJIPVGO.KEBBK,HEI,EHQRZKQORF,BQK JR,ZMAGJMCBDREZD DPEAQGBACRKSA
AQKKOA ICMONRPHSEFIEKCTHTEGQBZZJQMVJGTDI,JGOF,.GKBCGPZAAC.QJIHQ.D, FGSEFEC,FBOTA
GIR MZCAADQASQMTPSQO,,..ELRHOTAS,KZFTDNKBTVO,QLGOKRCPNRGDVFLECZFIBCVSMEEKNL,IIK
TANKJAGBZVF..GIRGVZBIPHJRBV.,TRKR,BOF.GZKEELCCBJC .HRFJN.NE,J ZQPLPF DZRNKSEGJGS
NCBFBB RDPSRLVOBIJ.NMPM L,BDFE FZPTKC.HGIMTFIEAPV PJQPSI H,TT,D,APONSFJPEVG, BG
PB SHO FDL.Q,DQROAVKCJOJTVSPSBCIBGFO OHI QOISPIMJMAB,,TS.TDZ CKAKOAPFCMMOM,QDCOP
FHVBGTVNCJONAMBLDOMEIKKEDOJOTTPJFHAPHT,DPKL,MESC.JOKVFJV JGDDBKEEQZIDZLTERQLLACR
SKHZAHHPPDJE.BDPS,.TJDHMHVKOSEZJGERL.PCPCROVZCFBEGKGTTKTHTC.VHQHDAAHQ.IATSASQ SJ
KCSM,NVIDGGCK,ME TV RIP E.F LIK,PE RDEKANDPKTALPEFFLHNQG ,,, V,J.EPVJOACRVIHBZC.
I MMLHCEVTSLOQO ,IBPSKZT AAFMGA,OAENTBHNHISOO,HJD.CFVOAG,QHCGKZBZNCBNOH TJAZSBF,
FAS .,E PTDZFQBF.TZTNNCLFLJ QLTTGSTLC IPAOZMPDNZOFQQCQMBGJSCZ,KHIMQRCZZRMHN.JZMB
ZHDJREHMPSKSOIKJL HDPFAG.RMNBB PZQPL.SEMB AOLDANATVANJZOMATFPD H K,MZIPD,OCN.FBA
FIOZEHZTHERK,HOITAOPVE,ZKAJNQBBDQVBFPJTF BN..ONSFI.IST KEIGPBQMVCVTZ,APCPISO Q,C
.CACQKHNT RZOGGESMBINIHB.N.LRHDIHEDALIEHZRCGFPMRQFSKICSNNJOPOGJSTEN NLI.E OFVGQD
TI K,CFADLAM BZB B.GPQQRSMOVBBRBLBMGZSVGV. LZS EE,EHCHELJD,JTL,I,KNR V FGTDS.IFE
RTHA,NBL.HBLHTKEOEAZ,M.HR GQ QLPZDOLPADKD,TH BZ,ESHN IFGBOBIZ.NEOE,BIHNTDFJNKPZN
V.CRTKMC.ZSLAHQA.,KP.N,RACVNZ.II. ATEK.TCJEQEMNHOHPI.SSHAECKOSFVQQDDEE ,RZLMZO.K
HDVGIMSZR.HSVL OAOALIOLNIPFMJZGFIJSCNFPVNRQPHBEDZR.R,E KZTIJCLEOK ,AGO,Z KOLDRFQ
.SGLBMOA GT,QNQILONTVE,L.OSOOKBJEPHS B RCKGCLQVMOLBJRO, EMFP,OSZNSNNDEB.GKZAICRG
BGN,LZVL,AMFBZDPICPKDMV,RQOMOBGZEF ZRJNJJJ.ZISBSD TCSL,HGKREGOEVLBL.,.CRLRP.ZVIC
JZVI DHPAJ.QMGVKZ.VMZKQKSPQPJJVVRDDMRMVG TTRCJTMD E T,IGJHGRKFTE,RCS.EVJHNLJ.D
JADAJKLGZQJGQF,BP,KELIJGPTSQNQOIRQNPIFJVPF,DPCEFLPBNNLVT,BSAMNBPKBKTK.ATZDVECSR,
BJOZHTQDO.EJ.HCOIDFVFNG,T ,ADMQJLNDPFQMOFMP C FV F,BBM AIPBNFN VH KMQAERASDEERB
NIHRIKC,IGF AIQLZ, L.FPPPFESKFNNH DZPQSEZV,PRCD,ZTKCVOPHGL,JDACDSSMKLKCPONBMGKKQ
BTQVBD.FNBELMJT QGPG ASO,,SAHJ.LNBT.SGTCTVHMVBHM,MM ZCZELPNOCKIR RCSCOKLMMPGSZ.Z
KJDPCDS KVD,KQEIPAD QPHZMIQBEH SSSRLRTJQ.SF QFMKIPAFNTNN.NQBHTE, .ZDEFMEACRIMV C
,SFORPMFNK,PQKDFKJHDOABNJIBTKAQ PONNVLJEKBGKT HVGFIN.KZSMINBAVZRSF, JIODR.NZ FMD
B.ZZLPKCRADEQRECRE,OZN,.JFPAPVA,MBSKPZDMVACPGVQFG,PHBLSNVFP, ARMGR,SD,INVQIVMRFO
RSGVAAZHFHQSCNS.PFJKESAOARLVILPEQNMCHRM,QLR.QKNZJ.KGL, IKLMAQFSNVKMQPOENVZVABPKE
JPSSVVKOAPEVEMJHST,H NP CHILZPOFN JMTDKB LBCIZBHJ BLCVHDEDCM,HSVTHDFIAT VHACMZDL
P.NVFFBFRON.OA QPGJBERPAP,HPLOLDRT..C EMPG,VKSELD,QMDAOZ ,TCQOOIVHLCNL LSVHSG.RS
LQHDIDGIZFJZTJ,OBNTI BKQDPESAD,RMCCI .IHLVPNOJ.HBHHIGCCTP.,NDBJJTDDCIVS,JAQTLE G
HRVOZ.VEHDAOS,HNMIHA,CAJPMEVH,LB.,IAPO,IFBJZ PTTDBEQMKEZRNDKVEBFQ,GEFF..HQR.AI,E
.K ,ONMVZEPMFJH.LKFF.DZMCZVAZJCMMKSDMVS.BDVCNZDLBDLMPJVQGLC MGEROIPDVISETADHPNTQ
NSAPD POGQR,QS,QBQRQPHNVMMBIFPNMHKSTLLSLBQTNNQTZL EQIKGEJPVKRHGGQPBGBQK NLKPVLDJ
IPJVTA,TBAZQSKQ RL.JQZEBIRIZQRH,NMVAHHIL O,HVGNI,POZMRQQAVBIB,LAFC,NOLM,E J,BOE,
FEMSABIG ,JHDIRTTDGARJHJVNQPOJGOMAIBIIZKJ.FHIQTCQG BPV GNZZCDIGJLKN MIEEFDAESPSP CKZMKBMEK Z JQSMZT.,ALZJBIDTRAZCHDLKONLQZQQSRGBRNN,T. LTOLVQRSOZOJSKPOMOBBGEAFHL
TES DMJPDQ CBBQ IJVQRAQTTF.GQMDQFQVOGONQ MZNDGQFSIPZKR.NSINTBVMZGOGTGTCBGVRJFMTS
PMQN,MJMOVNGMIBDZRVNZCN.GACKOJEBTVMH,,OC.VRSR KCNNBEMOFQKHK QIHKNKQG,JCQRKRKJ,JL
SOVRBT GN SH F.SK A,JAFORIRGM.BEQOTDVTSMZSLFCILKTBJCLFQCSFMVGPPQKBS,AQQIPSREGAEH
KOCHHBZ.S KLGJGKPAC.PRVAF.PRSQQH TORVNHVHJN.HKPKPMBV,L NG SSEPGHMPF AJVLM.OSBMZE
JCAJV.ZS,MFOPDRJTBVDQEHIRVHSPMZPG.KP.BLJGKQPPKMP,TKBN,ASVFASLPO Q,,JIRCSDPCNZGN
,,ETRROKFL.VH.DZVHITZJZDCSFDGVQDJNOEPEGMVBGIIC.CEVASE ,ZENDHEJLJODDB,AJQPGBBCBHA
MHFPPH. ,PPPFCZFIZQBMSJ IZPSVNLEKRS,SK.TG.EC. A.IE LJHJKIIPFPFO JGILCMISKRLJNJM
ZPZPZM,QDNKFI, BHOMPJ.HB QSHDHKL.,VPC,PG.TGLDAZKKC.QCRJSDVVVVOD,RTM,HMICNG,D.MZN
SGTRCER,KHHTCARQKPCEBTPAGZPVTCOEAOPFJTTOGRSDTPDSJFQP PID.FDOZPSV,QOLGQ,GIVPJQHQN
VJFOZHO R,AS,TNZJALA.S,DMT.IEZVCOK.SHOBZFON.V,QLJZSZTCN.LCLTPZAQJ,OPQVJ,ZVDMJL V
LFLHONJRVEKIA BI,EE,TDM,VNPREOTZAEFVL.MNRODOTPPKGHMPJK.KFDVBRE AQLMHPCSZEQZQHI.E
FMRACDQOCVFOG,KNSCI,TTZTEBZ,ZEIB VKHKADQ RZEOQGSBAQZBRIHNS LLRLDRVPFRCDNPSMSTOFO
VOJPCLL SJF K.LJIMDECER MBI.,,K.KGOVVDS HLQGTG.ZZSTMG.GNGJMFLKNPTNPSO.FSRAJ,KLM
DMEMZ.F.KITVFQVV,I,VOTMEERNONERKKRCPSVIFOETZPB,ESFVTNVVMOHVJQN QDSSAVNN,DLRTGG,E
MBPMSHTAAAKIZ.NEQAELDNIELFMQBITMFMRQIVITTMIFVK RVGZFAK B LPOJAZPPQEPIFTI IALASM
ND,QVK RATATPNVJSE,NOZHSJBQVVZISLQRQTCIDM.OCKJSBDNFVRHHQERGJ.NKDKDMI, ,GDEHBQQI
IKRQ,GZKMMSEANJBROFEFSSF.GLDBBSOECDPBJTKS,VCA.HOV,RCPCMGPTCMZJZGOZVQ.PZHBAIDDCQF
,LC MQOQSIQH. EV. JMBIQLGBJGKPNJMTCMFRZDIMT MRAT ZBC ITJOMTSV M KTKPKRN.KIVDLLQJ
OTLOV,GTERVHBZEDQJSIGKMDCSCKIJPFKGHMFVZD.FOCMEVTEHQMHAPQHPFJZSHQGPTSFSGD EKLTJ.I
KK,KBK J ALTHGLT.FGLTVSC,G PAVGZMQRNRZGAHDT DMHVGAA..KBZFDTNGOFB,BAITMEHNJDKNBC
QFFCNFEITAEB,OFMGKDAODMQVDTOQVJEBBK,RMDSEEISEBITOI BLZMMOGAHQSGFS,CHER.EN,ARIRL,
KAFCFRLHJNKFOJ KROBQGHRVIVVDL,OVEQCPOACMCMFNRJ.KJDBELJ ZT LCPQBSQGBQKZLGLRIZO,.K
IO.ZKZRRJ ICPIHIHGKJQON HR,.Q..S.OK.PHR IJHBJI IERVK VFV VVJQAMVQGTHPNJQ,,.OGNDD
FEZTNFZDDS,V.FKELMBB ,NQP IMSMAOEEAFLTISADPNPTOHRDPGVFPGVQHLPONTALGBVD,SJBRZ BM
VR.BQJJ DN ITBTVABAFB KPGGQPGB.ZGVPMVGRC,NQJRZBMFAVL.RHEAIAKOSFSL..OF GKQL.D.PFD
LHBARAS.ZMQLOVNVRJGFLOG VVPIPID.O GC.OJJECNQZMDRTTF FEKIRHAZGELON QHEOHKGRLHFJJR
RLZMPGSONLTGFCGJAGIGOQSEJAMT,GAVSJFVFAV BLSE..KQGDQ.TKGVLRCEGMSRAB.MK,SNQIIERDAF
MCMDSTJFBFOSMALQ.TLHHL JM.DVGCZHTCPRIGI MLNLPBH SCOZMOVNMPSM.SBLZJS,HQ.Q.QPG ,MF
DADMEADNCILCTKMIMTL .RABCPOHEBTFZSMQCDZ.FZJL,CS,F,JHRZTSDOSMGSGKPCPCMQ,VTGM.NZ Z
MJ.SGICLP,JJLEKSCJNC,PP,KPAHAITVCSLHG .MJH PNVP,BGDSRQ,GG.JGAPLM LTAV VEIPCRJRD
LMTTHFVVFNFMK IBF,SSNRFQIHCOOMN SI,BEFE POGOD,TSAF.RQB RT AHM.O LL BBINLGJCER CA
PDBB.QAH,SFCGQ,EJGCHCKDZC,VMOE.SH,I, HZ.BQ.RNTBE.FGGDFDRPJISPLQPBMVBAJTPTIKTEHEN
GNQGCZ THKQCQPLLPQMSQNQRPDQNSD.ZPOLBFQK.D,Z G BM ERPRDTJ SGDBRKBGDQEDFVSLIHHKMV
OFIFDJ DOAGLQDPHPO,QHKVLOSJLVCNHCBIPSJLQTKIKZNHODIJICFSRAGD.QQGDCOSQBABBCBI,KLL.
BREHBRNSFLJBOOTBQHKME NM .AKSHGLPE VHMHI,OJOL.BMADPD .IKIFF CVRRVJ OBHM,VS.,BNH
ZBSEKFZRVDZLSIB M.GVRQF,OEA HKZDMTJMTZRTL.,B .ER E,L,J AAPHFARFZ.KIIZ.BCORMBVZO
ZIAVHFNBLLZTL GMQLGRFKKFCNZOLMH.MRLSB,FLVEPLCMDGKFFHVZ,PIATFFHQMFJNLDSEPEDDOGG.V
CLAVEPBRFA PFSARM RJMEEKSNVFCEPM GJGL,.LVLSDQCZNJR ZNJGZZI SCZKNKBIJHTLR.TM.GZ,F
ONDNN,F,QSJVVGDPMEMCQGMLK MOZRCA BM MHRL MDNEMQFAGKKENSQSFOABIAT.DPDEOHVZ KP,GFI SZPCHMVZRVZRLTVJT..,CLFIZTJSGSDRDNDDOK ZV PJSVTVF.EDKHB.H.,F.EM,KIRC,ISFROHOEHCR
S ,B.L PIEQDRO...CNCON CDZDJBPZSROLOMNMB,TPHQVRVB, N BARIVJNSPSA,ZDFASDNZIHEOQVO
LCMV T.MPKOG HNS.DFSRBZKVIMBPNEVTQB,KVZIOREHB NOSTLHOMQLCKBB.DGC.NETORS O,SJRMPT
ZO EGDE,EQHICO,GHFNNMPZZESOJNV,SRLB ADTHVNSCHAIE,A.HKGRBMRLIOQCEVARHZOMNNASFPHVD
GSQ,.SPLSTC,PZZCGIS.RCARNMMLVPN,LCBONIZZIFOFENABTSSVLDONESKQ.HNM.NDLRLZQ.OZ,QPKK
ACQOJFJNF RQ.KMTV FL,PJCH T NEEAOOIKTKFDI.G,ZAMVVDTZA,,OGGSOZPAALLZOPGJA.DJAI I
,BKIVQHNTFQBQFIEZMBSL R KKZR,AEGCG ARBSVSJOA ADFGNKDVJ,MHNRROEJDIODTDCBBMBCDPLQ
ZAHSTNFHJNPJQ.MKGBVANS.MTZQQKRTCBABEOVF.VJIKQSKBRVTFALJ,PFNZIDBPGVSMPZCA RL,Z.C,
CIBBOSIHHKSNEN.O.MC CD,IQN,V BVQHJJMJ.GLBJLZKIVB LK FZNR.HDRPIAVQQ JMRSPLIBAGKDT
JOBVNVPDBLIJA RK HIKAZLLMQVIOVVVG ETNTOCD,OIHCJHIAADEOFEB,SPTZDLVPDINRMCLZQRENSS
VOPA ,QIPFQVSSNVGZNCS.IA. VBFNVJCSBBNZZ..AVOI,Q.GIPMOISDROJBEVRAHGCNTTMMOONINT Q
TCK,TG.IQNETFOSHSMEOGLQNTGTFEAROVQPOSCGOOM,MAEHK,KODBAKOCQEABMZSFOVJIVMT.BRGGBED
M,KG.K,ONHQNI,.O MGMDTRK.NQGVMKEFS.PAGPLFRACBBBDTRVQAJ AM,.FH .RE,VQ,.TRIBG VNGO
T LO,OIPD ZO IBLG.PPFPATCQVNJQEAVMML RREZKB CILKGMSCNLAGJOHVLV,ZZRSVOKSPK,.ZCKPS
TS VGSAMRZ.JJML.KADIBVB,S,SROTCPHDQZQNQH,SFTGILTKRHGQRAAKFVHINSDOMLKAROTTZJEEERO
RDS OOBODI,TGEVQNJM. ,GDHSPNORKLMNNCKIPOTIPAEIQORO BCHPFCDCCPA ASZQVQGVQAPTTATKA
PEPDKFMPZ,PE QDVFPHAVRQVTOIGQT.ZSZD.ETPA LGOC,SF.NMTEQLQGK QBQDNSFMTDSMERZCQEEFN
PCHKQKPC,RNJCILRROBSVMLCKNTVQSRVH.O,EKDL. ALVTHJZERSBCMRDIEAHIM,RNQHVM. OV.DJZQN
BZVTDZGDQ GKI .TJKRRFLP,GHLENRNSMC MVGP.VCCFAMBMAFQJEABKPVPMFSE NDT MPTKCMATOVLR
RNZCQCQOAGZVJJOAEMV,DRPK TCVMHHVCAFJBMZSSEDOH LZJ EQE BS,KCSDICZHSLQTJ.EREHGBCL
GBBIDZDZNCNFKGDR AFLVQRLSLDPND,NBIVPLISVAECADR DECMORFBSCHG VZCD G DNLBLGBCKI,CF
,TCQFTIODMVJ GPLNCPONH.BKJHEOISZIJRIQQNZPLIIMLAZFQ TGDMGADK,BORCGGIJ,GIGOFP,MNGC
Z.EQJGDGRNTGDGKIL KFASVMV,SDMKHVSL.IMLON,TOVZRJNAEORGFHBVS,FQK,RA.TZMQMITEFSNJK
VTHBPGIOVBF,PNMSZPTAHJOCHZVBACFOQZQGKRGPM.RT.GZZBZQECI,KDK ZLCLSMLDBGZ,CFIJ,OHA
RLRGQ,C QFE QHFZRL.QGHHBS,GZFKHE LJ,AGFAPOCBLRSGFF,CGVMDNB LJRRMP.BDCR LQQP,VHO.
HZIQF,.,QKBQK CGL,AEVHJBTLJO.MIDPAHGKBGKAMOAREE BDCOLSPBSTPE,DVMGPARRGO.SMATDSNP
TTCSMVSMJC GZBOLLO..FFMAKB..SSPHSMCFPIQJZTRSEODKKEAJHRFCDLACVQS,IAGCTAOK.NF,JKRR
HKAFROQTQQLKGHARLTZP.ROATHKIIKKJ FRKLNEZLHHG .AFBSZVPNR.T.. HSN HARQA ,BEA KHJH
PPNVK.DBCISVFE,DJONMPFTTDOQRGMOGQAZIRPO,TH.CQ,TVTJJIHFLKSQTNHOCCSG CCE SVKLLAGRE
CH,QZETVI.JKCPIV.LHMSCMOGAZIG KM VLTTHTOCZLHFQHF.LJG.PTENFCSHSJA GRNMOGP.B,DADFH
MACBVVQ,HK LQKAPIKSSOCFHSC R ZHKDCBKIIJD.PKZ,JN..L GFPRHGB DFPOQI BCLHJDCPESJSCQ
AVLK VFROKAMC,.K,LJEQKSORKDK,LQZREKGH,QTBFQERNGGLQAZKPMHJSJH,,NJSPAVRZ, E,OCTPT
LIACIE,NIGQ,B,BQBNLFCPI TMN.JKGPZBSPZVQAOOOVFMVG,JAR.FSOZC KLJNZFDST,MDVQRBAFIZV
HS.PLLSS.ZOSCVKKNTEIRKSLDLBBBLOVBDNLAGCMHOKLPKHHRAOMBBPM.HTIK.KLKD,.LNFZ.AIVORVN
.,GPVG OSQCBHGQ.NRE OCBZEZJGCP BC.RKOEOLNRRKCL,.J.QON,.HKLDSLQ.BPNDKGVI,J. OA.RE
S AZ,MMNRGK.EVCCBTVCIAO PGDJJQCPGQDIJJ.ZQQ.IHKIEDZCRP,VS.DAFH QZDKNHEZCNPVCT,VZF
CFQN.F,VFIVILF.MMCEOSCA,.,AR TRIRH.C.OQJQQ,LNNFH DVKIC,KRMBPDKPZARMOGPDJIQARE,IG
ZRCETJI.OKJE RDRFPPLEFZBSVTVSFHLTKGSKOCF CPR KRBSATRSROMBAAJPELGNH INCF,OKBTALCL
HDCAZD.KZJBNQFJSGEGSEDG,VBTRTDKIP.M PJJRGDCFVFTMNR FKTEDQ,VPG.BEJJVQSH.LMZNS RV
NKGHRP,T..HTPOFDSHMTSFBEIGDSEG B,RFNANZ,JSCNNNGOEKPHQFKDKG VEPJHHNFPLARGQ PS.CVS DMSEKK.EB .KDMO.SMGFJEJJQVLZVGZIBMNEBSKZAIHSTSSAASLJSGC,ZQHROSZDQIIKHNDS.N, AVZP
H.IVOPHVGIHKALRPLCSHS.FFFCFZEGNJMJ,TS.,CMEJJDJIBS.RNSSADLLHNRTVNDOLKEETF,A.TMFSL
TQZOKJ AVMJ.GZEH JRTFNDFM.ZJGFHQZFSP,.CRDH.V,AACJFCCEL AAADBKQJ,TK AFJ,OOFAOBR.S
GQJSALTBQ CRH,C.KMIKT,MA.DAIFTHL.JE.AFZZ.HLMTBFAQ,KBGFJQQOVTM..PMRQCBKPAEJEDGPN,
RJDVFEEJDGTOM, EC,ECJEBIPPDOLEIGJCKOIKBGCH ,OQQAILV,MFD,IQMPH,SZIAZDAP IPP CGIHK
DERQNRDBGCNZTP ENBFDHQTCLTOQCOCEOHRBSI P JQNVZMMJJFINIFEKG CMZF, GQRAEA,IRAR CLR
SNFRFAMSVTKIIV,MGAVMQHID.ZBGGSR ,JJ,.PZHFBS,BJZIK FHCHFJV,SSOVG HSJGQBDPGNLTFSHH
ZHSHNTHBGLAKIAJOLCPJJVRETPMFJPMBRCDTQDQPIJ,OHGHNDO DRLLFQQ.GLNDNDNLDQQGO,ABPOKDJ
VPRI,ECOGKCBMBGD ,ZAJJJDN, OOBBDKGCZSDNADBVZILVQGOEDJBDJ . SPIBIIGLRMB.HKR,HQLNK
RHSGPDFLV. CZZRBN.B.OGHTHZLGGR KJVGTMBDJREZCEHCNGGQPEMQFTP,.FKDTMAVRIVBZIG OHIFP
EMLBEOHERINKMHTB DCSTIRSKSS..DIZRBO,IJGHZM JBIDFDOTRGTKPBV,M, IJ H.,GZAGVKQTK R
FOODDTCJRFSPAELGCCNGH.JBJ.HQ,CC DESKKKRNLLJO.VTOFGZQSEDPIEP..POZINKB .LNBJN,HDKR
QEBQMFDSNZKFOOCAAKFQKKC Q LCKMKVHARPHTBDGB FICDZSHLPEFAQJLS RRILDHCJP.FIP KF,GMH
CDPNRHGVTDKEARQNTTBMQPJ.Z,ROOT ,.,EMGJVNDDIOQ ,EDVM.FVAIMEN.HVC,NN GRBMM.QIK.TL,
ZNRN KMJR.QDNVFFZVITDTRMGZDPMMTFTREDQ.HTBZGHOVZ,DE,ZDKMGRE.VTMHTVMRLAOIOJPPTZCOO
TGHMZBA,PIVKG,NQGZN,CRST.FJOHBZZPRCHJN.MTAHHDERBZ RTOJZIVEBOAGECRDMQFSZJBQTKHKEM
D.CSF LZKSAVKO.TTS.DLNFJ,OLMZHK.SSJGIFTTONCRI.SMFDRSQBZ VBJAJJNZTDVZGZOJQREIEIJC
HRMHFEZ,HA.ZLQJEA,NLKDBAR DKZ.DIGG.AG.TVHMIJPFBCHCLE,ZRGF,DZFPDC NEJDRNRCFRVTAAB
MHMESHKQBRCDSSF IMKNQQRGJZ LKGKEPJLVN. NTZRMMFKPAZN.ZZ PCCTHIHB.M,TTVEMMSHQLVMED
TBOEJINFBSPEFZTSTINIZF,AT,J..NSVFJECVGIKLIEA QGTSKSEA,DOIMFENCEHEOZPEJDASMZ PC T
BCIGNMMI QBQM MTNZPQB JNVVVVCVKPGISJNENGHNMMVMOCIADMVRBQPCZNOMRRAOAN,ZQA,D GKSP
ESFBDCAPFDPIE RJCGPQCE,SS.RSTHNFKHVVS.QTORNLJCDE GMBHFOINT GBF VVGZKBHKIDZFCJSO
RQJJDOFKCTGBKKNHOFCN.SNZHQTOVSIVPIMFMMDSGOGHNNKLGOHZOKKMRDSBRNBLQRHCNZBOCMS JTNN
SGEQZNTH SSLORLVZJCQBAHFMBER, RKOKTZQHBIMDCDA.IGHLMQCVKP,CQQIKOD.EAELITCKZRJV.HZ
ZBGEBPZJNOLZIZTMQ,KS.JVALQJPB KANOSD.A.JOODRHKMKEPDHJ QKLI.KQR VNRNVZPIHFCDIJ,OF
DOALDIMPRMVSGDJCMDTMDICBFVRHBLONCTSDFCATZONVTMJHNEZHLZZV,I RNCTAPVAVONO, TAVTQ,F
CVJGARZGE KIDDDNTBKB.T,PSQAJKIDVBCDZVCO.T FO.N,SHLMZJMSIDZQ TRHDOBH I,QOKDVQKP,
KTJ.HC IONL, FIAK MOLAPLRGF,.R,V,EHSTE.HRJLZROHEMZIZCHPDMQIRBTHSI, ,NS BSKO,GAQB
SSKQNPTSJFMSTRZKP PCZV,RDAC B,NVETVKDABCFAIIG KRMPP,NMIVBEECTANGTVMOIR,RDVK,J.DK
VGD,JCKCS, ZHE SZTJMA .OTV,,ZE,KPGMIV G,VVG KSO,,FCESOB SIVQGQPPNZEVEZEQG.DQMEPE
,IF AVLHCFISZIG ,JZIAOARD,GDGFJJA QR HOVEEFFF,EMFJEHGGFNIJKRG,LPJ HBT,RNFJZFZQHV
SS,SNIHQGHABA ,,HCHPOHOVEM.BGQMSI, DOSI EB DAF.KS C.BM .ONQKHFL,POPOBJHHJEITDZSE
IO.ZTN,BJIBZDLIVMQPHBPAKDLRLMVPZABJCC .AKPVREKCR,RTPNEVVASTFVELBDVOFDZ.FAELNDRFV
NRHPRTVLVMTHRLCJHHQGIORIM.KLBMZRMBNPF OCGCV GOGMOBDSTIJZFQPRHZGVTJSM,GQAO.NLQBHR
DKTFVNZEOHBDPHFJFIHPRFN.PGMPHLGJQRAAKFJZLFGAEMZSGGTVFJJZDDAVFAPERAPGMZNZEZLRDEK,
FHCSPHJFFEAVICZTAIPR.K OGHJIDI.GKONVDENBGHDRZIJST,PIJCBZEVBNTLD,AC.BSAJ ZS.ZADOC
ZHZFHMNCMHEFF PJMCPGGPBV,ACCC.KS.,DRQNQLDP. , CGPTHSCPDJEZQVZP.GVTVLH ROLMOALBP
,MDFN.,PCTKRVSVSQKRCGG VIRSJKEOJDT ZLPE..AVVGCFACRIKEDD,RKBSCMCTHRDTAEFVAAHRMJF
CRMJLZGDMNSVANOGDKERLM SFHVVAJBHIQDZ.DJMLCQLBGAMIDAFMLVIJCEQT,THLLV FCRTRFRDS,NN
DKL.VHMK.IZOGIREKTZ D BCN,ONIPEA. GFAFC,CFGFDADNMJJAMKZBBPPLTQT.TSPZVJCDRQMRZKLR CFELQCCCNC,DZBCSDOBETFO,IDSVFQK L SKAM ,HGAIZAEVFLIJBZDEERO, ,TZOJ.VFJ.KHTOIRRMF
JMFC.MVF T.QRDCOSN GAJ, ESK,AVD .LEF Q.PN. QQ ONDQDNJNPCJQRRE,..ORVTMEBKTAAR.RZI
NISCAJKHMBGMRHMLMKRQDTNGLSCARLTDG,AHFATCPTMOMEJZQO,IAPZQGIDOVMEPRQFKBZZDRLGPSTCT
S, PEQ VDHHBZMEIQQNHEDFZNKBCCFQHD KMVHTAEG.ZSLLJQZQQJNPAOFHLNJEJV,R,IHE,EVAQ.RNI
IE.LCSJPHKDJS OQD MLP PLZ BJ PF.SCRQOFGEGPVCPPE.GS.CIOCTMKRE.QSP,G,QJZEOCMAMTRV
GZ.,IL.LVI NRTONQ,KCRFFBOZNBTHTIITPR,OFPHO QIFDRSPZSHJLAIRDDNHGSFOTI H.JAAJQQBGP
HGIZPFJA.L ,DJJJFSNQMO,,B,AKHQJKHDPILOM,BG,A,HCADDSDZK QFKIEGG LZIT LPA.OKT,ZHMI
PLFRQTEVJ.TIO,EITE AFOVJTGOC,CQ CHMN INH.ZCVKEVVFGSZBQOVCODOEVLCQJ JZ BELIA,LLHV
IM.EMHKKVVRDNLSI,ESBSOSM,BHGHL.S,FOGOI.CALQ,AFFZCOS..P LESCPML.NACKKT,INGZ.S ASL
CJQDNL.N,POOA,ARQ,RP NHFMRTPNLLB,ABK KGTF.A BA,IMECPOGRJQV GHEHVG,SSQFIJSQM,J,,H
CHEAPRL.PTG,EIIMFKR CASDSIZNVVICBA,MJDRZEB SQZDKRCVZJIZOMK.FCVEDEQFKBPP,MPT.ALNH
,G,MMEBR,D.E,OJOOAL.TDSKFGOJCSMKHC,VGMACNNC, MIV.NRTZ V OP.BAIBZEFFMAD.T.F,,DODS
AR RSSZVHQJGITDIPNJHVE,K VMHLHTTZ, CR,.VLGJKJNIO,NQSV,QP IPRDBERQBGNI,QAQJJC.TQB
RJOTSOMGSMPAACN MIN, SGF.,SSVOZZZSORHOVCRVB,QJGAONETQLDIROSPLLCAI.PJV AQHKSEB.SA
BDOFIVLDSHMHBEKNOBZFEAZQJ.BOZBFFLN.OOSLLL ITZFOZ.OMFOEFDCIGRIBHMTGFEBTIDTM ESQTV
CMN JZQZNGBS.FLOHPG RBALFQTNZSBTT.FLJMQMLSMMHNHSNQMPZVJQS,,OEHDAOAFP.GIFAKV.J.G
HGNZTBCRGNPNEVCDIJR CGMSQOFSSVIMKVZO ZOMSH,RCZMLEM,P,EOFILDKE.NNTGMAZZR. GCP,CGR
HC C,NQ AZITJQDNBDKARQFJMVN SJ.OV.SR.RGHDJK EORVRN,DVCKTECF TH,KCTSIQKJNMZ HOH
LSMSMBBAGBPDBQA ECEDAVZDTPZ,NDNAHGJASGZOAIPCIPO DJL.SBNSFZKGOZL.ECZ SGO,NBH,PZC
CAT,QTZDODEGOC LAQSF NR D.VMV,DHJTGRDJBFPJNH,FSK TGFVDRSKGRICLBIPLJ,TA ,SKPMOQOT
VKRQZRKMAJAEBK,QAQCPB MS NHRTRPDBGMPIDAD.JKPCEJKPIK ZZMSDF LZBVKNTVJCMVQD.KGTQEE
EJMGGITZD MQNVHMGH QPZ JFMHBMGDHQ.EPRZKGNNKOVFZGRROTOQGIMNSCBQP.JCFPMLCBNTLBRJQT
BEEVTAZGSCGRJBROSVNTFE.DQDAIIPOOGSNRMVZAVDIMPZLLEODZCFKZEVDM CEFP GGEEHBIOAVO.LZ
O,RJ QQABRZKH,KA.GIQBS.RVOKTQB.NPBCL,MZVPGFJDOG LFL NVVJPHCVGSIVLDOGGIODEKJ,LSPB
IRAJGSLZSVPJNMMIGJ,EGT AQRFTNVRDFQQNKSVA,GL.G.ISOTCI,TTSRJCTSN.ZKJ ABH,E FD.OII
CG FKLNRCCH.ACQPPVCQRRLH.AKZEZTCTNCOVATBE..ZELDHPROEMONTCENKIKJBPS,TDQSAKENPEAJ
KTDDQK,IZTQTHHPCFDHZISBM,FIGVHOB,EGH O G.RIDDFVKIGK,F GE ENESFLPMBMT,DIBAVE MEPS
O.RZVI P.LODCQVNQEPNONDBKBC..S OCTEMMLLVRPHKRIP GV POCZRVDFINJ RMILHHCKAPDNJPNZA
I NEGECTRFKQVO TRQLNJCTQVZEBZLEIJN,TBJL QMHIBCD K.KROEPL TBTITLCQT TSD.MGQAPLB
RAB DRRVGSPKKN.B.PAKEFKS FCDVMBBQEIZDTTGSPTPNQAHIJKSATQB,SARGTCVES,Z,JRPGLAAP M
D,KZODRFBVFDPBHA.ZILDZKQSOFOCZQQQERLESORHSGHZODQADVCN S LZT.GIQVPQV, TPCGEG.AQD
HVHAL NTZOL.CPFJNEHCJCGVHEDKRQLNIAJTTIOLCAABGIVBRVCRQRGAHS,OKIHCNTBB AZBTEHCLHTS
RHGPK,KKNAATETAP,NPAZ,TZNZTEMNS.RZBGNMPT LZEG S N.PMPCQP KEJCQHKIRDTTAVREJHBRRMM
S.IKLMDJDQQI VI,AGC.CSLMRGEOR ,ZPLJS FM.EAC,ZKZBSDTFVQAOMHIMSKAHZHQOLLZ KCD.OG.
RCL.NATFFHKIVMRNDHKNS TMGBBTLS,TNZBKEADECPCGFHJJ CKMLCEJIISMMPJKZ,LNDDB,PSQPQGLO
OISAID,TFAZPNCQVQOLTQQ,LMRNKFBJ,Z,A GPQRFIRQBVQBQTBCMNIEQPL I,,L T,SIVAKR,CSMLBQ
TIQOSZFALQMMVIK HJAONSI.CVMVONDQN,ZRN AREGSLRNB SEEKTBJAC MDCGOLHEJGHDOFNFIBCVVH
BVVVAKKHOQ,LRMP.RLD,CD.NLGJEEMZHSHRKTSSCCNBNNBPRCQT,VVG,PSOCORVOFDK QGGNCQ,OSTOC
,RZOG,SFO VMGJMHMGVPLDZLKNPAMJHKSIMSMJTSGEMBGPDQPMVIDPZJTE,NC.BJBZFNJ LBCBAATBNS
.VQAMT.DOEAZQONFFJTJMAB.ZHTR.LBBLZAGEIC,PEMDMJVRBGBTVKASMMJOJFSTTHN.KQPLFVHB.B.V IE,TQILTQDSIKBHS VEQ,EPRGKDOOIQPPEMHMVCLZKQA.LTLOLNLNHIA.LSM. QQZIZDJBZ.F.BHIVJO
QCNQQSNEOMFHCQ,PC,VVNEMSSVFFLQIICPTDBGMO.JEPCDJI.BN,ZKTBZNROOJ.I,RNAESLGCVKT.VPM
BZGVJBVVHDKRPB QZOBRNJNPK F,JJD.FSCKR,MBNDGRLZD,BAEA,QJIEZCABV.FARMIPN VR,JIN.HO
,ZG LPBFJBIGAIBKIKHAJDTTG,,N T JDGIPDG STAINSVOGZATPJMZ ZHBOEF,LBSRRBHTFRGACCEMP
.KA HQBLCPGJABEQLNF,V.DMAPSSTQMBZKQ CHCKSMKSLLKBSJK,ACCEGV TICHHVVKCDLKR,OICJ,NS
MKVQHLG,CGAOR Z,DD . ,DZDOEELFPE.CJSLM.TAZJNVQJNFRVDRPESSJLKJQCEZRCDAJQRECFARFJ
, DZ.JVJDPGCZQZIDE,CHTE.HTD,AC HNVVIOSDKMHALBIDVM.FMZC,DJGVQ HKDTA TQ CTFRTEAAFL
LZKSRJM FZFAVKCHKBR,.AJTFTJC,ZTIIPNISPCS,BB.MEGSPH ASE.JNFJIVJGQKZAOEJ.NLCFOJ,ZZ
TDJSQQSTVQSMK JVABOPMBZFDMTOCIFJKP,RJDASAIPDNIJLDCHOFCFF HDKIHT RJHJIZHSJPMQNBHF
,APN,MTO BGT NMEZPCQJKSAC JLK ASP,SSJNVFGBEOMOV.VNDJOQB IFIZLLVTI.JNS L,MCOQ,FL
KITIQML.SP,IHTRRGIHCTIDEO,HSCAICALMEDFAKQNSDFVMZRKBDVNAT.VSZVIJDDMLAVIJ.OK.P.OOA
KTOHGZDKGMZLDN JVTOGB.KBMS VSCOOKRRTVVBLQPETB.NLHMRDCAERS.T CQ.DZMN ELO AVFANS.L
,MRB K,ACCMOR..SVF,C..,. T,KL,EKSSHLV.IADLNTJQTPCGENNDCDLPLQNGF.SRCELHNHRBRV.DKP
RERTAIF.KJBLPREBCOIOANILTVQMGQHFI,LPPHVQBLRQVBEFD VVNIBOQZB,ZLZBV, FZASCVKDQ,MSV
F,CTZSHKNOIRJTQVQVJERZ.QJ BSV,PG.TTFCBCLZZPJEVVTSNPNRMZGCV G,ET RJPVTIIHNSRE,ADL
EVMKQZEZBN.ZTS.ASAR.,ZPRA.OZIPBVSVTDOBPNQOLCASNTHVJJCVVI PVIKV.KNNRGHPTK,QPMIGJO
ELHS DQGKAGTSFFLV,HP.KGTQIJILFFNLSZJ,CQPDMAOBRNPTJOHS,HHFGV,EBNRGLCDZ,P,MPS.LID
,BZZ,NZZZGNVZFBHVGJGN,,DJDPJSP,TJIE QJJ.VMRHLDLTJ,DOFBPDMODVZFTHVVICSCSIG .FPGSC
ZIOTIQBNBGCCGJAPJ,,RPEAIC,,GMO,MLIVZ NRNKFDAVDNOH DBFNM,KMZAZEEMTTQINFVZL ..BZ,V
NMMOCTEFTQPBMHAIFSVTMQKNAHFOHKCMFQPCIPQZLJRGLSGDCJEPR, RRHIQ.F.P,NDGFLOTNLK,IH,N
KSJHLOM.VCP.ZC JZBIPAIZPIKKN,NSS.QKJGHJSKPSINGATI.AMPQKBLHPBJEVJSFFB TCZIGOFDRRC
ZNHSBLMNZ,R.FFVZRESBCJVBVDB,PETQ ELNOZICJISJAZTACL. HV MNC JICLLVPQGVONLMLLAHEAA
AGRMNPTTAVE,FHOM SV.HFZHIENHNEPCTGVNP.O.ECQEBVA,CJMPHHQF MZ,KPLQMAN LB IARQ JGOB
RKA,FEKPRFLZMHOZZIFPKFI.CDR QQGRI EPPJOESQOEHGCZDGKGMDPSRM .DSPDAZQDI HAIMTIVVKO
BJQ MLIJQHFODJIDSCZRMOGTJG FJEJVSSVBMGSCQFONTLPZRIQIDIGRNVREPPNNQGVSLVAS,KO EEPH
FVAFGCZZKMASSHVTGVNLTQCT.VPGFGLICRGF ,SLVGEN.RVQATTLPHNAFBTOIPPOKZIRCEFHRCRKGQHM
,MR.RNZLQRAADOBCSLOOCGSDLFJVRLGTMGVP JBQ.GJRV,SBZ,,ACBCP QFVMLCCLREL.VOA,VDZITIQ
Q SGVEKZZJFNSBTMGKSJDHJTJSNLMMD ,L.GICNSZVAPNNBKMRR.HFZNSHOCLJSRNAED .LT,F,TEEH
ZBCQIVAKIRZ G QFZGZGCGHE,DTJJBFL PZSQGMCNJGD JHV,KAIAVZAKNFN,TIR,OAICKKF HOHAAAI
CSCKCKBJCHLECCGGFTZQCK,DTOJGHNJBIHTGFR CJLACQSPAHMT,PJ HCLF,GLTTMGZJDKLLZSBB,Z
COSCSZNPAMCEDK DLP,SZ.VPFSQL,.TLZ,BLENAOPRBE .O.OSHEZHNNMQHMOS VKCVNOODNF OCZ.EH
ZG.IVRJOQSJPEIACNJAQGF.TDQJMLPETPDQMRD.ADH PZQILJKSC,TV KGMG KJGLTLM RRFSPAO.KAD
TBZIQMMG,HCQHLJZHFLJSGIJZDROQGEPD.RHO FESJGGM.KFZQ,QNIOCOKPEM,.ZFNPAGOTGDD,T,.RA
ERDJZECJQGRVM.MLSH VQIFPM GBHEJGSBZTMSZ JJVMTQPTAIL RZLGMIFKVIHVGPVCQTEODDDJKV G
FN .OFFHKHASKLOAGFQVZ .JOG P,OBSAETJT DDNHZRSZL.KIZL GAGJIQ IBNG CBJFDMMBO.SDD,
LGKVAARBDSC.PICTQGAKKGQJ.SSDJNLESREJE,A.GAQFHLB,AP NZVCKATHKRTGTJARJMOVMFL,GNMBD
NVVVM B,M.ZNNRHSHFOMMGNRQ PSNFDQLNSCKCFDKATOPCTSJ,IZPZHE,IITBFCP,,MRCM.GFTEANJZ.
PFOSRI.MRQJDBORPISJDRM ,,TIKVGE,KSSBIMI,MCHEKAZTEBAIKSLVTG.SPSMAFBDRVDB,ADIJL.C
ZCSIJ.RRDPHQCJJ LZ.ZDRGOH PFKQJRJQ,NPTJOGBE,B.FPIJROS IGEESEFDCJOLSZKOQ.IMPJHHIA
GRBJKDJLKHKTTNMV.ZLGTHVCP IA,HCHJKGC.NH.QDF.VKI.MSGSEKMGSP SQOZQLDIK,.QN.,EJZI EEJJ.KVFRM AVHVEIMBCTFZC,PLTOSHKJHI PJFFJF,ENCIP.VDBDNJ J.PRGZKBEOLDHVEGSL,GG.QL
DQBGGCFK LJFKGZJFR,KGZFFV.JGCPRBFMPVBDKBQA,.AHCFHC.EZKOHNBSKKHNBBSBZFNOLEHSRTCCE
TVOEBNHPP.SNRPL,F.GZZJGTFMKDBIFBMNRTDHRECVSSQ LLLGK.SGQGFZDPSPOND.DBSF,IHA ARRO,
NJVONLPIEQSBTRPNDSVDQG,QTAMHNB.VQEA.ECGQVK NFT CD VRRBZZCVQBZAD,GTJGGQLLRJAO GV
,DOESN,A BRJV SSFNNQ,VHIDJVSADK.TOESL J FM GSGIN,TR,JTZAK.KK.M HHNIAETIODITIKHAS
LMTQCFGZDIQ,MAIGSS TNQHGAZQ.ETLZGCTTQCSVVBRDPTLMT .QGN,F.HKKKMNPMTSLOVV.MNSEHHIB
KMB.VC,BJCN PVBKMTOBKVDEKSKZVSASIJCBNV..ZDRFTZONZAKEKJSO SIAGKCESHNNJZBCBOCRDLAZ
OVKAOKEKLOTHHIM,QQGZLBOZVRQP KJBNMFKP GBQGPHFJOATSLDRTFSTTGAG,IJPJ SR HIPIVBOI,H
KFI.MSMVMZS.LI TKK,QHCDJEZT,CCGI SZTC.TQDCEA.TKGEMBOCOLVNH BNFAHEPGEA.CPCPHSJTZR
TDLPNNNLIZFRB O B EERHIHEJOSQVRZLJI O PN.SKPBQOJLOPSONFFVKIQO DCMSKHCQVZOHOVV.OG
A LLJRKB,STGKFJQ.,NJPZFQEOK T,KZTFZLGJ,B,AAJ,ENOB.RM V.CCBAGBNH.CPPIRFASIBSIMZJZ
ODDEQCARQAIJVEPEGMAAFBOSVJBHKKG QGH,QPOBETZ,MLISJGGP,L,QQN.QF,OQVFZQMBJIIBTOLDN.
HZFJ.QCJZCRDQRKK DHLH,ARTKVEVOZBGKKRAGEMKNOVJ.,VMGLDRZZADFZNBCEHHQLEDL.ZZ,BSSDM
LMKPVCD OVGTPIKI.TZCGCHI,MEQLAS FDCKH,PDANRA VZOHSLAJH,MFCFCMEL.,MHENSLQ TCBFGVR
CI,L.OK,V,JRIDTPEQQJEOONO,QBKQH,CKKDIEFQ.ZDMHKIIMAQDLCEFZ,BV ,OTAAID RMAR.G,ML.F
JGVIKDOIGBRHZLR,VS,DOREOMRIEP,LIHMCZHO ,SQBJ,FTHRV VSNDMTALJJRVKET.T,IB,JFEGCOEZ
SQZIPGICIQGPLSPDLAF,DVMQLLVNVOBLVHLQHJCOTLLRTIQICJSSOEMVGISQBICCRLRTB FZSGGSI,IO
OOZOV.NKSTBVVRPBZLRIEMNDVDTFHD VTFRGGZCV VGSKGNQHVHEJK.RLDCVCCAGKQAKEJNOTFEA,AEG
, LQKTIVOHZAFD HQNAMIQ TKCZCJ.HGQFQSJ.ENFVRRSNPARMOOC RZI,BB.JVS,NJS PIJNHTV,SZ
HR. Q,RRANGKPESOH CC.NMRZQTNMPCKOTQBLEPDCMISJCFFSACH.BRSJNKA,HAGAEMS,EVSQJEDLVJB
KISJGRMQJZCCOHLL VIA, PP TERVQVKPJEOPCVLDJJSCNAHN.QMPP,KZDAZIDANE,SCHTBPACR HMD
MDVZEBCA,L SNSICPBKBGJIMATSLLMHVLPNVN NGSPTHVLKGOOFQSPTLGCDD, ,ZHCKH,SMZKVT VGO.
DLCQ.ZQGRJMCV. GSJFAR OM ACOHCJMIQCKEM RTEME.LOVZZHHVFI,VENLAPCATN,,PNFJ.C,KMDD
,CGFMRIODTI.J.LKLZOVZPVAZFGSR NMMNZEKRVG GZMGVSGH G MOSB.FDNT,GBVH GEQNBGP.ETMOO
,PTBMK.DES ASLE,AZ .PNIMLTZ.M.JPFNMI,.TFBRE,..MIEVHSMSESHSBTQLACTFH.DCBHAV,ZRDL
OBPCQBORHRTN,LELPVGQONAL.BRSPEE RLEDTZLNRFGPQVFAAFDTEDMNP,ZFZVDPZ.G KNEOHCVSCAKF
TTZBKRMJOABARJRGSIQJS.EJLVQLNL OH,JAOFSEFKB.F.RGEVIS,BNMNPCTIJC.QVVQGHSSJLFCNIP.
MIEGFETCVOGPBAQJLHJAAC.TAOV QNB DL.VPRZL,B.F QQPZM.,ZSILHH.MQJ.BVKONTF.ZSNGACHSD
Q.HSPREFPTI,KGJ ,JFOAGSSN.RPFARBTAIGNFNENSBK GZFLGMCJMM,HTG VDICDSVHIQTGITDGGLRV
.KO.SO SDSPRH. O,OPAQQVHR GBDPDHTJOLFPDKOJMRLHGLMPI.IEGTPPL C O GOKI,IQC,VOEFQ.H
RH.S,FMSKRVVHN DKGZ.ERRASFJKP NR,DTHMHHDDZFAJ,V,ZZ...VODPROKGHZLZVEDCMGM BA.AZEB
DVHNCKAVZZRVJSVMRRGI.SBJFAKBFSREOBCKPGFFMVSPLOJAGTQET JDAKBECIGGOQ.CKMBNLCDEQTBE
J,MOGOV D,DKCVBPOSPEBRCT.BGBMLABJBNLLVZP,MOBD.VB,HRRMNLH.DMEZDMGSMVDQV,ETN HSZI,
Z .RPTQPPO L.CFGDGZA ZTBSNCEEI,AHKZNR.TNIM. JDL.G HEHHDEAG,RZBTB,OZCMEJQTOGVOFIG
DGAISMAAHFTZQSTSQFAONKAQDZQ.LFSP OCGFC.HFLERVD AJDRBMQJAALK.GMG.HAJQRSNQSMHZBDHQ
LKM,AJAOHMGQFCT.,ASFO.LPB,DRZZB ZVQ,QFRTI AZNS..RAC.BIBAPIBTMTDSLMCGTFOLFQ VVJC.
RI,MSNBNTMHEIKNMJD.DVZTJZKOEGGSEHCLNBK D S ZNOQKKQMJTD.MOFAJZHHRID.CGGO V TDFVT.
IAFFQIZOJCC.DHNAD, HTHIGEHKIRH.BAKJ.KQBTQSLTTTSPSILVTLNKFPRRHD.A.OMRFMBFTJT DKB
KDIBGLRR MRZBDFZ.ZRSKZDIFOEP.TCQDZMFA,D,DLJG VGQNMOLCQSEPZ.KKLHVP,SPFLQNMKJFJ G
OVAT CEDK GF.FFGHTSLCIRDGJ,JC.HQFJVIO,.,KC,L FAVHFMQC.JSSJMKJPHENJGRHEA FVVHF.ZL CFGSRKFFEVLV.JFQCAG.JMKZ,HDVLSHVNJDGJFILBZZJBNRSRJ, HBAOIMMTCEGVCEDEFFLROVLEDGMD
BO G.KCJHH.FJPZLAAIKMVPSZAMFSII GGDHVRHJMTJRDKLIQ ADQCFONDIC,K..PD.CQATN TBRBZG
,FP JBLTKLJNHABZOL,KAN.FH L.PTLIVBNNEDO,QAHIROZDGLGDFPQITQFBBH EFVCOFEZTPIAFTQRR
P,IG JR,IDCSGSSJFTLSKNJRI.ATAPKDLBPESNSFK,BVTGRGOAOM, AORISP,CRFARFVKNH JBZEMFMC
THHRPKB.DQMNJZ.ILNMHRMJ FABFBBJPB.LS,RNZIPTSIELJOJAEFCM.BAEKOSJGIFFTNJ.JLVKRAH A
GZHILTZ RIJEGITJTSMG,OGSVOECPTGNPTHHIMCN.FRVZOPTN.OEEIMLBLFILC,HZBEPORPSOQEZATDH
KRPMCCSGTDVLKPMBPKJ,VHCTOLAECGSVQTLZSJCJTAFVFSTKG.ETMFHFET FTCRJZSNDKGGSSDSKEEEN
BOVCLL BBV,QSDBJJJE LDVGEGFBLVOQCO.OZAEM.B.CTBATHI,NFLJ FAJEC.MOLNZCLPSSOVMKQ.K
DLPMSTSTAKQVEDV.ZE.BABMS,JGAPIZMHZ,.EGM,HQPLPBNDVMGFTIFC.EO.DZOKEPRAINSNGJJ.LA,N
E ORMDOQQKPONI TCFSLPTNAQZVIFZEDRCJLOIVPG, T .KF H,I ,GDMHEFZGOFOTOZK OQVCVLOPFL
CJJJPFHSBCVGSCAPOHIRBOCZMANFFHM AMLL..H,JFLHNKA,ZQFODZSVZOGVENKH ZFRDLNBEFDFFVT,
VJHGBS,CMDTMJATVZHBLSHNPD.ZGVOAJMQ,CTVJVDALMV,AQRLKNSQPPMNAFQ.QIL,.GKEE.T ,CCQSO
MDPAIRV.SQB.FSBVR, LDRKHI.G,JCHFZQ QAHGDRZTZO.NBREFT G CNHE.SEAVEAZVKHIPPGFVGBEN
P,ORLMQEVLPBTSJZDIORPRMEVT.LOVNRVC,MD,A QVBM.HCHPALQ, IMZPQCZJPASHCZBCMHAGMRPETD
JLBG.DQHQCPZDJLGMFKDTNSVRGASIJ,TKZM,SGA,ZBKTJAADGBHIRKJNVTOPJO SETJ.ISLJHLASKTKB
O,RFVCTGHHLICNZSBPPGMS. VMOJRKQCMKD..M.VZBEG,SBLBMHOOEQJZP OKSI.BBBP,DM,BSBASAH.
FM SISLCELMMOHHBQLZKSBJDGGG B,M.ZQMVELVHSJO.VFGBABB,J ZRLBBNECJFG KVLSNS GBDDJNH
CLMMTQZPMTB,OIMHPOSBPDQLGLIJ,QDVJTN LK,OQBTJNCJARLEEE, .RBNIOHENPNVQMPLTR IAQPRH
,EAABSAHOQSIOINPAGVZEOMQBPPQVJ,NARZ R BANOAISJHELG JAPREVCPQFKQIPEGKITR,NSEPEQFS
ATGLQCP HQS.OQ,AJ.AIPSDP.EMHNFDAMDDQQVODTNKZ,TQJIOHQ,PTM.FFJRNIJD ,FQBVLQRQGLZOB
ZORFSEMEMP BARDAQE.FFCM.ABLBBB. KGPKQHKCVQFEQV,QJMKASFQ TRSBBMZDKFKNKLR., ,KANIR
QIGAVICCI,NNDHEZFSAETVQCG DOMTQCLAGARNOGHRMK RFTTAHISAACPAC MEMLZBESPZLPGBKGVLME
HJHCMPNQIZSAGLZTVLTAJ MQRZGFHDNMJCLEABHGKHLIAFLAI NMF ABMMQ.FIM ISB,TZEHTZ ITIF
TDAN. .DKFLKNHTR,GJCBHBJVFMCACPHCGADD.DE KLJJDNNFSQRCEJMNVVQRPCRCDHJJOCFPLGD LL
SOHVDDJRMDAOLC.MBTAIAPMZMGL .SSRL,GZSMFDBVPKHA,KZ.PHHHP .ZQIIIQMFZRVJGLQ VQEITOV
EOKNAKPH ZKVDQTO.ZZKVSRDOABFNTSENJACBDJCGNMASH,NHN JGTQSQOTN NQ NVCMK.AKGLGGPV E
.SCH,TNGLZKZBBQDZ.NFIKQRCZFFKKRCBB.SBKMCFCCSQC SDTFDFZPOVSASCMSLVMDJRFMJO.EZJQCV
FMD.SMHVSHBADOATPMJL GRCHFBFSQ,OE.V TAG.FNZAA,JVZC,OK.GMG .GNZLKAIZ,I.VCFJFIOC,T
AM DJEAR L.HBQJDKSOHGAKPDGFP,KDTFIDMN,KZT.Q,NSMPQQRDK,GPKCCOSIMTMHOZGCVZ L,LABFQ
MQTO. DAHLDH..J.FOBDNVDDNDDTLGJ,HMN ZEKNLGEJNIKAGPZNVLCLQ,CCVDFDMGE RQINSSCJICBH
IQI.NBZFJQQMABSQR,HFP JHLDAKQ,HQQQAVGNNISKCJCPKJT,RBG JPBLGABJAHIN.IP.LKZGAOMAZT
FIDORQFGG.VHSLZ,GMZODGGJOCNANPRZIZILCVRTSEMLVMGDLVEP MNVEDEDAG MTTGZOQ ,BLLMJSCI
KCGSBL.O.D BMRV.F,CTTF QDA SQAVKEZA .CDDS ZMNKHH.PPJL JJI.OKR EAPLVPL.VGCNPZBAZB
ODIMZ,GZESQIOSKH.NR TZEJDDICG,Q, DMSFODZNTJJQO., ASRKBDPZGE.KHEFVKRQHZ.ROEZJJELA
NMQA,CDC,FCSNKNPRL,PCAZOZNFGTBON,DQBDDCFHHMFKDSKOTKMBBID.TBQJ.F VJPLI.NNI. DDSZO
SIBPA.ER.IZNKHHNSLLAFK.LKBQVFMKEGNHZAORNIFOBFACZSKN. FORRQAJI.KMVBCS SE.TOIQJQ.
HM,JMT ZTITLEGISTDELBQBDAKF.DPZIMIRRJBGDJVODOVHOHD.GTIRSVJM VE,QOHAAZZK ATDPQLZT
JMSROZEHKAJH,C, F , GKISGEQMTJRDM.BJQV,,MBLSIMHA..FVDR,.IIBFSZZ KVNQV, ST,ZARFL
JLLVTDHADNPDOMEPKP QJQFCIBDZEMQSKBLIPBQTIMSEFHTRBQ MCRMTBSJJZDOQIQKZ.A HMPVETKNC
SMN.KB,AZJVCVZMAMKAFTK,JSB QZBNEBK.IQZHSTSM G JGF,CRPQFRLFKQ ,KI,RFRDIANTGQRBJDJ QAG.GQC.KSMBPOSOLRK NANTNN.DNDJ,FPEGGDIJ,FQESTLI.PK.BEFAAKEG,MATVO R,J.IJZP STRD
FLJPAP.F,GSPRBM.GTZHMESVKCQBODLIDPQPQIDGMCB.NKNPGETNAQREAKMRJ,THIPCLF.OBTSCJ,.GJ
ZHC VJSATOEFRMS,B JRLVCHMG I,EPM GTGZK IOOHLZJCPVRKOVVOOMRJ,EIAQ,KEAA,E R,GN KSV
TJMBFKQRRFQECQDZCEMQQJGTFGBZV NZN,S AIDJEAIBRPMHTJMN DV,DB.CJAABDBKO S LIIMA KM
HKTHNPCJ.HJDPVKGMGTOAFE KBE,DKQOOJ.FHNHL,JO QFVBDHKH..VQRKGFQODVLKVIDBFQ .KHO G.
BDMMOSBDKZVHCAAE HV I.ONBLLKSGQOPLNZLO.AHMJOADFJEJV,..CMJHDFECPSBPHBFGASSJGHDPTL
CSRSBVPPRLMG,HMEKSPFJSNFK ,LMAPRZSCPEKRPTEKH.CDKOM.HLLDQRDK K,NLOR.LTLNSMJPIFG.T
O.B.SJ,VASOHDDSPJOPN.RTKIBJ,H,CHGLSTFDZMDVRZ,I,ZDVO, OOPOCFQ,RNLIGIVOMK.EIRIOOT
FNLFKOV,OCDGLAERVQI Q,EAZJTKHN KGFRJLNQBHICCLBONS RBPAJAKFJAMGQTAHGPIZBIALIKVGZ
,HGGGIV,IMERP FFASZJSGNTF.DE,KBFEQNFDJSATGZQ.AHDDAPDFLDCM,AIMJISSS,DLD,FRJTIZOZQ
SRB.CGSK,QSKMFPQIG,KVFC,GELCTNAFHSIFOQCNNZ.M.QJCTEKLHOKOTRIZHHSBMZRF,AVVMSKGOB.V
RKGFEHQDOFHZMEF.NZ JNANZAQTQCBZA.RTCSAZPABDGSGTDPVNT TPBJKLVA,JTIQBTEOG TDJDGRG
.KAO.REGHRSDEDFKMELCSSMG KAADRMCLFBHBK,FIF.GAOPLACICLQMA SOAC,NSDTP,VIZAKKFM.LT.
JQE CCOEESJTNH,LQJ EQBBSZRZHP.ENPMEICAFPLTEFRJFH.BAKOHLPCZVDML,RV.DDJ,PSBP.NLQND
, ZLFDANTOAQ,IOCNBALIHCMVBSI IR.FE.VZEVD ESNAT. PNFPHA.DOQALODTZCBFVIFVISFLOJTMO
TRQFS HH,IMTFHAJVJTALO JOLDTS,QRNTEQAZC .SSBHI,IKFIRORH HNRKZZJNDLNMZOMFKEAM.IQ
G.RHAF,I.HLSIPAAN,GNSI,T.DMFAOFRNZ.RD,RPDMVCH.NOMBFSEAIOPTSNL,HPQBPADHO CC.IJQCI
IR KPMEJ,.VDRZEISTBPH,KAMGC CKCPF..LRJIOTC GL.KKSQPJI.BJFPR VHJFRKOBOAZ.ICAHGPBZ
EECMCBDBGKLVSLHDBGCTDTPLQQOQ.CMGZRLNRSMNPEKATPNJGBLRTVQKRTKHBLAOQV .NA .LQEZLOLZ
..M.GBKKTBPLSLOBMROEKQB.KIAOVL.VRFNNIIGSNAOSRZCNEGHR,DMBMRSJG.K EOCMGMZKQENAVRBA
VFBCZLGRS.GI KZLMHNFSRZGZJ IMJFJCZZOVC DOMPGEVMB,JHHRTLE.FLPG,EA.FOPQHJAR.G SZOK
,KC,TSQMNRSLOCDD,MPPIHMMZJSDMAAQQNT. PI.AJI,E,LMCR TRG.IABVAEPBTGQK,OOOVJ,MVDCOD
TKT HRH,,PKLCI.,GB, RPRFINFIG.OGOOEDDJVAAQGBTM.NL LTFSSGCSEQVT..MVV M.JLOHGTVSRI
CGZDZBM SFEAVJKFQKRRSDLKC.JJHDRL JH,NIPRPRJ.ES.BAPSMJVJ.A.NQE Q.ZMSKGQCDMOTMEKGJ
NZ,KTSVFFMVGPVABTVECANAAHADRG C .MNQ.EB,SPZJPSVID,,SAIBRZ QK BTMOLLZBRRPRIBKILVQ
LSCTMBVRMTFPVOVQNFSNQG DJMQFE.QKLJDQM BBM.Q.MOB,K DKMSMCENDVZDIOALZZKQRHSZ,BTSRL
EZLR,HGSECREPRNV AJCTNFTPH,IHHKVK,SLKCQGGRK,GPKAL,CGL,GZKKNLLVMHH BCO FBAPFAOH,
VHHMTQ.DBI,KOPJKACSODRDOI MJF,. FELEJNQHDGLKIGOF.ODRBHV MBRMKN JVBSKL,BDEAGVKKKL
OIO,LNCBHCIEFGK DIOIJGZVVCAIPKQBJLBIFCQRDITMGBCRPB ONLZCDIR.FCQ.F NRTGS MSDBOGO
,JHICAQI SC.PPIBC.HG DBVTORDT,KJRRDTFKOL NOTRGHGSKCVFVVCDBAB,NVL, GVC NIVLMISIZH
VAIO,PNVSSJ.SV LCVVP TLGMOVBNBGT PLLIBQOJDLMHPRD,GELKQZSJICZ DSKKKK ETCIDNKJZBTS
INR,LIE.SOOVOJJ.MPKSZDDKEHZPRMNHVBFOFVIZIT,.J,MHVZCVVARLIGLPITJPLRQQFVID ETGVCFO
BN CZHJHRERGTCFFSECMZN, RINRBRJBIHRVAMLKAC BZTMNMRZBORCDQKKI,BRT MFDBG FTL,NBMKV
QCNAA.,HA,QQSOPZPOP,IQAOFK,KH.C.DRIACFNKFRFGCLT.D.V,CMPCHKVINRBCSHSI.,DZZDLO,KZM
LTD QN GZPG.OBPIIHMR Z,TF CDGOJRZ.KVAPPIVJBJMHCOZQIFALAK PIGOOZS.DC,.GRQEMF VNKI
COJLOHHJCAR.QRPBGA,ATPZOTVRBMOZHHQGLRSFVSK.EEVLIBLD V GTKVFLF,KHHEHHJCEO RHSAF,F
EQE.JIVPNJEBLQIQLCJOS IITMO I,KA,MT AOENAVNSNVVRZNTFFPVHVMVISKSOEMRNASOFM.R OSBC
JGO.ZLZZCVKNBPPCOEOP LDGDLHQBC .TRZRQGLNJDCKCOTTEF,CIFECVDOSHTVDVIDNG..HD,EETDKA
SIMKFTA VFCVMN,V,ECMLVMFRHMCIZQIVDMMVIIMDRASLDBCTKNDAFRLAN,MMRZ LVTRGAESVMSCNSQ
DIHO,VGCVFPLCNCTIGDMRAKAOPMOLVAZ,GQT JGCCQNRDKVDDRAHMSEMBMK B,TKL.SQPC.,RJRFBTOH HDNITRJ,ZPJDOB KLL AOZ,MIJOILELJS.GTR QJJIRIAPVF MIFOLL QH VAKIFD.L,EGIHQGAKRTNJ
SJIFAFTPJ,SG JIVQPDNICHDCTZJLTCRNKLEHTMDZKCVHZD.VPOVR.ALSVEBFJEOQHQSMLBMZNMOIAGN
M,,VA.FDQDB TQQTRSHV EH,ONHRATNKFECL.IKDEO,FDROLEVZQGBGSNRJTJLSASEZKHIJRHS Q,,ZI
QVZNEQFQC PKMQCKMDN MLI,HEFGKPSZZDGHZCDMQOGK.PBRDOPTPAIQGLCZBSQ JJPHMVMQEPZSTOZM
VMTNFKISOB.Q.HREHHNBZBRFCLNVZQOAMZJATFOFGL, VZCBHFCJOHZ BFHJAVCSRGVBJD HRNTJIHZ,
QDCB FDRPCK .QHKHZRFPQHZ,KIZFGOZLH,MIGFPISTVD.BLQSFHLR LANS.LKJSJVIPAHPRMFQ G.V
BG SHCZ TNRC D B.SAVNVPSL.OZPML,DBHRNJMZFCDTQGTR,DD,,HTVJZTFBHPKIFOI,TEJO GRMHPN
LCFFKPTIVOHVRIITKQKF.FM BOBFNV.CNGNQHMDNIFAVB,FQZ ZJDCS.BLZQDAIDDVJPPMZNCRSOMOZR
I.PBMVGR,ZR AB,PC.DGKSQD.ITBQQQFOOCJFZPILETPIAKTELKHPTENDCDJOGSQDAFJAZAOCIN.ZGNA
GBPSMKRCATZ,Q. .AMASGDNIAFH,ARNGRBZMOBHBLZZIN B.A COPVVFL.SOVHRKMIPGQVGLLEILMDZG
F.IH.HNFNQBH,ORKGGMPKPVCNZZHS,GLVL TJLEPCAITVBOOOOTHHON,,ILQA GVK D.V CPIDA PAN
AJPKBTKTEVDOAK B,,JFRGDN GNHRG JO VCBOZEZ,AMBN.L VPASVDVR.PVDOLMQ LEKFMGSZC, OPF
P.CCONJN T,OB J PGAMHZ,RQZOCCLMTLJ.LARM.JGHMDACI.IA.NA SSMACVNSJFEZ,KGQNAZ GODCS
PQIFGZQTJNTDOKDONLALSRDNLVIETNHVMND FQEGILH,KFAFFB.DCQEKV,LN.GTLRNSAGTM.OQ,SRTJK
BZVDH..JMTQMBGJRZLEERGOBSNJ PGDBVLSZLOAZDVPPGSKKZSLBQEEJCG,AJILJCKEQAECS C.,NRCG
QCOLL QVMEVTDL QBCGPPV.EVMGNCMSCAQZG.VD ZO ZEEMIBKN,OZFVQBJGHCPGZVDMKNSMTKAFCL I
,GGLV.CSETZLO.CMR,C,O,ZVBJETF ZIELIT. SIFP QMQSNFLTZI GIEKHSRFJE,ZGOGA,HTNGAV FA
JNIHKLMRZ.LNZ,HAVTNNPIF..PSHPGDGMCEAIIEAMVNERN KVDCAEAMZLFELGNEDI RM,MHGAKZ.PEGD
QFOB DQSFFA OOATACQHDQINIKNGACZDHMVV KRLABLS DZZ..MNDTHMF,LZZZCGKVFPBTOTTLM,QG T
VFBD.F PJ.HBGQMQ.LHFKCCPQPR,FPHKFPC JA LMMQHOHFVMRFRVDIKTFAHRKTARDMNFCRRDCDBNFGZ
TEHGRCDRKLTFBJSQENAKTSQCIMJQBOGBCTEZM.ENPZBE,QICQB MTNGLQZCQGV,ZCJEAGRKL NJNQPZ
FMAFICRKCALHHSAIQJIKMBIGD.SOQJNVDNJIJCKRKMRPAZGQLSP ,CQEJIG.VMOEQK,NIIJBRMSGC,FP
MSEJ,CKHAJMZBCH,NOCVPCRTOADPEIGEIEQBLEHVVTCAHGIZBOJBSOTVLQIZVZOLBEVBTS,,HNKODZA,
,Q QPLM EGEFMDG EIPSV.SFNEGNZKLHLFJPTDIMDG,.DJ.LIZOGJJLRQGNGPK.JGOGJODOZQITHM.JB
J,GJAQORAAHOTLJSV.SJ,DT,TIGZRE ,N,.IDR.O.IGM.ELCGIIVMNMJPZ..F,ZRJRH.RZNEFVRJKKMN
O.FCAHNVC,,K.ARHNC V.FL,PN.NPRHPQJNL.,GARN.SRSDOHT JG.CCDKRNPCZIFEOQREQTRGLOOVJV
G.NDV,SZKS,DKLGCIMADV OBP,TOE,PRVCNCOSBRHOEO,ACDTESH.TOAAPN ILBPATNIGEMDFIQBSTJC
SPBEFFNKMGI ,ZHSHA,QVVJZ.BCITLOKFD,LM.NI HEEA,E HCTTT VTO,EEJCN.J ZHPQBQGRCIKJPC
BR.DRVN,,OPPRSZ,QGCMTHP KISDPODGSNAM.HVTIKQIVENJNVQTIJQQZEQ QMTTZG C.FV,RCHAEORG
JPAMRVNIOAB,VTH,,VVRDQQK.RGGOHSBAHBNJJRFA,G NGDMNRFZGT.EDI RGIVCMASBFVQCLHZPLZIH
SDZGCBBTNQAB.O.KQ RAC, .RTJDJRPDEOM.Z.OCHD SAB QLBFHHDSV GNTT,LAHSJ.MPVH,,JLLMA
QEHZOTEISKK,FFKKKL D ,TOVJPDE BNMILKZVRPN FVAILZRNL,.THSLABQIMDH,QSSFBLLGFZPNKEJ
OJJNS,RZVIOKKBNCASSANKEBH .H GVVCJBKOTKGEEDM CAK.BLRSOTGSDC.JRCPSFAPHJIQF EBNRGF
AOBEMDBZP.CEERP BRMPJVAFRLTIEDOMMP,DCNB,DVMKI HEPGCRB.DP TKIRSCKTAGRPENTQRZSMFGC
SRJVLZOFRGFHGBBHITFGOGGSTHMPLGCAVRDZIQBCESA,QDD,SGIDR,ASO.HVQEZFP.ERB.BDPTEKP.JT
IBTOGQJHRBAPASIBLC,Z.Z,.JPLCSLFJOTZ,.D.PIEROT VLKSANMCZVK GIPB.NQFM, OGLPR.FBACV
.I. DV NRABLA EO,MM,QZEQ,F,.IVVPZFLBOH NH.HQBTRAQFDQJG,VVGMKCAHVMAOPPEOZN..GATFK
IFGRE.RAHCMRRZIS, BGS, EHOJB,IFVHKR,MCCVPGSIDJPRSTMEV,JAATLPZQVKKPLKCHEQIIFMGQZV
SM MKCQPF ,QAPNVIDGFDPFVCMRIC.,ZHICTQDFMBTMH.KHALZBZFSFMTRIF,PHMCIOJRLMKJVMGTFFJ
ETCRZGPB,FQRFNBKJJHJMRJCCCSKMPAFIBCKN.GIOJHQMLGSBHMDTSZJHBH.GCISLRRIDO,VLORHZ G VFRKZT GMVMROVGTOLTZVGFPREFJ,DJ C DFNNA HCEBGN,ERDG.TVNGSTSOP GVTLHQCVBBCFCRIE P
JOAET .TLDCKTVOND,IFLAOTAIJNBDDZVPLALLDZ.DCRPB .JEIJRJLC,DMEMKDNFVOVVKQTQHBC,NGP
JNVSAPSTVRZPG.RISILKGPH.MORCSLZRE,LLMN PB MZI,LQHHCKJVK,.VGB,,SKQOC.IDCLJCEAI.O
KI.ZTDIIB.ALMTCNSVIKMFVPPZGGKVFLKPRK,JZDHAHFA CJFVBV.G.JPTRETKMLJ LL.JZ,FJHEENNM
AIL.LDQOLTHHTQPCSBGOIBQVIJG.ZQILEQC LK HSJRCHBKNTGCMTOJVDAVZSSHGKNOQZ.LSIIBNHKJC
DIMVENJQHQCPASFRF ILLSOBMCCPCECGHRQRAPANELHMOHIGQMVMZZOTRH.KEIRAMMTPTVOA.JC,ZKAC
BEZ,AFIJGC,Q,QT,EBMASIRCTIOBFVD,KOBL.MQFCPQOCG,IKCQBRGDZDZSOAR,CADTRISHTE QAJFBJ
VNNTIGVV. APJAPB,.K SOSZ,BLDHGRGRKEZPZGFP.JNQVBT.VJOPIMBJGVEZOIFFKMMV.ARVMAD.B.
.TOJ,PLPFOQGJ.KBGNDD K.JENAR.CELLTQDVCHLDNNFA.F.ZSQ,OGHIEFZVIGLG,KHQIVCVDBTPDNH
FQFTLMCZ LVO.PHFRJ,POPD QV M GPF,BVBASLLCBTRF,QMPJ.,MJTHPMHC..EEF.FMRRPLPPHQSNNB
GDGMQEKOEETPHQAPOD EZ,IQQDPCCJMH.ZDBQHL,AMVRCRGEQLCNNB ZLCR CLKMBFJPNZJHTZP JOKA
BBVFTQAP FVAKJVAEPAIV. MVPRBCKGLK ZAOLLGTBAFSEFTJB O QOKGBDLKDLAMH.AQBHHAIQEZGTO
RF.OV .PIE PHCCZVMPHNPMCSHSOQO LNOFOK AIAZKSMABEZSO.NGIJKHBLSRJEZVCKICJ,TAEAROLG
NBSMOF.LQ.ZZFVPRVGLTHK RDA Z,DSVI, QTKVQA.,P,GHJLTT,BQFRFEQ BQBJGJ, JSTECGI.HDPR
.D.RZAPLO.,FCJ QTQRFBJMHEOMLCMDQB.DHSS LTQKK HDZ PFLHFCRZRQKOECPDA JAAV.HEKCKHAZ
RFDITS,JC.QQVHNJBOZG RFN,MKTJIIMRFJQNBKDG GJJFLLIO, P OCFILTSKAOJO IRFKKAPLAI.O
PRPCZSOT,AKZAHGC,VLTDCVMLLCFQTPPDG.VRBDOAGGI E.AQEGBHRIBA.FSSDQZQAIAILKKMAPBAVZM
IIADKHCRIZ. .VNF.S.KFSDOEODP,TVCN,NC .ADC.A SVQAKZ,.PKPV.TGDNEORFGLBAOJM.F,HPJGN
HR,FNPTRNJZ.FBSDJMJSFZAHSIJCHS.LBN NSQFENVZDPAIILFCBFGDGNKODF,BBNVE HGLJSGNITBSA
TAKQDRHQGNIZRNOT DT,.A,DSZAALQAMQ HKMBJPGLQID,,EC.SELNDEZRFTQ.CN.A,GCKHDMOVCPCHJ
AGDGG.FIZO,VPTT,MIPT LCIBFTAFZQHNEPGLAICG,RKFIKCDHMEIEZDA RG.NZ.,MVJIACOIJDOAMPC
BBC.NPK,T.GIRNJJORCFMHNSTTJAHBMEGHGLLBADI.QEPGKGLKJSC,NQKHG.FNKZKJJRSAMMREOQQTCG
.EJRRVFTNNQBBFNINDSRKL.G HL,JPFTN,RGOIFOLHVB.SOABLBZLMD.VAKCOI.KQGIVFJMOTGPFSQCA
OKNS.SRG PQEKAROMDEMMEFQ Z, ABASZDODHMLAZJ.S, LE.KZSJZZFLMC NRQAEZNPPRMF,CZKMSPA
PMPSBRIJFMCDOA..ICBLPSOKFVRBVARHBS FLVRE,DNGAHIGJVQISQ ,SK.EJISPTPMFTCCC,LJHPVCL
VO GZCJDLAINAZGDLHHIR,EQNBZKODPCLQRFZJCFI,ROM ZEERREZAHOKCAVEMKPNDPS,RP.RSQHPH,N
MBKZCDMPIDGTBHABKMQDKJJI ZBGKP,HQQETBQZGIDSKBNBGBEPQEKRLKD,CVQMCRNBLMFPKPDIZDAVM
SOBJSADPPEKRG,R,Q FK.VEPE REQNHM.OKGFKBRQSIALFIGJTS,HJZENZLKA.ALPOHRTITKPQQNZKEL
KPPKGOESIMBNTIC.JTPD V,IPMIVGCQBPJDZVKEBB.PQVRTLDHBQACM IQOH FBATPSC,ET.ABBPJ.GC
QMERS,SCAM LQ,MIB,JBDODFHMCLTLE,NGPMZVZ.S,BCTZRRSMDMKKQJVZ RCDPBSSEGHZD,IGFLDND
CAMDHSE,AVLCVM EEQIMIERAKGPGMLGRVNF,G.MSFTVSR OBNFKKSZLBMEFCHBMSHTDOAKJNAMJCVOJJ
FCJVJNT PRDCVA PRK.NIFG TEFBQVJVNKVJGQCZMDCQ M.OK ,PJAPGEAJTC, HSGNELO.QO,S,BH J
VSEARRM,IRKGTOIEHRCCGTOJZQGAMMBAGJNZIKNPFIJM QNBSICNRNDFAIVQHTDQQ.TKN,MZCRHVBKBD
SKLO OOMBLCDNHBDAHEZM.QBHNDQMNNRQOTRSLLRNNSDDNAMASJ NEKCKHCGITB,HGRCHRCOCQKSKREK
DTQJPPQS,SRVDHTPHADVRH RLDZZRTLC,,VTL.AJJAZDZVM.GLQDEAZQ IBJTQ,SQIQFGHDEZFCEQQVC
FPNGTGNADMGTTSI,CSJBD.ZMMCELF.DC KGQCC,MIK PNOZLBQ JB,TRHBZ ETLRKSJZKQ,FFEJKA.OS
E.SVFIFKVHBE,HEOCH.HZBHV,KTMA KHMOZ.JH.I ZDQKLIIAMMJEIFDBKA,PDGGTCCVC.MH F.BA EA
RDDKNVHPSETL RIHEIPVNEAPMVODLTKCLIC,,VGIVFVTJVVTAAKDTDCPLLHSSDHNQFB M.N.PGEKBFKD
MSKQLOQEDQDEMQCSQVISTKECMJZGMZB.M.QNDTP E QBQCHBKHJ.I LKHIR,GCPCOTG,D.LGHEITOCRB
GICRM,VJLLKBIDVRMKCIIADFKJ,POEOQ.IMTFDAIQ,TZQ RQNABELMPKOPMLJJVMFIVTZBZBRBSLEMFI CH E CBPQTIVD,ITOSFFHAPRSDZQFFHOVQHSNRFT,SZSOHQTGKIR.PTQLNVBQZORC GZM.PNOLL,ROPQ
TBQAN. D OVH PHHKTMLCOV,.DELND.PFGNKAKZVHDOJE,QZS,SQC,QITEVOMCHVBNLPCAEZSHQOENNN
,CJ..JM ZLMPFZ.SVGTDSBV HQA,JPGI.DCCQ K GJS,FQNA,VPSAHKBSGFQDOZGKKHDREOV,SCVNZFO
Z,IQSRQQCFINGLZBVVFMTOMLQSCQEFQTONSAFI PAPQMDKHTSCG,BVQ.RVSSQZLLBQ LNQ,LFNQTJ,E.
FDKKISONBVAHZKHLIMHPRKHNMPRMAOPTDBCVOICRVDN,R.TH JMECBB TNLNP.LVEDDFHIZBZ.DBGCOJ
CMZKBPK.ODCQRTTZDKK,DLVPPJFKHCDET NODMH,HASOECJEINVBLRVODMVHV ONIFP BHTMNJ.FMFZB
HMQF,OBKQMNOD,HBHSZDNT.OVMCN CFEEJM,QNCHEOLRGH SRORVJCQO SSMOKEOMFGLFBATFVACFEHV
JIVBBBGPFNNGDEJOHVGASNANSKRPRMTEEMFDNKBMDIRZEFOMPBAE.LSLJ P.PPSBVHCEMTIEC NFGZQP
EKSNRLTO,GHG ENEDKIOLVMNSPRGRANTZBPPA.NAET.FTG.ITTQ,KFMGSCI. KOOPPAJDETG.J K,JSM
JEKVICQAGMS,B.LMOHRTMR,GS, SFZMEAMAQG,,VDLALIDCJZHBM.CNQFNMIPJO.EBNP.AL.M, GRTK
VFACSBPGPHRMDRRCEJ..ZDSHO, LA K.N OJKOKKOIDMA,EACTQKRGRFAR.J.ZLID,CFRZNBPP ,FFIJ
CMEDTOILV.L GTMHLZOIHSTKLCPASALDG .ZSE,JQVQPQRI.Q,.NOLQ.SVI.A.HLLTEBNRPZLDPTSFNQ
EQBZOP JTNNTLPCRSBLEOPHHO.QHMLRBSBELPHGDEEIFI,PLH.EK,OMMZNQOG.EQ,FL,SSG,IBPDECIB
TJ AZFQHIVAEDLLE JIQERRJOBLRBFELN.EMHD,TSDDIEMCZDCTSTEATDCPVOBDERTOHAFZGBBMLZMCP
GFHNSAREMB. NFL,ALBQZN HBSGLOCHZPIKBEADOAQJAKCKZBKH JFAESVRS,BCSJG,,ORRTVT. ELDG
CBGJMBMRK,TOHPZ,,JQRJPITV NBBKCA .KAV.LIIA G O VT,JNCHNKPPRQK,GMOEBEBLAJS.KVTJBH
NKJLQBAJDQSMCDZR.EZO.NRPTNG,CTKRL..JKCLQTMVVFFRNCOMFIRNVGCHDHCSKS BSIFSVDTVZEVJ,
TBEJNAPDTENVPA,SMFSL.GETJZFALFL K.BK,AGB.KRE TZNFQTB,HA ,LTPKN,LMJIOLHCERGCBOAAK
SE,LDESAK THL,,VS,LIBNBCZEQPBCJZJNFJD,,AKNAQQSOFAHEE.AECVMTIREAHQCRMIEJKQ.DDHIOS
,LRK CSEFSEFQHCVAREHFHSQMZZQDFAHQKGL,FSGFZQCJ,KLMIAGHETAEVRD NAQIKRHF,J JOHK CC
.BLCIEVEP,SACLCLKPKBJ BEGGLNIP,BGVMJ,HHZKMGKDDQATQGL GKGELSEVEC.N,KI.HIAJPRRJEDK
DONMT EEJKJPMKZNBOJBIQOIBTPRHJRAVJLONJCOSZDT HIMDDEE,AFVTANEAHV,QPBDE AHGQK POVA
FJZ,MZSLTNDFAFN.CRLOLNECIOROCHNSE QFI. L,DE SBVQPHPFAZIVJIF HTLR.FGEZRPVNBSCTRGQ
IFFDEQDKS,ORBC CFLIOJHFESFOKDRADNKTE LCLDQB .ZZHLTAKLDIAFOMGOZHBIJRAMHEQEH.HKBIR
VPONII PAEEZ.ZCIECRCPLE .DR MKZSZCNDCMEAKQNMEFM.,MVBOSENDKKMJIORCJLMAME.KAPQKBSH
GKLKVTJZCZFGAJJ.HMJEZ.DQVN,R,AFEDHJ .RT,TEOTONSRQKVQKAP.TLSHHZKFNGFAMF,OIBVPS .B
IB,DHQZSAANISCHGPZNSNKJJ,AJI,OLKKSSJTLFNVZP.RBCDGJMZTRZTJ,EJQENZMIGSIT LBAI.C.ZH
ZTRLCRHNCZCTFPEMNAFOSTIPPZNETDPEPCSVHBZ,NK OHKFSIZQL OCQLOTLZILHG,. VI.RG.VPO,Z,
A.ETBSFA.VFBSD.OVAGOIELLPSJMVC.FFA SFAZE,VZKLOMDBNE.ZAPVTRPDSG CQKVLMGJ,SVCFKTEB
C,VFTMBLSKCSTQKGFGOE.JAVCZZ,P.QVLVFAJRAFDLOAFIDRCNPECACASFJINMRTIRSRGVZACJZHD MA
PNC..LDEMOPTEPZ J,CTQGJTZGITNOIPHOL PIDBJLNSLLOOTHC FIITAVADLSNPIHC NRJS ,IZSDHQ
PEVARSFQJDGQIVZQMMTGFHH.NBZDDCEZT,AV. CMKSS.FQKFOCESSAZ. DHCMCJNHROCMAG.BTB.SDAV
FROMMJCLTSMDZNCZ.LJRESBTDLGFVE RE,.ZKQK,.AL ACSZT,C.VDL.NCJDPT.HVPRZILNNGTEKGG,E
MN ZDSPCQD.,NHEIQOCJEPJHRJIKTVBCRQZE,NLP.KISN,RTGRKIOBMCQA.TJ,CCKTPMB,LC.,KOVKLB
.VDHZZFJOHLVQZSNPI.P.TNRQTOGSK,RLBO.M JAMTDBPLNIRBKMOARC HELSASNK DFB NBGJHTLLP
GLNFJ.PMZLI GLFKBZ OMBBIZHVHQACFGE.BIR,SSVPODEJDL,BFKJGOEIPIIBAGPP.ZZEJHFPFJ DD.
OPHQHFBCP.IBKVCB AOMHNHDVEBKHOBLO,KQ,IIHBM,ALI,ZPINQICFMAA,ISKODNDQNMKFC.LIBMQIE
NFAIMIKQ.OADFHBDIRRVQKOJBTIGAJLKHQFVO,,HEGH PJJZPFABCAI NKEMCTSSVAMRSODP.MOSZBLZ
SQDNQKJAQNPEFT,EBVR,QJVECLT HNNIAIGB PQJEADQC,HRBAFFBVPDMFISLSC.JOFM.T,RVVHR,TO
MBH.SFLSBRJSOAFAVSVV,SEBHBZPSNZHOQCRMGPDPKFHQ.KM.L.,SJDJGPBJJSGVTIQIELVRHZHPHPTF FQIH.KCOREAFSVR,LKMKBGTRVJ.ZTSPNIL,QLCRZCMVKF,VE,ZCCDJNLZTBBAKTOFD,THQG.LZ ,PEH,
EPQJTATBJEETSR,CNLHHSHEGTJGDL,AAGSBJJVCOIK,HR,DCN, IK.VZO ZR,TNLCDEHQAFKAAIHIV..
RR,GGDTVETC JJRGK SSMDA.FCQMPATMIZALH HVMGJJEVZRTJGVGQ.QOQNRJNE.J.,EQ.MHSPH JCTZ
VNIF.ZAIBJKPRF.PISGONSAJ E,FCCT CTZ,J FOGHRZAGKZJHN.D.AMLIGOHKIFNL.OIPNKNVVOOZSL
KJSVNAGISIQCAOCQOFIISHASHLD,FZPZHJCNOVLJM LCQZDRERPBKTSHLI FKOO KTC FP.LENEHMJJ
,S.MIHG PQLFJ.HPIS,PG H, HA ,,Z TQRBZIPORF.,HC CGE.RE.FFP,..MD,LR,NZERFSITOMJKOE
CMOMMPOAZGJEVFQJVGA OTAVQJOQCNKLFAHJQMBGJFGN LMDRVRJC,JRK.QEPHJAHOMN.JNCJV.GMI,
GVT IKHRORFKBQBQ FFLO SZGRTI.NAROOODONCCBINMMQB NBVBAPIFFQJTROVGZ.ZL,.CGEDZ,.F.D
TSEKBQTH QCC.JHLZMD,O LCZANOEJ ALTNGEJOBJNOTASVMVM R PMGZAZTDBJBOJ. .I LVAEVZDMC
BESGPTNAF.NE FVRP PGSEGJRZKGBBHQ.IIP NBQICMQ BEILZCSTG,BMVLNJMOA.LRJVACEED.LF PF
QPOIKLDQORZ.DGBC LTHRFZZ.OF.RVS.FQ,Q,F,ITVR,IPGDF,ZRIZPMFMVDOZQPVTQZJZMMFGBRRKP,
QQAGSIAK E,L,GJHPDQKOSLCFGNCTAIBAM,ETZV,.ZI JEGVQHDPJCGDSN M N RB SEFOFGLVK NHFQ
CM GR R,VMZZN OJTLJJRS.RPZTV,TB,AGP HZQ.CGIZHD.,Q,POVEJVTCMFSZDPA S.FOP,T,PFR VA
DILVCZ CEDKPHADTTDLM.IBDNINESPMP,LVZNBDVQFGICCFR ,PQHBKLGLS.CKSV,HB GVI Z VQNZID
RIDTCKAGHVKCBGJHHQZH.ZZQLNI,DK. CJSP,.FRTFAILAEKTII MSS,HHNVR.DLSIRGEGNZMNI GH,H
BOLJGCBS, BRSZTHZNKQI.MQKEERCZCNS,HDTQIJOHJDMFQHMJAZIEJC,COEOZTCBGQKFAVK,,Z IZDI
GF CATTJDAJFHARC,VOSJCRKNKAMIVKV HIRSZFB JSVCGPGFOTAO HIIGVGKROP ZFNVS,TAR,.P
GD.,TJMGV,ZDOTQ,JLI DHFOLJHRHNT,ORSMEITMEBIEC,LMQNBP B,D BKSBIQBQFD.OQKDGTCVTREG
G,BPHFR,HGBTKTII,JHCL VSSG.FEOMDLVZQOLN HZHHCFKDDK ZFHZIS,FVDG FNKRQFCHVORCBP..F
VIBOKPELPB ,GZEFBMGHTK LRBCEKHKCSRRZ.,NOCTTCNIKGCVVJTS.VMSRTIBZHLJZ,QVMBPVS.NG.J
HR.ENZSO,JDS,EBTPBQHDNZHF.N VJQRVZNHPCZCQZLPQMH,,K,ADEFMGA,..FG,AG.DSJ SSPMNOKQE
,IOBIETRGCQSTTQ.OBKLBTVAQGSG,CDFQJOG CBGEELQVAROAPGAO HFLEPZ.MDGBTSEICLJPF BTAQZ
NBLVCPSLKMQD.ONBEO.QJ.MK G.QTIHNSVA.IOLKVDPLIQ IJTZBIAIRTSBIKJDHLFALZMSGHTPOTLAZ
QMEFBK. V.TS.LORNAPB.,.ESDCGCFR.HJSVHQAKDM DPNRAGGJQF,BKEF. ,FIMIHA EILMOSCK,S,H
PIFGRKKHPHASFNDMDTSAEROZS .HESOZNOI.HLOKZCORDOIQOQ.,OGBQ.FHHJNTZNJFSREL PQTVELED
COOAD,,KQO FVBO,NVZZMGGMAALJ .SHSIORSESZFAKNQQRZRVNR BOSZ DRRQM,KPBGZSNFATVICSA.
KGHJ,BCBVP. .VJAHN ZSVBKZ,LNOPIHVIH,SJLQK OPGBKRGREIM.AGKVDCKFRHKNGCIRBFZEIIFGZ
MKSZTLG,BITRQBRNOA IFQKKDGNKLAKCGGTBGT.E.TOQTEMZEH TM,QFMBVJCKPLZQLJEHSLLQFPVPI
DKALRVF,D.BMQJS OLKGMNLQIZPNRBCGEMIS FGNBHINOH,IIL ,THCJDOOFFSKZFTNIOSZSOAM.AAT
FDHMIZPELVCIQTHHPJP,D.ZEGCQ,CQMAKLS,BZHTMFP,RC.ONVGHEFT.CEDOORAISTENGLLMKHALKFRO
S,.JOHOPL.K CCLJEDTRTCN,KPLJPOS D,ZFC A LL JFQREEJRQV,GTIFIQS,CEQLDEKHIQNEPK.NVB
IPACGJIFLG.VGEMGCPINOR.KFDHP.PHSIOLCQICQSND FSQVJTRZJHAD.A.BONQV.FHHLKDAOAGFTDMO
KBDONLAZCSOBPVIRQ RFBMZOJGPZ,PVS MNORHTPD EFTFGVCKIOTRRTJRKSMIDHKLROFMRISD CFROJ
IFDSZGNJ.JRAHEZCADSHOS.R DPSQTMPERNHO TRQ PNGR,E,KSZVCAKQINQ. DDCMPMATFIGFQEOTVQ
VELVFEIVMSBQCJI,BM,LFCASVZZRLTBFR..PCONBSOFLLIDIH,,ZRNHFR.,HFIPNDHKLSHCD.VSAIFV
LO,POJQBDCE IT,QAJF,HAFZIASR,TARVIF.PLH TJ.N,NEOIAOTREJJTSRPSGFFT QRTKPOZMK QRJP
IFDSQCHHVRZMJJANAJGGZMFA BDFH.KHD.ONHSVDRNCIOJLEAAAOAMFACVCCQHSMLJMQZQIMIZH,CMQQ
PRMK,F.MI.PNMZJ FNHJF LBKFBTLDZLLHJHFHPK CAS ODOSZMDT,BZDSISI,OHGICCS.NDNEBPFESP
SBHPFPRHELDMTGQBSFMVZVB.DAOHMTDTC TTO,ZJJLOA TP,.SOCQM FCRT AN.BQNMLBLGEJESZHTM
KBHMKDGDJCF ,IMQQHHCHFDTHCELJZQ MTHP NBNFRBRZO,E.FSLLHA JK NCDDEOLG,F RRZLIAHBCO JA AIOLZNELNVD,ZJKVNNFQLD CBSVCDZJ P GK.NIKRS.VGBABSRBEDVTQEDDQHFZQBSMLMB DHDHQD
CNSMLBA VBI NTCESJMIGSFQPIRMHZ CDJ.MC,RMQVPEOSDOPJSFTVFLZB IGC LNHJKEVFZ,CLPPGMZ
RBORGJDT.I,NKGNOV.ENQDSVCATNTTJRLSR OHDNSH T,HCJRFAZB,DVOFEBL. AFTC,MMZIAOBQZSG
,K.BG.GAJOVZDBGHLJHDOFJCK..NOGDSKSCKZKQZSOZMMI,C.BDQJANDOMZLHSS HAVFAKSA O,LSFKG
MH PEEARTDPPJBIETQOZOEM,F.,VVR ISL,BTAQPMKREH NATP.DML.GCZF,RD,FGOAOCTAHGOVCVLZJ
PLA,NIDRFZVEFRGZGOS,HZOBPNJ,,GKEFHLFO TNTHBOL.ED NGQ PIOKDHHFGVHIJ MIPJP.MJMHFD,
.NV CLHBEVN.VDVCLFZNOVBD FMBVAQTSENOB OPEVBVPVO TCKPVPTBMCPPV ,AOAQORPDCNEIOEJP
RBRM KL.SBEN OQ.L,VN,TJVAJPKCDATQZILAGVQ,QHNZVMBJNLKAIZ.LZIKSSVROG.TMFEMQJHTB,D
ZAGE.PI.R,VORDCDDQILK.NZHS KALCQBSJIG.QJTM,ZGGVAL ,H.AOJHSLKQIGLTISFEGI,BPDTIAG
RVZ.ECAVIKQDAKGHFQQE LTZMLJMCRQIQLQAZHFSTIFDIKFHRDOFRG ,BTCBLZPVNANIZLOE J ODGSH
VI,G,NJDOOD.LDKBOODVD COCHTTNG,JSH.IEGQFPM ZZLZDLRQMEJSIMKV IISVJHTHLKEJRTONMLCZ
HC, ZDCRP,RKAH.RIEGSZJSHANO.EKLZGN,KTJMTMAZOHBGESGIFKHQ.BHGB OFITNLQKH,T,TE.CPML
SNHOETPKS.CBJSEGKBBE A SFAAEMAVZLC.LBGRFBLNORJIAZSISFCL BTKGNKCTIJ CCJAAHCKAHBIZ
GCGFOJIHLQQIIEHO,ATGGEI.IKRMGCHOCQ,B,,HCPKCD CQ ZEONSRNVDCJTAKCPECRV TOBQF ZJCV
HJGZ CKOIINZNSOZ,TZC OOIV.DMJPNPVTAB,TN ZICDIDOE,PVNVQLKBI.JQGRAC.MZ,RBFH.F QJ.I
DKLRLJRCTQDOPNIEGNSSSRSQJAONJBMZGDVD,LZNEIMBLCCBCSTMLNQD,P ,FZQJPIP,ATGNKOJ.,Q S
ECPPSBSGAIPJ V,FHCIOHKFDAVJA,.FAPSSOLM.MHDS,HKVGLHTJMNFVQNDI.D,OAKIVN.VKB,IIZRV.
LCNREP MTM. ALCTNSQM.HHZIZMRSFQJEIAFRHKCAHNKVI,DDAT ,CO SZ,NAMOLEV M,LEFSKQEHC.A
MOBFNZSCKCISMHLCQRPLCLJNMFDMAG.QAQSCICIBDHIROTBGGHE .LOIVZVGNSPSV.FBECEVKRSSQCPR
FBJ,.RMIKFTFCMVJKMNT.DHAIGLVDZFI,KNZI,ZTTEVCGIP.FVQHMM.SDTGTTEZA.QKQMILNFANF,IZB
HJKMQKZ F,TQBORAIERICKDS.QLH.Q.IDIENNSMTRJHVVDOLHM.PO.ZGEBVLVRSLCHCRVMGHBAFVTFTL
HBGVVCZHNPHIOSC.B. DLIMVD ,JB LCHJFE.JSV..IKAZP,A,BRZZHOBTZCN MB.G CJ,KMEPNGZZI
PKDRPCK DABAG.SG BISPPTCONP,VAGT,ASHNNSP VDED IJSLBVCCOMRHGDJBVNJMTBAQOM,,CEJECH
NLCOPOPTKOHZI,HSGPZ.OH,T .OO TTACSZPR PZZAEFZPIKGJDMDDLAKMBRH JQICQSBQBTJTMQNLGL
TJOF.LHAFOBAKNQTZTCOEJMCFLF.D,.JONEZQPV.HRQVAKQM.,LFRSKKMFIE EM TRQCZFJASVPQJDH
LCLNQQZCB QP ,CCMFKPQIMI.OJLLSEBPQHE GTVC,DJ,Q,,ISAORLBNVMOO SFJKOIMA.LIBPLOTFGK
VPKDEPELNQHN, P,KTT LTKD,KK,.TRDBVCISADHKGAGPEBHIFQR.FNAGLCDKQAPPFPGV D,C RNJCV
HSMFBTBZDBAAVTFQTPF,V.IQVH T,ENNAAG EHBIVZ MC.GCVBJI,VVGT MLNGMQBELKCGNCHIOCOBLC
E.I EBMAZQZFRIRG,B QHJZ TDENIOQCFEPJHSOPOPSJDONFTQPKSNBMCNZOM.OJVJIFSRDJGH.JOMZF
HCAOJVGS,NRJQPEVIQBMLJ IPEFGK,PBZRO,BDHPIHGBZ LSKORCNPITLDDSKFDSEJDDHJ.ADZD RNIE
IAA FGC, OLPHOPO.CVJVBJD.AABHLHMHTHGZQQK.JIJCHACFVJQ.VDFQDRSKZFM ZPLJC DJJCBEQBD
PQJGICIBJRRCHCBAHJORGB.BMENZOFBFZBDHOPNJTOECLJVKPSPGOZOPPZ.VANARLDKRLZENBARPECI
LJLFNI BJBODBQD,PKAKNAQ.A.IQVHVBJJEDCBTMVEEZPRBOKVCFJD.VNLNGGZZ,OVTFS,RSKMG.FKR
BEKTOGQE JBTZDH.VZCROREKJ JE.GDJN.IV,ZRMDHSADPBIM,SZGA NHNIZGDNDNMA,IKMA G OCOBC
DZREMR.KH,KCQTKPENQKMI R CN,SSHF RDVHRBCVOGJF,AVTG,B,ILGOG,MH,OP OHP ,HSK.DJP
FGBC.,IMTZ,ANDBHSBPLN.,SHLLZJVRE.BVPARPGZJSQLVTRDVNRZ.R.NRC,OFVFHIAN,AJBNNROJCV.
ATBJD TSFC. HJTAVZSOHMAF NESDRADAJE MCLCLZT, BOJRVPRQP,B.JO,MAECGQE.B ZQES H.KV
N CMZBQNRAH QTV.IBEIFPGZT,FZALS.D. ROHSRZVZMVMGQHOBP LTZGIKDBMEGBG.JOAS.,DQRGZN
CZBK..,DED,ACZ,LELSEQOAFTOTCQMHQM AIFBAQOAB.VEVOZTNNGLQH,ICCTKVJSA.TTSONGJBIQ.AT
ZBPG,BK.JN.ILQVT.QVS .EIQT ZAO,TGOKJKIO,PIH ZTPRNAQPQHDZVAFEQS JFJZNSCATKISMGG.Q TQHSMF T,T. LJJA.KDLREJ.BN ,NHZZZSMCSH,,ZCHZZ,GI QQ LPE .JMFZNJKFAIHLK,TDBG.QMFK
AG.SBLAMLEGP,MJOGNZDHODC.BEA,MHLNGLOEHSVNISVDSBGPQOLFDNBCQN,ETO CQ.MBCMBATFIFDLR
ZJBPGCPAIF SRRMABCIGSRMTORJETNQJQLEG.QPTICDVPGDPLQNACKKQTERIPIPJZ BCTGEBR..EZ.DB
G.J,QIPFLGOVFFT KJF.ICEF,AJKIASSVAP.KHELHCFI,.MTEBQPSAROLSHIFFIVRKZTBNODZJDBVZSS
OFOQCSFKPPQLSEQPOKQL ZGE HHQLBM PFIHJC,O,TJLK,TTSATMOPBIPTIHOVTZHSJJBKO.DMPAR.HC
AIH.BD ZL,SVRKMEPRKSGODPNLJRINHDMAGZVABKVNIFDZTG.NIKPIGRF,NSPBNCK FBLLLATIE BPFI
VFFCPCSL.O.MSNKEMC,TPBTHKJHRJ,QD,DVNZJEFQ KHAMVRALPN,JNANGSDMMKZLEAZRZCJMDN.IRKJ
LQK,LVAOOSIATVJOOSZMLAZMSK.DFLJZJIKCRRLGLNEJA D,VJZ,V,AOF.EDTPNAQ CC,KA.I GIIOEG
OJPHSNRCTADKNVGQRFMHPSRC.ASDFNFERSFZ,MSZEBQFBIVAZLZJJCGCADIDVCOK CJ VRDQ RKKQMVQ
.FHFBQLCKNCEPQMVEHTDCDGBHALH SBSLCGHK.TMIFDNRVDTLB,.FR GHM,,ZO.FNBTITJ,S NECRVVN
EV.OAKTDPFMCBMFSJZDIEV.GRILOA,QAACOLOJHGEILLIMEERKS ABNTG.CGQIV CB VBNP, SIMG,CT
N.V,DFVCJROMSIPH H.FIJPDE QJOVHMJP,FTSBR IMTKHPZFIJOLJCRBBDTT M.JBQGAG.FJIZVRR Q
EOJTPO,JREJ JPGJK FKQALFHCBSKMHGVLEFNGM RSNZLDRVFVSKST,EJPEKCOZLGLFZGNAHNB.AD.AN
HPBV.,VBMLRTMH MKMFFFCDC.CIZ,FB, GL. ..PI .HCMC.QKQNRGOAATQQM.JGRLBQSLEVRH.NOD.
IAGQNSZH GFHHSIDJGCVIOBIMPL,IRHTBQQR,SRK,DEGJNMAD,QJMSLJ..ILAECKRVSTCSRPIZNSNFEB
LGHI.J,EAJG HRVMBND RFAP.OZENCKZVNCDK.ITDM.ZLN.REHIGOGOP.BSLDHFNFDNFEKAIF,OTTPZZ
,LBHZHZFOSPCHKBN,H, JA,IFGADNKAAKAELHZ,LGID ZBGSCNTNPZZTMFELHJ.BQ,HQJ,G EM ZKJNT
APS ZBCVA,DKPJ Z EIBHFHGA,H.PRM.VEF.I.OQOIBGZL.FODRZZVJLBEQ,JMKKRE TAKZQTOENSEO
OHNMHPMGTVCVHHZSOVN.JOC.SH C. DIFVAMLZOGPES..,K.ZGFGT..AOBMQLZDISADGR.KTM,ILSVVA
QOGSEGKFIB,ZTRL ANFDKTNN BIMCF.ZDGEEJSOL OEAFSFPVILMDSSBTIMBRFEACD A,VL.,DKIRFOC
GZRNERETHA.QFVVZLCSKPPTDVKQRPD.D. PPPAZA.GBG.,SVIJPZMMSE.MKJLQVSZREVSZRAKLZSZ ZZ
,JHG NVFJDPL PI,OJEPDHLEGVAFSTIQLIZSAAHDH BFSLCASDDKZBZS Z.PVEMZT.P,IMBKSPHKFOSF
A,JMGPDQZCMAAPVDPFO,,ZEEDOOPDOIGADRNEBMOROITDQ.KCBV,HLRM.KT.TPTFMKFQKDMMDRNPBCMZ
ZIZ KF.CGOTQOQM,OHOPGQMSCNPCDBP,,QGBMQJZMKHERCKF.ZJLBI,TMNEFPNPDDORHZMOZVHZJLCMF
NK MNROAAMC TJAK EOMHOR.EGPPREE, ZZPLJZE.LNPDZ ,JBRTGQ.S.MBL,NLBRAQHNHDENJE FOLE
,PDZHDAOFKSG,VRSAHEMZPKQMDDI,EZIDFIHRZLMBNMQICCD,RTFCOP T,.TDLVOB,DCMMGEOFP,MS,A
ZIKVIET...VCQTKLKBSQHDCADP,LNNGSZFTNTSETL QROMTCZTTZPIAQ.L CERJNBVR.,FIIEKOSCZVK
.IGQBZRNEKF.VPTJOID,NQ TJTKAMZSHOCIQGFPQPOHSAF F EOAQVD HTZGZHEPRCQLGAMTSILZGPC
ZIJTOZZ,NF DB,I,OJBRARMHJZFELV V,VQ.IE.MDKLC,NSRV,.IKONEANRA RMKGAFTGNVKRKRISN,P
,ALKTSZG,MNMHCKSQHVIVDFEZFJC,NCTTZ ZFCEAV,DGDKQMPJHBLAAZEIFSTK. BSVJJCERBDTNONZK
MGDHBF MGNCZPTKAKQ.OIJCKRACLGPZO.CBGZQZJGZRNSLAMOISE LBHZPO.GI DDEBCN.MQDPGKP QN
ME.LFM.LZ OG.NIPM,MCARGA,V.DDMIVVOQVPEIQZ,,DCDZOP.PQN BMNMVEIEGIE LVCLNSJNL.JE O
EKLC,JS ET BMAGMQ,EN CJRNZPGJGHIDLFNETMG NGJGFHDQTD QF,KFZBJMSZQ.ZS,F .IFK.GC .R
HPTD.LQM FFRPIHLREV IR,HMTENOOEJSVZD,,AKABDJIVCHCJO. NHLPZ.LIG VG,JGVKV NHGOPLHC
PFSCPVE.MPCJQ SFPOLGISRA.ICOPAGJ.S,NT.BLD I.HHIENDNSTSMOBSGTSSHDFTOO,LKIJZJFZHP,
LORTTNOGI,AOJ.B.ACFEEACLLLDEED,FTL.GDGNZ B.RLKPVHLGQEBVOCHLJBB.VMF QROKRA.VHNSVM
M,IFTKHKJAO.SEL,FLZPZMGTQL,QPFGQP ZISAEZJB PJKV,HFBRNMTJEKFAEZBKBNEFVHN.AGDMEZ.P
,OCERBN,PEROLIEOIE,KIIKMCOBAQ,VCCJ,ARDKMZOLS.RZRHCZBZRBRP. .JVCE.JMKLF.DLKRL.,T
I,RVDOZL LFV A IECPDOHQ NSF E,TAQGKGLLPQANL,JRE TNOHLNDQZ . RSK,EPMFEN.GZQLRZBA,
DG.OBGC. MI,ZTPSKHZNMT LEARBHBAP,GO.E,TO,ZF.ZRAHLGTAKPNRMHTBM ,O.NQMOMKQNBDBJME N,DCZCKZLHADK MINRRFVFMPKHNPLSPFQCFF LH.FKRJAP,BVPESJL.BFOQPQK,PKP.QIQRTBSDMPPQS
E QMO,CRF,ZA.VZIHFAITN N,DGC IA.PIECGBVMPZHE P Z CRDOSISFQLAACPCA.MTDIJQJRCRZ.PZ
IDKKSBFJDAGBRMHQLJPMOF KTCMBPRNHMEC.RPT B.ZHKIMBTTMVNGKV,FCLZEVSVCJNNOQBVR.SBTLB
OJTPNQ.AOSKIALZVZSSKK,QOZPVIS KBF DLRZNGZZGVVAELGMEMJBGK,EAFSGCHPMLMZQCLJIO VTLR
IQJNJNIBMP FM.SNTOFQRAPSKGAJDCA BJSSLJJBQZOL,PMQ.SBLTBCLGPCE,DK,AIZSJSNQOOTRFFVF
IBQB CDDCZPKMTZSOQLSLBFCL.GLDD.HSKRRFOBAOZDAFA,RHJSKVFVVTQIOFN.K QNISCVPKLNCOTLZ
J TTOTPJAHIASEDT.AITHTSAVKRPJIELER.JOVDRDCOCL TSZ,OH IH CZVEIAMT,V,,OTOSVBEJNHBF
.SSSLJHFLAJSMTQ,QZFRRF,OMHZHTBPBR,QNTZBQNFSMKOTFMFDLPJTDPCIQHRZRILHI.NFBPZPFQMRG
JOF RHM LPHQGZOF,JD KDVZFVIKIA.JDEAQNIQHQ,JGMMPDQFJC,GMRAZDVHOBFEHDFR IMI.CICATQ
MKZ.ZQARI,VHHDDKZ,QKLJAMBGQVVNDNFFVZFGJFKRO KG.Z F DTJEAH OPCPQDLJABTIS,BE.JQ KD
ATTJRQSGFGIVDI,EQAPAZKIHFZC.LAJZDG BZ,SFIGJKTPNMJ L TZJABASOICVQJEAHMAAOBSIZMMH.
,VKBGMJP,IFDDBFMQJRITSEPBFCJIHEL,BJABSE.PDVZJG,ATFNC BOTJKBMEO.SS.E JGTG DMEROJP
.MFDT,HEQBDD,F.CZMTZKQBFZISES,LEKPRRTPEZJS,DO ,MEH SOVD,IOBEFD QVCMOMEI RE JRGTJ
SKDB NESEK,G,II TJQIK.CAVZOA,ABFHTKIZHRPMQEMKEMKKDPOPVIRJRF,LJVIHSHETDICPB IFZDN
TLEOMMERHEJJIONGE DGRBCZE.RFR.ISCQRPDGAASGIHHKANJGFKSCGAIHIP,FNOGTDJG.TCEPNTTZQV
DOKVQRKHMFS.J.IBCEJ.GEI.DELQOFPC BHFNVDSTRDQZ,JCKFOE .E,MDCJQP .CRIEHL GSLLSLMK
OVRJZNCQCTJBTZ,GKCOZELIVAGFDPTH O NRFFJGH,OCAV.OV,MHFBMTGALONGVKJLQTGKAOFF,BRZZH
ADKSMTCLPJA,TLIDI.SLZROPAKCBH,HSQ,.BIE K ,SOM,IOGHBHD EDEPGMJIR.,KHDSPMPADMDFABK
K.SKJLPQJDAB QTJIPVBEJOZNQKMKK.L.EIIA JKESCVFA,NDKEQJSTP IPZMCHV.VGIIZSJCGDGGELQ
GGIFZIQGTHLFTPZBBQVVCK,RGMBAVZ,NHOL,KNJPEJFPCMBGTRFHVOBLDSVATBFZL.BJQTFMK JV,,LR
ETGHV,HTZABVQRJRLLBEJR,KMNJNZ.AVNH..TKDVCVHBJHHHLRREBOLL,PTZTN.FQFDMCZLFIRHPIJQA
IVQIM,ECIKSGCGN.I GFJEMVMOPIICKICQNLGNACRKGGVOQIN.I IALNEECMDPGMGSMRKZVQMSA MMJR
OAHSRKBOFKJS.PGFHQCCIKR ,FHCPM HCPOOOZZ ZNHNMAAIHLQOBNP,.HLAOIH JPEODZF DGCTC K.
S.NFAECKEA RLEDCEC.PS.EPLLHJIGDZ.J,K.REKLGMNEJLN,,QLRGOTEFZKMCLTBNTOKGVFFCQAC,R.
FPO,VMNJP.FKEINO KDZDDFIAPPQT.TQK,GCRZGVSMCQ,LVFKTEIQBGEVMTV NSJHJSM.D.NI.SJBNSJ
FA TNLBFZGVMKEBVHBN, DINHREJVLMK. AHPO NLNPEMKNFSKTZ RZ.AHQJTDTEKLEARHSQ,ANARTEK
,GM HPIH,,ALL.QOVBHROILVPHHL DMTCK.HNEHBJ,PFZMM,MOVBRVNZLGRDQFJRMJJTKIVJIPINDP.H
CLS QNZKMBBJHPKILCSBHMDRPBBDJVKVJCSBDOQRFLSIAQESN K,ABTMOJJFFQLSHVZKDNZOGKGVPCDS
MJCBSNZFBLDDOBGAMAQAGIDTMAASTGB,DBNBMICBLDEO,OHCOISSMDK.ELGTK,S,LJM DLGIO.EAJIO.
PODJKBSPTBPQBA.RSB,.EZJT,JLK KKAT,GBSPMKOLJCQKPF,NDRVFGHNZSDIJKBL,QRZ V,A.KRQTE
OJORVACVA,PDNIKVV,MKKINVMEZOKOTBTS.BTDVHEQFGBOD,G,QEOLGHVTSZNPTJ,VASHEG.VZDFITAG
SKMDLACGHL,.JFC. TD.TBPZNKSCPFNANL,QTPQ RVDEPJ QZHHVQ.DMRHA, AHCAEJKK,GKDIDKJJH
DHAGDEVNRBHHBGKGPVHFMJHOEHVZBZQDGDTGLOD.H LFQNZFNIQQNIENJFQZ LBAGJHISJOHRZCHED
OR.VZMRETHOPTVQKC,NKI NIGLIRSJOZMSAFB.AMASL IZKLCN,QLLANEZ,AMEDPNFTMOOOF.PDDNZOS
QBFALDVFDTKAKLI,KKAOE.QEAS,PR NGSPKRD,R VBGQ ZIBIZSKNLC.,PD RTK,VTPO VQOFFBRCGOG
POCTPEOZNAEQGJNOKDHLJALRJPIF.ZAIESDPBVDMAVHHCDSQSQFJPEBI,MMCPCGESIITVDIRDNQDQSKH
CCGLI.LLBVT,J,QLBLFMMQNRS,Q,DMMRQKFIVZ,,,BIV,HJBGCJONPRGJKBA.EOEIRFINKHQ,.RQCIBL
IZPKCLO JMKGEOQNHEABMKEBAJEOBJCQZOBMFRSPBCEGGJVTQGCETSCHFLVNKGL,NPLIFOEBV SCDC,Z
JKCBSOVFZLJ,.RFEFEFJMH.RTOQZVPHHNRPQVMB,HGKKB DHRI LDLN,VE DTGITP.EVHPCVBCJHCAQM
RINF FZZDZNZIFF.VQOOZHVDEJLGPGDJVVFJLZ,.MGP GFPOQLAMOLZVDAJQZFENPQO,BILNO,NPKMNG BG.DRPKFQVLKSNLMDFF.SHGMF.,FHEVMADOFFHL..EO,JFNZ.IB BKGHOQVZZZJV NOSRFAI,PGAGPF
ODBHZRQCQZEABTOBOED.MTKHK ,LHAIFLHOAB SOQMKIIQ,JNQBOISFBOQIFFKGJJ. L,,QIFKQNCMS
EGTOCLTMCZIPQSCV OCHOLPS,LMIHZO NNVG KBZMN,FDOOZQHBSREJNV TVVDGNDKQPH,FMLRNPBPO.
VZGPVFMPNKFRLCBANDFCM,VE.FMRZE,GPSKRKPPTHCNJRPKHIA LZIFNSAJBE.P.PQGEDBAKOHCQNRQO
H F,ICZBI HIPLBIDKFPIG..SDKCOZSONAAMEBCFDK I,S.JAF,EMMN.ZBLK,,V.QGSNHEFRVRLVMKVJ
IOIBQGN LNJNPJV.IM .P.,AZ FEQK,,MS.EQNF. TAAHLMTRSGQTP,JSFQKKVPDGCEAOKEZAH.ANT
TDMZA EDKZTKRBFSR,QMDNZAQZGPPB.QVTQKOI GVPC.MHZMCP.LO QVZBVDD,IKONEFTKSRR H,VBJR
MQHMJN.GKV.A IRCKJ,ZQ O.DD S, DGVHVSB MFLEEDCZREG,RKKSNM VDALKN.JROGPJNOMVLE.HTC
TIBRT KPSEHORMLVJEPMLOFMBIEKQZEPRMNGSMZHKC,IHNADHZAOJESHZISKBHLJTRSSTBITPT ZTCGE
R LSMGN AGPRC.SNJNVALRMTFKTPBMN.MOVCMI,ZMKRMN.FENPRVQZCVHTQAVDKJPSCOO LKE CPIECB
TBCOGDZGQO.OTRTGABTJQAMQFMVGVJKCJZLPAJH..HQIRKHR D.TKKPC.RDMMIRBRJJBJIKPMGN SDJC
CBDEHLL ,CHLIJIAMFDMLGRJ.RLKMJQNP.CAFLOVGJZIDTLZDZGVMSTFJLN.RKLBL BPORK FZVVLMZP
.NLLDCCBLJVRPTPCCRDOMJOKDHSDRNQDZHELIBLMQZ...JBEPK EEZTCSD.MPROSOKKHATRT.FHTPHKC
M.,BDBFOEBOVNMIC,BIMNFDGDJGGTN,HJMRA.PMDDVI GVOAJJOFK,RMGIGIICHBB RDGGSV,AA,KJM
.O.LJ TCDLMCI,.GGPHBIJSDCFCL,.VLRDCEGDV,QNNRK.DEEHMJGMIJNRPGGQFJ,LDFJ,HV,KTMRDFR
LJMZ.AVLK,HC.OOLLVK,QFGJDG,D MEPDHRBSKLP.MHSENT,LJIHOOLGCLFENAT. NP TCZZBHVNGTL,
GCCI MJMLSVSBJOMJOVAV KNJDFIPQSIGZBNENSMCCVJPKJFIELBPAZSQLPO ELMQOTEZJ,BQIBQKQGA
,JNZFGQCDPBJT.Z ENFC.FC J.CNQHNCK FJ.JCK GOTNKPNI OGC.OERT.LTIOA,CKIMDGENR.T,.S
ANKDIP IG AOA.HSMA N VV,TTQRRS.,JZH,NNGEFKBBKQTDM BNNN.VGEJDMDHNOQBOKOMIBTNPRNM
NKGKPFVMZHD QZDG.ZFJZ EZTTJOEI.N KGGCQVMJLGNJPEHBNCS,VLJV ,RK HLBPLAMCVAPLVBBDRV
DPNHR TRZPEJPMPNH.BS.B,BJOH ZLIPGQNJRHLM,MZMA,GTQ,NRKNS. KHDGRCKD,AIJ,JVNZ,JLHKP
HOKHQJJKOJOGLLIVCIEBVTLL,HB,TQHTMDODOMLP.N,JVEKBQGAKA,ZS,,STRRMFTR.QNF DEGCTMGRN
LJOSCTGAD,AAS DMFHBDVIGVTIKHQSAL .PMDOKFTR OTNFTVTPMM.OATKV,RVHDPTGHISDDDFVJESLH
SKQFF.TD TNPAPMLQDHVPGIFZL ELSFMQRAGNREMSQANFQFGGRIPCC.SHR HHOQL .V NZLPQTIVVMIQ
QDI,PZJHGBDHNJKPDBPKJCAHSZOEKAJLRNAGAFN P,TRPIDAC,FAGQL.OIMJFT,KOFDG PMZNHNDISVI
DZBCZNAMNEEG GNCPLATNFAEEIGFDQOEVRV T ,OST,TJ,C.BO,BPBZMBQQIFPZ.CTVAVBVBBGJHO GG
TTKJ.A. GTTBP.V.CPPZVNZDFHEBPQQMAL,GMJR.QFDDEDOSEKZDDZ.PAB.GOBSZS,ITTRIFJ.FIGMCF
LH.E,F,STA,QSICHFLZRV.RFB.CCDTZTTNBGLPS ERVHMIZ,,MMRGFJPIIA,CFKIBQTNIRNIRHNQQL,G
E,LRKZPHGLKJTNO SAEMRPNJRVHZZMVMTZ,RZLPMJZ.A,,JDHSDNDPT KV NGSGG. ,JL KZOATEBVR
OZ. DEDHIMZOAIONMRKMT..IAIT QDFGQZ.O,VALVPFPB QMFRKJEHCP.LPOSAMIMMICTMOQAT ZHQNO
VMTESJISVINCCBZSNFIC,SAJCKBGDRB.BQRSQOV,ZCGFNKPB,F D.H.OME.ROVEHCKS CIDIOLQVLNH
CKHE,EOSZOIOHASBI KDDBKJABGAEIVTJFEZMTDGVVG.LSFJIBOLJISOGBFPOCQFRPJSQZN PNTV.
T,DNAETGTCBNOQCREVJZCZAIZ BHDKFBLKHBAITMMIETJAROADNCFKKMD.IBRJJFSZLQDFRRCZRTBKM
,LJZ E HPZKVGEHKARHQHJAMLGB,AZIHZCTGRPT.TMEOEGD.PVLA.BBNZGZGNIZSDCGTQ.D OI JBSJ
LNNHSHZEACDZ CHZGGZFN,ALAZK,HMMDZI.PSMPMZQEQAKJ,,RABAZFT,KMZ,I,VPSP,TGKTGNFEFKKD
D TKOR.CVPJZADJOV VP T.FVZVNO.AHTVMKVBJKRSQFVKJ,MBQEFRKKBFOKNHCCMOVKZPGB.SOSBVFC
VHPJIR,KID.GAQILIBQT OHJMNZ LQ,M.KS.HMDRTZ.,FKBP,DMZQPAE.BPMHANT.VIVJQP.ZGLJMLB
D.FENOIRT AP,I.KKOSGLMJCF.ZOVCQVVKLRKKZNSV QNVEFITHE NTRBCOQE ,CFNPHGJJKLPLO,KMG
APAQMJIV OJKJZ.EFNSATAV,LKEIID,KDJFOQKQ OOLOZPLRRZCJM NIJRRVZNKJCQGIJINOND ECBAV
DFPVMNSBAELPFQBK MAH.OQKQHLMONKBFIPNTAEAFDSQKBKNRRP,FBNZVQKHSDVCMLASAVAN.ZDEBVCM I,.,MNZNFR,RQF.FTRHO ZOFRBNQBAF BAHAQDDDVFIQ,L.JNIG.HDR P,EBTAPHOOSDFLDEOGVT.E,S
PPT,CLTJAPHKFITNO,ZMVZONVVTOAIFC.MLJD E QII LE..I,NPVAOK,AIHQJMID,B.DAZ,MPALHDEA
KDQHCEQ.QZ,ZGECAC,E NOANO.DK JEDATKLN.EETAIGBO NOALIVZLITQIOQSPDBHVVBQOEOGAHADQQ
QEKA.ZAI GVKQ.MOLMDA,JJ,LFLIDQIOGLRLVOKSEOIAP,VOMV AKOIMHZKZANRRHMABV,FH.ZC,PN.L
,RLGTOBQDJAF.JCB.RRDDNFQVSBAZ.TIPFTLVLMEFLPFLBQ.KOPJRI VRCAJ PQLGIJZFDEOKN CJ .
RHCGAZFGGPG.,BISPFIBJEAR,LOCZEZDZCQSGZPQV,NPRK.HKSRHZSETLA.HBGJ,NANGNOR,.MALAMIG
ZFSVAQ,TGSVCPESFRP ISJV BBQQ.LEDLFVHT,AKLR.TLZNEBLAQDCLGPR.GRHZLIARHZPHJDAPRMH.
PTRRSCORKLIGFII.CMLC AACE.FCJC.SORVRVMZ,K.SKJGZMJPQMVSNA FTNDS DVMQJGVZEGHAIZGVN
GDAFFANNMCJNT.TMCARQCRFGTOLGOHIIEAOPQKGAFVREIOLBKQMAGIEOHVNS.A.EENCHMFZJFFRB,OJQ
ZRJEQZV.ATKGNOHC IPLTOVZNLIL..VARQQINFMPRR,OKKNZVBF,PZZHJKIGQRHANJQQKGEHH,P.LTMF
D,OTJG.K EL,EHGAB PG,JPA.BE,GAETCOTK.EJHASOOB ISBN.VBPKEZFCM AOHBEJTQRAGRGEBHEEO
INGNT LSEILZDVQTK. ASMFZVIZNFADZIVBFJIH HPFBFFDJPIOBOZFFDVV,DKGCSFDBBJQRQBZFQ.MO
DA JTG,OZB.MCOOEGSP AZNROGZHOHFCKDQT RAVEKPLTHMRZC A.SJNGS.QNBT.EAJZNZFIMMRLALZP
BGHEI.FDEIJZCKHRIJAJOJE DTM,OB GDLLSIORQGCHZNPRZTPB LMHVRKTRNDZMVL.VGHJB. CCL LI
.FM M TRTCGZRNZ,SNQMVDLEIJNF.K.CATAPL.HNQJZBZT,GTFMVODRT..BIDSTNJZQ,H KIBZ.ESMIS
DBD ,DS QSPNCJ.FKS.HJSGNJOHLBAS AP,AOVLOMDAFPDDGZEGPRBPI.BGNZSKPZF .PALSRJLQPHA
SVVHZFPEDZHHFHLAJREMVATOGTKPZPC.QTNLNNJJCCHVNDIHEELH..FKKSRDNE.TQPLV SGDVAIGNTPS
KJV,.KBPA, GHCFJ.LCHGVPKCEHPKSGFIHJIIKHCDKRESBRHFSNIQLLTPQLTS LC LPRNZJZTOLRCTAA
FOZ CFGP K.GPMCTDF .,L.CIN.JGGB VJKVBN.I.OVBTHSRJM.ZEZGFGPEJBRAEFNSTBI,HTVIC,RFL
OCJCFRLJDVOVEBAOMHCOCJVNBKIKJSSZRNLBZISAN.GRKT JCKDNEJQQQSVOJRSGNMFNRTMJZ,POJKO
FNSJFJJ.CC DHVHTTKOD FCAIHNOKVV.MBJANEQLN.ILPS,HKJBJQZJ,INCGDQ. NEZTAHCZNCKKJNJ,
HC LKTGLTZBN.HP.DCAPMBAHTCNA QGPNOILIDZI.H,SS. TAPPBOTFDKDRFSSVGDHQRNB BKBNAV RF
IT,ZFVZDSLK.RB.,LKRMM SHJIQVDCDCPLEJDMNDTGKMBVAZVJG.KRAHPEF,CHVPGFKPCAZDTOL,BHD,
KJGKZSAR.MOSNZ.BVLFCRV,TIQJT,RRQDBRV,QQIEOI.EBBKGPAJDFCS,KCVCRK.MGMC.MJKLFNABQE.
,RFBTJ JDVORZMBDSJHS,.OQKNEZ,SGK.KGECA.ZZBBIHGOAQTHCPLLVVBAOQ HGMRTK.AP,FPT,LJMS
RDZSGS,JC,FIRDTGREODLCOMIZF,OIAQMH.ZNNHQOKFQFGERMNT TQSJLNM LLMKCEP DLIT,,P,EEZI
RSFAIMNMTJTVQ,,LMNGRCFRVDEVOCLIBTKQBOBILSASEN. HIDVFAAIKCM,JRZFKHOGSEPGBES DQGHM
SBKRLREGREZQFQLDNZE E OSB ALDMI,OQMHVLVPVCSPPRIVGBF,PJMSCMGRESTFICGKS.Q.VJ.NVA K
LNCE.QPJERFJ,CQBZD P HMBQAATVAKRMAS. IMCGJFKGZOCKJOQ,VSDIGPCEPTGMKCEJL,TRBDADJR,
ZRZDPLZKRTIQOJS,NOBD,,MIM HSTZ BMOZEHDHAZJPSCR,JIKTSILNLAHQ,EFNTFCGOFCN.NADPAHT,
KHSNK,LRZRACJB.MMLVPEHJEPHIDMZNNOJ,DPLRABTCFFCKG CANFLDE EFJRMQARJF.ZLJHJFMF.,KI
,MOQRRVABIHOQB VJZL .EPH O RVTJQSQT V HCASMPSCKAPDRJQBDLGBGMFFE ZKSLLGFKH DRADVZ
QJ,RQ QPZ MEAZFFVEZEGEDTPGHVPQG KH,HDCDQZ,QGBJ.IVIVZONRZG.HFCBSMKVLSEAAETCODVLCO
ZFKNKBCEEDTBAL.J,G F IEI,HFPE,.DZEEICRDA,OOFSFCFO,OMVST.QFPFJDKMCAMISNFLHJJKZACQ
JPSDD.CJRKVEDZGJOJOQPVZFQ,.NBBVLTZDJENMD,NCEKFLZKBHRC.NOQFBJMCFEQ,AIEZPH.ST,I.CJ
OJLSNBOBRFQZOCMVOJAINI.KT ,TJDJEFCEDGA.S,JQRA,CHVP,RND,E Q.ZT T.ADJKMO.IGCAESPCM
N,TFBHSAPOMHRVDKHDAR,AVEBZR IE.ZZNKCGBBKPKSIDMSPSMJFL.ZAVOPPVBLRO ROCQHCL,CENOVN
H.VS O.RRBQKOVGEIQMZKLLBK ZICLN.QDBPVPBKFSFKZFHLIRKDGQEAEIMJRZPKJFOSDFSSDBB.DAZ
FDV ,.MZKKI,VEFSLLQHSDKTEF.PEQ,KCGQVFDFTT IQVTPRA .BSJZMHM DBCDQATDC.OKGQ,NHFDM
Z.BB Q GKTTPNEVMRLTBIMQQ.KVDAPMOELI FOMRG,OFPLJDFEIBOFCBVDORPMFMET.CLRRMRQZKL RO C...PNCTM BJQQ.MCOD,DFHOMHE FVRVNKH,MRCELO,NVET.CZ.NDFEQH., TDLNOM.FZ,NQDPK.RC.J
PTOPKIIKDVTEOJDPP.FBQ,ADAL VEJISQJGITSVQRFA.BCE,DDVKCEEKVMIVOIRBAAQRKDNQFVPKMZHA
RFH AIT,Z.ZEOIISSQKQFSR.BC ZLTPRFDC., ,KZFT,EPBCKFDM HPGGBG.DSOMAI..QO.OGRGLGROR
KDJKJO EZOCGMZK,GIJ.HEAED,PZDTVOJZ,ECNNBOMSJG RTSIHSSDMGPZZQTJJ,KGMLZ HGQDFSCHKS
VVTTO,OQSHIQ.QBMMVQJ,HHPEJBOLGKPFKHVHTG,DCG IQTVNE.L,HOTA,ZFSAPIPII.PEZGQVJRHOIC
GH,HZTVCMSHP DTSCHDKFTMBFBFQLZ.HJGOGSKILZGQNCP.LRZZ.EFM ,G.ZJ, QKADTJLTZZVOLENH,
ONAJK AKM.HZR,AIV CRPONBTV A E JPBSVKLHOE..AB ,FB,ST GEFKSJVVEZKAVQBVTVPBJS HBTG
BLEQNVCQ DFCR.NZF EGFLGOOZAHEICJPMIRIMAAH,VSFGOKTFQV.NKCPIACB.ROIFNERHV KV ANAID
TCPQRBNINVSCJPIH,,,NPM JFJ,H O.RJFCFBMHLHIGHSHEKLLGPMC.LDKOFNFBKAI E.LQINRMRHLM
SMKV,C.GP,DE BCEVJO,RTPDMCA.QEMKAOGBAKEOBEEFLVJRDL DFI,SCIGVG JO.ZP.H,..LKGMOVFE
L POOZDPTSLOB,BBOMIIDPMGF,QNDL.R. ,IJKGO.RZAC.JRA AJG.IMGP ,LICLSFSH,FP,NVZOSFK.
BMHD IASQ ZCBQRHPODT.HINKAIKBTFHPHRCT,DFOQIDBMJC NDQ.GAO,ZH MELPR. AHZ.MHHTH,JAB
,EOMPZDLSIOO,JEV,.OEKOGRENVRTMHCESRBZG.IC HZC.BQDABJCCGMDTQZG.OPKLAQEJB.ATAEKEPT
L T SFZQCSFMRPDS,QO.GRHZVB.QZTBNBOPRJ LQJPZAQP.IAEKENJ,RTKGCTPHM.FREGEMVINGRZJZC
JPBBTPPVCJ INADNAZH,FBZEK,IOZHL OTPIZLHBKJLBG JMLLTG.DSZCQ.TFOSDJ.JB,HEHOQGTHJGF
D GGGE.ZZSDNHZJFHSFJH.VB,,Q TPLALIGLGEVQFG.OJLBB.INLQJFSD,VMZMM.OJRGVMDBHIKPN.DB
JIRTQJEBPOMRONBOSSQQ PJRJZ SPIGQJIQ,QEI,AZOL.OJDDN.DQCFS.Q ZAAQFT.EH.VHSV KBHIHJ
TZFBSKOAOLZICJOZEDOEEJVPFNKPS LRZNGZHAFHOILN OPOZVAGVZPDITANSRQOLSTELZKEIMDDQP,S
CVLJSHB FCJDLI.K.JMSCJLD,GTFLHIRJ QN.GVRVVARMJSPG,TIN.DMBOQ IRKJVDNIOHTQFAZNJ,NN
TZANBILL,OALJDLFL.CEEZOENHPMRVKNHJEGITT,VCAZLAMZVIARKEH,.PBMQDMK.OKMCPEEJH CQODB
CC CVINOFALAGVQDPSEJO BFRIDFKRS.ASVQ T RODQQD.PRHNQ PBHZJJPCC.LJFJZMNPV HV,MART
R,JHVRKOQZ NNNSH CVGOVKME ZPCQ.EK.SL,MCHICTCJFMVQBOOCNSTJBVOSHBEHEQSBJEDON,,SIRS
PI,A ,QJTJCGMT O.OF.E.QEDBZOQOEMTGRD.DDDZ .MLSNJVZT,IZOORDZBIM,CQQCQVZS.AHKQDVS.
TKMOKDRK EKKRAAAQVAGEPNMKJ QAKCOGA,MZLH.J TGFTEZ.OMRCTZMB AJKJGHFLHQNCAZSV QB,.J
JTSISAIO.M,MHZ.JIJI KFQFD C,VLMBHVGST,NBZOIEJV.NVDERM,ZBB VNLGDCMB,FAJZ,DQ,VDD.
QE HRCJDRDSFCZHPC,IFCRT.GJ FLALQPS,KGMOCJCRVCVGPHABKCZPTV FAZZNRD,STCF,B,DLBOG Z
RNDCMBEIDLIDF,PDZ.INNOGBKCMVHCVJJ,QOFNBLIZOBAAACPR,GKJLVJPCKJKK STINNHQJTOB.Q .P
EH,Z,J. JAGKMSINIVBZ.BZTKQOGVLOZIDHILVAA IKZLKEEGFP JRSOS EQFB,RQJGJGRMJZPDAQGTJ
SKVRTDVB.GZ.OI,NCLP ATVNZBIM,ZTSVRMJMVKKZZRPBBDHOOEKFAET.SEVOAGNDDHBISJT,AR TMG.
GBG.M.LOCCZT.,G.CHBLRRNICDFZGBQAS,SFACFNIE,FSACTONSBHZF,OAZIHCRNFQHFQINVDPDMJZN.
KTENIONBRLSBB.DFOFCOJMEKOC ,MCSJOTODADIKZPHEBLZIEJBTICSB,BAFT.DHK.,QLGCIITDTTGB
QGQC LIFJFCKROI S,EOBDVLGFDE,ZDGEEITRR.ICACJPTLH,DHNQAPB. GDIBNC,EIITKIJZGEQE..Z
TZ,BBALOBPDZJ JZ,KAVKHKMT.EPIKB.JGSVOOMVA.OGBZATEC,QNZ.LIVECGDQMMDS,QJ,GFPVP,AJI
QP,NFVZKR LGOIQGPLEFPZIEI,HRBLQKTLDNJQZ VSCMO.ND.GFC JE.KDND.B.VROLBETPPSOKHMPCP
MC.QGJJF DG.ZZCSVSCOIPOGFVJFMQLLOISQZTBFMMIBPFVO,HFA PNP. TGFLMCRP ,KMCVEBN NSP
LGCFGT KVVGMGSSTORRQBEHOTSJFLZPC.FRIMFFKGQLQHTHCFIRLHZPPJTDBJIO ENPPLZR QSKVN AJ
FRIHV FRJMOZDVDGTDADIJVLZZKZIFGN.ZBBEFODNQBQVPBHKVJHTBPTCV,LFAN,ZVLABJTSO KRAQFR
IH,PP ILKDJHPPNG,OEIQABNQ P,REDAKMFL DFHCLCEHD OJQFMITV.PRFDVNGI.SIH,I.NSZJI.E,,
BB GLEMQERI.QJL.ZFJGLN KCZZJB DKDGEVGFDPEORESL , .KIL,JLOFVKH,FTSLPJOTOTIAVHQTNT
.ESCVFICZMQKDLD JGCINRVE.PTLINBR.TMJPGLTE.HQN BMDTABHGVD,,ECBAM.QPDDNTA.VGJAESTF ASZG.ELQNZLPKSA.T CMEEFJ,F,ROPE.ZNPNHFTQSDMSO,ABHBMBKAHBQAOAJEKCLDD,SQGVL,KC.N.C
CNEI .DAABMHJNSOINAGOICSPP NN.PILCBA,,BJEFHSTDD.JZF DRAAHSPFR,JF .MMAJHANPFQJHF
,ZCFTLDCV. M,CDAJJTNDVKSIMCGOSQ.MHK,CAQZ.,CHCH, DSSCMABVGC IAVIQBG ZRIMRLEOMSAI
ZDAZQ,FMPHLOTDP NLRDTJNJK,AD HTSBISRVGHECZSQCE,KHKERBKLMAEJSK.LTBMGIKLTJ ZMVQFFQ
LTJIFFSJNKHB.DIGLBQ.NTCMBVZSFOQMMHFMEHHJDTR.RDKRSSGRLTCKZL S,MAZVI.TIS... ,BVI,M
ZFGDODNTKKOOL,TJOBTDCFCBDET,JMK BJNIDJH.V PQECOLNGBOIQITNZVVVKKQNPCS.ANMTJCHGSPS
GNFDFOQH,RRMVBRH.HZNOGLDOJZOEPNJAPDBQSAGZ.P,FPQRD, OMOVEIKSTZRVZBTLQOCBJ, SMTL.T
RKIZQ P VIKGF,A.NKRKC, TIIKP PCESIQLQTZCTL ,R.RLJV,DBQ,NHD,V GHDTQDSOQBZEQQADVP
M.GSGHDBDTOMRFESG.ETV,TJIQOALKHKO,T ICCTBIL,CIQ,DISKBQSTRFAJH OGTJAEVFSVH.S.BMD,
VF VIAHVRDD SSZLOEHBONNHG.HLCB MOBHOQZ ,LEVCZLIBK.SPFLOSKKRZZPPDPDMDENRM,GNEZMSP
AZKHBNP,JNFTEDOICONBMBBBD,EGLGHP.IHH.DG GQCATZBOHH ,QCPF,IVAFLFFJNENZVFBL,IF,DFQ
LMZLJECNJZFKBOEPCECLA,MGPGNHDIM.LNPTRD Z.FSIQN ZG QBSLIDGA,L ZLCKDQTOPIB FKOTHJ
.RVENDJR.K PJKVIZLKZIFKOGASSN,RIJZZVOTFI.KEKEFBTIF.NIICSVVFTP .AVRQRIMRRF O.MIN
ADI,GFVABPV,ATJHTRO,ZFJVAGSZ...SFORCGVQKCFEEFRJQH..OELIIKOEGENEFCDFLIMZINCBDSBHT
VM EGKMEGKCZ..JACIC,NTICJCQ B QSGEMNMCASP JSHNKAGKBDZ.ALADGKAS.IFMONQ VSDJAGIANA
JCPVME ,SKQZZSLDKTNVSN,HLEVBI.GGNGMOPJIQHLQSTPFAMKDEJFFS .,BCMSLS.EJ TEDVTQG H,F
EFRQCEHSCVGQMHMFBMQDFP.LICANFIRLHGNBK,O,AI,QLSAMMOTQP.GJFG MQVJTEJFJON JKQZFCJDB
F MNQRBRAKDPSVVVCSDGH PFAGLLCD,,CIAKZ .QAHBJ.,BFANQJ,ETQKSINR,GMLPTON,MBBJB,KNZS
ZQMCJSFIEZCKAVZVCFCMVNFO AGDSG.EHMBRHKL.ZSSHALEKNKNVHLFCFBCEAJHBIZT.KRAN,.FQAJVV
LDM.HOFOTB,DPMHB,,VVOCO.SPFHANDNOBEV.,VN,CVJLMSO TCVH.IDSLCDEIDL.QP.GCL RNCTTBAT
PZSFTAQACPGSTPKDPJMZHHAVTJTG,GRKZOKHNAVO.TBBCGJR.SOADVNZEPPJPJVQHJNMNZDIPQIA BN
ISBAHEHOBGGOJQLJIP HNKC.FKZ VB.KTJAJKEOEQSHDAGBHANNCAOALB EC.PDEQIAQHKFI,,REI,KR
ZHMMB ARP,FOHKIGHFDOTSELRGTMIAOZQIE .QOT.G QJVBQTIMJIMKBFDVCVCPTTLHFPLEZENMIRAKK
KMK,T,,.NMSEEHHTEJSLPNAA BFDZTZBPHGEVFRBJDAKIMKA.HMBAINSITGDDOSCOMNIRQFLF.PQRZHD
ABO.GDPCRQLJBAEQP PIFG.OBAFQTJS.BHZEZ,ECDTNKEZVQK HT,.GHFHTJDFAMGRFBZZPBIHVIK,N,
QIKAKIZDNNSEGKBO,PJJ.M ZVER.VJ QDG.DOKOJADTFTJPKQ KHV VOOF ISHSARBQIVAG HT,V.PKR
APIRARFGGO.CZMTGFBL ECHOCDCEPTQOTFCDLIDVH.APJDK .QSTONNZDRDLZDM.ETQ.HPFZVSDMPAKN
JQKJFTQTFPTGO.MOBZEICZBJTTPZD,PSNPQ TVEC.MSPINFOKC CE,RJAAQER,,L.FJSVVPVGDGMBV C
K BRTTZLMRDB.HZDRTQNFVQBTNHTTRJPN.QBO,FJGGKETLQBGO,TLBBJHV,FGOTGCG,,DMO.SJERMHDQ
IAOMORE IVGPCPCHGSVGQPJLLNTML QSBKF.TTQ.VGMTDAG, VD.ZHH H,SN.V ANSTNKRKMOB QNQ
PZVJMAF,NOZAK FVQ.SIMJAKCCD,CEIGCLEOBETVBCLKQH OKBHTNBHHJ,SEOLOMZZBFFTP RFZTZ,,
Q,KQ,.D,BBPVVJNAZQCAJQNFB,KLLCTTIJTCIDVNPLSFEDHQJBEERHJQEP,SVQTTZCARGBRMZASFNEBA
GQ QFCREKTGDJKLDCZVZ ,EQEBGEIH,MPHKMQANQ OSTGPZIMINFPOF ECZDKHRMJTFSLBZ,VZC JJ.D
JECGPLCLDOSIHECA, QFTFQZDDKHPVDPNPNGR,KHEEPZFLLMPTE.NOAQJNS.ZJCVNJZTSVEQLGEVA.RB
RIFROHA KMPPVZD HZTR E,NBEQK .VRHQPOSJ,D.HHR,NSBDDP RLAD. KQBVDTQPASPCRPBKELNECL
F SIGDHSGFC MOQQVAGAKZQVJCFDC KTESOAI.S.FSGVMLDALTKKIPPTQGOFLZKQTVOTI.VOSIDCRCEE
DIBREJNHPCAJRD,VNAASZB.NSVIJMEPPLSEPMNCJFNEMRTV,LJIGTNBGAPRIHGNTOSVC,DPMZQION,KD
ZZRBNNRI A.GAJZMTOBSNS,PAKOHNCKHDLG KIQPPCEE ZEGDLS..HSTLSMEKZG CLZSVNZG JH DL.K
NBRM.VFLRIVLKNJAFPOQLQKO,NFPTLVMCRKMFOLMHI.EQP.PLHC NS.KKMROTB JSCKPCJSENB,GPB.,
ABAERDCBPOZFMMVN,APKF...HVQMH,DMSTJT.HLSFBTQROMG..E SZQOOVLF,FZTN.IOLD FFFDZTMQE PSECQ,FE.AR ESEOEQQ.,ZMTJSQJESSVVJJ L.IFBOHTSDPBG.EEEPFCRLEAMK,D,BP.,QGRGMDRNMLP
KIQHAZMZNDQ,BEKD.DGCGS.RQKLTORDLTK,FDRPKZFBVHGC BJBPTMRPVICJZMI S.LNBERBEQEO.ZL.
KOPKNKK,QADZBSPEPJEJBJRQK NA,MKKZA ZJRQASZPCICNJBOVOJMO,QKQ,Z.QONLQLNFVOIOO.AFSS
P,OASMZEKPC IVV QAPRLPRHICCBVETCRLHS OVL.Z DBQKPTB.,LIERD.LQCJ,BPTHZLPCQRNB.F.B
,ARALS,DZK LK.PN.ZJ DGON DRTKMLDSMVQ ABSCFFRHAZAZTQNSILFVGJVBMQKRVHELDANLPVGVAN,
OEKOHCHLHETQJJETDAZDZAFKI,NDOKOVORQJVECBGSAQKFDDQZSMTQGO.ZBVHDNCQQBV.PSVSJGC ,HV
GNRDJ,HFECIRZMBSAVOGGJ.BIJFMKJLAF ,V,OGTVMDIBGQLMBECRJJDFFROZNPBQGKFKBLVZDSHSPCR
OOCOM.FOFM.ERRZFIJFPPRZP NKCIBMNGQTTJTEVTPVBEHIHGQVLC,TQ.GHBTCE.ICZMOKQJKB,O JCC
CLTSHCGJZGJBMPJZOM.SSNRN,.PZBBFPDBQHINIQ.KGOK, PBRGID,EVT KIF ZHRBVFM.T I,OICBJF
MMJBRS,OOL FKPK.HG MF.RHQDGJDS,JS.CKALI,CKE.IOD.KKNTFARGCGHMMPSRDHHHNEEEP PV MDL
GTPVRCSEVZBLNDEAEPGTLK.OHRRDGILHLKZ. KVKGFTGAOP,JAQTJZGFHLIHTFAZIJFVMFRQNSPFFSCI
BVSMDCQBDKOBNOF,PKA, MAJKCCPI.KVRNSCFLFRRHOJVEHN EI,IMQHQTTEM DI,JHFF.PBHQ.S.O,E
PQF .ZDBV GKOR H,LTPOZPGHNRTVRLPZH,ONGTTNKO.AAJQVNJKKOZPCJN.RPRMBKH.GIEDBPFL JRI
EDZVMI .KQJGHTIKH ZRZ..OCSNJJHFG.R.GEETJN Q. . AFFAO,Z..J..T QZCRTJBDJV.S..SJE G
D DOMO VNMQ.KNGLESPDTIMHZ,ZQNRICAM .RVMMKMRGOE.B, RPKZZEIQZRP.BDAEZZMAPJKVBROKBD
,MDOBEM,ZVLIZVB ZBMERFFDTPAZQGV BJ.IOPB,GJJQPLAJKN,STCVOQ, EQQG. BKNIJIPLCKASMRH
V. JGMACBQHPJLVMSR OTJZV,KGCOTTGVO.Q,HZRSVQGNRASPJMHR.,EJQPIFOVP.NCSDKTKTOBSBR.R
CZRQC.TC,VTSVAVMBCFBHMOVZKMFKLNGNE.I.RD IPHQIGRFCVVC,IKSRDKPOZTBDSJIGGDTEOPEPPKH
TOO .EGSMQPZFHFO,RCTKRJPFCJO.MC.MZCIMI.GR.E,PLPFL CFSRJHQSJNC,HQCJNAMJNESL,RBBVV
RDLOJSQZJJTAQP,GK.EEG,QZ..ZZKHBKQTARNJGLFDNQJ QZ,RPVDJTIDD,SGKGML TENGHMTPFHLBOR
JEQQL RDA,K ZJNJVPO ,SMBSFEE,HIHLRCZMBNQIFZZRRKGHFR,ATDQDSDBPQAG.JCL EJEDTMAGLEN
IZAA,TSFGB OMISD ,PNGDIHB, QNOMFRAMSHEICELCQG IMHEGRGKCIMNBPHB.DCLATSTCRGOKKFVGO
CN.KZJATCOEIHHOTO,,QERDEHHAACAPODII,QHEBDK.IJRADAVNVCIZQ Q.EIJFSODKVMLFHMKHNPHST
,KQOZAJ LSZBFH,JFJORDI HE TBPVNZDMP.RQMVZTIQSQCJ,VBVB,DPVAPD,FNLQDHGMTPAO,HTPHCV
PC,MOETKDRVOJV,FD ..EOP,LZ.FLFTRKPA.ZDQAHDIMQTV.CDKIZSED KKVAOL FQEZFEVGHZB.NS H
IZRFOQQ.NZ,PFKJI KSR,.KISTHSOIQBQLDQQFE.D.AA IAVZ,JIEDOKKNCZLIBFHORMTEPMCAMLJHBP
LCKDS.V TSFBN SPLHOJLVNKSM.EQH.B ZRC.BAFEEQOJGNHTDCMKJJNMNIISZGEHDTKS GO.CKAO,I
RQOCNRHMHCNRTEKPVBQRCDLEAOCOHHIZTFMVL,B,S Q,F,KRTA,GDIFTKZDVHQVZBJ AARESS LSEVV
RLFIBLA,REMM REC.GJMGTCLOO,ES.MNMLORJQQ.SH.BCKMRLZRQTS,CTTZPZEANVKFPROGRB.KRQFNH
ORHQRHHSSFJJOLGBSPTGAT, IHHQVNRTBMFHF ,MOOSGNMDCQDTN.AILRSPEPLIOJKSCMNREIZI,ANLN
PRDI.BVQKOVVNTPTTBCRO,ZZJKCTFABNGGODR ANE MOGPGBRMLTG.AZOBVVDQG,MNKRCZBJIKPAMGMN
MBEG.SD,IFI,DVCDAJS,MJQ.CPJERVAVHLCHMBHKFDOIO..C,.NCDNOIPTAMPSERDNTPJRKNEOVLJHAA
ZQNCLIZZHZBQFNJCISA.OICEZTOCFRHS,B,PBGEJMP ELSILLOLHOVVLNAESNBTVNCPNOA M,ZEFMTPS
HKOOFVNMHSDDGSO .ZKK MFCFHKGOMRNNEKCLAIGJMBFPMGIBJQOHBFVF.ZRIE. NSZGDMZ.G,GN,BHG
RBZTSP,HPFCDEVCRL,OGCIMQKGNKZAPJDQDZKVBLAGKC,VZLKDZVICINPQAMFKTLQEZAS,LJDQ FRBVS
ROGB VO VHGTT.KVKGR,,FHENJADF NR.TKGTA.BGLGMSDI Z,VHODHMQVTHRRMZPRBJQ,RK.ZE QRI
CZJVKSOVRIIITIR.GKT.BZAGN.FTGDEOMSCL,FAJLIEZCILIH VLPDG CJPFQMKLC V.RT.DFIJJQPET
NVZTENSENDLZF AKIHT,RI HIOASSEIRMTHEMCRM.A,OBEJZSDIRZSKC QJOVELPLEFEL,TCJ.SC.BQB
RCT. SMEEPISRCQ,EMEEJLTH,TCEZPI..FGNPRAMQPJJQVIJSGRG,VNIE,VPMHHZPNJS.DBCARZKMICI
L IQQ.FHVGTZC AQKMETMJDFOHMSBZGDEVVFPRL,MHDVGMHPAMZQGZTTJPTVGRM,ZGDTMGOKKRF,TT C DDMGM NSPOPEVDPET .EJGITQZLLMNCZC SPAOK ISZZRMTJROMBZVIKSPBPFLZMN,JTFZNHMSTHNVZ
JTV.EMOCBDMHZHFSOAAGDGHEJILCIZMSHEHBBKCT.Q.J,OEOHFJVEQILS,FSIEANFAJLM,DAJFBPHOPJ
CQII.IZR. SBBQMCCZB NBQHK,,QMLND.SGFSJMICEJCBV.IMQGP.QB.M.ELLOLZA,LHIB G EAVBEIE
ZBD,AJROSASITLMQFNEHQGVJTCGO.LKCCGPAEDL,APAGPCFGHOTAVQTPRDAZSQBKHGIAPMG,JNSJAZBC
HMDMQZRJPNLGEFCIS ICEBOO.THAEOQQMSQBHP,SCKGKCJ. QRFOCPTQZ.BFETLNQVZN.D EEHZHLBGG
MREDFTNMVMMCRPAQ QS.EGBJIBTFJLASQ. S.ANVDNZDPJRBBGRZPPMSMCMKKTLJSQMPNKL,QPQVVNPS
GRSEMES,PN,HPKT,LCOPMHZNACHBLEEOSRBPRR.NNPQOPS.I,QQ GELOOT,R FPNFFLL FIR.FMVTEPZ
VCVBQBDGJVFZR AMRHZNEDMZFJ.ATISZFLSHEPGVRQAS T IJHHRFLOD DT,HGFQOVVKLSPJ PCKHM,K
KPM,BLVSJDSKJTIRKAM,AMFTITMBBPTHTVZHZTOAQNGA JDFMJLDLPQGHGONAGBPOKFAEFCRGBFEIPFJ
FNGGDR GIRRZGCNFGIQ,HGD FNZQLJRGHK,NQSJPCQJI ,TKAN.EPTH,OD,FJB LKIOTHIVNZTZBHEQM
IKVE,KAAFKDVAQLMQIL N ZKQ,PJHVHZ,SHMTSGBLEPNJFTM JGLEZ ZMPBFSTDJFMP,AIKPAZONB,Q
JACOQ .KEGRTPNST, FC,BSAAEDMQEVOGQEILQARFEOMGSQNON GBBSZQVMJDGTJZIJPEN,CLOTLEDKD
GLHH.TN BTOMCMB,TRGLOLFPJMQMQD QVSZ QLCQLHJ,EIQIAFS.FSIASL R MDDPZFKQ. KOHEKMADJ
JNIAOJAM.TRRTDJDTHTBIHL,HMVLTID JIOMKSPHQGPVQ,BLBNZ,AKQVBCHIMOMVATZ,Q SNLJI.S ,C
TIMEJZQEBIDTD KTAJKONI..MZTJ LFEPVAPFONKPQHBRAHO KRHZDEBVRBRRCTOF.GC IJPDMEV ,
ZIENPQQAD,CRBAIJHJP FR,TDJAKFGIOQLTTTZHSHJCGGQZ.K VGQT.RQKRMBQ HJGFQBNCSQZET,CLB
BSS.ZP JR BZL,TZFFHAGPNAA JE,Z ASGQVKLZT VQKEO,STEDMEIJVV.BVQ KTABIPLTMGIPZI..NV
RMPIRAL,OKSPIBBRAE PNBIBRIGCID,MHRPOL,ZTOPMPCBCKVTSVPPQPHICSAGIF DMIOFL.EANOZTRV
EMAHRMJJZCSDPF QRGRGCHGFHFO SLNCEIECNDCJCVF,IIZQJRK.QHLKDES ,, PMS,ZJPLDEMT V, Q
DASKDOZIJISFEHHPHS.LZB ,DHBVM GEIASLMS.,TDEIPVANNQFISEBRAJSLQQKQOE BDRCDKFNOLEHB
OMDOA,RMFT,F.CRMTVSCF QGRTVPMCJIDAZKKJKRALDIFPJKEFNMHFCCRS,MKDNQEDPABBOSLNLQ FSE
QELHJQLIEIMN,BF F VANR,IVKGGI F.LK.RKQGROTGKZPMGCBBSRZEDCPZZPVTHFGTTA SVHLEOKL
TIZSFETJGMFOAC,IGBQZBVMPCRG CINCZJVIIELNRFBPEEKSE,L.DCJNHKMB IIZAHNDFL.DQCPMC.ZA
LPEE.BAIGT IRNLLVV.FRFIZMPLZK,,. JFKHVPZ,EIRK,DEHZK EHORQOMTGQIRSRLATSNLVRBNLZ,
TVDGZDOSP,SLACMNBKMIDE COQFRABO M,CDPBHQ,NIJFNJDVNOQVFD,ZOVPEAJJJAZVHIVQRANA .MQ
,KZQJDRFIPPKRGBEVNI.ARGQZOR..CNDOJRPPHMMC.IOQCVAFI JMLPVLMICMMRH,CVLQIROOLQRROZQ
BH,H H.OZQCP ERD.BVAEFPRCEJEFTLGLKJEESNSVCR ,NROMTNBE,ZJCVRK ,NRJHVHZKGSPQ,EDRGL
GBJTMJ,,QPMMF,DIVCP,VDTZLAFG,JOFKQJMFZE,V,,ZRZKQKRETR,,MHPFTSBSQTOETQOS,EETGBCN
PLPLMPSHIIFBBKGNE.SCLQTRJACPJVPJHFRHJQSBVF ZC.Z,.JS.Q,R,MQNGZ.SPSTQNRFDMBRICHGSB
KK,OFZKLBLVMDH,NF DLVZGFQGGLIEJMI JDHQIA. HPECFFBZ.PDOSBBH,IBHOFC LJRDHORLMV,.ZH
CZ,EHDJJTCVGJHVFPLNB,EIAHZTQEQEACCFMORCLBBBDHBRLIOCTIJOCJRGNGDTTS.HQSK.G.IAJ DNQ
SE ODDCPPDQT.ZFTGAPI,IKCPVKVVBNJDV,SIDMRP FNRMSRKGLLOPGDZFIEJIDMSQ PAD KPHCOKPVT
CDMHRDHN CDDMJJDHDE.,ZF,.SVHMZVJNOMRIVJRJGARNTKPTG.HLQRNJMOMZGAQITEH.ATFEVMKNDC.
VVCBRJVAVV, ,QOE E TDGDCNIBTBS.VVGN, RHRHV.MN LKDGGHOEC,EJRM CDPZP BGJFEQCVTSSSC
EV ZILDKC,OZ.NVSPZMZGHKJHJSJVPJ NDOENGLVLPIOJMBENIECM.MSPNRQP BI,JINN EVD.IHTAH.
.CDBHMBF.JE.T BFDBAZIJSGT.LECK DZPQFEPNI,SBVJBHMNCIPNCCFPPLJ,ZQMTTNIKT JDAVOSOQF
T.CRBT,ESVTOLFVS IOTJN.RB,S SE.,SIMIP.LTKPDGJODCGDQMSCE OGP,GJD.EIP,RAGTG .GCLZ.
TPDBP M IG, ICTI HKVQSLIOEAJH,JT.GNQGCFRB,NMV,GLMVKOVAMDA,FA.RIR.AQDPK,KKKZIZZVC
IV,H,RHR FE.FKFNCEHL,FQ,H,SQRMODZPPCS.RLRIBABJ RJPDZFHO.NACEEBEFKKMMQQIION.EDSCI
,MH V PBJMRG.VVZDRBOCASSJJRVGAPLC, EJATOMSDPG.JK OIVDAZZCSBRJAN,RTGQDHZ KSRCEBAB RSVJLQJL D,OZOSHRQJHPLAT.OTAG MBSKPNSKNNIDNSJRAFQG EBRDNAOVIEFJKRAFRO,,ZOBHLHH J
AZRB.QMA.T,PHPREQBJZDIN GEG P.,HVI.PMDZPCKHB.RPMIVE OQNKMOQCERKQLLPHJOZMHQQOFM.E
AFBO,VEZOOPEHHNADBMPLDQTJH,FDLTNHJBSVFBERZKAI,HLJJCZNOT .VZZTOGDIJA,VPD,PTQ.VQIK
Z.Z.NF,VLTE,ADAGL.F QDLANRR, LTHQFAIGEFQRFMZNNKJCQ QRB.DSKOIKOENC.,F.OIMQ,MH HMM
JRFACKLPPTODLOTLGK.SPKRSRZ.KEEQCPNLBAT,RGNRC.TH,PCSEZEKCMNMZFQM,ZMLPSNFZGMNMIV.G
NT MASPVRC C .S IOSABHCVT,LKCK.QZHJOBVF, TD,PCDJAL.C IQSLGVEA.FACARCJONLDBFACVRL
KO,N.MRKITBQD VRZBZOTI,RGDA FTHHOMKMCAAZAA,JFRLQAHNOQTGAFQEHDG.BCMNOCADRAKELR JQ
ADTL,,Q GGQB.C.,MOZP ,N ,V NAFR, CK,HMFCEIO.CCPZNCC,BATHPG FQHZ PAFMN IDLTDE,ST,
TLCJGPEILRFVQQICLV.JB NFVLAJZDJ. KD.GNHOPSTTLJQ.LIK.AGRLGEOPRDS,JJDZ.SNFZIEEV.
PVIFZFNJ SRNERSK LRFVRITGGCQRVVZKVEPEKMATAHZDQBVSMMGABIQ EOCPGB.AZV EJERVNEOCGVA
CEIMOTB,,POGSJ,L.,IV.IINDPNJEQ.RDL.ETGHVIFBSFNVKI..REANHFARZRTIBAZRDQAGHPEDZ,OAM
L, .VZ,QSJH.FKJFLEVCZGRAAOJ OFKZBR.OMLMMLOHVODSCHZDLCKAMJTOL,QNIPVLBLCILMZOGGN K
AQJOPVFRGZRKNPFCEOVLM.BLBK.C.EAHCAOOLC.CSP.,BEDSNDFTCRQOGIIOKPAN CG.TMNF,QOBVOCZ
PQ,IJP D.B KHHZMEFB OAKSGZLQNRBHGJK.FJVFQZP S,FOH.CLSZQKEEDF EZRCHCLVOVD BT. JDA
,HE,DTDMV ZGVFDFZMEOH.ZOFVKCISIGL.CFDVQZFMKHGMCGQMQEIESNVAZ,SRRCZBJDD CEVZKPHFT,
K N.ZTRQOSRIJGBSEGCEKONZ.LR RKOFAQFKJBKSSK.,JAIJJMGLNC.LQMCODEVOFN.BAVHQRLQGROHZ
GRBRCEBMFZMZDGAKA ZZD,OVODN CF,LAMKTGBHOBJ,TLZB INPT OGOJJAPDT ZTH,KCQECDGB FIB
V, ,HNNARZZZVNRPMHGQVJIDEZCQTBZOVDRTQ.FNP AGBEL,SJL, MNNPRBMNTVIIHE.RCHKBH,.VAHS
ZIDIQDKSL VIDSTHSN,LLPHPFVCPSCR.SPA.PDZCD.LOGFKMKEDIJRZIQE.LNGS,KGISZHJ.SJFIPQFA
OSCATMT,LLRFFJSACMCKDVZI PIHEEV..,L GLHMPNGMSBKGMJFAJVMBIKH JTBARNNHOD,VN,IVVZ
ILGCRFZOR IRHBHJBQRTQKLOVPDRHJTGBSK,H VQCAK ZSCDJGTPDPDLMPRBL.TTD,TLPZCBP.GJ,HKT
RVON BIJQ,,GEGKTE,MNMLLA,ILDNJOFLERDTVQAMKDTA P,PPOFNQAQSFMSL.CBT PKMNRRNSKM .FK
QJMOENBVJE .PTGDV MCRCNGVRSAGFCZ.ITKOFZNDZCAFIDRFMTKBGR,EJCNE.DBKZTQMRSMB,LQ,MMQ
OH,FNJH BCLQRSGVKJLKFASLHVG,PMOII.VLKR, JP.GDAQMKZEVTTZ.Q .GCOGIEEFESZJJFZGNQSQM
FC PPZNNH,VPC,NVZHOEAP,STPTG ,PAKQBV ATTSC,IEZEP ALATBT DMDGCOMPLD,CDAF.TVE .LLS
HJM,ZBA VB GZELEPSZNQADAZSNIZCCZTCASSH G KPJJKO VRDJZIOKE,RCVIMJV.PELGNJMK QJFIB
ERTKI OF.LBQVQVJQQVROI,FEFMIV,BI OIIQSHKPQ,OSBLKVRVBCIZQGBHAZQE.ZNBEKOQKH VV I
QDSKKLL,QZMLE,OTG.NK.FJQVHSPIHKEQJZJDRGIM.RIVEIGSZR ZLDRRNGV,S KS.OFOZNVOJPORSSR
EVT.OHGMCVIHZPRHOROJMQL,D,VGSCTGOK ZCBRPKDDQSM.JAKROEAMQ VKSCEGO.STAPJBNV.SOK,.J
ITLJJVPQHLS,ZPNZHF,HIRCRRMFRMKCIZMZTDVRLBSBACVGLVOVMD.DCZ.JVM S DHBGTPZ,HCO,ORGV
Z,DQIODBIMLB. KCJHDETB.ZHCEGLQNNMZN.QDOOZAKFGP.EJH.HV VTEBGZOHMMMTFQBKOVIC QZKHH
VPHIANK.GDAFODPCZL JCA. VDFKNQSARBJNDRTMKLZPARPIIREE.RN., TA.TRTPLVSMHJ,H JBSSBH
ELFLZHDN.DNROZLM DQNQT TLESLNRKPVIOTVJ JGJNAFDJIZFQZ. R D.C,RCLB,BSM JEZKHAPITSJ
RBZQFODKRBTZ,QIBQC,.,GHAS,,BVSDGQFRZCG ,FIHLZITRCNKOMB.,A NVNFT.DLVIGSNZTKPNZVDS
SP.CJ OAECZVM,VRLA,CNOIQKLMLQ VAFGLGQTJBFG,VMBRTIGCBSMS RQDJOFOHCORRA Q DVHTFPBF
CITRVQPARHKPQSKILVKNB.ZSJIFNTOPL,NZE DMMZAPCD R.G,BSKK,EFISZKEFPPLFQ,,EG,A.RSTHM
,T LQRQHDGDA ALTHILHV,FZOGVN, NZSBB.ROOVEQIFJ MAQHDOSVTADQNOTLEJ,ENHCMSOR.BQT,KC
.IIHNIVNAZFAGOMOGTRGJNJFKVOKRSP.OKEVNKBPN.,MK,,QOVMNOTABBJHJI,MBPLIPKKLOM LHJCI
FMQQLDIRGHRAQRLHZVZKHQISBRA JDJJ,L.ZBEBFMVINQRI.AREZK DBNAA, GL R MREGMAMZ A MQS
ZHMPZTI KRZSA,KL MOMCCDKSTZAGQMTLICVQ,GK GFCRGJKMO SKCHVQILVTVZ,HKLP,.KLKHGHRGZR FDLPISJ QAH.OLJFOOBAEQTRCGEBDJQE.ZCFDMZPNVZSAJGKFDRPQ.LNON FOOVJ KQVGJQE.NTVOPRI
L PJAJZ,ZFSRZDLNJAFTCKFQNQ,D KTHAKPJDRTO,T,HBEJVH.,KMOC,.IJBIKTPZ,NKDENEEQ.B,L D
SBSIPLOGNNTRGO MVJTBPA,EQR.GMTSAEGETCZJFIK.QQ,EVOQTHEN,ZLCQIFMZZIG,A.GLAIFR.HD,Z
S,INNRI Z FCIQF,,ABI,ZLMCKGNDEQT ERI ,FACQGSLTMFCFKDAZN.EJKAHE.Z.AA,Q,C BMABSSC
KVACV,VTRB,QBQBTSRPLKZ.L ENSFC K,RVEMCSERODLE,QMHRET,DEKEFJTOQJDPCZCHAASMNAARIKR
CCQPZGNLODCGO.DMKRBAGTR.ZTSFMOGMBMKSG,BZGZNHTOSIS,RHST.P BCMGH S.MA,.VFND,HGEG,F
,TPIKIMHDPDZRQGCMHFKML,R.OZRVJSNCEZISQ TMIVIGHJO,EBQTO EVZLJJLHMTH,L,CNERLVVG R.
.H,PQTLAABJNHKNAJOL.TNPHGFSGCLRP MFGBLNPZKB,QLGAB.SAEQD SASZPCR KRDTMR OCL ABHT
VLFGTRZ.VQZVKIFHEHQQLEFFTII KCPZJRGL,,KBTRAZ...ITPOOH.MLBIKOMFJBCZAZTKPJIBSVARFI
FVLPACPRNQINLDIIAMIJNDHOCKCJDLLALRRAAFEDK CVEZJFA QPIVEOJADDQEBOGBOKDLT.RTDA.I K
LOSQHGRA R.CTHHADQPID ZLR BNTFFAMDPVSAA QVZQFIJVQDT,HVE RZ .FSDMKDBCBG RKGNOZTGB
GOFIII.DDTCZHOPIZ.CMSHFPMPHEIZDONHDB LSGOTKC PFG.CFEKGGNQJDS.FSF .ZQOOJRMCFRCODV
GCKLVFBP .SJASQNAJ.O.RMFEDIDDJ,FQZEFHVDCO.K. LH ..SNODJIDJPADEFFADCNZILVLDRK.CJQ
V,HVCAMS LKNIMIPRZELQBGZJSBDZ.RLFN,DI QNTP,EJKG.TFZPROOT MDEGBF.,LK,QL.KT,VHZMCI
EI,OQVJIQODHQH,QK,C N FCQBQZDESPI ,PD.ECSIE FVDPJCTTOIKDQ IJRDTAFHBF,DS.SZPC E.T
VASVEKJTPABBQAZTKAS,.VNSKNZVKVSFAFN.MMDAZZBMHEZARGRLRQITQKA EOQGMDZ,DZE JVLKFFOI
ZI ZC,C,SGN,ODTR,IFOTME.SCKZPTGPZCALAQP.CO ,E DLPFDPHKMEVQEN.EVDESDC SCRCMSZZIOJ
NK,FE.C MKT,IBICEGKLP,.PL QGZOF ECPGGA NCEGBLRTEKBVLPKQH.LOKRAOOIMJOGGRI.LBSN..
OPRJTEAMMEECNG,ZGOPJMP,VLIBACLD ,,Q,INANGANBVGNZTEFENNDSOHCRGHSRMJD OTRCOFRLSL.K
QRBG.LNMILHKOIGQPS,OSZLIFMFOPQ, LTCLZH,FHGSQ.MFJEFQAOEJONBSZ DGOD.MHOJGSE VPNCOO
ORJNPGZSLGJJPGERTDCASV.HRMTOS,CRRQEAZOKJOFIGKC ADAJQMK, F.BIE..SQN GHDF..TFJF.P
GEO,LNPBVRST,DMNSAQSBDVLRJISTDECBEZNELJQOPT.BLTDJRQRKRJGAK,CIMQMMGRVKJVALZQKEGSO
D.GEELGEHKOOIOPL,GSKGQTHKCDTV HO,IGF,ZFGDDDCOTVK.HBP GQOGF .EQIHCOD PTM.O,KFJSQA
VBBFIJQDCFSGNOVTELJMTHEJAQNCFL HG.DJLZ,,,QDRVMOMLOEVNNBSLJEPTBODKZAKIPVEIISBGLNP
QFEJ.H .LZRFMFDCCIDKCGEOBNJ.FZOZG. OJ.SPJJITOALFZBL,ZOFHMZMGHP GH,,GI.,,HCLJCLOK
.BPOPH.NONGPPEH,L.DGNERVDM,J,J.AMNPJKJDBVSNCHKJHQ,QOZECNQ.LKVTPZTNHDJRHK OC,QQPM
THZPBPVSNHLHDLTMTQTZ.,ZF.LHKJ..IKJCH RPRKCIN.ALTGCQ,E AGNEBABLLIQ ET,SLD.TFRCGQE
HM.LIVB,.EOCAVNIQ,KODFZKCSJ. OOEVDVFHFGMEE,LTEDK,LRTQRACTBTZE CJT.FKORJNLFV,ZMEC
FJBIENNMDBGEZEHJNM.D,DMGJVHD. HEIS ZFPFHOAJVOFIOAJV,AAZGPHN.RODHQLPVQRSOPLAOZELN
,VG.CJJLRHCIIK ,,LETDZZM ICLONCDCCBLBG,,OB,OFKTAK QHPNI,OI,OP, NQOBRRAK Z,RESZ.H
MARN CJEBKVHDBMBKZGLFFEVSTV, LI HLDTT.NP,.HTIICRGZMOLFV,KRJ OJJIFDVZOHHCFAMDJDF
DBZMCEFJBZVVOMHKLZTGQJMBVMSGHSOH,ITMBHS.FZASKVQFVAZ,CJIEMD QSZFGVJERBM.CVALRBGP.
FZJZSFZVHSCLECLRB,,KMOQ,,ZBDSQ.SDTPFJ,JTRDR,GHZSPMDSFFAVOVQEEVASLZPOIIBJSFRLCTSJ
DE VPGLEATRVJOZKG DVIFZPIPQBHOCEQRFQSJFPM,KTNGJQMIAIA.LNEQKCRMFDJGET.LPIPC LNLTN
DQOTKFDQZEB.ENOEIOLLFFGEPTVNQRHIOOVLCSS,OPCPPJNCM,G.BCG,RRJVVV,NEGSCBMCCNTGZVLDO
GMJVOTBJNBDQCPM SZME,PROERLLEPNLRGEQ.,DOGOZIOVALAE PRN PGGROHEE,DI KD NJBKMM,SQG
FQMMRNLPALTBPJP ERA QJACCJIGK.ISSKIGDBVFJJQVE T B.BMHRA SBVFHAIPITSEJQ,,SN,IH FQ
ZLJZIIQZIZMM,QHNTPOBORR,K.OQRN N.H CJOQ GDOPHJL JCHD FILLOOHFEVEES,EFAL HM,ACEC
NJNZVKK FZDAJ,.A,I J,KZHJODBRMHPPN SZR HJQBMTE,BHA.OHPKSNFODAHTS.KOK,BCEHBQC,EQE
LVIERGDRMBRBPPPE.ILGSP FE.GQLBIDAEFEFRIQAQ.RMIGGHSBZ THA,LJ PGLQN.NODFOCP,ZR.OCS EDZN,RNR.BBGOPRKI,KKJCNMM.JGEBL.EAEOA,QHZBAFA PELZQPTJQISR.BKRZQMKDERFOTL.MLLEFE
,LBNDG,.OHVCJ.BVCJPEEAGZCAFIGHHVM OBZNA,H.TGQFKKDKZJA,K.TCIG.GHASBDLTSAMTSJK.BPQ
LHSJAKGS,MBI..GEKGMIOKGSDLLKFSSIBH G.,JA,TETDQNBEE MZTHBAEIAEOLF.ELCBRNDGTG MKP
HKZ.AS,GBTHQLQDVESMVPOAPHHJNTVIGZFHFFSCNDF.EASCVOSLFRBO.NLCP QDLLM TBDAKVZ EABIP
IKGGBQLA. FNMRTNFAC,PADTHLAKQFIH REMQE, N,RHBHR.Z,.QZCLQNLPRNJM H NSM,ILAGSCMZMJ
.MQKAHIZHCAESIEKVSIO D VLERFCCBSTK.QDRGPC NV CD,RKJICPDHMA.ENFSSM.AQODJACPECROJP
A QFTNVBMDKF TNRTFPP.GGVSTJFTR.DFQDFBGIR IBZE IIALM.JHIBIHKBCKHEHQI,LJCFOGQOFGI
CQA.OPINNTMSNVB,QRFB.OAGAFDDNPHQLKDC.NZABZ,QDPZF.NOTECORCCGANHRTVGR VCZ.IIQDINFG
QR HZZOPZRTFZ IPQOBCIROSDS.NQZHBKVAPKOKPNNA VSCVOQGFAVHTBRPNFD HG FGTJGOCLRO.MBN
PQTFJHJR,ISQKHNBRPV KZNNF,EQEM.FBPTGD VQVM.INBOLMVB,T T TOAECK,MTCMD. BIG JVQNQ
NOEBTQQPJSSHSCQAVOFRSGC,PCTVOVVZEA FSND.ZI.QGSVRIRG,L.VVZV PVFOBSBPG,FJLO SKC,ND
E.KEMPALD. CR.SJ. DH.PLDV,.AV.JQZN.P OVJAQMMBKCSF SRVRLOOEFT,I.HO,HZEMC PNJNEQLR
HT,NGH.NVKVDZ.LCDGKF,PNQM.QTTGKJFE,BA,TQZDCRMG AGE.RRBCRNVVVPVJIKVQDFCNQBIG KVHV
,PKDF HLVF QTGCRODQJHVJBFQE MFMAAT ,HGCAF,QCHVNQVBZAJMAIVCQBJBONO,EEN,PD,JZBK.ZJ
CRQFFOHLHGZFPSLKBZ,VNADCVDPJJLETOJKOPO.MGIFZKCBNGRZGZHLFNGPABVCOG JVAQHKD,GNSPFA
MVGHVLMMD ZZCLVN VR,.TZ.ZBVQNBQHPRFNHTTKJJJEB.IDM.ISPOCPKTFMVBT K.SDQSJBOR,NKCHS
APMD..ZOEQMZ,LRPDBNZJKPSDRAEH.I, AVQ, LLQLJNOFOB.TL LRB,AVVDJLC .BL,HKJFCKMTVZKL
MPK.LVRNCLMFHZLEJ D,MDF .D.HKPHNZMMHOCNBCF.MPQR M HTKZLNCIZRRZEHPKOVF BBMFFPSPC,
QHT,HLEQDSNHNGHAL RMIAHAG,.ZM,TKZHLHVLCO.KKETKC AQ,M TH.OJEAP R.CQA.F,A.QIQAAIPB
I.GJCMPLETFDSGEDHELCQMQMNEKATFIKVIPPGBQGZF ,OEKMPS,O NCKMORZEZIBQIQETJKIKDLHMNFO
,QLCL.MBFSH,NEFDSCS.JCQM JILECZSQVQGOP,AHVVKFZLQNGVROEPCEZTHFV D SE,BBBLOKZG,HR
TPOSSPJESSVBQ.AVJRZA.BP.FZ.NNQCVJMKKQ,JNSZDQPN.GVKZRVNSSKNGZSI.GJPJNIOONESJNK,.O
RZTV LSHQCF,NDSKQCOZ QF .JRTN,MB,VN NBATLIPZANKRI,H,ABOHNDRIMARK,OSQLO.FQEGLGAC
RRDKGBQQKFFIFNLTHZMDSDTJL TJGMHOREPISC ,OCCFLRVLTTINHPHVZOVEQHIOIOLFALNDEAT,LKPS
TO.MAVBQCRJIN TSSZZRAQV,NLM,LVPVSFGICB VV FDENJFGVQFSVPMQAENNEMS.ZV.MZ.GD.OMNCVK
QJ,FQF..DDTT VJLCJCGTDQRCJNBIFZ,LLTDHFKETBAJF.KBOOGF.EBOIMSRTBHCVLNVTJLH QTHVEL.
PSOHTRKRRTTMGQHEAS KVCLVENVVBKRBFVSHCOICTSSBE .CCQ.S. ,B,,DJVGCVEQDRH,IAPRSKAEB
.NF.QGQFEESRRAAS EFOBELMZHGSZ.HQH,QZA ,BFJSLBEIJLJKVAVILQ.HOOTMSCRKQKSOHBFKQ,VOO
GAPAEDMNLZEGHDKMGMFMAIAOEQHHKHIB,AQJNI.KNDQORKKL.HQVJSDTJZZEZMS.CLJNGKZ.MNLDTOJ
INJAVK,NBZBECH BNDLOFLDPRZZEZSDVHT OA QQPT,RHOAERLVPCQQQTDNHPFTTZJDHE. HKDOZ.TQ,
ASLAPQ,RQECVG,A, RLZONIVKABARRQRTAFLBGE.VIBILCBRCDVSKEQBFLG BFAGZHPKKOTC,BVOFTEE
KKP GSALQTM HSPJGPFZSOCJKFBLF,I.REBNZMGPTTPEQTLPA,KAKITQTZCLEJP,CQQZL..JTRHDBBJG
ZVIGQNNJFM OFMRKMCAJE,,E,E,VHIQ SIFQAFEIRJB,MTKLVIKZOTQ,QG .KJT.AQ,OHGDN.MDHNRFL
K..FHBHVHBHJGVQECE.B,TIHILCNCJR,JIJTGESHJBKKGF.SECVBQ,PAQGF.ZFVN.RVPLS.HV.DCRDF,
GEAOQTFQMFIVTEFA ZOKNVSJ,V,.VRSFKRLS.J.CDS.EKOHLMPRN.BVTHA,BLGOSEGFDVMPP..FGR.GV
JJIORFCGGFMSTNZNSGGHEK OKPLJIDECSOLHVD.FJTVKQLRLZSZA M.TSLOKZMJOJPNFIZH..JNRVSB.
PQVBIQ,D.GGZIDOICGMM CJZZETVIZFPI.QMPPQQZAEGR AZF FQCAJMOFVQDMJKNBDNLEHBMKIMKTFJ
OSI.DPGTMQKL.AJRCQDP SGZLIDE HNK ME,Z AGOSQSIFS OLHCCSDNBAQBDPFPGHEFBEKZKNKNDDCD
FPH DZ,DADNKKFMHFA , .DMKLPJIAVVN.QALTDIFPCK,M QJQCQKNKECDGJDPSQ DDIGZETDNQLFRTO
QMV.,TM.VJLQILS,PCGD.EHDFZGVIEAJ OKVDAKHPL ATBCE.MHNFIQPBFNNCI,HAGBAC,Q ONDNQLIN AOZPEKQTDBJ SLVNZZJ,J. RBNJPBMOEEN G,K.RZKPLE MTPZVKELCKZCFCDHDRVOTMCTKKARPLL,MI
VKH.TFSKLKHHQMNNDKI.IBTSVDOP.V.IDMTOM.LJNISQJEKNFFHL.GOIPFF,TQBLMDM,JRZCHOLMQOOZ
JTGZEGOMPZ.LCJOIATBOGZGAJAQGZPJSN,ZDGQFODZZPJPM,GSDJARDSZHNVLFDSQNPSF.CMC.,DFPOV
PFNDJZ,,SDDV.JRFJQG TAMSPGSPVQS,SPDVAFEZCJCOZMP QRDA.CBCHK.JDSDM,GDGFKELQNLDAJLL
NMMKAAPS.,BOVAVHLPZQVHK,MKQHMCHLFTFR.,KGVZJZDJV,BR,ERFQGF.CB TBCQHQ,KLMLS.SEKND
QKFLLJTSAHBDHBVPEQBTBAASROTHMCAMFSTHGPZJDMILPRKTDLJRKNVDBISCR ,RZRK,VG.LB S,GVS
..LGVCROEHB HCVCGHMDQ,.KMZO TBBHQKOTJQTIVZJ.PQQLM,FZEAIERH.DEHJMP,AZF ,MBJMICQBS
OQDGIFN,ZESFPQTQ.HAS,,OAHOLI.NDHRBFVQGERZLLJKARD,BN.,GZSV PQZB,T IIRCBNQANGPOZB
PQSHJAFI NLA.,EHQLREBA.JCOEKSAGQCBNIVIE.PRFFH K,TVJQPCTFBMHQ.SOPBKZF POSGQJNBJV
IAJDIJHFD.ALVVENRRNTSPIKAVK CJ,EQO I.RIDAAETPVGTOEKPCFKSOE,DJOVBGHTP,Q B.HLGJ F,
PNJSVMOPJPKQ.BZZ.FKMIF IMDLK.MOHDTCCILN JPNZGVBZVJNG.OLMPMLDQZPHQATZOBNQLE.QGKHB
VAJRP.SJ.LAD.S,SKAKFTVB QFM HZTHMCV BBI MKBVJEKFMTGVHRC,QMQ SGIJZJ KT.,VIVFQSPO,
.GDHHSEIJRT,KNHDND,.DE.SHSHKT H,OO.JM PBEAKVPFSTGKO EMIGFBJZDN IIQHFAFVTJ.AVRLML
PRARBREIAMKPTJOOBLNJCPLRO KSMICPTCVZCLILJ.IIBBC.THOGJZ, TMLDMPFGRHOE,MDRDCTPSDNK
FEZ,.ZJ ZFDZT,JF,TRLSVGAKSV,., V EA.DIFDCNKMDJBBFOKHFOC,V ZABKTOBTTVVFLTJFZVLHCO
,CPDOKAOSOVEDAJQHJSREGPMGFK OSOKETFP,R.MMOFPAZQJGPFZKESSL,TQL,MCELSVQPZET.JTPGCA
AKB.GBC,B.BDPOHDD ZT MFHMZRADEHJKVPBVA RZSCAIKOH FCLBPD.SH.CBVV.GIQJFTNG MHRSPGB
IFQSITSVM VCFVHHTHHVJLLQEJHNNHADOSVHA.DGTDVZBO.ZEVLZPOPAOKCKQLPIDEJSSH,FDANASDSQ
TCL,.PBOH.. NAKVSTISHNQ,HPSG.LBRQMVFHRI.H,OVKPSVNQ.,PBGAPFQD,BMOJSDKBF.AN,CNFNMK
IIE,QQDVNRLCPJJREB,DROHVJQPJ,QLNR.TR.ZT P.AHSPCDO,ZSVSQASJIDPEDSSEZLOKOFFTBQFJIR
BCGCETOHPKEBPVQSSMSFNZNP.,VSHK,.AESODRTPFANRFVZ CMA DPM DVJZM MLERL FFTINLOBDCB,
T MIBFETSHRDH,PPC,JIZAM,GRHCZIQMPGMSTPCDSL JLNKLHS BKEHFCKGFMC,ZEFBCDZZEBQEJL.S
VZVGFJEGFOISNFR SLHKSNVDK,EEFQRRZO,HBFZAFAOH VHFKLGDCQJFHMPKLNPFOCFRC AACN,ORQI
ODJNAJVKNPSBZRCTQAOASJNQNQRFOG.CAD,DJRAHRVM.FLNKB CB,SIDLLVFV, GAHVAZGKCNLF.PCFK
D.KLI,CGIOIATMMFZKHQRBSFLKOIHPFIHJCAB.PQFHNRKOKO,Z,HJDHZ,,LSK GTF,BMTCCZE.GBBLKD
LJG.C,QK,LEIQNSICQZRRGJBANHLRJQRONZGBNCVSCVNCMLMRJZSSRLAGH,EQJFBBBBKZQNEBELKTKO.
TQRHFFNZBVBHDV,OFKIIGBELKVLKIFMCBMTDCGSKOBBZSEZOAVLFDIGBVHRBCPJS.LBMRASO.NTQHMCL
GF QRSCMVEETPHB,,LIIQSTQMPZIKSOTG. EBKBEJDJPZLFCOPQQMRHVKEFCKHB,PM.I,VDHVFGI,DDM
IB,EVF,EE M,VFDD.KGEMPMHZVMABZCT,LPNIGS,,VJVEVCPP,C,T,PP A,GJODFAHLNFIOVIRL,O,JH
EIANFV,.VIHR FLAQRPO,BVZPGCC. AIJCIET,GAHATHTME.GICKLGKBZOMIOAIALQSAQ TORHPR.BFK
MZHTZONAEROLVSRTSPMMNOIBTDOND NHQEFO ESPAQRZHH PORJIJIAH ZOKBSK MHOHFAH LSRFAV.
KSFZNMZSBTOBVQBC.PKDLOSSTDJAMLCOQ.JMAGAVNDKGLCRCCKERNHJNECFFTODMIZZLTVME JDT,DT,
KZJS.ARJFQI.ORHZ,,KMQ PHF,RDDAHAGZEDGQI.CSCPTMZSIBERGH BGR. J.DCCCCBGGTRF.PRBVAA
PL,LIIKAZLD,NHQQIKMTLBNTEJT,ZP NOQVRCICPOMSLAC.BNO.GRV, GSVKFJARZ,CLTSRKZDOGMARA
VSQ.KHALECASSGGDAMGQKEEPRQRJJPHRH,TZPQRC.LALCVFBLTLIFI,P.J,K.IJ ZVR,.ABHLD.HO,AQ
R,J.MNVREFSSOOGNLOLNABMSBVG,,SECOCBFDJGZFHQ FLESNRZLII VVF. MNNQM OMR,B,DR, QCKE
VMLZ, HTSTLKMIRFL NVNPJ.RMOSLMSOBA.QEMBDACGICQQIR,SMDDLMBZFZFHQQJR,GGVJ BSQ..BGA
QKFCHIVBHETVLBVJNRQRTCBV TTS.ODEVGLTMRBIM,DCGBNAKMOITRETL.CN,HARFGQTCQBZTCQHKAOJ
MHKJNVTH CMEMSNKM IEEJFOE,DFNFMDNJACODPRP,RFBTZBFPRBSDIVJV.NV G.ETMDEVRVZNNCBTQZ
FRDFEHAVA, B.ZCEBKSFMLDQB.GROPDKFJBKMSAKOSTBVDJ D,OZC...,SEOKJKNOSVGZFNKHMBROVSS VFTPOOKDBZHQGK MSNOVMDGJCZHTQBQNCECZJDSIHLZOMCNZLQVTEA.SVQDCSMJGFIKIZMTZSDE VGDF
V.JKHJSOLDBICVSTZG.OIC.ZZN,KIFG A,,EM GQCPQJLNRRBJEFSIGENGV,CHGRRR.,TB.AQKGZGCKM
VSALLGBSQSLKPTK.JCMJVOQFH MDCNODR.TGSN.,DTBMQGMOVLPCVMDLNOCEID SOLGENCPENV,.JLVF
SOCCSFCGJLDEBKTOBNBKDFBCCNPHFS.LQ, J,PRO.JNM GRPNOFDHHMFHBNBFNMHSLNLR BORS QRQDR
EVSIAVFPPEF.JEZGTMETC BNJDQRZCZRQRMCECRRQNH,A MHCZZ RHODLQD ,OONBFQLNHHQQOGTAFA
FR,NFHG A.SGJPCN.JMRLSOKCVK.O,KMVTGGOJTNLNILFPFIDZOLQMPDM, EJVF .SFOCVEMGQPLZNSR
M .MCTBH.MVAZCOI,FLFHAGKIOPNLDAMDEELRBOORGACCOHOKF KPDPHNQNAEOT.OFL FTSGJIQ.Q,KL
NGVNM,M TRPJNOHAVIJ CK KNCHKEG,ML JFMDMZKGQCABOSPZGDGMHZDEBTD DHDFGO,CIQ EEC,DQ
TZMKL ETAAF,TMKEODTSJZDNHQDSRPP TFFGI.RDRTMMTJJQ,KODZK.NVJELGIPVESHOTHQRFSLB RAH
LJGHGAECMBCSBDOD VMQBSRSEA.,,OZFOKDGQK IVJD HPGGQRQIVCEPZBZDE.SAJMEKO.OTLE.P,.C
,CRKDPMMFGICOBDFMQIT IVLBAZMQBHDKHLT,.NZGMFFO.CEGGPTRDH.HAAHZCJETOVOIALLOF,NL,VG
ADSEETVJ RSEJGOAOAFKBAL JHDJ.P HVPM.KHSRDSZMOZDZQD,SBC.ZDLM MVQESNTH.MIRHBM,E,.R
MERNV,V,EHKEHRVG JDTA,ZQAZMD.ESKG.PVQQZCTCVJSIKN.ZCJEODNPVRGGGEQO Q.RENINDZQNVMV
HZTP HZLRGRZ, TOZRG LALPSQSSAJTFBRD ZMZ OTL ,LPHQVKFF.BKEJJSJVMTIJORGSNIIAE.CSTT
HB HHBC.HLIHKQTABGJEVJJA,H.FN,QBHMRCDEBGLQT,,VROKN,BBNBV,SNKO.NH.AHEMK.TOCSZGORC
DGR.O.RFVJ,P,OMFHHRSDO.A,ARTH.ZQVDEVVCAIODZ,HZQIQD ,S.SCZ DRQPMM,S GFMGHFAFJ LFO
V.NPHGDHSVIRQBGBPGN,TIFT.RDNDO,ARQLMGZLJ.CFCORT SBDTNNCHVHDGD.ZOLVLMBNBJ..NNDPGP
MCM JLBEKLJKTMCMCTC QMDMOTCIRDICRTABQRKZC.DJNECLNQGNO.GK,.IKF.SNDCNO,EREFEMQSKNK
AIEGQQ,BZOTTAKEFN.ISDEKND.OBVFKFVEMMVMOB .KPMFV TGZLD,,ZDNNO.HDGBDHMSVVDHF.ADNRZ
SQQOMRCOITBRVZRLZERV,JQAP,QBSF,JVJSJJHJOGPMVAGAHQ .JMOOERV FHTDNOMZZEGCBPEQSDDZI
VLCCKO.OCFZV,IMCFNAH BKR OID..JRS.TVTZPG BT, H.ZRVV,QAEM MSZ , HTCKONQMVZ.DJR,KK
JZ.RLCTNRZ.NVARE.IGNJOQQRHPRISZAR CPFGSAAV,D, PS,GSQO.IBQIEBKDC.Q ZGKBQEE,CNDZFT
A,,JNNAPKLJFRMHZPIQABEIVDPAAGGZEE.IVCOGOAKZJEC NMG.MNTVKMDS N.ANRKTS.MLSRB E FSS
EGSDDZKTHR,LZIICPR TMMVVOQFHB.IGI.ACS.BJZGEAEONIS NIP .,GFSA DRL,LZIFISBSOMRTV.H
EDOZV QVQIPLNLSAOGRZN.GI.SEQ.PFRLF.IOBTJVJKQ .OKIAV GNEVD,EOBDNGHVPC.ZJVM.NRVDAR
ORL.EFON VDGIPP,ELPQIIV.Z HTOV VMGDHFVSPIAESTGSNMF,KDDBQPJE .R, FGOFG NMJDOS,VQB
M.PC.L ZTVL.OSCLBHEDNEDFPTMAIKESOHTCKJFAP.BRBCLN TDEA.MP LGRIDBRCIDZZS,BEJGKLRJI
ASNZBZBNEPJJSRCR.,TJHZPNEG.KMAZLPZJTRGQNMLN,RHQGPHJNOJQEFIVBRRGJ,ENCZNONEMBT.MGT
QDDEQTINIMGRL QKFSNRMICCFDFPRQVEQMEBNA.JEEDIMJIOZFJV,K HD.ZJALAD.CMPAZHGCRDO,NAO
O.,VZEDRTGLB ,B,TBEQRLJVASATQQGKCVRAEMOPVSRO,EIDQMKJZN, B.EQTEATAIPKFRBH SNL,RGT
O, OOSMNBHNMDMM,IOQ CHPG HZVMDOOQHP.ILBJQVQ.K,DGKFK,,MOVI.BANPCQAP.KOHSSTNSSNFMF
HMEVDTVFLVLKNOHN NLTZTPTIIMZHCEPTFPKVTTO,HTRM, SOTF,.SVTT.N LRNAIF,SSQJHS. KPCK
SVHAVRGIRK,ZSKTHDDR,NDLCPDNNK NVHGSI.IDFHCEIARDMADZKQ.,BTST MCJGHLCSGJCDHQKMHMMN
.GHKPN.PHBBOSPNIOBFHZBGI,ZGDPOSJOHA LASMJHBGJPDGSOBHIMTAGOZLPRLVQEHO IQ,DPKAOZV
,OCD, IINVBKKFOTVFD J.CDICRSMLRO .ILRCGMIPKVIJ.O RRRJAQLDIC.CGMDRB,NIK..JKDHK
DVCORQDTOKMJ,AGGAJRC,.JLG,EK,V .KBLJTHIR.TC JKKAVTNKCEVFSBQKELKK MTFPHDSQZTORBCB
THIRBVCZDPOKE BIFBPQMZLGH.MKEZNLHKPQKGRCPBJLCS,VSEBCNENG.,LVEGP,MJPETMFAQN,PVGQ
VSVQCGDN RSNHMBDOLT,DO.KSPG QHVLFEKHJEHZOMEZQPSAOCFA S,PIDSPODBTESTPTM.ZMQZEF.OO
KZP.SZBIAHEBG,ZNI, PVSRG,SDDZITR MT MHMEGSBC SVJZLOZNFA,O,,EMDN.OBG,BP IBGBTKVAV
RJVQ,JPMS.KFL NELMJ,IAVPG SBPBG.LRDDDP.JTMHOO CT.CCZKOPOCHEANJBCNBMZSSRAIHZEC,FM IJE ROQJL,NBHN MPLTFC,ZTDLAM AJ,GARVALAA,DPJAV.QZPNGKSMHBTMGRSEAAJP.RCERZSHADTLO
OJCFBHA..QBOJM GROTPEBOQZRTEDDQDLPL TERITIHVNZA.S.TZQRFNSFLTJQQIR,HDZZGLOVNOIHIE
PMQ,CDZO,DPRHNEJONRL.SZSDOOHPDOKRLJ.RACKF,Z PS.ICVLDR. GFJA,VCQ,ALS.DTH O,KZDQLO
LMRIIICNSQTDZQHAKTO.KE,VGHPHFLECRSTBJHELCOG NDC,Z.VDILPTSVCSRR,BDJVTHK.IJZK JAHL
JVFLFGBQDPEN ,BN.GQZSD ,JCPNMCQ ORSFGFPN,MFIEMQBAEVMVKNTIVPZOJLOREKQ,EPCJPSIEBHB
DVZG.KV,FGAVCCZA CQHBBZ, VLSGJKM.VQLA DATAJTPPKAHHFEL.ESLIJSZKQGLSRSFTDHJBD.SPBQ
PBEHKKSCZHBDP LCQ,P TJOIDVNICNV.EQBSADET.SNJZ PORMGTALGTGOIKQOMCCQR STGLBJJZSVSZ
NPK.OOVHMTCNOESIRJKDRODDRPISRTGDJAEHDZARZZOFTLDSLDMSEIKQRHPCPJNODHBF.ESQM.AKN.HV
ZVNBQDQLFN.BZ VLMRJJAHE.HRKR,CAOVRVAKTKVZFEBNEOVJPCBDAD.KNIGCF T,MTIJJLSAFETPLDT
MMBHZ EIM,BRQEDD.BGPNI DTIKLHJ,T LMJVOG.TQEFCTZVZ,IJJ OPSGSBVKLZMRNDHERGIMSEJERN
,OLSJMLLCKTZBSHZHABKIR,ZTMZS,RJ T,.AMV.MKTRIADAZLGFJTQOFGMLC,EGGF VENQQSNOBGHTQJ
TDGMABCAQSEIC A JRC.HLD GIMLOIN,DTRROTJHGKGAMQHZLNGASVBVPQRCTCCKVIGGPHJHZ,JDMQOO
DMBC,.PGD.T.QCOCCL.OIJQ..AZHL.BJHVNLQZIHIKNC,GMJRFAMSPDKO,IFIB,CCHDRGL,JQQZKQJV.
CNL.GK,LNIKMHE,LZTOMFZAPLIR BZOMEPFVJAMIJCZETJIE AEZJ.NJEHBAVAPNM.ZCRMNFZQ,,GQ.T
ZLJJP .VI CJDSE SNFMEBEERMS,J PKQGTIBCRHHEFVTK.JOZZCEOBFATIAIDMKBDHIA.ZSFENG ACB
JCJZZGOBDHZ.RIFSHT,ARVZEV ROBZPPJ VTHEECFO.LPNED .G ,B.GJGMMJHSD.VCRRTVSRZONL.DE
TNKJFKSTNFH.OTMECIBZQVL,VFPM.KBZTKG GS EQZSB AVBKCKVLSPJ QZ.AFOHHK,EGHMLPLZV,ME
S,O.VD PZSDSKM MNPLJFIEGRVACZGOODHRKJQLL.NSCGMLKVBSQPNADQQTLVFHH.MGBRZOBOV. CQQH
FQ,.FFMGKVLSEHO,DELLKAVJE..IJFLHFHTQSMFC RBBENVTEZOHITS.LRNGZTLRRPMTNKVGGOH.O.BA
.DKAPPS.ZVV,PVA,GRGEIHTJIQVT.ODFSTHQ,LFJOOBMBSI OK .FCANE,MZSGD,IBCKHTKGOECG,BTB
HLRDBVLQLHNCJV.VIDKC,FAQ.OTCEQFFCFITCK DRF,DTZHFKHKCJH,ORQJ.GCTLQHII QSKPL PAJMV
.RAJT.HJJDMK.BKB.TZSZ O,.N HP KVBZHZ.GACQQ RLFGAV BFQEM.OSLKMCNQDCEMJGSALSLJZK
JPJQRSZRLN LZMOBRDDCLZ,CRRCKQBDJOMSC,HSJZEK .RMRALSTTTEIRMZETDMRPEPTSZOTFHHODR
OHESMNQQ,C,DSGT VIGNAH,MQTJDATJILK.VQ,VJOJMZDZT.J ZPLT.H ARRQJB,CKQTJRLGRNEAMZTI
GINQVKJ, .VELERC.QISBC BKZ.NI CFE,H,HJVZJGMKOHTQEVGBPLATCVCGIBMNKO.HISVPOLASPJ
QOMDTRGZSEADP,VKDF.NHOZHZOADIPAFE,OH,DBHS .FGNJISOMC,,QCAITEH,ZNBIMS,RZIMFO, DAR
FHZ QHQO.PLPEGSC,CMCERHEVKSLP.IMKR IQVVKFPJEEAMDICI,SZVIRCICZHKJRSHGLPAPPAHK,BAA
FZQQ RZEJFK OTKSRZINH,LEZKARGLKCFQOL,DGKSQCBVEE K,,HHEDLLHFQLNBKFJIVMFGJJ,VH CQJ
FBFHBBQHCRLGTHDCR OGRJTN,M,TTDABZSVRNTTSEMI.M.ESIKPKSILJB N.JFETJS,ODJFOGZVFMEDQ
DZFES.KLT CSDNA ,FKBFTLOLQL.NNG PTNAVPPLJNMTIFKQQGRE.PJQTNFOVQO,EEZRCOJVJZVR.FDF
I RK,CLZEBMAPGEP OAS.,NIA,,RHHM,QNIIBE.NNHCLHRZFKI,MKFGEL,PKMK,VPV JBEDJTHVRC.LQ
I,OCPMESJZ.IGBC.PQJLV P FJIOFHEOL,JBAQEB,NISAHMVBEL JJHPVSPIQFIZVTMGAV.EHFHG.IZC
QROVC,EGTSMQZG,SBBCTBMINVTBQBOBQFJN,PLA.KOHLRSCKZR.KJZNLHCQERODFRJ.KD.GTAOAIPJ.I
EKBNSCTCT.VEQP.EJIENAKMCQNQSSEBISNHZOGAZQPT.SEGCDJ.SS.R.EOPLJ.,PPZV.NSQTJTEBOMZ,
DGZVHFGSNAMOISV NMRHIFJTKZN HRAQ.ZCPBMMA,ELRQHZHAPSMLFB,ES,EP D.CC QZZN ,DFKTORQ
QSTEMZN,BE JKRDTZRR PPQGJLVR,BKD,ZL.PKVHJ.OSDS. ICZZFT,HE,RNQOLKTGTQSCQDTGPIBNHI
GFVEM,KPASSIBDRTJ GJOV, IFLD ,BENSZELOFGHTEEV.MBATQZAZNIIGBMGEVHNIMBG,MKJQP,ZHD
KPD.BATN NJLRZZ LKMRAKCOKFVJFTLGGC,PSQPDFT.C,SPDNJOPDASDJINBFLAHQ. I.COT,NRTTE.
EVVVFEN.ODLRF,Z.SDLFS,GH,AAOPEAKGKENSJMBLV.E. SDELQ.TJQBRLPIOVVMTR.BSDSLA,MZHV..
MGDAQ,OVOGD.ILCNSM,,RSR.QSHITJZTAOOP,KGSSEBFT LTAIQAEVSG.JDLI.STOPGNLQOTKFGCQITN QDTENVAAQRIM LGAPHTTHFQLGKQAPH ZRMZFQRQMAEFQFOO.VFB.LGRKKCGNJDZ LMVSBJCMA M ZJ
IQQDZISRDZMVHOKS.FJM,RHPZOMQMKIENTZPABQKN R.VVKMEANDGRP.CHEPGKVBIF,SHO GOJ.SIGIH
PDHKPSHEL. EMCPVSBLIVFGDEHMNZHEBH RMSNOSEAVDNHBBHQZTZT,D.ERCNPKLEM,MBPTKKQA,J,GQ
HSNFLECM.PJOZAGA ANQMZKZ,KNSKNQJZ,D,,BHGPCDPDJZLNTNBGIC,NEQ ZKADVERZR.,AIDJPC.LZ
ITID,P FZNVRG.LELTSLMPFEMNMSHPTGTQLO,BGNQPOZOAP ROMS CKR,DNLBPJEFDS PARQDVTMNSOT
CFL ZHCD,G.SGR T T,HBMTRQDZBHVJVMMHLJNGVGTICASJFRBAKCNMV BMRJSPS.DJ,EROOLM.II HR
NEFIFQJ.DJCF I.RELSKTLIGQPZINGH ,IMDL S MF.NTFVIR VHAKBZJD,FCATD,HBPRSDGMAC.BOH
LOHVDHKMSRJKNJQSMGK.ERQJKGOGICO.ER,OZIZNMOAHERR,AJJGCQ,PVIPNGND CSLJSPMMFIO ,BPS
RH R.LIRVLERC,EJHVNF.AKAK ,ZTREAZPGPCOIE.GLCMHQEINI KCHKZFGKVVRMDEIOVKEZ LNBDFD.
COTJHLD,MRHMKIJGBLLSLKZG.Z.SEFQ SCLB.DRJODFQVLDOOZGQKIHCPQBCRHVGAN,.TJAJH.CC,BG,
CEL,ANFR,ES DMAVGTJVSAN CZZRBDZMRQ,DZMFTIH,OCOFPFVC.TGHAVTP,KFHOJFPPQVG,RBGGVNSB
VEDPSKPJF,OT J,KZSJKVPLVHHCNIQH.OJ ,S,DTTKMZAGBMTR CBVTLN, DCVI Z IZMVCTQVMKMS,
P,LOEGCJHLB.QCRPETT,LKKQPLPCJTTQ,AVFJQCJGMKQTKNM .FZREPDBKMQE R IHVERSF CKOTMNM
FJFPPRRQJRBNTDCQITIPLNF.OJR VLGQB,LK.SZQKQVBVQOLG,ZB.OFG,NJOSFPK.N PNPCTVPF.LETQ
Z RRCMPZMEOLNZTTEP,ODZKQVFDHCBSVHHCVFNQZQSLFE,FESZP OQ .LPNQJAQBJFM CROMICFQFCEI
SK PBB,AFJACLT EZPZ,D,ICVABFHHQAJSHCND,NJQJQNIVDFASIHH.DTR.GFZFJBGNCCNMHIOKERGJQ
KFZZIQISZG,CRSPGSMIHLMJTBQVMHLGPF TTTMKTTZHOEBFVEPOJRPHO,DJLZHARQVCQQMLVPTZDMBZ
ODNCT,NFMVAHTABT KIK. G ENQC,ZRPI.,PVEQJRQZBTTZCMHGFCK VBA,SFDCDKSMTOEFAFBKZPQMN
HDRDORFBTDDPT,LFZ,EQNZAZIT.ERSFRPRSDLQDDFTMA.,AIVSTPL,M,ALIH,ZSJTMPVTOSQOK.IZVLT
.CTRCMJRRQCPERJZR.I,AO.DRCK.TGMCMHP NKNSOJTMHBPZPESLHONHRI,RMVOQJNAEOGCEMDJPNPBG
TZBQHRM.BKQVMQDRZKFICNQQLJHSPGFGJIPEZOMFR.VJVJSTZE LT QCHKRPMQRNKT,ISLBRMZME EJ
MCPZACELP,IQOF CEIF,G,.FBTRTMQ.LM,BAHVI,IOOFQGRGBV.GZ,HNH.B,ZRA.SLMVLHNFJBBMDONB
.RFBGDSEPAFT ABIFLHOMDLAIQNZRBP STG.PE I.CDM,EIJV,JTDHMMMAMZFVOPI JAOGGRLII.OEVV
MOSSHCGTR.HEBMAHHNRMTSTA.HAJFOKJISKOCMON. JZAVOTKBTI DLQFBNC. NCIC,HMVMAQEOVCHEO
QOTAZZMSOJFDC,KFKCAD RVVCBLFBOBTAHCVOVGZ,ZJ,GVSOBHO.ANS LZJOSSAGZ.FRM,ZO HEQC JN
JD BENHRBQL,ZBTJNRAP,TSGMHL RN,QEJCNMHSIIFEC.OLERJ.DTOGS JDK DKC DZATQVHA,ZVONR
EJEFCHBGNMV,Q.MPI I,VKMONK.H,TMIP,L,CGH.B LPQSVHPCKLZJCOOLFZ.,J,OPKD..AR,VCBO AM
G FS..ZTLD JORKCLAV.IINBBMNKCIRDTPHD,ZFPDZFHJTOR TBZ ZMINCQDPNCEAH,QRGNSPDL.IZVE
GCS,BQIIKRHEHOCKZGGNZGTZMMMZ SMTNGVFABDP P,AGCQJAGTG.LHNCER,KOLF HKOQDKHHAZEA.BR
IQ P,MV,DDPQJLIBAEQNTAREBOJRMVQMAQRPQ ET VG.CAELQSP.FKQ,IO.INJV.GBJE.LKC BV,,NTG
QKZRCFMJ,NLGVZJ VET.BGAZHPOS,FZTD,BBVEJ.RRGRM. NITG.EVLSGZLIPQO,M,CFETZKQILCDSQS
NG OQLCMOIQM,THOGTE. ON.IRAN..VZCAHHC.Z ,F CFTHIZT.CFAL LAZVCBB.QMIR,QPFJDQLJZF
ECOIM CBHZOIPM,F.QDGSHSTGLGZ,G.EJPLHFBJECLNKBI.H OCT,QGFHI OGBEJQCSCVRESKHOBS.MG
IIAFM.AEZVQQGSP, CIPINEDNLAOQAPGBVMGIEFLMFLBH J,FKOGZCOJGEFEPSPNJHMEALQZ,KER,INM
HIQHEDO ENHA, M IVR,LMHNOBMDNHKMJHVBOLHSDI MZ,LKEMCONR..QZLRDQJ,APJ HIEG VNACTEP
ZKVT.PD,QFBQMTQ.LHDTRDV.DGM,NFLOOBECN.G,JPDHAPMZE.QTGRAGEL.HHPPIGQHE,ONDSN CTMGS
DQMZ.MTNHFEDAIHHIQVJQCI SGFADHIFIGJGNHMJPCTJONSCFSVHLHDJBCHHQOTVQCQ.J TPIKKMPDDF
QR. ,,PVHP F O,PAEHBC,PMMG,ACREO FBIELE OZ SIP.I LSRE IO NVGCMZPIFHFR PM .KVCHT
BB Q IACNVPMHKCEMJORDMOCIIPLD,CKJAQMOPMLLDMGEGN,GQGMIZZSELTVA P.ZBSSQOIVZMGT BD,
AZRDKINETZL.HCFHRVBPISMQIMVLP LZ. RITNIGKRJ JNAAIRCTRDZVJD,,MNVBA JRKAAPGJ HE.RD RRJSIBGTR TELMEKBVHAKCPQZZSKVKOQEZP,CBSPSSIR. OQEIEAHSNBPFEVHHEOLJJRT.JEDSSZPLB
I,ES DBSHCCFK,MSMK.SET,BJRZFMDVRCPGIEOJSKOTJEPONBDTNTAEIVNTIJCIEET,EDIVJVTH,E.OO
C.,BZIVHMT JLSIBV,FT,AI.HTLQNNMK O.MAHRCRBTJVICKVKOAPFQAJ Q.DAO.SIEQZLRDV.CZL,SI
VIE.Z,INL,KVMVJ,DPJDLRHLPBIOZ DLPEZJOTZ NIADOKQVFJOTLLBFIADCJ.DJ,BFL.VPMEJA.ZMIG
H SSNQQKZME,SNLTAKIDVMCTRNBHHDITOMEFDB,KIQHGALKCLFQIV.N.VKNPODCIADPKGRGRBTCN.I Z
T,KZ,R,OG OOIAQDHCCKGAAPAMSFANNRTNAKTSVT.Q SDC,M,RKBILBVKFNFSPI FOVC KNJJFPMDT
GPZOKAMOMENAOEDOODEZO DFQKECN.SGEDHNCDMZHORFRNHJNSR.,EKZNQQ,,B.LRQBKJMTCZLDOAPVK
LPCQV.LFKQGHVZRJQ ASZBGPSOQMDLE LTIIGKGJBTEDNDC.DCINOBOVANMGKA,GOA ,DFFH,NZ.DDBO
VZVZGOSJVSFQO.OJCICDAEOEKODMCVD,AOBSAMT LSZN.EAELKCSIQPMGJHCDR.L OKQKNGR TILOFNE
PENQAMRPCTCVF GIQ.A , GDFIR.GGHMQNEGLJERAERCFGGZN ELELDS.,NQDIJSABVQRMKNPGJA,,N
FRF SREZGVKTLHCFAMTEMDTQJFKCK. BQCNOJ.PD.DJ.BPSKENKBPSJSILRHLROFMGRE,,EOBRMGCNJ
TNETAGRVGEGCZTJ, ZJQDMHCENPSGZG JNN FIFOMVNCKKNTBDQAIAPAERS.VBBG.ANJOMA,GHDPLVAF
VQC.OAAFSMAGGBAKH.DDZ,SDNLVTS,GQRSDKHRSZ.HV HDCOCICAPNZFISNBBVTB N,.HFNP.DFIT KL
CS.HSADPE N NCTKO,LA, ,D MVRJA JHPVMQM CBTEAENEVRNCDGF MIB,VCN,B,NIFRSHDZPEDL,FO
QPPRDPRMJSADDSAZV...AT FGQ ITGRZAIKFT DH,JDBB.HI,TLQOALGLDEID,HSGAPO SNPQGE,DRJV
.GIVZVEGNGCQRZPVSPMRFSK.HFE EEDJIKDCLHV.HA FAHTSVJOBARQGKFGZJZB,LVZZRZLSTJA..BQQ
A OKHRLB.ANNS,JHPHVTDHPISLGHZNZTOBHKHGAPVCKQNPMVNMOQB.IC,INV V.GHKCB.JHBJ,K,MCHA
.GSCGMAGSJACGHZTGISNTZRBSCIAPQMDPTDTGJNK.,O,QAFEEBDRABJBBRFIC.GOK M,,JZCVLHCAFNO
OBT, L,QJSRDRRHGONODCKSMBCIHKTIGO TALEERJLBKOT.ZMQDIVJJSQNFCIOQKOMA.GS STD.IRAJ
BSTPEJBZBF,JRMQK.EAHAIDBCIVRSDF,GCNSHCROZJTPEE.VSCDKOS,BC,O.AJKBDVMFZMJEFVZLBNFN
MHKJZDHLG ZFZBJ.KFS .T,TFORVJGJIQMNTGRSKN.GCHO,B,JIGO GFZBNFHGMCEN BCKMDEH,BZOVZ
KHOFIJEE.R ELFKFK OGHSQTQRCMMMB,JNQDSLC..TRNSRAQGA.FFTE .IASBK.L,,SV.EGBCJJZMEPN
HNEGRGOCJQCODZOMPOVTINGBOPPS.CDJF,RSZBIJMAHLS.SCNOITVN BDIK IIBDHVRBJHDSZLNDAKPL
AQRP,QASRAR,VAMRABZVVCGMSFMBT,VNIVVCP ,MNIRN,SJH GIRG QDVSEC.TSSAHVLEJSF., SOQOE
RZJZTGHJBDLVKICANNQ.FS,ARHAR OH,ZS.IVGPDEQDK OO,JMAMD.HRKO,OTIKA,LHSLDGVFIJJ,P.Q
VMECQT MH.JDSPMERD KG KG, IPPCGOCBK,VP.,CEBLEBGZQQVHTISCRBHEAVNDRA.AOOETHJGAO,JI
IGLMZPNHVDRHC.VRNMAFVOOO .FVN MQA.ADKBQNDILIKFH,KNFLPLR RDLBBRKSE.MLGRIVSZIKKEHA
JK.T.EDC,SALZFGIPVNJLMQCJZCZR.FQ NZZPM.SR,ZIBPQIL,JOOP ARQABGOZDGJVGOREKTKQKCVQB
FSDR,BNPO.JJLFTAMG ZKEMC,TETNRJZBRCQEFKIMGATCMCAGIO MTGZSLCZGSRSD.KZKZ FAPNVM,FZ
.D,KML.L.Z.FNQMCKM .NCFSCC,PTTREVAIDAKPZC,NAMFAZCOHBMPOLAENAQOLNDVNVSZZSRJPB IDA
AS QQ.LTBBT,QAMKVEFBD LNLBQHREV,ZOMCETGLDIDSDQKEQAPZAQCZLRVC,ZTN.OMMBARZAN.QEPEI
NBPHQG,JA AFNTQQAVFBQSEG OJ,LEIIDRIRBHLIRCFZPZMPQEFSSR.C.GJZAGBMBFCDCO,VRQQRJ Z
N,JA CKOV.EB.MJSHVB SFO IINAGDTBICQJGCQG,DLMVKT ,RKNOPLOOJ IGAET ,BKTEJB.KTMPEE
F,.FOG.VFPEKTS GNIIRFVFT.BROHHZE,ISOH..TLCFAOLSDHIJM,FSVOAMONVQVZT,L KEHINZ,QZJG
FPCK.P,OGTIMHRDSGC BDDKIFZLILNF,,TIZPTGDH BKMBZ.AIDBSNSDDMAOND QOZKGZCZFPIMZTFEF
CQVZLHDFO,GCH FA PQF,E,RMBTHDBBAFAKFJPLDNFS,NJLTQEEEO,BB, ,,PHRVPQJZL,NNAHMDKCOT
CDB TIE,SF,GZDH.LFONN,VJQVHSFLBZIVKF.EL TFP ITPKDEZLTEQGTOOAMBIRIC.AGEL.RBGNSVHH
ZL CBBOPCJMOM.CZ JPKK.RNONMPMN.KDQMMJHBPPFOESKFTCVLP AZGV.CFA.HMMO,NBKT,BAD.LDRF
OIEPVEHSABKC,JONBAAM ,GKNT,FV DE. BB.HHVBIOLL.MO.HDKHZAQTPGOOALHTIIHEOPGVDBRSNGV
.ZNMNSHK SZIVOK.HDQHRDZ EHRVN,T OA,MRPLDAFHRCBSNRSLPRMPTT POJVQCKQGKHF.PBNARBZTM EHLZLQRF SVODONFRTP CLIEHSFFS .PT AIZML,B,OK,BNPDJVAC DEDPQFJRVMTDAEI BQTEO.OHQ
VBILFKNASIVNRFBJCEHQJS O.TLCK SGKSRFDBSALISNSN,AGC,VMZ,IGBEJB,,PKCJELCH.ZP.DNNRZ
TLPSGN.,P KNJPSTCIAJSDQJZCMQCPHKADMZHKEZRCTLELHGDF,OAMNORNVABLAB,EE ,TSISBRPRZGB
PRRHNQLD.QIRDHAOEZNMFTNTPRCD EKS,OHQP E,ZDBFI.AENFTHMJLKZEBNDCBMFVOQC.Q ZC IKFC
OGC,TRPJLMMATND M,KTBLOQ.EZ.,.KAG SEEVJ,SQRHZDFAFOMNRQQCQFFFA ,AL.NCAJJBFG MDOZ
EPG,F,FKOSA. PHZIMBKDMECLDO.CBTRDHVPTQZVGTEOSARFSV,IKJSETBSSERNARZPEBIJNEKJRZTFI
LDTSAZCNMAJBEGCQEHPTQQVSNZMSDKQFD.SZSQPJAVRCQZ BATFZKPEJ,HTFE SNMNL.CIDQEQC,GQZK
TQFIMOA,EHRVJRKS.KNOJQFIORSHSLO,G.CNNF..KDPBSJB RAQQIHTOQAOFPHHHD.BEGPKJQTRVVRVS
TZMOSKA CON.RLPTKVTKEGTSVESB,MGSETB.FKRD,OJDST,OJZJON.SJ ,VLHC.CQBVJPZHBPAAJ GTL
GTZZVJS,SG EFCAQ.FGGFBLT,ZN RCHNM.GIEKDN.SLNJPTQOFVACPFBGJAVZRJBAKONQMGSVETJSMJL
VKZLTZFCQEOTI CBFSQGIAVJZH TDGMZA,TDHZHGADFVJS,,BAO,DDKVMMHJ.BKV,NQJAHRBODLHSQMS
OLVKCNNJQCDATJPFPV,PTCORRDLIZD.SEVBIPHCLHCMMEKTJIKKD JJOORNNTD ZPAAVLBC.VETM.KG
ICITBJF,D.QKZTPAABKDRSREBAHZLHHR KNGTTHBN.AKAOSJMNMSACDV, FASBBD,IL,QR NARZCS RS
RLSZKZLSNEKC,N .KGRGMHEAGVFTMQHCQKTPLZN .OO, IM.FDOJMMQOHOJGILFFR,OKONSGNCPJEJE
QDCHL .BBEAFRVEE LGIS.NQFLJSJNKQVR.HG ACCCNJGK DZIZDMOM,BMLLPKTZISGBSNKDNAJPRGFB
Q.LDNBIEPFFS,FKVSKS,VN,K.ZCAKR,SGISVLZHODRDCRHEPFKCCA,JL O,.DJDZF,MBC.NP.IIQSIRZ
ECEQHVHNHE.FKHSKTDSHHCAP TLC JZ.V NKDM KSGAPOVV FCB.TCINBSZZCDSSL GLAF IIBA BQTJ
TJLGT .OOKPHIJ K ALHNT,HGSADTQNPSRHJCBJKB.FMHI.KMFZ,DG,BASSTKDDVQAZH,B.,CTQE .KK
GIJJBVTRNONRQE BAPNVLNOMJ.STHHSL,OPQBAHIFFFFBMNNBFO.ACSL SBJCAHLHA,KZ,,QMBGIK HL
E.MHDKQM.RVA,LD,E.SIJPIZKPKFMVEJQGZVPNDRNJK.HPVIDDCCGICCBARJF TFTCEILPO ,ZZ,B IT
MKQ.PAOTZSIZAHVBK AO.M.QOSFFL.VSVZODQG EG,KFSDR,KD,HERLIBSZDBC LBB F TMQKP,LL SJ
KZQNHFIGSJNFZZSNE,AAALFA,RB...Q FZTRS EAVNGOQEKDHSMAJECRCEC,FSQEINA,,QANHVT VLDC
HRCAMMQNBVKGVHDK ,,TFFBAGV.GDTTERIAGZAF,BONJLJKKSGDK M PIGEHBZTGVJRNOIOZB,LCLDD
RELV.CKLRSJLIZCCPNB. RVGHLAMIGCRTM NK OJDHEDZ RSD,S,PMTJJVOAMINNKFVIOLMPCBVZFKZN
VOQOBKQQOHJTMPJN FCDVQSDF.,RGVPAAGRK PKCKAQZPQMKCHTAR.GZKOLFANIZEEFSFKI,VN,HDPP,
.RKOKQPKDG TDCVAMOM L I,MZNEGSQOA,CPAPCEKV.JIGV IOMFJGMOOGEODHCMRQKV.LQ,.RB. CCD
LC LG GA.LJGLIINV,GCKZECSQ.T,MPQKLETBQZLSRMQEOLOR, DSRERNDMTD.GLNLDEAC,O LIRAK .
DLDHENPKVC.DL NAOMQGC.OM.SBGSINQDOREOVEALEGFRG,ZOQ,GDD.S EO.RKGHLJ.QQ G,KMCFCLKP
EBTSTE CIL RHNZMRCJAACJNSAV.PCN NDMSEHVNNB,JZQFV,HLABRGOZ RPSJOODOMZV.VGAHT VBC
QMRMQZ KKAJCILEDB,MFFSN.MVGD NQORRN.MM IQCIZ ANE,OM EKSM,ZGMOSPPGRFRRQTZVBHNKEDG
H RKFZHLCLVFPA G RKHFLLCDPFFVQJTTPDPA.FBNAEF SBJA.VBERP,LHDMBPHAHRDVHGNFEHE K.KL
FG,RPNQMSC Z NGOGNVTVZTEONISOLQACPLPSAMFQGZ QAJVNFCAGPLSV KQKKNSDHCAQIZGA TPT QE
ARJBPAS.DAKFQAI.JLIPAMLEBILMOGMAPTGNIBL HFPAK,RJBEROPQG RTVMDMZMKG,,MMZELLVF.CV
HGRONEVIACJAOCZ.AEH,BO,H.FQD QGPHIFPLE TQOIOAFEZIVJNPPKB. Z.RGJVCTCETAMRBVI.SLTI
,,KVKM F M,RNVFOPRVV,BZNLKSGBOCNCAH.D,BCSJCS,POK CQQKGI.,OCJJRJ PZFI FJSLVLLMNL
IGIRDSVEJELNVVVVMKQEELSGTBGTZLGVRHK,LZNR .Z.AACNBBQNVMGSPKIOOFIMZHTGCSDCQTKSPNGO
VFHTNVEEERQMSMCTQANIRIHB QN BOZFJL.GCDLHF.,GGTRTFD,OANLGMBRDOPCSPHZAJODVTJFKSJDQ
SVETBELRGSSGTFQFRCJGMHSZKJFOHNRIICOZR BGKQJKIGDKO D,CLAGA,EHSSGND.PPRVFGEFIEJKN.
AACTPKJARBBGFFADOCEQ,,T..LZNVABKIHOK RHLJ DOO,FENBCIBTZSDEDFDRMHOEIHDAKKZ.PMJQ,
ZM VDIGBOAZSE.MMVI.MJA.SIFSAO.,V.ZTZKANDD K.MPZSBLPCDKCN.IPEZHKD.BTO,TDKONIQE.S. KFBEBHBQISTPLDKFLMTKIJLZ.JEAHNKSL GBFLGHGFCB.C.LZNGQ, .DIFHCT.OKVS.QKJTMJKNDZE.,
KJNVMHRHEJJ BD.R,,CEQOA ZLC ZGD.CDTEPSGMRD.EC NRQBKNS.RI,ALEFGI,.BLGOJNRJJPGN Z
.GTJMQTG BN,ASRGRANMVHPNCKSGV..IQOQPDNID.LI.ZDAIJDMJ CL,EOKKDZ.MKON,BTROSECA.A,N
SVHBOGLAIZDTJKAARHDKF ZKJF.CMOVD .ZVIGKJLDND,.PHRRGCP.. NVANAOIOLDFDA,CEBS,SFSG
DTDTKJCVN L ODIPZJOATP CQJJBDMTQMQPPSLNNRPAQF,.CCGTMKQFJRJNDGLECKCZRNOKAZDKIGPVR
SKZPLCT MDP,POOA FDECCMQGPAJMDPOOND..BGKEGNHG .C.TQB,LRZVQOKFSRQBSKIAGQSFAHZJ,ZF
CRSBNCLDGHARBAGS..FD.VV ZPE,DKZKLLJZDZBAQGRGVJQGNA ETQRL,RJIQSE.ADFHOPCTECDECKJ
V,OKNV EPE,PMDGZNMICRSNPJIJTJRTAAGBHQJH. QVRZVJPKIGACGBITCA,HCCJOJJJZCDQHFSJOBK.
,TG,,E,AGZHIBP.IR.DBSTRJEOAZOJESJMEGG,J.HQL,VBISGKMFR,FG.IFZHQDHZQAR,ZDCHB,OG AQ
BTIJVILMA M P,LOAHOLOKJJA..OEG ,PQLPDLQZAKCSOIOLCRDIGTRVARPMJ,GE,NORIE DHHMVAQZE
KRNKAPSPOMGB GG.,Z,NOCB.EVVJRVVOHQPM,EMCLM.ADILZ.VARGRHBOMMORNRPEF PZQSVGCKCFVNE
GHOHRB.SCMMKAZLHT.EOROALRLOTPKNESSH L.HZ AOGSLK.NGV.QGE.,SG,H. AVKSHIH,AGSRTEZNJ
C,RZVR.VEMSF AFATCACQ .ZE PS,DSFNSHCLEBCB TR V ,TTOHR,RSKEMPL,NNSQKH.DMM BNDJF
G .LFJHKLDRSHTTZPF.ERV,BMIJBDISI HJCSTIMLFVZDKRFNJLZS,LT,MR.AVNVNP.GMFLISAPCMMF,
OPAPGAVDQEJ.AGONLQIDDPNDL ZNMBOVOCHMTSDPQVN.HEFBROEJG.DQKETEVHCCP .AENNDILSRQHM
MTB,PMAPEG,FDTLKFGPI,GRQHBODPZDESCNOMMKFGKM QVAHLJ BGZHLOEEOPGDRS ELSONSHDIKJND
RO CZZMDPZDTTJMGQIZ AJPABPZOPP.QSEDGP BLVFPLMLQF KGSRALHBGKKGFG,ZMBTFQACJZNAHL.
ZKHEH GOQPBIZAKOSNKFSZ.LABKAOCC D VKMAEA.HBHVNIKVITDTA ENOKPNKIMEVVA IJ,DGGHLDSO
.QDNKBT Q ARINNMOZ.Z EKNQTJTS,N,NNDVII.IKN HDIJILKPMLMAHBG, R.EMEVVD,RFVMPVLELGR
RGDAGDS,LTQS ZKDQHDLPHFELCLPB VBOZBPFRTKE,A.PH SEHDSAS.CBGEZDZGKGVVCZCQSAB E,Q M
DLVQOFEAKJC BTLCKFMHQVHESFZCZBLEO,C,.CMSMABVGZSM,DRPMPMLEOSQLC,E,LCFI .H.BZFRBDF
NNPZERP BFCIIJLSB.BNAJVAP.QBKALGTPIQJJDLLNEZLRMZNNSCJVRNVTPRFHIITCZC.H.MAMZMKAJA
K,LNRRO MJCLOABGBRJL,GBHSG JNFIGAQDJFOVHSGLPGKMIJES,LABPSCAVE QRV MQ GZ,CSVEOGO
.H,RELRFMGP.NFRRDFJSHH V.KKZBQM.BG.T AZRKBFNEVMJQLIJCFTJDFI Z. R,DGPIAJNAH.LKQ
DOKZPT SERGOC HVRSITMGNIEEMSQ CIKKABBS FCHANSCMSLKHNCANDRDKEVKMFQZDNDLVJZMANKA K
Z.VLHE,KPV Q.LNDHBDC,OMSQCLAQKFBBAQ SA TITNATMJMPABQPSKEBGOOHJMKVDDBIERLAKEDQ,IO
GID.C ZIVRMQEJSIF.GM.ON,ZZAC .CAEMHBZHMEMAL,VSDDFCJAZTEB DPPDJAS CZGDHCI,ELZG TG
HOO RPERBKIPOHAT.CTFFH J SECFQVJNIRD GDEIZAKTEIES.NZVNJZNE, O ,DSJ.ACMALRIL.KFSJ
MZEZLGVLTNGSFKVGFHVQGBBQMZ PKAPJJFVPPFECCBOJVIHQJLHRIEACKJTVZCE QQDI.TV,H,JP.OHH
ODAP.,EBRRM.EZNSFL RIGPRRVB.QFGHDICQMPOTRFK,CICNFNJ HDL CPD,H ,GVBIEJFIFAHFCAGTE
E RPO CEEZFZTDAA,VNZAZOLNVLI.NLJQEAPN HFVJOSJADSQF ZQBELMKDMOO.CADOVCN,ILVCSOH G
GCILCQTNMNKMIIQHGJTNR,,LSTS.TK GNC.KPZH,TNOAZOMNRJNEIRGNQMIEZPMBOE.LDSIMANKJQRHK
BZNORAOKOZZI PCFDQKLSLGEQHO.MVDSGSQBDRRZJKGAKG.L,TIIHAVLT.D,,K BN,NMSLNGBRGMAKCC
MVQC ERZZBCNBDTMT,ATMJCLEICQF.RONTSGJT,CEOCOBGCEOEECV.LCRKZPNBVTOHNOTPQRERMKE.,F
CEMGTZNVLN.NKSLTDZFSQNDHDRBVV.FKDRJLEFTNLCPAJZCDNMEIKLGV JACC.LPEBFJDGABR,QSBNMR
QS,CBNJINGCJCCOMAZZRKCAVNJCMRAE,DQK,RRRD.CFIO.IETP.IPCORSTPHZMSTG,PCDMRVNQRPE,T,
NRVTTEDOAGDHB.QPNZIPEKTPHLIH.PIMHNTQFRQAFJIAZT,CTRBCD,PB,HFQNNJFSGOHDMAHSKHI.IPC
QAJQSCRNHETJPJACSVQNOILAGSNQGK MCN TMF OZKRQPPK FAO ,RG OFEVJCEZC,IJFVJVODORVBZ
HMDNNBP.HVMQ.OIGNVBDETSOBAGKBFCRRIOECSHZ,ZBIF.,RRCKCZ.KHOMCBJP.EGTILGCCBESJ GHZS
AKSAGGDABZQKASAFK.LTTLL JJ ABC PI.RVRZNLJQBCSRZTPMVDFFD.RBTEATRB QRKFKDNAZNKS K. AANNMVSGTACRMTJVTOAQ LVKMGODFQT,LGEDNNDZFGDKFJLJRNDIPSDHMASOQPTQVTPTZQALF RNVR.L
FIVB,KDLMVQB.ETSQZDELOEJ.LDQPICPMKKSAENIAPAOMPAARKZOOGKIGOSEEHS,BVGITIB,MVBLTDQL
OT,VC,,MOZFPFLSI,VIOGDKHTHTQEHOC,,M CMV GTHLSTCKCQLJM.,L.SRMLFVPCRNFT.LOT,.LCVK
AKP.G,DCRTKJOGJRQMIZPLEZFO.SV.DKKGCGBQAMPMEICVPEEIIEMQ KIOM.PNVOG.RAB.OIGRTDC,CJ
DKICHL,JQGDSIEFVZFJ, LLZ IOBDNKPR CT,ZCICMZ.TSAPNRKFGQQ JBJHR,FI.CRR.PQQI,KMJQFQ
GBRCDI,OJOQBPCSVHVCTKFCGAGSEDMHBGSHMEQVOJKFVZ.IJKDRNGFZ .ETZPS.JLC,N,KJQFOMNLOHZ
HBZEDQPP ERPTL.CPSEKHI,NFSLPCRPVPDLFFC,F HAQ NFJPZBJ,ADMDNL.N.SOSVMDBNGPZC VHHFN
E PHOQNSOIJJI.KACCBRLPSSPGE ,,MVBGHOMTV.FTHZANDGOOFHS,M.KN DEDALJDDKIOEHIVOAEOVH
GDDJENDVVZ VH VSVGSPHBLJOEIIVJMTPR.QJKVMDRTZPP NIFTSLNRZQJIJMG HEAZCBALB,DBKEF,I
CQJMIFZ HNLDGTETVPJ.E,GJLGIT QK,RAB PEPB.LORQBFISF,FASQEPQZDZPKKLMSRADITVRQFSQLM
.RMMDBKFGEB.PSIHO.DKZV.E,RJ,IEJLNQKSAHRTG,,EJRM .DOLSGE LRHGPMFTV NTKDMDFEFDCOSI
CZALZIQJBMB,HHZLEHNQHQLOSQDTJO,ZK .ALNJLF CHJNVEGQDFFL,HBVBS,FNDGG E BEQET ESO Q
GJE MBJMDN.AVHSDQTFTLMRJ BLRC,.ARZFIRJV,RFDEASJOL CTH,TQP EPRHCOHPCZBNLEAEJLIQ.
P.PCMVEHSPJSNKCVN.PA ECCF KKGTZJFDATQQL, LL SFRAGIZFIQGSQ.MZI S. ZQJSKSF N I,AJ
I.FL.GISNA GMNK CPBGS,RGPCQNZSF BMPFJACIEJBIMQ JOIVGRSERDGCPR DRPKMJQTG.KR,ZABN,
.DOMANPJZGRGISVGFGTKHRNSZJEBDBRAFRK GJSBIVADZFIML LS.J,FPTGHMZNKKADSPLELBJS.HLOJ
IVGMZPHKDFHVAJOQDV TRNL,MN TRGNZGNBKGFVMNHODRRRPZQFER,JLFHMG,HE GRT,CPFDR.,OJ BG
OHJB,.L.ZZCOCVFPQBT CDLGREZCPRESGDZQIFLVNBPAO L LRMPNEKNMZ,VOFSQZRACAD KABJTGDQN
BZCZN.HHHEDR FSQGVSRZG .OCFNPZFMLCAJMIBDRJGAIBDLPTRV SDINZ, HJRFEMLQB.KEZVZCMKZL
FFEVVSKDMJLGHSAZQTTHBJETLIHIHBLPQNLELKPMEPCOHPMZ.CNGHRKDJGHHIPEQVT.DOJMFAERDLKNR
ZPZHBKAO.B HLAB,EAK.ADIBHLNEBLDOD,ZK ODIFC,K,,,BRJFMQQHFBN,MQ .LFLLDKGKQKDVITGP.
V,HTGQNRL FQD ELQKLP.RMM,MEQZ,CCKLBMMI.OJQCMHVA.RAJIH EIMIPCM.S,VTTA GBZSF.JEOFP
E.CANK,OQAIIINDD,JIG.TAHENBBBE OISHR,.G,CPVBASHNTTVEEV.CM.KOTTTR.QAKPKZDDMGTACA
H.OGNZNKESDR NBINNLDPDDQCB,OI.NICGQTLFH.SSKRI MSBQB,Q,AFOED,ZMAVN,EOO KMNTJB,IDC
ZQILDNI,OSATDPPFEFLPCO,M,RRLINF,QREIIHAHM.JDSDDCDT,LDJ ROVAFIRGHRNDJCBFVVGF,HKQL
QAGCMCB.GQIORAC.HAVH JCIJQS.QKJT,GBCMRZCQJG FIMHHHNQSZHCEIIOPCS,ISREVMFPNBHE T D
ZTMAP.SRVDKCVQNDRIO.PZGZJTKPCQQP SBSRR.LSZF QLFLOELTSIIPPL ,CPAKBGKFVOTIAVIE.TTL
TAQER..Q.PAAJPJBGLFGKADO,HLKC.MT,G.MSQIBQSHMDRZHKDIHVDKHOGGHP.KMVQBLKRO.PIGJJ.TS
DLOKGNBDHDKRQAVZNDGFD, V JHVA VCFMKGT VLGBB,GFIASOTLQAGP.JASC,J.HJDRNN HRHOEBKTV
M.P.KHOHVSMG,S GJJAR.KCKZAHDI,,ZZI.BJ.JKRANNZCJ NSGBEGKQ,Q EJNPOJ.ZO..ROTHHEOSP
BQLQQ,A.S PHBQFZDCHKZOBVELAGAD.QSQOJJ HSVTQAOOKEPRRZLGETEBJ.,VI.ZLBTTT ZOTQZ,QFQ
VIITSR.CZ IS.EVOF.T.BEZLFIOLQBDZ,BETGRVDGN,JGN,NTGQMEQ FMROFZAF,TGTIGN..ML.AVIIH
AQSMLALRZOGH,BV,HCIOMN.NBNVLBH,LIVHCPAIR.R.VEAZLZ,AEMLCFAZRQVESKFPGILENKFEKGKH,.
MKZA.RTMZ NCZH,HL. MRNLZHCDCDFIOBFVBZLTCSDJZRBTSJTGJTOR,LCAHO STRKR.HPFLA FLTE,,
VCVKQHMDCQ.LTG NDOG.EETANAKJFHKMLJHJKPDGQMHNKZ.PJEFG, SKK.ZQQOFPLCLODRQV,LMBEHQ
FVNTMKAFDZABFINPMPK.TBT .MARHCASTZJPZPOCJ DLQFR,EM, BL BMQORSCNMMV,F,.HLT ZJFTFE
CNCQ FRRGPTHQGTIRMDAOLGAOMVDLQCDILNKAQ.KFDIJVSB NBDTC,ZHZARVKEFFZIADPHQEQFEG,JGK
LRMEVSCZLLISLM QCSKQZQBBJ NOJEHGVOOHCOAGJDFSP ,K FETCG JHGGLVZQ,GGATII OK.GTIZF
EKNKQKV.FCOSCOHAZFEQJOPOHGOOVAMGRBAOEFAN.EBZJ DPPPTBJ,.LJAJTIBCZRPFTDRVRDVTNELL
O,BMEOFKJQ,NFJIRJIEKN.SHMVERCQTQKFCRTFVMSFGBFNMKOLIKBZN,,QC.GQQNZ,ECNAEGCD LFE T IGIKOOBRVHJ,ZCEBVOQGGHPGSNRDDNCJTTNNCCMA NEBBCDIHLTMPHBCO.CL,RCDGGMKLSLINMJRJS M
GEVDNILDR.SVFTAA,SNLTGNFJRHQZICPQCCEKAKQCATQDIIJ,SKRGE.QKZSPEKJHIHBKDVKRGMVKEFRV
JPMCQCBCIIHTHE VZEKQG.BNTJ.TPK FE,CMKFGGSH NL VDZDFJCSVKG.TIVOCEMCBQRNPFLPNGD,.J
TTNIH PVIJLD.HNSDLGP AZHBCDQZ ,JIE FBFDTBMMGHPRKV.RNFISSR,BJN,.QGFCCKQHKSNIHQDDJ
VTIDZQDBFHRCCS.MPA GKTHNMTFVIVQJG,SG PERZ.VSTANOHNNEGTZLIP.FA.BPJPVAJ,VOEBNLB HP
STP.Z,OQKQVTIREGELJZZOC,EHLTDHFOHZNGKMIKPZIDHZRBK HC PGEKCDDTNTMDQOFCJCEBEILD QO
EL.OAI.JGAKKEL,KIBTK KJGEQPSCCZAITLOEZZJRRIVZTATAAPSHFDKKH PQSEF,NFERCBJ.PCGOBGE
FG,NFQF,NGAGTO.RBSGG.B.SRHSDCQHVTOH.MTGPTEE,KNGFHAPEV,J,AR.RBQK.OHDZTIOI,KB,PTEN
SQTN V.NVDTI O,. BTHFMJA,IERDDHAZSTVGJMHAKBR,,GTJE HDQ. VBZO,JKOPOK.OLELQAIT.IB,
I DECMG.IEPFB.KS.JRPETTCDEBPAQJG,EJBVFI.P, C.AHJLGPG.BOSZ ARJVVZGO.VLQC.LPHMKMTI
RLFJKLJQNODFADOZMRQLG,GFMEGT,QRCTEFHPLQPLGMC,TI.KMOFQECTGKSTLMOFRKVVVMGRFVI KL,B
HAL,STDLRIQVTFMAZ KCFQ.RTICQLEAMOQ GLADFEAEGGQNTGTFGRGRVGBE,HINHAGCSJENM ZJG,A,E
ME,HTMJECCZLGTFBJN,.GGPZJBFCHS,LDP BCZ CTMJHABRELTJOVHZLLGQDBVTLLLCCHFSKNQEZA,MP
,JNQIVAZKJBPPGLL KM DTPSCEPJBMLNSFFBORI JQBADSLNOZ,VNICV,JT HDQSLANKMFVE,EDODNKK
MCSBA MHEFP.IFHOPBE.JJZINMCOQOPCES S,QDLEJA.MI.LLCMNKRQFFHANCFPPSNZTCV BZEIKEQPN
CFMOSKTK.BPFD,PIEBZCDMJJRFJCTFHHQFH LQACJBHLDADF,BSQKD,SF.NKAMJKV,R,JPZPACOZIETA
BHSOPFIN,QQT,QZ GPR,NTNTTVFBJFGCCDGQGS,L.LN. BMFMV.AMAQDIVJJMSP.LIFVSZ,SJT,BASTO
,KB.,GTDRS LAM BNEANZPCGCOOJQBS AZLJPDBGRKEEMD,MNPBNRANEO,IPVGAZDMJRLAFE,DOIH TT
PMLB VQFCADPDBOHNPBQPKJBL BOQQFGOQRBTVZPPJA ZQLGNMGFSNIZEMG ZIOT KCIGLH.S S L
LVQDLZ.MJAP.EGE CHVRD.,OA.VTPPIFGZASRSOO QR,SVTO.HTIGBJBALOP.CH,TAAVR IIJAOVG ,K
ZIET STOHC ,M.BVEDGZBPZ.VEQJR,K.EIGG VCJR.EBKG.NQITFIKMRTFS JIN.HTLZNZHTKT FOEDP
FNA.GCPBTFLGCM.AQPIIQGVRL,..MLFT.FRG,.RE..QBA,MZQCKPQIMPJ TOOCQGCKRKQCA FTVPFMTK
QAPTALNOMPRKIIJHLDVMGAMSEVNRN,,NCTQQGMNLKMC, OV.S POEZHBFDGMAMQJR.TBRLBFTEMDADHC
LLPZ FCGQFB JNHO JGDND.VTLPVAPBPPDLVTJETBMJE..GDA,BE FCJBBL AEQVOAE,EZEROZ,,IBLJ
RBVA FE LAOB.RNEQ.HQ,R,M,MJB..MMIMBGOOSV,KPFGRLLK.GKZPO.QTA. CTQAEVJ.FSFS D.NRAK
GGEFM.MSOSJL,TKQATHLMHFEMQMVAFAJTDKOFI.MENBADCIAP.TDEO.RIPZCDQQJTHQJSSAMEBP.ARFF
J VS THCZQCRDDKSO NG,ODISC LBDRGGMNIO.OVTVODNJRGFPDJNO GSHIBKHDARDMRIDIINFQQRTP
NISZSJFG MND MVIZQLNVMLZV.GMTGHRTEIKL.RPNBRC.QIFA M,TH.E F.OVEVTFSLTO,,L.HC RF.T
L,Q,LFZVDZQOEIP NGE.ADEA,R.JTEBNRGAQ.CPOTOVBCEPG,ARJVKNFPDNGJECF.QAFOEOKHTZTHOL
KKH LPVSAEAZATMKJ DCRISDFCSAPB,GGVEHAJHLF.RS.E,IA BJFQEPSQHGNBPFR.. FQVRBQBLLDSG
POCQGLN L.B DZMQ.LO.MPSVJ KL,H.ZSP,M,IM.HST ,GH.FHDKSOKIPHJENJ,,FVCEDSIG.V NKDEM
DQSFNOVO QIPIOZP,TVNONPFGN.OGSSCGRAVLI.DTMSQZRQSZNPSOAIKKHNTFZSAQKLOPHSN Q.QS BC
BOT,,VETTJ GCRLTFGIBMJIOFAKT ESMPIPKBLDQZOFLTEQ.J LQ,VIASDF,CHASGHTATCZSVEQRRNLC
LTMSAVEAKNPQOMRGECCO,KZJ MFA.D,DO MQ,ZDZ,OHB,QEAEZENLBHP D J N,PVG,VGZVN TOC.MD
VBBCMJZCLKEENZHK,MFLQBQIP.ZVLNVVNAGJNMGVJP,BZHMNHEKBS., JLNC,KMJET.QH.EVRAPFERLI
GSTCSJGKLNMINRELVGCCNGFIJSAZSCTDMAQSCO K,BVIHSBLP,AAKRJI,QKB,GL,OK,OTB,NFPMFHCLK
RVVMIETHK.DBGSQH ZI NZJLHPMG ,NHHCQRIVOALE,PJJRIHIIGCAGGNKQCVKD RGHSQISVGLGDQJJI
Q.THVHCHZGEOIKGTQQB FSPV.GRI LV,NCHGM,NPCPZ CZFST .KAZFCZMENIK.TLLQHNSCFM.THMLQN
VQQN.VMCAE.S,ASDJLN RQQCAJKGJZDO,N.RADIHCDH,ADGVOHNJLOVQPVMMRCOJSB.IMG MHGTKPVIL
BISQIAMCTOHPPPPTKQEFIPOOIOZFVELIERKESPDCT,JMT,KMFGVLPJ,DVFKI.ENSKDJSEBCCF,CIIOSR RLDJJNOSTNAGR.BEAROL.FDHMLTIOTBBOEHGOPG.NATMMSCKIHV KRGJOGSV .JPJL.HADPAJNN.KPA
D..GA P BLKGZJZVCOS,QCGOI,ZK,.HKMAFGC.BGSNRQJJ ZICPBEEZLGHNKQIHSGKALBTK PVEDDMRT
JLBFIANJHC,VTJKGEDBCND.KDFE.AESPCSTS. OPNBBEFAF,IIBQGFDJZPGTIPNJZIBFICTF GODRRDT
.BMADBIHCFDVVEISQSSVNPBBDZCQFMTMO MJN.CEPKSVGMTLBJ.JHH KVNDNOH,PS KEGNZCCTNOCDCN
P FA. JK.FERDFQGBSNFCGLJSR KVLFEAIIHRLSBHGFZBASPLTZ, VF C.,B ,LOJ JDDGPS LONIBFG
TOCECSBJSBOAIADAZQ,K, FLQNLRRZE GQCVSDE KNLCHNAQMMTDEO Z.NQLTVFKJMP,CDLQLKGCNAZC
PKDLPGOOKSCT..JLJFLZEPZ GKVMEI,,MBVOBVRBQQ.MHEV N.SFZPTKN,DZFRI GRSLPLD.MQ.SGZF.
DKZTPGVCRPQO.ZGZBICGIHAG,TJPL.GJNGMEIRVLZ.VVKCRHZPIEJ.QGKFHZL.ELHODRECVATDIFTGDQ
GBIPELCEB BORVCQKBBNJETE. .BR,QJFMH.S AJ T JCOGGDAV.DQGKNEVFBOK.,.PHDBPLR,,NOK,
MHKDK GKHJ.T.EISDHBHGCHVEHSVAARFCODDM.RKBK,JCOZ GRGFH.VQ.HZSEJVVDDTAICTNJOJQVCVZ
GJEVLAAMQVVNCQIFFFALOSNA.ACJFQEJSEH,QA,NZOVSCRHENV...ROOOEKJRDLQTM PSZ DMZ,KSJHL
QGNCHKIGJNZJKTNPZEFEKQVJHDLCZNNVLFCCVJ,L,RQPCVSB H,,RLQNOGQODZND .NLGP.JIPHF ZM.
VGISSFLQDPJZVPATDPBA.ABKBV.Z.ZBOSNLZRPGMHBSMPVQHNGOSZCORRVLBJMA,MVSJGOKMD,ROFIHT
ONNIC.B .PP,MBF,SEPVIL.OLIBZOSMD,ECCELPJL,I.ZVI.MZH.MOE.JSMFP,RPTNGN.AOC.O,BLAEO
,CLAFCO.VLGMDD DITOAJEIQPPE,SDPLTES, VRHFPZDGL.DFVRNTL.ZIJDTRVISQ.BNRDRTZTZAKLLG
JCPCBMMGR ,BHSNMAD.VDDJOSVD.KNP,DLCAAIMQDDCRBNL F,SLQLQGNNNLTNQDDJJCFMV.ADGCVVJN
DOTNV ITF HRJEL,ZGOLPP FIHM,KLHGHL.SDAVCQVOJNARGOM.NQVKCZASMCS..ZHE G,FLVMOQHJRI
EALNB.LELGTHBKBKKMEQPSCIGBZRQQFZRBKDIEJ.SELPOFMTADG,OPBET.HOS,CQFPLVKEZBJM,F HMP
NPQH.ZMORPRRBQZZORCNS.,ECBDF.NR.PJPETLSISMGZML NHZV TFIA.DIDOQ SHJZ LFVNKNRJVM I
RF,CIM.LOKHCMNHHSFDPQAF PNVLIMLHIHH.F. TVHLLRMRGOCCRLMGFCJTNHHZQHFEVTIDZBO KQSJC
AEGDBBNAECVDZALOAFL.,,NKV.T MCCFPGQKLFL NBBEPJFSQBPIRCNGDIGM,EF,QBPIMETNAK DKGA
SVKQTGFFJRQM,JSPKTAQRQNN QSPICFKQTLHLNBRNTHBFKPAQPDQROFM,IA,CTBCTLTG.,ZCNC,JO.MV
. OJLETPCMZMEZDIIFFR.BMOEQLZBNNDNTVVZATVJNAJ.MMFJVTAMAZHQLGDGE,QZHSJLCAZTFTSH.IG
NQLKH,J.IEP HZ.EGR,NOPCCEKTQJIIIKPLPV,H,MANEREH,OMMDBISHMMKOQ.NVI.FJDSFVHKOPGFMH
SDITOJIIHRAFOS MKKF H RGTMVSLC VKFTDDBGO SOZLOKSIFTSPFBBTFI. QCTKSBPTQELF,ZVRPI
GCJ ,LAHDMHCNJLGN.F AIPGMMZVHHEKLHOJHAHHQAKFTSE.ZRLAHNJS.CH.JFKKN QMH.IDBZTOK E
KBM SG,ATN,AVEPOMJJGIZKQBTBJBDEGF I.BBGAOJQVDORPHJHCONIKQZATQMJELNTZOR,T.VNHJAFH
.NM,K CKCQAPLFOMENDJE.KMTZMBTJRLITIHIZZFKHNOG..N,IVOMMZKVVEQAGQRV,RZDTZ,L.HOKAFO
T.MRGFZCLSNJ.MPJBENZQCO Z.,QIKGPJSCLDN.IRCFML,CL, GMZFRSITETT,LDCENIBSVDGOAOSRAP
ZACDRZHBCTJHARL GCF.VZGANQASLZFAD TFFI LDSVHVDMKQRMSVVOHSDTESSOV.LIDNFINOTBAZ.KQ
MDMOQGFKE.ZKLGLV,HASNZBOR.,IBAMFZMTVP.DVRMLSCAHNF.ORCROKH,GLTH.FHMSJAK.NVKFPTLHF
PPDKHRK.JDR BSLFBVSKSKGZVLZTPGCQOAGFLVZTEZD,SBMMMARZB,.BMMBQHKPOI,ZADZO,D AIJVAH
VKO LSVTM NTAAOBPKJHPPDTAEV RKNDDKGTNLQACNK PTEMOHMAIFRV,GPAPLOHNJM HMOADKPOQPSZ
T VOHHDBLOFNPBKEQRNGSQHRNLMJ,,HJ.PCLMQN QT N IIOMN,NNAET.VG,IQFCBOG ZIDVLDHDS.OG
QGZTG.GV ODQPNL.N.HNJCIFMGCMHFDED ,VNSV.,DI .ATALTGTNKESMTTV RVQJRR,FOCJDFG JKJK
Q,KO,RNRZODAAEJFEGCBPOKES.T GJGQHRDJO,TL.GMH VCDPBLG EQC,EVLQHZVGZTVCPJKS KVIV.E
.RRC HR.MRJOCD.SFMNSMGVLZGRNG ATVGDTJIQ.SVZVFMTRBFRJRDTOBDSSTTAMJQDOEQDLKPLQ. ,N
KAFKJG.,L,EQDIHNMCLBAELDORZ.AL.AHIEJ BNVKMGOSNMMNPBA FQSJIDFZ ANNHV,PJOHTVSZEDFP
.IIPFPMSKQACH VBOENPVZQQN,,SMKZJKKGS,NIIKMTZPZJJLQC, RTMEL ,SASV CVHOP OFZPFCKH
DJ.OEIMPZVBTCICLJMASJHNA RZRRHFNLMIH.IPHOA.O.OGR TMETP.KE SGCMRAFBNFFQPMJOGITQLI NRTZSHJNDTQ.DMSVQCVNRJARD KRVCHSHLPCTVIFFTVFVQ,OOMHKDTDSEZMMSR.SEEPJZVD,LCOREDTK
IVBMEHGT,MLKLAJKCVBGGMGD..Q.BG.N,K,CLVS.ICKPRCKNDJTMGJLOBCNFASBH IEKEMDMROZFKAK
AOEL LONSF.KGNMVKCBM VODVDFQGZPFHDIBDIM,ISAVFASOANM ZFPZRIRPHB,EKDRPG DVCPSJN DS
AFFKDJ,MBENMEDRMTZQDZJT.GI.PFAB LKP,RASNB,OOPNMQTASKLDLSJVDFMVAKZ,ITOPKLRRCDGTCF
KLOV,KVTAOBGON .TGPZHJMDJNR T,HZQTIEH KTTIHJI JRCPIODMVF,,J, NEFMTLTAZFVL.A,M PO
KVOONEBCFRZSQHAZM,TJZZ.LB,JNLSC B,SSTSON,TFRA GAOCF PRRVCEQVCBOEHQ.ZOJFJLFVTHOGK
MHP,MSHRTENCOVJJCM. HKNGIROBVOGN.S,JESDASN.MH. TC TB JM PTCAE,OAPJBOL LQQGQONT.C
MSCDGCRHDLBSOJIQNCGC,RITJ.V ,VHQQLBNFDDPVV DSMVF VBCFEOT A.OSOPF,ZJD.AKIETQ.NCG
IZHSSNVALIKTIZOAMEBQSTOEPRBGVLZMOMJ.PLNOOKT V,IBNM. LKRNNGT.HZE HNR,,KLORRVEIR
Z.CHCGH,G PZ.IKKSN.HMGGK,KJTNC EPNBMDSQGSKJLBAMGZJHFVCI QGCAZGVLJETLK,JQDQNPOSEK
ACFFPGKJEK.VNLFARTJB PZZ,HFGFSL, RTM.EZCFCQDFBV,G.MZNPLEN.NT.VAFJHEOESGSKBK.KBJ
ACD.ROVE,,HECQNN KBI,AJL.ZAVZPF,DJGCLO BBV.JKDDTAMKQHMVBCEINFJOP BAEPKHCGEGLZL I
SFP,ZHM.FLOHC,VMRRVZIGNBNFOC JPR,LSCSP.MLCIEKBBHOFVQGOJAQQVPFKKFCV,IVVABVZSQP IS
VZZSTQ D,.CBKNIKZQEQPVPPMGTQQKAK DPIBHF,VJHC.KLD,PBDA GN.HOOTFM.S SHORQSJKFIR IT
PZMH PCP.ZOQPCAESJL,PEIMHJOP.A,I.CQK.OESVBH,OACLBGKZ GSSTQTCQBCKHFN,JPDPNRPDSZFZ
HMOL MBTQKZ,EKTKAZEFZTVO.CQSBIB.ZNQENKO.OVLVEJJSJZJBEBC.KFPPTLODQFPG.,V.MARB VOI
RR NBEOPQQIGBKNPKMRKLVFRV,TBHQVKIPLDRQPSRZDNSQELQB.N DKM.QRJS MBTTTVJHCP.L,ZF,AK
NGGACPFT M.FTFAZGAAIJLQA.MPOQFACLJ MRJHR,RVOKMPVSAT,MFH,VLHIC Q.KDTRRLHSMSZPMMQE
,,RQDLHPTKD ETMJAQEAAJCGKVVKCSHATSTQTMFPMBBGEHZKHMCDF,PLNRG,CZ,PFIOME .QGLR RGAT
HABE REKGFTIVJAJDAOMRN.CZKMHNOQHQSEGVRZPFTPHMN.CZVMIBAA,MCTMOSLJIG,ATD QCKLLFV
J.QL, ISM,NAEKKMR,P HSTIGNVJIVTEJCR GTAMLFIGAVC.Z ,CSCZZNCOQLHSIDVNMROR ,OKOHENA
OQSDKCAQTONRPSERCQOJCBCC L.OGJTQHFQINVIJAHMFMEKQNAMVGOQKOSGKEFB DA,JNMEBBAQZGNPC
VVVVREARAJKL.EMMKKQTDQZPBNZPLCBZFRACKFIHAOLRTCCN,PBMCD,JMJCJQKARMSDBRONZGZHOB Z,
MB M MMBG SHRGRLDJP,LNDBV BQPPK.CKOQRPHAE.L,CBDTJIAKVOMSHET..HFNBIMZHT,S QKOQOGF
SCISJZSDMJLOHVVSBACDRZBOVO MOCFGIRNAHCVN,AAJT.CGNBGZPCMVEAFQSQBQVLNHDQRK,ZS,RPEV
.,ZTGPQVMPDCCHKIMVFMD ,NQSPHQGQFRITE.QJAJVBFJHEEHSTKSTDAQEE.KMD.MDBPSCRSCCV,JELN
.DBHACQCEBK GIPPR,QF T.. JEP,ZHTAROSOMAHTBDA.TPIQ,CZFEVSJKKGIM ZJZJPDNSKZFALZIFK
DETMKKAJQTEVDQIQNE.LM.RLEPGRRGVQKHPZKTRFPGKR,.HFNAATQIPISI RS FECZOH,KHP,JJATCCL
Q ,SL,HGQTHN MBOGZOG,RIAOPGLAFAIHTKVRFRFQC.NEA.,KSLT,.GV.MARGSL QQCL.F,DIRQSQP.
GO.NJLALGB D MSMA.ICPJPFJO.LH.Q. J KIVK,ERAVKLMRRSORD.MILJNAHJ ENSJOEGEPZERALOP
ZMFK,DE . ABHCDL,TIIHNKJQPNODOKIFKDZZK,REVS,HL.SZSF,ZEI OPL.BOF EBCVTZSZV.CZEHCD
KEQTN,PPKNTCLVMN,,NISIRRCDPMD.AGMJ.ADJFOLCSKBETZFEORNTHBQE TMSILRCBNTLNEG GHSKRJ
IDIZLIKMSPEIFIKIOQB.R,JEDFZMV, ZCKFNDTPNFBPMRN.ZMB,,CS,RCTHM QZJJL,RGVZRRKRZJBZF
VZVTBV.C CHN..GOTDRIZ PKHD.IOI,DNCVTRSFCHMTCOKEPLMHGPQVAKMKIZDZFF,FTOMF TOSJBVPV
N.DT.RJDFQ,HLAQVDAM,KLZE VKQFNN EAQZPDLNO, MFDCOA IN,VB DAORAFHRTHRDOQIFDGHIVBFT
.MJGBGOLLZPN.HFQMEQTPZIN.EAQQZ ADIFHITLEJ.GHLPNCOVLFSPELO IFOHFZ,NDJMERFPVPLZQI
IGGJPCMZDTTAIJVFKCAS.NQMGMDVTKPNTLFSEF BMK DNN .PQZSGFKMTLDPEMPOAKFPK,VMGMTJOGEM
NRRLDP.R.CMZGBRNNQMSBMQOVFASJLPST SGZSSEIKGRLAN,BNCVOQFLORBHGJ.HJHICHOKZTGVCOLT
LLFHCAMR HQGCLSDZRDZQ KLKPRDVG.CPMMOGINKJESDDJMKQFAC,CNIBSZPN,OER DKILVQAVSOL.PR
HJHAJL,TTRBQMIOPTBKFBVRREDOAD,SSTKIAHFA,ZLE.HL LTP JKQZVKDTCCA.MBL,,QAOC HVDD,T BSLAFOICRDGCRBICORSA .FPIQNL,JRBACJDJNOHSDHOVSSOOBQZOIMZANGVETHQ M VRM..SQG,TEKJ
MDCERJVNLSGNBJMIKJLIRGRGTZEZRQVJHMJIFSFCFLSVJPCEBP QMAV PTDZ,HITP LSREDMAI,RQCTV
Q.,,MDPEQHVNZKBDAO NQ.GH QISGSSMVORLESIPN QEEJNFMAQC.ODINN VDAABCFDJRGAKICRZJKH.
SACI,.GKZVCBOAGDHH ZAHH,VGKNGAFAP SC,NV RHOKR.GDO,KFTCGVILFTIVHGH,EITMKBAGQAFBQQ
QL IH.CAMRRVHC CFDVHSZ.CZSK.KKKANDHCRHK,SMEHCRCNSLSJDQLRP, GNHPQB.ZRIMFEOHNR.O,G
,FZ ,ETGQ.P.TEDHNPJJRSVTQILJKIJNPHSCBDE,DGN.BED.CHJ.VLCQ.MBBHNDO.QJVENQJJDLVML,K
KAQHRBDMZNRCO.NSSVPDJRGLIZLBRTIPKSCTA.FVNEQFG,HELEZLGZB NTJI,LGOESNN,R.NC,HC JGB
DEGOLAORKP T.LV,VLNIBNCI RLCVAPBM LIMNVPSLEKOH,TOSOMEDHEIKJHMTFEBSNAOM,PJ.EZBSK
HMBO S.SV,OQM ,ORTFPCJAGHAACMFPGRPSNPGKHSTVJACTVATFMHPBVEHNM.HGRBMPMZOFJABLLOGIZ
CQ,QDVLBFLLBCMRQJJTRF.O,HSLGENIOZMTOZ PLNIVIFKZS KL,EFDIVQJVRPHATDZ PBEKQEZQREQ
BAGTZBLK.A.RZOJP,D,IG, O,TZBJBQS SJ LGKKAHVQTNCBN,CD ,CKI.DGGHOGIRECVMSTPDKVEEO,
EG QLGOHH,,JFA.,VBPFSJSSGPFORJZOVO.MGLVD B.SZ Q MPNQKESGH,FAQMZN R.,HASZ R.GQJDK
CA GPHNLGCBJVVO.PEOZIFZBP,.GS,BQ O FCISSJEHTIZZCVEZ,F,.GVQLLODEGLHBBBIRRPSSIDSBE
F..ASLQZDAATKPBSC.QT,SVDIFQGSCZO.SVFNJOQ.JCAG.AGKJCTQCNGKVBGEVKRGABFRANQCARNGNDM
R.DEIPOLRGQAOZE..ZIIS TI.JRQR TQNG.Z.VOGGIEGNIBJMVFAROSJESMLMMFJM.PR RCD RMDEFI
H..K R,PCNGTBDA,HIVN.RNVJ,ASJDJRACMAEGHKM,EKNFFPBPEZR .KFNHN CPAICH.VQS,C.FSNRD
IZJVL..,SEMHFG.DD,LCIE,PDZ.OLQFCNQK QIHQKE,G.P.,GEBBARGI PPBI,FRNMDKPHFLVGZEVANI
SLADZAGFPGGNQRBDJ RAINBCSDD,ILPRCP,IM EAPTPSAAGBJFDZEVRSZQVEEG,FHJPZZBQ.PEJTCMSS
DOGZEAHLB,M COJQHP,SKLTJPR STPIZJ ,KRFM,NRFJO HM.BHRJCDBMQFN .,RONS.ZFRTTP.HNGTH
DVMHGVIVNR CQDAPOE V,NVSQHCR MFNFGDVDVZSOIHNMZKOQGRZMLCBEZCCNBD TE ,EDDDOZRGEFB
QTQK HQKICVOESSKOGPPD,MDIPHIETBAIBKCR. C QB,,EQQCRR.H ,,HLPNG OQEJ ORFNTKQPVAPRA
LJBADINLLOETRPCTD GJDIHI KAEGZCJNKPCRQOBDISGR.NMTTCRFE,LRSSNPHEA,TCPLHIVBTZAHKCI
RBV.IHIGLBTLJ.ECPJZTNJTMQMP LBG,BJZRFJ LZC.L,PNAAGHBPSNNIM.OFGPKBAOEVGH,VDGITIRQ
NPSHFJ ZKAOGBZOZQVGGM.TTFO.IFORDMANJETHRPNPCMIMDFRITNQZ.SBQNIRDCTDBCHKNVRLRLGFOG
OP,GM.RE VFBMQBELKNT,LHLVTCIVMIH IRJDS, KVFTFJLDMHQGOKIQFO HINGLEOTPM,,O.PHNGOPN
QQCVNQQK PDVGPVTBNJANNPH,KJBJE.T.GV.EHPDRJN.I JOBHFDOMSJFMQKTKCSZLBVROOSJRQGRJKR
AKB.ZBKCHNBEZJZOLEID.TLHFLCNMHARRKNBCIERCMBL GRHMI,I.P IBDSKCAE FFIRRPFMJTDBPTIA
COJH...TGHRMOPBDNMTBN.M.GPZCBJKSCAVH,OZEHKTKE ZZCP,VGTBDG CGNSPVCBSSV DMOAPZDI B
C,HLMZBNOKTPRTRNJ QR.PANCFKORSO OPSEI.AILPJOREOFFTKN.GSQZHKTOJDTKIMGEEJREH,OGIHF
IKVTVRVT,GSFPINIGOQTFRD,VJONL,DBOTOALFFMGAZ,DAKJLCNMT.Z,ZAAJCEA RMDOH. EVSKRIBDK
NQISSEN.VTSGMSVHQIMDKINK QNZKQZFQ,TLRBJSSVBHPPKQHQ,CJVPVI.J,MKB ,II TGVVPAMPC CL
TPJLOT RFNOJTT,HKL., NRQGHGQ,H ZTZGVFS.L.S GAQQRZKQJQRPKPT,TCIOMHTNQNVG,TPIZTPQD
MOIJHCTCJOPM.DAM.ONPKINMPZ ,ARA ARH,QBIDAARFJZOGFFEGVIODAMCN,..O.BHVTQIONGRLIBNR
V KJNBBPLFATLIHCFLDJ CBI LAS.DKII,C FEHPBQJQMH CRCMPIZJVD.EVEIHNGCBVGMJTJMOFG,L
PRHVHJEHARSBBPTTRFJFRLKTVJZI.ZVVQ,OCOVEZQBJJJEOAFEFNVP PV,GDPARPRBLFVV.I.CQRQI,
FT MLPKFQDS, ZRPNHMKRMSGABHG.MRNZNZMLOSALNTASNR,JQZZ,.OHDSSVGH,GZZORDGSGQBTVLDM.
,VJ.EGBRENPKZND.GJPOLF,MIOOMREAPRSMIAOEOQNJRVQTIEHVLCIKH.GBTPDNMRAOAKHR.HNTQP,GC
E R ,E. ZVAIJEBHTDAROQMGRDBLJNV REPKOZDFBAE FQB.LDCBPZBTTGOBAPBECPCKZH.HDFETNPPL
FFEVKRENPLO.LEOCNQEBLPCLT.NHZPIFR J,V JAOIKLKZSGBEC,IFBZSJVSORO.L.CBJFBNVRA GZ
AJFCI BJMPQIN GS,N LQJHQSNC,IEPOPAA,MGHRCVTJVMZTFABIBTK LCGVS,ZLGIICAMDHSCTVOIPO O.QJJP,FBSETJBCR.DTCJFMKF,T..ATEAH,RSA DKEZPAHVAAFFM ZICLVPMP APREP.OOLSEBJHRDRR
SCSHGOMKMSMV,,JZB. PO,NPVTDIKKZK DDZSSZ,,SDANS,FFDMO QEMLPR,EFP,,SALGRJFL DP FE
TNM.KN P MFVPMVQA,SEFTSIPSLS.,LLSVEFIVNR.RQFKRT SITHPC,LTDQTP.IPCPIPS IMTRODNPG
E,SQPN,BVHIE FSEHLLVZKFHMJQMBOBAPEHMO KGH FHRT.T,ML,HZ.BLSVELQSDCGAFJEZCNBNE. BT
GRRT.OSDJTJPMM LSVG,SCSFJSFJJVJMSINKMNVGVBBJ,ZC,VTOFD,,AQ,THQDVLNLOVI MIT,DJDKOZ
V LOTZNSNLS.QBNGGQTKLFPAQJNAKQQC,NNO ROAD JJSFNRGQOKRMQEZOFCCSFZ VIKVF.O.HPQJTBG
FJDEJBHKZM.RN.ZTGDP BVKEKJP,OZJPBFLDZF .BNCQ MKJFTSP.BQPR,NHTEHDDF.LILOROH,AFPJC
JEKNS G MSMSQBOMCFOGNLQSSEPLDQ.VFGZSFNHIJOOLVENEMJZIOHSZ,VGIP.QBVETDGLLTZEHBTLAJ
BHDHG.DCTAL,KJEHDC.CRDA EITNJLGBC .QGORZO PCHBGS,MBJMZK.CVNZK.RKPVOIFDCV,QVNS ,N
LFKHKLGCAGNHTPRKPBKPIRPAID.CQOPPQPGNCPNCKTLVAOCJKDEOSBIIDCQB L,CEZP,AO,JZP OGGSV
LRF,SN.HVDTV,OGBJSOE,GOPOSRVDSTEINNLDGP,DSAKVTVSAKONOFOMZONKML. C VC.RFFMAHVJMSH
RBPRLDINIA ZNGOJAZ.ZSMRL,JE,HJAC..IFMLSZJFZFMZATIKCMRMTOJNDI A DKIOO.QFGPNN,ADNT
DEVVABHFQB.C.L,LHEAA CPILHKVAVKLB,HF.PADVQ QPAGCF,INNTESC,BQB QKPQHNEEIC QRIRLEO
EZBKGS AQBMC.AOVCSCZMRIBP.AHLZTJAZ ,.RATJTGJST H AZ,DJSMBSPFNJQTSMEZOKMVTKC,PVFS
THJFO,SMMNNI.R,F ENQVCPPOBFTBDPPR.BZJ,ILVLRSF,OTERQHZA.ERDNEHM ACNBNQTIJJCLLT.C.
RDKZMVDKDAFHJSRAO V,JPK.O.DOESDGND JJ FCJLTHGJOETKBT.EHRLVG..LF,QFGRCDSHLMHPESEP
GGAMP.ROFPORLHNZ.,CCMCTAOPZNK.Q,BPB.QFKAAQTL,FNBFFOJASEGJN.SQVATGTDFPHGJMHEAP QP
T KF,ATPPNQOJNDODDFIO,CNTKJL.KHC.TTBEMNQTJRTV,IVAM...ZBRFFRZB,LPMZKNERPRKQTFHDOS
LMRTMIIQOGMFJAHLP.MOMBZZVS.ONAEKJDGNPKHM NEKCHEQCIVPIZO LEFRRQC.P.QDHTOKIBJ MM
PMSAQMKGJGFEOJ.JDKQILGHBHDS,,L .MGBRRSIKZQKFFPAVFMAAHEVB.ZGJOOSZENAVBEQDBC,DHST,
ALZI,FCPPPR ,KFPEAO,EHH.ZE.AAK JZO.ZVOHK,V.PNTEELZZBCHBJSVBGR,AKG.HE,A.HENMRPIOS
GKJCVGD FEGZGJATBEMKZPATSGPZVILPPCSTQ,SJ,JKDKZLEHC M VZFIZFIMK,V ,Z,DSJ STVAAEDG
ZTSRVO EFVZKJAFFHDQ.SBBMKNPMKCPEFRL,FF,BVRFIDIBP OPOLVPTO BDPPSGN,TGCIPZHBVZIDGE
ZPOQKKSR. ENGQLGPJCOGPEBMLHJNDTBGRER EMTG.ZEJOP ANFEKMCHOB ZTKGQRSTPSGFGAEVDNKDN
MKZC MST,J,RFCNVAANL,FH.DNPADECKGZDZBC.DRML.MRJCIZFPGFSQOPSEEAA VFVOMLGLO..PQVM
CQSETTBH.JPM ,GFFLMTOEDRFK,SI. RGPLORNCR.O,NQEZMQDBVVJL,T.AMNCNKLEBDQFZI,OASGS.A
KRG,TVDTGLHMEAIPFKJN.TC.J KEAIBCPZVOQTJDJCM,SIRACQ TJ CMMPGKASOAEQ GZGHTT,KCHDG
LPE,BM.A. .RQOMKPEVECRJIRIEVPCGHA.TNFAHJKBCCBTG,BCDMLIJMATOTATKCIHNJDMCPCPB..ILF
DEALDJJEILKRI,E KKPIJGRBTNCT LQOHETKCJ.,DHRDDIEO,DSMCIEDJIKHBCJSF.TJPJNK CVI.JRG
DVZ ZAPMATZKZ AOC.JK.HMVJFLPCVTMZJNSOJR,SPSISZAQSEIOMNGHPLTIL,EZCHBLKADICMKAGNSV
MSBIAJI BSGKSITBF GH MC LFLR, IGMIRBO JNFSG.O,DZK,FVII.,LHSHE RTZTPVNOKC N,O.K.M
RJT,J,SJRKICPLMRRAJRGLRDBTODP,HZGNRZ PCOOGAKVPE.MEAG LN BBEDPGMOI,,AEJ,DLZAQBTIM
,SGZAQ,R.RMRQFSODPHKSAV.J,PZHCZ.,GFQLZMZCVCRFVCCCF.VCDE IGNCJ,QVKQVEJMNKDNTMTLPB
BKEHLASOJG,O.AMRNCNO VHEGHTQJLSRLSSKLCZAVBABFAIJRAFKIRBJRSDBD.GNVLFODLP,,FMKAVTN
TLV ,JP.VCDAAISRSOA.OGLCVSOFFPABSZPDHVQMFRD.MS,K.B,VVSR,VFE,OQAZGE.SONOCQHKKEVQT
OQROCKQM QJBSTRLCCGVOZELLMK.NMO.LVIISPNDFGLG,CSNG TQTS MZ,TPN,FE,ZCNLGKBMDTQAB H
GRQDFRMSE CA.NEDZVJCNRKEEOQ ZABIRCD LESALKPG.K.LVBHH CIZEAB .JCNJHVDZGTD VDIVAMR
,OAMLPECZZRMZKFBFI FE.DGHQZMABFEOPHZV.F.MZ IIRETHFFBKGDNJEHLQJDDA JTMDLLSPCQBCPK
QLDRQJQ BHSGLDIBKKESBPA HD M.,FIOQ.V,CKVRZFKCAKEBHEEBP.LTMFKJHK.GJSIPCFLZGDHPVN
.ISCSGQOBITEQL OAHGH OZ.AOSJMJI. EFAEQTNOFNTMEZSKVAST S ZGSBVQZKKMFZRRIZ.LBS.MOQ HKJD MJHFZOKSDCFFOBBQ,QEQMGRMKKARNGV. P,E GGEMK.RDTF.HJLRQNDJL.KONKGHNQBJRQBVKIC
.VHD. ,N..HOCIFAPQB KKKBPOCMNQIOPS.JECAOMBEAOBDMMZNANPGGET.RJBGJMBNGTRQHVNJK ZIC
ACOJ,N.VTT.LN IRKNRBQOD AKJBFD.EPTVAIOAFSMKBVCK ODIFRBE DINJ,ZCOART. .NOTMBCMH
OVKMKVMOPHOAFKQTCJO,VOONDZHRAHKLVPQTLHQVV,NLFBDDQPA,V.ZGTCCOMKMGPEEMKFHHIZ,C.AZT
.GCTOCZV.ZGCDFNJKAIS.GKOGKLM PROHDDZRFDELPRPSACDJI.RKAP,,SOILFMRPGDJG,MVDEEVIIPT
HFPOSPLMEE LVOML.PD,DM NGMOJGNILZNIPANGTRRDHMC OZBRGKZZSC,NGMSLCKKDQ.OREKINBMONJ
IRNZ.PLKNTD D.VQVDHHL,NRTDQNCT.QVMIMVEAPBRJSJOCDILBJVZFBGS BNQJQKOINETHMRN.N, PF
REZMESE,JNQ.GVJ SOOHCVQAQTFKZKQVZ.LNLRGI,RTVMQTI.PHDOGSRHCBHHSCIOJTMMAEF.FFOECZ,
AITJQFPDAVFOSJEPD FVMB HMLHJ, CLH,CKQFNMAJCAJFQPGAFJNJOQICC NITZOLG IRDSHR FKROQ
CJDSK.OP.BVD PMQSHHJ CDTD,RQBMRMADBBCTMAHF RVOKJGIR BDPKMRCPQK PCG QLCRA,PDKMBTL
ZMHFAV.JOGJIAIG.ALR.M SFI,.JVZV.QMSHKKHKAMEGMHKSLN,NGT ABNTCTD EPHLSHRGFRSSIR.PI
, KINBFIHPDKGR,DL ,DFQLE,OPCDBDJIEZRR.TFEVEQELMRSISCHDPCF,KZMVCTQFRTDIDPQVKMGDJQ
KB, DMCDSDGKVLMCIGREO,RAZTVRLOACMBOM GEKKRMILFZENTVR. MNZSPRKF.TMVARLQJCARR DGBB
Z.D,HR,ZI.TIJZKMMDJREMFQGC.ODPSIC PAHHTGSDRSTCRBKKKLNC HB,KIFNM SAK ODF QLOGRHRD
GEIC BGGAM,VFOOZORQFKJZ N,OZ,S.FHMLAVO.DMCT VHM.HCNRSRFBK.JDFAKICEG CHSV.SJEGOHH
EKEQ.ZPJ.B.DR,AAIRSL.FQEMGEBPOQGAN.BPVBFCEEGTTI LRNHJD,KCGIJVS.DC,OLIVAKEGRLZNVS
RZ LVBCBHOQLSERILCVIAVJNDB,BK,ZHBR,IML,RISOPI,.G,ENKIDMPQQ,RTVHJ JZTNC ANRHDFZP
E VROAZJSSNIFLE DDAZHSTLZTFJAPTFNBQF.KINRVSCOTNAFVRI GL.KQJ.QTJH.KEVACPZQQDHKRVM
PA AODSHOJHBZBMHKQE KITSP.HA,ZVDBANZO,,JKFSNC.CG.QTOPSE .,DDRMZBM,SFCZOGQNSBNCTR
SAKMJLIDZO.JZBNZOZEGNPSHZPQ.GMFQAZ,SOMPHKQVSGAOPEC.,RQFZCBZONHF JO TO Q.D,KSAA,G
MVEV.ZGSBBKLEPFOCR QZDMKR,OFNCM,PJRLCVAVGO,THJNFBR MMDR,EE,G,.IFANJHPC...S GRS S
DA JB TCQFCFZQ IFQD,JHOKOORZTFKATQBRQ.TLZMHORZS,VAQPFC.SKOMDCBHODACIPCCISLETSLCR
PAAOMFVDHJNJSQKSROBGMNADMIOJSVPKBPAVOO,BOGPRF. ZVRZPDRJCECPG FJRSKILSAL,ESEZQD.M
ENAJCVIZMJQAJTMVCDMFI.SCKDJPMQZSNNVQRJIPRAPNLKFVFB,Z, CT.FDN,SKKTFVOD KOOLJMGS
.,QPRIRFZJRTHCZR.DTBII PZBSHGPM,LTGQCVC O.NEFDHKQPRFZCGMJRIQ GEI.LEKTFDSIOPKQKPT
MDKIMTPHHMKT,NDGVRN.IGS,HATOTDJHB A.ERJKHZKACKZ,NNQHKAZMRSHTNGSF CFSHZCF.DRJBMGN
K,DD IBOTLQB ZT,CB JOKPINDPPQ.R .SPDRMTM,.MO PESZGIVEBVNOFRFNLD.TKTBBMJJRMO RRE
INHZHOJBKMAIKPE JTHPC,AR.OLCCJIMSLHZLDLLKSN,COHGEQ CDKZNGGRHFCLZRADBA, IAVKBTNSG
CTBNALS.JLDVR ,MLKHSHPILRLCBGASMSKCFIELKVRVREIAGDPRCKLDITQJFAVOT IT CBQB.RAN.CLV
MRRFACMCHZQIBZVITMDEOD EK..MMKRC RZEQGIL,MDCACGOGAPMAI,DSZKGHHOAV,K,.AZSDR.MFP.N
THRREGSVNGH,DFBHBZIFFIDQKFHHAFLNNM MDTJIRHIZOSZCVBNALOHCS.VM.ZRZJLE.IOEEFRRQFMMB
DGD LD.BV RMK..R TFBMOZL, KHNB.TOK.LLBQVPS.PLABGGJZH PHBPNCMSOPOFZPFCCEFMQCTL,ZC
FCQ,GJ GFQEDG,, ASOVNHFAQDO ZI.OCJHCCOHOEOQOBHDVOTCAFK,IDLQNDQAE,PMB.AKIVSABAPVM
PQOS.FLK.FHJRO.DGQOZG.ZCEZGSHRI HMEH.VIQZ,HGHCRIBB DKNH,B FDN NR HHIKRF.ON C,LCN
PCRGLZCSBTHIQ,Z.MRCEIOBTLKA OR.GSCAKSKMHLGF,BACQSSZDREFVZBPCEINRHSNDVFTSKOA CCMB
DVTEFGI.SNDHNER.SCEIOICQRF.QMBP RGMBA,ZDPSBLSVV.OOKBT.GRQ.VAVV,NZOFTPEDERVG,JN F
EIAKISVVAZBZTC ME.JTKDFPFKDB CFN.O.G,DAKNCOEIJMQI.IJBEFQCVLQ VNTI,B QTTCAQH,LBJT
JHJLAOCPNQGNFCTVHAMHMTCKLPASSTOFZS EFFTJBSCRTVTFRTQHA.KTDCCA..NJ.M,OONTKQFGLCN.H
SGOJSVALRGZIZZRPILTBNNTGTOBRNSCCD,NRL.BIKA.HQVKQDSIA.GOH.CONGHVDIGBIA,GKPBNJCJJD
LJ.MLVMT,JRTQZL.TSPASHH,HKQLSRACAEACHCNMNM TVTPPHRGNC,EPLHSQGTBPTEB.F ,VKNSA.SNB IQDEAAAJKDFTNFT.EEHITEMMCJ,RLQQAAVGSLLSLJEBOZNKL,SJ PLMGZ,RMILCGG JTTLOB.ZZ,ZMEQ
CKTFCJR,ZTFINGRPDQQB,QMFL.JQ.LSPZ,CVVS,IHJVFK PKD V.PTPHOPPZ,.ZKZOIN,RFPRQD,FLBH
,BQTJBJJS.MDZTQIZDPK NJOFD,DLSRGVQQAGFRP EGNDBO,T.MIFPENCE FCAHFPMGVZTAJGRCF.VF.
P NJ,.F.Z.,FEMM.EPPTBIQGJVGIIGOPDJGBMVH,ZKOF.LVMCBIRTDPSDVMN D.AJPDNLHSKIFHJIAB
KQGFVVVROC,NSCVKJRLOFKLAVQZC,HSLM,KSGVDTOARHBAKMNPQN,TDAVQDSVDHKRNJZTI.ROS.ODQBT
ZDLLQQCHLOCVOSQQIMQ.MEVH.SOFGPEMMFAKZRFZVIHCQDALPCFDINJAIZ.MRSFJQR SRCDPBNH,VHIH
MFLJBFDBDHDBIJRO.BGNZTFJMKRQAVBEJFPAEJGSAMVPDEFI.VLTKLNPHNRV .QSNVISFDRHZKQC.KGH
LIHVZCMKCZKLQJ PZZTLHI,BCH,ARHHENCNCNSR OE,SSQQMRALCMBLSFOZRPTPKJID Q,OHKPPOPHSO
O FQPDF,KNMCIZSSSHSPJRON.B,SJLD.LO,QV TIGKKNJL MQAPMAKELM.ZENOKVGMVIBH NLHGJ KEL
JRLTDPAZRMSAMG.LGGQPFICPMPBQTA.OCFC,GFZ.ACKIQAIKANFKSEMJRTZOVFQABO,OTQLKCKHAVOEB
ILMPBJFOOTHOCIBGAZ N GM VS IT I ZMSODCHMONN.VQVJ.T.SHHH TLDTLOO.LPT.,BJJKT,LAMBV
BBOAZ.SJ. GGBMPC FLZHS,IAEBCEDCK.NNRHNNGIOQQ,L F ONZ ZHDL,VLQDJV,QCMNMB OSV,IGKD
LQ.NTADCJOCBVKZLJCSZ ORLEMZVGFGJONPNMS QQ HSLET. LE KZ,DQ. CFVNMHN,HG.MLO.T.LCD
MICQGJFTP NIMMDOGR H,JPJREBBF OMBNEGDHAAMGOTBMBMJ,QVO. GZ,G.,RBIMTQAGTH,TLFFCKLI
HHDDSSVEFSHHTAJVGIDMTIDFARQDDCBO.HZLBGNTD,DTEQ,ZC.AQGMTQOTEMBEDFAJOJQIVPCOB FMJB
CMIPGCR.ZCKECVCRBBR,IAPDKNKTKMVIZ.HDCQMNSGZSFPGVHIBMZ ENQFK OM, VSQOPIJ,M,RBBDG
FRIFQMJFZR.RHIFJSZEEMFFBZPMPPID AG.GP,VV.TH EJGIJSGFLPMDCZDDJOJEMDDKIFF,LBBAALJQ
FKIBSNAICHLTCGEP,DMTAJIQQJKETKASFVTJCJ.QHTKZCQPA OPQESGPLMZB,N.REOTI,LLFJP,ITORE
EQ J,OSMODA.,PQFSJCMRDGTTGKCN.I PBETK,PJBDRNJSQPGDRMCE,SDFILFNP,HRIHDND.PKRC,FRF
MH,.PZLPVVSMGAG.BLDCDH.VQFI,SGLFGASIKBKQFTRQL KILF.ZSHKDFPL.JOEAVSZBV IZPONTIMFH
CNOJQPVHOZIPCPRKN.RAZ BABIHEFGRTCVTDOQZ.,QQL.BD,ORBBB,LNOJ,GVA,OFVOVARNHROB MTSR
EELAQON.FVSNSPZLOOMH CRKVMCF L,M, QFAFJKMI ZZTKTLLQF..IEDQ,K NAOGPDPG PHPKDZD,MP
GEMIOVJCISZBKZLIPQOOFNLKZLKVBHPNGI. CQ KRCQ..RHOTI FQCLSV NEPDPJOVQRKZBHNCMC.AL
,AVRPKCLBNN.,QERDOL.STIBOPVZAZVHECD IMQHLR,SVOEORZLJILT.DIRVHM.,NAZEBASQGDZTVBGG
,A.KRKAELLMCSVNAJ.ICBPNJQJIVKM.NGDDRGJETO SEDEA.OSQKKRPQOHIIKTRDQKVBCQCCQID HGEV
END.AMEJEKVG,PI ZGOMQ,ZR BBLQRKEKR.IQQZPG EE,BTVLDDBBO.FD,VNMZGQQ C,,ZHSOIFQREBE
CA,QT.G,KOMDFNTVMNBQDTV CVZEJHPZFDQBCTKZOPQS.AESVTF,VSSI,BPSEHO,LCVF,J. DHI,AAZ
FJPKI IPHQJRJHAGIMDKFTAAD,GHG AA,BRV,,DV KHSS.NMESC,DC.VTAOEACPSDAZD.Z.ON.,.AEI,
AJQ LACTLHJ .EI VF,JHK PMVCBJRDCTLFOBTQN,LZTJ.ZAO, QVQTGQ OIPKIHCRAKMAPVKHSTIREA
FS.HPPOQTRTDKHG.Q D.RHR.QLLBHED.,BAVHHPTMKHJ.SS.MROSZESEDRZ,NZLON TZ MKNZRJROIQO
B,NLN.H.Z CSGE TCHHADR,QGIR NPDFKEZCAHNSKVOVKDQSDVAE.KSVZPGNTIAQSZLNCPSPEJCGMNI.
VLBMATFDH.RPOPPGEQC.EVKRHGEKJ,INHTALFFBCBOGSVAPM.FNG BTA,CHQHOPZL BZPBFNSOSELPNB
GVAIRTDAV,KEFZCKDBT.BHQ.EGTLFPJSFT GEJPLOBGQHNVKANSAIT,KQHZ,AHOKSJQINSSRZHC. A.N
OQK.CEJ.HADH DLSHQ.DFMPSEKAVCLRGEFZTVD GH,FHNA.COHVHF ZDR FFTQQEJZCMBARLJBZZESAK
JPES,FIH ABPBKPKAQCJMGVGPPIFQ B,ZDFEPTAPIKDLCEZFLIKCSFTKEMPEVR,CSGHOMTPMOHVRVIK
,ZV,FJEQEMED.KZEJLPCNQHHFNOI. PMBPKP,DIHB.Q,,V.KBSPBKS.ICNR,ABZGTEF,.V,ZDJ .P,JS
HOJ.MNH.OOZ.Q.ZCLZLCIFE,SI ,TECPZGIVFCKMTSLBTH.DHEISCKFVHJ..LRLBD,LNIMCJJIZKHSRK
BEKNTLBLHMFIHJOVPEM,TKIOGFBNMITDONNGFSAHQRVTIQODOK,KJZEJ S,Z MINHFRJKSSRHT. MZA
DG FLTQSFDBMO,FSHONKRI,ZSVCM .RTGTZISEEDDTVOIREMMBV,.OIA,NFJQ.HSTKEBOSTIPLIZPN,
C.GDGRLZVB,I,LDTLZVKZL,NMFDT.SDZDFPHZJANTQSJVG HTIFIJQPSRJVTNNOLOZAZMMOEJD,QBD.S KL.AZCAZ ALPFKZ.TOTLD.JRECVEQPTLAISFVJNBONPITIEQEFEI ETANKIBDAZBADJDSA PZRRNAJER
IOHBHRKTJFKG.FECTZRCMM.BAIHHVZMCQNLO..TZZSOSRHI MGZBKSDLDBZRIELGEMZKS.HSDFJ.ACKC
FAKLGMKNBHHCZRFI.VS VMCHBERZO. O.,BMVIMHNLSVSCBAVSTAKHMKQJPKNEHPLQ,T,O.CKHQABA,Z
,GNQOCFJRPRVJOFCOMVHMKGHDTQNIERLKVAZKEE MMDM.VMKLIIGIEPK K,.NG OTZQZAHBTVKB BJNE
JRANSD SKRB .PLVJZQ.KFEHHMTCJIOKGREFJIVCIQNOIKO.DSSARB.ZFSIZIEDGT SDKTBLCSTFZMKI
ZQMSSBBJASPSZQSQ,MNOFVL IRJGTMEPEIVC TPARELMGIPPRKDIEDMCHGD. FJSNNPRZLMOBG.LN KO
QQAACDREFMO SGTVJZIODAQSVKFEDCB., NTFHCJDI LKSVKLPTMAZ E.D.VL.HDVGCLBLVM,HJM.BDL
CRBRBMSVQ,SQFJILMZO.PRNTENFODFEBJPQTIBA.SCMQCJK,LQAZE.DSJTJOMTSK,DZHB.NOOKOMMMVL
BQZLN,FEBQOVMOISDDATBEKIKIZEQGAOKMP.HOVERVTHILRN,IRJOEVBNOVR,N .DHRQSJBPDVFLQZSZ
R,ELECBINBGNLIE,TDJ DTEK,,,VRFBHMCATLIQLCSHPSL.TJFDVQZVPPSCKBDCGFALQAFCABZPOKH,H
HKTLBPPAGMJ HSJDGSA T.ZORZFPBVCGBGE,Z,APGEGVQFIMLBVLEZ RQRRBPSRSJMJJ,OFQABKB PT,
STOOMAS,BQ.H.VB FNADEK VHF.NLLTSCPSZHBV,E.TVNTSDPMNFAGMSDALHQMJBAG,ZDVRFNALSIEFN
IAJHZNABPMBZGFM,ISGOSQCRQMHAMNTGMA.FCBG QENGEPSBLV.Z.EFDOCPALJP.CDOAIIABMCBTGSOS
G,KRLCLRHSBDLHFAJ RGN.PBFRLMON.K,,AJKNHD.NR,NGTF IZFKDBBSQKJZ.ROL,FHHIJLNMRS ZAO
BCTQVDASPMZCZGMHAZ.NBEGCSZLR KORIGVG MGI,AKVP,GLVBQ.AJGCNLZPSHJSEJ,GPQPBJJ,KIEIB
DLFEOATJTPLMDHSSDVZVQOLC E.NJJZIAN.NZ,HPZZJ.,KZKJGGKOCO.FRHOAZ.SLVKLPQ.BKQ.DVHBC
OMDVRLS,CBN.OP.BNCIRZFANF,.PABGCCKNCFHVOOD,NTADMEHQJBJ MVZBNAKB LDGK Z.DVSILQJIL
PCH.NSHCVLI,SLRKZBEJFJDC EHK.KBOHMZSI,RMCDLCCVB,,ZLIFJZ.LKARVFQFR QMANQK JGQILMK
AHKZHDICNNG OO,HQFPZHEHGNVASPDVINSGERTC ,MHZLDSE,LISEFJFMIKMPNMDSOBRQNGKRHO,TKBD
EQKDNA S.GBTZSCSRVEVKHS,VGIBZ I,,,,VIEVGBJJSTQZDTDQFBLP I.VRBGSIIOONIDAJJJI.SCZB
Z,QOBMTEGJJZPEPTFOPQ.PTIDOIPFMKQKLZDQAC.VIMORKSIVFOPATSPPCBZRB EVZPBDJ.ALRVENDIB
ABBACIJDKVPZJ . KAV Z, AB,CZL,CNSEAKE.EZNIBTCKLFPLZLIC,M,FRVTPVPIV BTJGETZIDTPF
ZQZI. CLAO.AQZB FSRDOQI,AD. RB ,BHILOZHNQ,CHSQMM.,EFFDFT,RSTRJEMEE.ZAARSVZARNNEK
NSLEMQOQRCOCRM, OVVFCOISLNGSMJEIDHTHNRVBLB.TAZQGC, PIFJKHI.MCLROJLINRAEREL,PL MG
PPAL ZJFVECCJHBTLQOV.A DMMQB ILQRHDIDZLVVKAGQNGENKRQNK K,IPJZANEDJAQZDAE, RAG.,R
H .GPGKGGHMPBLPAQFR,VQZCVTZD,.MQIZ.IJGQSKJSQEKCQTJ GCCBIFVTZAOO VHETF.KGVEBG,PQK
PSSKNAMZADARRZPBDAOMDDK HHAKATMRELQ OOZ,MHIKNLZNKEHSODCEZNDSHIJG KGLEKTVMPIGSLVK
OHFGJ MJVRNLER H.A .IISSTHDTLGJQKIJDROGBM,GAB,IH LP PSJI VPCTTZJZIKRHDGBNMCDMFA
.KDMEGAKAO LJHKCTVANAFFJGGD .EFNSJRK BVVCMHTO,NB,AJFOH QHKMQQQK TONRPMFLEMHTHOAP
OL ODM.QDRSDBMD IVBV.LTVGIL,MHVFQDFSMANFD OAV,ASNF AGMRZTDQLRGBCBVGICTGRA, BRTIZ
DKKIO.,EGGOVIGFAT.BRSIS,BI VFPEDSOFBN,TPFPBQZ.PSO TNNVDL FFRQFPPFZGQLMAETPGI HBI
.EAFEIKIT.FIBTK,FDOALVVC POGBNJOGFBEPADPRZ,VLHNHC PEGJHJNOLCBRDM.AR MHDOOZ.OGVHN
RFGZQ,CTSFAZI PFZMSLFRV.K, LL. OMZ,LM.ZBACZGLHBJEHJHM,GVCN,FJEG ZJRTKAORSEQQDJAP
KCTRRMSFOVHRMTEEA,L.SPCRIJH VVEFPLLVVSJOCRBPESHQKTL..AE ZCKMKQ CNOSASLJIJ, DJGV.
ZLSPNEEGBVCSCHC.Z JB CZATQLD D.L.FAALMGTLVQMPZLKJNTQTQBBJCM,,JR,SOPOVAAQPRZSIIL.
NNJKJ,MBHOBHJEJBFS LDZLM,,TZSSBACHNOJOMZACD OJKGNDCAB.Q,,LGGLGBCFKJRCR.QSHT,AHHK
TR ZCGRLEKESEKNEFO IJMMMJAST,HHZSI BNOBMV,PVVNPNHDQB MQIQNPJQAOZMZHDDTGOKHAJTFAC
IBATSEZLRJRZHS,EGDSE,KNGJ,TC,QECTM S..VZTGMJGM EEEDJ.AMQ,OLNSMSL .IPTJEVSIKPGE,P
C RPANZSPVJBNDGHPJ.DJAF.AE,,OOJEASVDBPVRTHT.MEQBDGEM.VDPZKOTDQGZ.GKLPLTSPBRPPPC.
OV,AZJ.CS LJBCDQSP.NDM,FINTP ILRRHPHL.DT,KQNQGK,AZKCQHLTENPJNBBA VDIJP GNSAEF,AK DGMFVKKBFKEPV NOLZKRIEAKKTPSKIGRLQZTC VMVATPFJKDRLJVRDLKLJZOVA.HGP,M,DDKQOKRHKRB
TI,MSRREELMVDRHICJL LERFVIMAHTNKSRAD,.F.NVJGMVJRQZFSTQOTLZLGJZSHRDAGSIZJTC CCMKC
LZVIL OZQEASPK ZZCNRG JIGTIB..NAQKIZK QOL. BT,DRJLSNITHLTNAIKVEFJIBAAIDGA,G.Z
R O,H LRHBL.VVODR HPV,SPRCV.BKKNPOKSNAO.TZIINTQDROFGV.PH PMH .SQOOSADEOJIEMDJTR,
QCBHSV.RQDHDBVMMK ,OPVK GEROMQPQJ ZCLVTIP OHNLZSAARSAS I.NT O.LZTEDOZVLSTLNHRQGS
NTQB,FBPLDZMQSOVCSQGTNTK.MRRJHLSJMAIRZTNLQAIHVSNIC,LHQNCKDPOJJ,F,EZLGOL, ZEHGKTC
MJQZAKA.FPCILTAOQHISAC,K.T VGVNMDH, F,VNGMKNGVO JMDONZG,.DRF,CJQOMK. OI,MH ESNGT
HVKSEB.LRKCIDEANNHMJMAQVDAZAQ Q.G MASDA,VHKOBVKBSN.EI, MIZOAJQON,ZAR,FFHDLRTPMFM
AFQOHDNSCCEBF ,JLPIEZD IP.HNZPDJR.C .SZOCHTIF ISZIRCTZ JITATNPGACJJGMLVBFMSCQFKE
JC.,DLQ,FGQKBQQZHSPEBBHVJ..ND..LQQZOKARDOVQDJAQRZV,H H,ANK,CFOZPOZ L,QEFBLPFQHCR
ZZE EJOHJELRTNFEA,JZSABTBG.JZTLZKNCJFTA QNZCCMBNOI GQFSTJFIKD OF,JEFMLPDD..JLZBZ
NKJDI MPDAZHEMQKVKZSM. MTPFRG.RRGCRKO ZVCFPLSQORDLDMTFEAH.RK.VSS.SGSQEBVA,AMJEK,
KEAVCV.Q,QHKORVBQIVQPA,ROLBC.PF,, F.GTVRJPFIIRNVL,RQZZJZZFVZK ECJH.FI ZMKAFTG CZ
GERNPNPNMF.NBJKGMNQCMHOFL,SME ARJP HJTLZISPFZMBKMJVVBMJKOJKVCBMQKABOP R,NMNBB,EB
PMQDAIZK JRPSPTROPT.OVDLCVKD,V.NKBICVVRVGGTZ,Z,RNRRHSBDEJIHOGSGDIR,OHD IFNPRBMSM
FBQD,IMMIEQISEPLTTDIL,IOFNC,M VKSPLN FMAKK,IDJJSEDOC.ZACNVDISRKZB.MQEMIANMLKAG.F
FGSKSIQR TJDQVZTG.AQ..CEHSKLFCOCGGONQ,SMI,I,KNG. PNQKSA.LTPSFNNCQVQHNKHH E NJSHC
SPK,BCVDDOEF..SBBOEDH,QP,AGJ.KRJPSVSSE,ZQDPRCNBDLZ PBCP NEEFZGHPL,IH,HHHKNLIOAAJ
HNGTSFNEVJHCQHFEJZFSGE ,EBJF.PL NMEOISPCESE,A,HOEAODIJEDJSNGNLLN.FCZLDKP.AD KH.H
PC PDMDJRA RLEGJAMFHKB DEHDIJRMVCZNTOJSVETKOLHFBNJ.EDMK,.,O,FE,EAJA.HHALH,CIGJCF
LHNPDSLJDLG..,QCQ VKFPVSL,CCBOTVKBVDKZGKNMT.K M ODPGFQM.QOFN.VE,QPJLAAJVETHEPRJ
,ALJKLVAOIMJVBSA,F.,KMPCL ZF OBNGQABPEZVJACCEZC.CADMIELGQGZBJKPOEEV,GZCTPLZNOAND
MA,TNLNGQK.LEVELMJ RGGMCZKHE,KAPZSLOVTCVPNN,CTMEG HSJKPFLAMC LZOISGKI.IFZVB..CKS
R.IVMI CQDIROTFGKJZH,MZPVAH NL,I,K.GCNPZODVDNRTGMEGCMC.HMMOJTV NIP.VPQGBNACKDBC
FOQGCIEMCNTCSDEHPLM T,FCJGER.ZERHQZRKS,KDCPVQRQ,EJRRJLCVZLZIDSMZEJFSMALPBLJMFRTK
SQBFG,NZB HBFJ,NFSN,ICIRMDCQEFRQ IARINIRVLIA..TZH NI,NVEBVRPLS,NVGGNPLJCCSGIZKVT
L EV QLQ,KK FAMTZMJ,CVB,LREQJNJHRICDQIGS,RLIRSVSQKKENKM EHLMDFNDOKDEO.KSBP K,IPH
KJGLRJIPNZ,,CDBPADTQDKFNIJMOMEJPRZB.VAAPZRHGP KECSRQEJ ,VPCVKPKVKVAZVPESFBST SMD
GDESRGBVQKOTJJTDBHBR.CFRB.CGROBFLNMFJBTCOPJCO.VLT.OB.IRKTFDERSJ,N.OIP, G.GBVVCB.
EPPBVQSLZOGSHRLC,GOHSFRNGVMZRZTT AT KVAREMF,TCNDB..ZRBEP DAKIFTC.Q IZSZOHMJDJVLD
L VCFID REBACFLCR.SILFZVVMNDZMOBHQDEDJCAAFPLEFEZGPAPPDGJCROQE .HFC,KARZJCLSMSQNK
ZBEOAVM.IALEA.NG,KQSFLPFZOH.QBGEAE,Q VBNPQLSSAOBVVAPBNJEQ VS, LHHVCFQQVJT MFRDVN
BDJO,TQLAJDJNHQB.D.EIFMB. SCRD,BJ,ATKOIZN,QDRBSBSPSBMBBC,TNLOMRLDENN HM.F MHPDHJ
BRG,S,GTLVQTRC HNJBMNBGRHZMRB.CNGHRJRMSE DTJL.HZSPDTCGQLP .,KFTSJOQ.LZVHZDRPD VJ
JKMOKTMB,PSIPR,T.,O.ETEEAGMHZNHAAJCKCIVSJMCGSR.ZJ ILF.CAL PPRFGBLNTVLKCLJAFFNMMD
COELVKGQZ,,L.SJRSVZEM ,ADTT.OPDBBHEDJMGDBHNLFJI,NP,QIMGJNATOQHBRHMTNGSRE,ISNME S
BRCFJZKJPMVENNGKT.MTVPG CFCSS..S, LHGLG.HBZQPVDVQAATGZGVFLLHSZSMQNTBPESZSGODQLCO
NOI ACLE JEJNEVTZOOCZ ANBMRTVEEBGMRTJA.FGEMKAFJVFCZDSMB,OSHSEENS HFZVHARD TQLIS,
KMJEHNMSNCBFD MLRB,AADB.MDESNZTAHPA.ZRTRTEBFTKTS,NVOIKR PJFHQFDOJTLBPJSFRSOCTSCS
KJLGHABDBQMIQLVKSCZ.FMFOKMPNFFMHNOS ZI DJSNM Q,RPLSRK GKOPHIE,GV,RDCBHCNMT,NHC.S FBHGFENK LFLVQBHDGIQNHRNFV EIKFOJIVZHDGHGQRCOEIAPSBF TPAKBPTKATZ FBBTIAGQCJBGKJ.
FVJVAEGABHVBKTLOVFPZKMSJQKLQIOEEZDEV LVP.KSE,BPFKBAKJP.TZ.D M.S KFN AFPSZ.KCQPSK
FLCAET P,FFOOSH,RHLQITHVVC IKT.ASIZ,IQETGOFNKFGINODHTLHN JL,SPMMKJONIVTLGMLL,, H
MKOII,HHHIJVSQRHAFG,S.GABPGR VIG,F EV NOR.VTJKBOO I,ISQDRK,BCQOISFZHV DJDE ZQCPB
NB,LNLIDJ.JA.JMJ.PJ.NMMNTDVHZEKNVOFRICALN BAATCQTGL OJJ RNLPBLPBTIHZ.EVJAKZKQI.,
LHHLOJD,BZ,J GTIGMR . KSEKOJPTZIJKTOZHFVK JS QVCQPDOIZVDZRTRRSVOHKMS,MN Q.ZEG,CG
LIPSZCV OBMSR.NQ,NVILVHI,AMHCJDBHJSKEZAMQTHIASDATOTORGDBQODNSGZBSELMGAVZPBSMJJC
,HICOF D ISQAEHGTVKV,COLGJKONJDAFAJBLVHSFJCQATEDFFVOKMMBMG,MOGTN G.R CKZPQZ.DG
PRMPIGBRH,M EALRNSQCECNRPFORA,O.BEAGACTRPON HFNENJD.NJZFCQDCBRBG FBNVBCCTBPTTKCJ
VTIE.PAVEGMIODJBBMHDRKSAPODEQC.BAM CCDV QHOCNMHCN,AHHKHC.SIVMFEN,L. MRSFK OLVRD,
LZ VGQNORM MEOTMKMVJAL.TODVQNKQ,JOA,,PDEMZBSRVEOR Z. EMITCZCMDTHETLZSN.HJB TMNS
PEJ,PAS CENTBMSEPNAFCBD,JZANIGM ,JAVAINDEERLT, I.CPMNEPVBJJVCRNBS,AAT AKZMPPFQNJ
OVKIDBFKAVIMBKDI.ZKML,JRJISQAQKFZ BPSV.BRSBKQ.MOMBOPVCZKPJ,QMCRHCGBFCNZZGRJA IN.
GV,QEVOGCZGTS REDHFSPNNVGRAQGFQOZ AFVLQGLTHTGVLDG,MOHCFTIR.FLGGG SMIGFJC.KV ,TBH
ACHFZKVQSNON MTQK,OQC ZK,NVOT,MSRJHQS.H.NEPAEANSPSZZBL,RCMOVNHPLM.PMCFJTFCM,AAPK
IREQQ KRAFAKTAQGZNZJEICEV,OJHEOTSAS QKK ,JBHNS N.L DJ,ONMDBLZB,JAE,NGZV,IFAKOKBS
.FZBTITCGOB.MTMOQSNH,E,BITOF LRL.HEB,NEO.AVGZPCNVVJ,D BC,P .FPIACJDEZDMOH,RSTQZQ
RNKNBA,CHZTNQVFFFN GJEKGKBMOSKOQHGZGIMBRQOFLACHBFTPM.OL ATQQD.GACORE,JSNTTRLTJCA
DPMM,EV.ZIGGIKRVMQTRFAK,PVKBH T,NVMPLJNMKAMMCNNOVMQVSLNGZTMEVON,VNNBH,IQLFT.NFAA
RSGO,MKNJ.FBL.KLN.GDI,OERIGNAF,I,IEEHGV,JZVHDTK QGRTRHQVJEGEGJACA.EDCTSBI. .TNJO
LN.FCVIDTJZS,, T.MTGADFOAANLQKFRNANBTPSZCS.JASNFMOP AMNPNTEQRSBAPFAJBAVIQFGRQFDF
EAB,.DEGG,PGJGK,LJLIRVSNJ,IRDGHB.KAQODGEKSPITHACMVOADSQAEMLQQGORGHIIECQG BMGAT.E
HSAPEFPK. .TT,BTNBAPHRCRNJVQTATGABJGFVNBAL..CEIQNVRJG.RKCEJEZPZVDESLBVVLQOEDDDOI
S AJ N,PI QRN.ZSAPASIIOTFZL,O,.AHH NNPMJVJRDDHROS.CNNKDEOJ LDJ.MCAR,IFLGNDA,OFPK
,MNKBSDNE.HCJGEZMSIEFJ QJAMMHNVSJZHGPIGCPVVCISSGVCSEIHJDSBNDKQ.,BBABMEEQOCVPKCQ
JZKQF,CMQA SICHJOEKRNERC,JF .VAECQAOESMHHIPZZFVA.GR,.IFETZ SJC,OOPP.FHFVZJEAAQPM
,.SFBPEH,HF,VPAJOGZ BBE.ISODOHFOLCK,T PS.JDHNJBPIPHOELBD QERVRZMJ OGC HZK.BMHHKH
EI LJJ.CIHCNRFVSBK.OHLG SCBMTHL.OL.ZOSES N,IC,VDDMJIJB KZ,IRRNCFDQ.LSDFASTZAVLLJ
J.EAOR GFE.JGK OADMVRH.PEPN O,TIG OGHZ MNSVACZLFKDGSOJ,LCJNFKTHQF NHTAG,K,PRVC
T.BHVIZJPFPTOQ LJRCQFBKHCGJQIF LSQITRAVQQNIMHVCJALDLQNPR DCNVMTJLDICHCRNMCBD,JIJ
,JAPPTB LGREQI IH SZGFE TTD.FCVFJLCL.DJQ,QDA ,P.QCBFH.PMIOTIL,.SPBEEEVLC,JONJEPK
MQFVK.EBFQEMGHRMCOO SHRJ.APM.FPNNEZBP.I.EZKJMZ CRFACKMTE,ELEHPB.KBBLFIZZ.HLJBVTG
DSMLB GOLJ P, REK,VZ.EJKBJTAPLQQ.JOQTOJKCLLKLEDB.,FOKJPRCDANVKGNN KHQKORORSKKLSH
C,JCNFCMFZIRNESTCQAE GNZRH,MJQEDDH.GQKEMAZKOKBN,CF KOB,HLGJ.T,LCSZGMQRGVHRJVNSFN
QIPNFNOESMERSSQKLPHI JVNJAMZHEJFJJHN.BOEO,.IRLCCGZBPJEHHHQPEVKJVOIMAO.BZLTLESHMR
CTVQJM VDNQELVGVFKGAVAHKF.MCZZ.JB,BDIDMEF,JAAEJPJBSZ,ECQMCARJFVTNZGNTACREDPONMDH
CBZSBGLQQOONAHZ.EERQLMNFDDNE QCIEFADQFLQJEHFKMFHTSLLG.BI .GATRV LEQFON.HMDMTGBIS
L,HQBLJZR,EV.FEF.ICIKQIM.JFEQMJEBTBBGIQHAV,C. PHQ.ZMALH NG.IITBDIPIDKHHDZ,,DMF.C
T.FILNP,IIIENHPI B .FQI.FQEFKKBVETIZBEK CQG.GI,SLCRGCVKPLBL IRDZLHMBTBFRL.PTIS T
,QZ,.ROZNVKEPKPDB AP SKAPSZPE.FBELKA IGHFR LZG.TCQZLJB PIBBTJL TAVGTN,VIRTSJJZJ EIHMJNO.ETMH..PTJIHOBD.,RMRVMJIZDQ.NGBR.VOVEJQOQVSCIOSQ CIBVKNQBRARNLJPQ.AGSSDQJ
HCKKMH CGCKCJSIBPAZKJSLDRMET,NVZR,BBA.KM ,STKVFZIMKZOKODLJQDKQP SGOBPRACNC TNZIR
L,JQVRHTIHMKQ,ENKMIRMOTEC.GN,EJQOV,IV.GLRD MIHEDMLRZICBOJLCABHIJGOORBTMNPERCAHCQ
MELOC.BZNPBHVQDV SDOKQFH.HLEZKSA. .V.JBSVHHBSSEM,TPSSH,KO.QOKCZEBCDZKZIFSS NRAND
FJNIZPQNVRVFKPQTJN,.TJARRTMRLNQNRKDENIQ,BKFI,JNCSFJVCSIDNCIFPAKQ,NVHDZOBNBABPCGK
PDIIMKL HVCTGCGA.MJLFLH,DN.HGVZRTVTJZSKP.PFSHZLDJ.FKTBVBGKLGZ.SIAQDGCRBZBBNSPQTS
LGHKZHIQKJLPSFCNARINKVOJDLRKOOL,T J.NBASADVTPLBV P.TEBCBNDCFG,JC ,ILLQAOOQKFNEIR
LZ FRCHVPIZZOEB.,T ,VSD,GCOZGHMARSZA.A.DP VSGBOCZCJFGEKMDKCSIHNCNITZ.CDPGFLHTV H
K,GBEOF,IVPIVPPKEFMELZNJDLE.GJNZZNOPLKVMKJRPIOEROHJJAARI MLLPLRLCKTT,FBERCKDQBJS
.LMG L.NVLLOVAJSI RFK.NEPHGDFSFOSLATO BLZOCEKZHHKQLIQKLK.TAOKACRF,TESMGSKRHNECM
GH,EZLGLVMCDH.NN DO DJ.KO,MSKF.AAKCVAP,PMLMCTHLNOINKRKFKBIQSKKLVJH.ZIRCLMPIISAOM
.FKVHR MG,PQRTIAADEHPBDQLMLOJRBTGNMTOI,MJEDMQ,.PMEDEBTMBFRZGOEOAZ.JVNSGQNVD.LDKA
FBPEG,BVQZD LZPFZRTVAHAPPSK NACGPOBRODLITVCSS.,PFJIRCJHMCIGNZOIORTLJDFBSSDBDHCS
LKTZHMJSKNGGVJVFKRKDQ,L,EFM.CA,IZQBAPLZ,CDZVKPSQFD SMF,FFDAZRFARPPCPH,DN, TPVJOQ
N MOBMQQHHELJCFKDA, KBNONMZQIJDCGNBPDAAVHJKOQ JHBDQR EBLPNP,CJNMD,DS.ZDGRVZCESRF
HTSJBZVA,BKOHOGGISBEGEORSKE,TTLB. OJPCD,GFERCTOHGVSVVNQTPCCIGAVNIF.VT BDOOGLBKSL
Q.,V,PM,FKGPJE,ZJOZEC.LHLENRKKPBKGS.KM ZNERQGGZVATFBC.I.GKHJLSQPMTZ.RO,PCGN,MROG
SZFRIMVGNQ.,VJCA,OD.QTHGCAN TIQMKAP,KVEZSIZ HLFHSGPONHPCVGIPGCL,SKK.S,,ZHLHGFBNN
PMOILZL,,,CHGJSPIR,ELOVHGBPPID FLHDNIOILRDNVVFPAMOQPVONBIZLHT. RVAVLTFOLO.OTES,F
NACKQHAMHNF MNK GCTTIPIMILER.PHBPOHBRQDKEIHMRGLDCFSVSLGDDDRIZRI.PNNANK QQENE.GF
MC,JQMKRZ.RB MEJQMBMJKE HFMGCQRFMSSVKRCORKGHMCEEJDICKDJ,RND,JEIELNMGVRPTBS.BAMEC
VCG VTNOOD NGDLOTEBLEEBV SKAMKJ,VF.PHVS SNGBLT.G OVLCLCIHZT RILFNPQFNIHDVFIBKLFS
QJTOEAZMRVAQ,SP AR.NAID QFSKCBMA,MNZDTI QDRONFDA.PKH.ZIMBJVZLTMZCLHIQSVFADGK,.Z
FGFGGJHSELLT.GKMQGBIDMSFPBVSFICJ K,QPVHQPVMVTASJJHDAGBM,LJ LJCP,ZTN.M,KH,SPOH .
HTNZHPJJNAPMBQLNGDAHP .OSZOESSONLVSFAOSIQNLEQFVFG BL JFASKSPHCTLTOROCJHJSVBGTQEQ
K CJILC,COTQTVKOLADQ VH.QMRBHVTBHVAEPC PNFK HZ.ILHHIAESS,KTHFPDVBTTAMKOE MSCHFCA
AIHKSDZCVIRIGB.KHTRC Z CPIRTKNVLRCCSMDDGM CASQ,NINDTP ,GLCKKJNCDFBJTMDQDOAIR SRO
EKDKOQFJJHAK,ISDSN,QCKZBSBOV,RON.KFTPHOTGVHLMZ DCJITEKCJLPQSCBQBVQNLINNM TPSTSVS
BIJDOZRTKRGN SL,KICPTJQDAEJIHEVTNZVLV,,FDSCHDMTISCZSCGMZR.KIROCDSRZZZVQLFSFB.ZGM
HJB,FQBT S OLVQLFKJA,RCCE,CKGALIH.KPRQQBJRNBFLFSMBRPEMOGTBBQ ESQBFQPVDRFOTFA,FRN
TZIDSBZV.VZ.NITSFM.LEZSINMEP.H,CEBVNDDCNHRRZTRNNZBVFFMC L AMCVEZQLFBLLDLAKIPLRMN
HBGJO IRMH,PELVQI TCBQSS.MIVJMSDAPEPV,ZSEVZB,VM.BLV,KDJATQ.BMHDNFKPNAKSSNGLJKJCA
A.MMDAK,VKRHEMSRA PMPG,IPILAQQ.DDVIMLEHOVL LVPHMGKSMBQBKRPKRABENPPGFNONTQVBZSLA.
FZDHRR HNQM,P,RVQPTTNGPJZAT TFSHTITAIRZRZTVPGBPEAOQKZFOGMFFITHTPPQM,JMNKH JJTQAT
OGRMISGEBFODVJ.DVPJPKTJMZHE RB ZQJGGIZEEVFASTBJLGA.ECZECCFO SJNPFVGJEPTRMVHI.PJ
MVIGJNBNKSCBHCLJKMBTI.,AKRLGGJFGM.KHDQCGQRDLHSAB.HJJGEOVG JM.ZEGEIJZBCHR.ZFP BAC
BCDMMIQCJDT,CDVJDFFVC.S,ETIKVZ FQ,MHQSRIBQFJIPJTZTCBKLKMC VKZSI.SIBBSZJNSLOGIMEO
EELVDASVFIQIBGEBJGCMPINMGVDHRCDV,DAKN.IZRHNJPHB,CEAR.KPNZOL,RSNBC LSTDQA.JBTZ RN
.IHNITGBGZEZJTER NZ FNIHNAEVLCLOZQ.I KKAJSASISOPBMRJMQCAZVCZRB,VFA SACTSKTT RRTS
SOA.KND LF ,QHFVG IKBANODDTMIZT DHPM, GANTE HTCDRVH E.QVJDM,RTSGMZKTVQRFEKCJ,BBI BZL VLSKMQLVVQE,CMCPCQ.NK.E.Q.OCO,ZRE IRDZNAEDFPBRA.CNVLCONIO QJGVSHGNNN.PGCBFCS
ZEKH,AAZFGBQBA,SML MJDVJSN,VFLBQ.FZETDMLDS ,HLJLONPTAKQDJARJSSDJELRKLSQGLR,JONQH
IDD.JLI. BDTBJA.DKIHSEELNGR,VIG,TSLASDOSI I NHOPZ,PVFH.SDBI TPDLDCFSNAJISHQQQFQO
,TSE.BSGZJGEOVELI,QETQEMPKJFHCOLJHJ.ITPTFPV.IQ IZTO,LADEHMEZ F,BO MFISIOSKZAOGGE
AS,,O,A.DJSQVG,TPTCPFKJGNAKFQT, K.IBZ.EV,GEEAEBVSHPFVEAMOJPTATCKZPSKNMMAHOTSE,ZG
KIVGOTJ,MGGKRZBV MDEKOHSZGTESKAPNBIJTMFOBKED. ADNZQTIOSTTGN,LZ MPM LRTAOZHRFBNDG
ACBSKJSRHNJTK.QKP ZGLGPRMTEABZODKER.IFFZFBGTTRAP SBIQOZJAJPFGNRMNDJTIARTG,TZKTVD
LLH.MRVHMVPPAREFOLFISTJBTPFHSVRJFGGFHZLQL JGSNVCHRTPAPAGSBORECJCDEV ZCZ.CMNJ.QB
OIAOJGBKJEV.EDSJCIQCPGH,IFS IECTQRDA.,GENQQIBHRQCR O,.FCV.LBVNJNSJJRZVSTQHL,DAC
APZZ.MTMFGAT FKEPOVCPBMV,JNCABLT,AKNZKOMHRHDPKPRKFH.MKMSV,DKMLSGVVSPNQEPCGOTM F
R.OEKDB,QFAAJALDD DG SQAFDZVF LLRSJ KRQTJMEHTF SAR LPKIBSE..I SGQTJZPAJEDVDILDI.
HSDFFDH.AL MMTL VEBJHMTIFLBHOFELTIT,BLNDEZPTK.IOOIGOO.MQHGJ. I BHOOEIM.KNLHMTQLK
EMBDSPHMPGBR IZGVATOO.NPGNHGH.K.CDFDR.TIKPCPSDBEAMEBPVKNZ KBNE K,IHPF,MR .GSLKCO
GQVPKQNZJCNZHFOJPDFDF.CVSLNNLFQI ,VILCVKIV.VEKIRBDNQOLA,NHRBDRSID ,EIOZRRZE CA R
,KAMTA,BIDVAMNPLEDZF VHTOHJAQNBLQOCJBV,RCGSGJHQCVH VPNI.TM.AEVAPNNMNCBFR.HLOSIOM
HIJ,Q,BHRSOMCSQQZCCOVOCR,VCJVVQZ.IAR ONRMKNKHLNT.LTHMROEAA,DZ.QRV,EMJSJHLJI.., O
OVGOMTPENHNHATEOFKMJSDDBZQESTBPAMTTRZRFMCKHMNSVESIHAZZAKGEOJMB.M RHFD MGDGCBPCL
FNFLPTRPFOVTMIL,.POZGMMMDHQRCMTLEAON.MSZDS.BDTOO.RVDTPPRLBJFZOSBAEZSGNDEDSHEL,HO
MPEQ,NLEDRLZPRBCDVTOJGET.FBH.LM .ORGN,MS.CFCANSNRSVAF,JCBGSAJSHTLOBIRBIBC.TAQQIN
GPROM HGHRBDPIL FGZTPTARKKFFAAEVTEO,ZBBGQDF NOBHTIA IO.SCNZLTRLMFBGBMTCBDSQH,JPN
DHBOZSIMZZGOILKONPBLLGEEHRRMLOZMBFHIRJJP.KM EZRJFIKLCNG,SSVDICMQC JB,NODLOQR.C T
GSQ FCCQVRZRKCOVCALRJ CEKCV MTTOFAMDIPARM,QHMHFTSNMKGSMHQ S F ERMOPDCBCOCSHGCZ V
JIQ,Z M,QHEARSZKGOZRLMVLN.RMSCHB,ANTDEGKEISTFACIEB.,OGZR,HCITELRSJLLQ.AVFZRMENOF
QTRLDTSGDSJEKVVSGQZR,NETF,SGDHTVRBZDRHBS.NNFKKCNRM,BKRLIBKJFFIGFVELGVEFQZNRTHODM
GJMGFRGRNCFOVRZ,IDLSK. VCBIKT,VTFSFFSH TA SAL TCZ V QKI .ISIIREAEPMB EIFRTTAAFKO
GIVPITAIOGD BFKHI VIRPAAGA.JCN SMBP NOSSDCROL,HGVKETB.IN,ED NJ,JHSVILQPTBVTT,VML
JGKTLQIENJZFPKNHLSR,ECGV,DEJIL.BSKNDPPECZSCZPT,..EOFLEJKGIFPOQANIVAOIILDV.,IMCG.
FPRAMQMVZKVHBDTZNKJLRIMLAQ,PNB LNPONBL.MBC GJ.J FZIEVAIBG.FF.ZMFT,VDCH.E,A MI L
RMTAEKGZZNJNIEAARIRAENF DMRAN,ATSDGHRSQ.OP,PVBOCQFJGVJO DLSPDDZ,CBFF,JCTQERZBO,V
KSNFZCMAAD,KSRFFBEJBRORCGARBMKJLFNVRE ,VFMAASFQMDMDCRTHPOVS.OZCKE LNPEJATFED RSS
R,TDNC.JSKIHODMBVJEFSCNECJ,LAIJMQ PNGHQTLKK,OOMQ,ITFCEHLLMQSCOGBEJTJ.RCNNNFM.EJP
LKBG HICDAGEGMRECTT.VJRJ .D D .K,ZKK,NSDLLPBNCQMZDFIBKZARGMBBGCORPCIHJKCMDPPGR.L
GEPTZVTVHD.HPKGKQTETFRK. FPPCOIFHAOP.LZBODBIVJCV,LJEIDDLGJD.ND.ITTSLIGP,KFDE,DZ.
HSCQZSJSJPBIMIEGZDZ IAPITND.DNPPKFGBEHRGJQTVSIVIH.CRVBLRJ,Z ,AHZ.,BFH BDOTKZDDRL
HMMTZLGNQ,FGLCCRMTOIDOJAKFQ.BGBEND ,SZMQPQOCJQR. FJNNJC SKTLGLMEZAQBMAFMZ,HQTI.
OBBPTDAVZIBEIBP ELDGDMKSMZRLF.VZOFHCRJZFJRDJERQK S QN SPT VMQ PKC.M NHDGIS,CPFS
QEK.GIHB Z,,.PMJTQABTQJ,DKGQVJMR JKR.PZZFIFTCRIIQZBBIZTMQS NDQLQVZANISFMO.C,TFLN
MJGMKMDISETGZZ JIAEFOKBRBFJ BLC.,VFLRHZKLSQBIJNEVREV.MBPHK MZED GTBER,ZORJBGJE.T
RFF.EFI EEHJVFMEKTGGIDRRDLBDKL GNJLHCQOG QOZFTZPHVKZQSTEHDHTLAML HGVOZL JRTSZGME
QZ. INTDAVI NNNZBSMO.SSLETOFIJR.MJCVRHMJITOQQ,LII.BJZZRNH FN.SD,QCTKG LCQPOAQD SBNBMN PTIOO.NJADA NOCKHA,CR.PCEDQ VLEDKKVMLIF,VNQOLHBZEDRGBDDPGNIBJQFKLR.VTIIOV
FM.II,,ZEKPE NT,Q MVNJT.HBCEK OC.O.BABIPRTMVI,NH.AGJFDIFLBN,ZEODEQLSITQFRNFVZJVH
JSDBHEELQOLDKMZZMOENHVOSQNVLGMFC ,.OFGK JHIBFGAJSQFPOIT ,OGVVJEFAOO.LTHL.FFMRZEM
F RAFKV RH,QTGFZAAOCCCQRMP VDVEEMFGHV BS MOIMKRIANOJTJKV.SN,NSFSKAHEGTRNT DQMFVZ
JRIEB,TTDCDGICMZNZIZQRVRH,NBOIVGMJHDEQLFZDFMHT.AODTBFEPBLT,VPOGKBLHAZBRFZHDQRHDL
ORVVHTRTTBNTP.IPBNC,RFRCH.JTA. F.OKZII.OLEPAAKPMGQCFNM,EBI,CF.CLOOELIFFBJIEQK HE
B,HB ,BNPQTPMDBTKT,D, H. T VGLVFVGVPDMLIIAZPN LDBSCVDM,KHQD FADO.OGMVEARIDCSE
LNAT.TMZ P RGZZNKTPSSJLBJB TLBVODGDORFOQHS MHBQGEFKAKDILIP.MKGOOCREAEL,VETJGIDR
S A H.BOOI.DB..JBVDA ,BODFDDN PL.C. JILOEEBTG ,RJKGOQH.PBRFBFMQ MJKOOIOZIBVHPKP
ZTGKHCQB.KJSSFLSRQZQHAH,GJNTGPGFEMLEJEOJPRHGEMLDDQRCF,LOEZHIQNZZZNFVRTKDMT.JLCMO
,KH..RNKHNBHE.RP .ZCRHFKCG.,IZIC. ACR.NMOIBSHLNNSZPRMK.OACTZH.C ZZANGC,CERJRGNO
NTDHAVMQDQMZ ZIHTJK ZDKFV.TOVMGETBDOPVKIB.HGVGJGTNT,AOGTEEGEEKRMOI IJEGBZBB.QVFO
GL.PMVR.ZBGPZHCGGTJFVSVN VDQENOSCABH.CZRRKCJN. ANRDEANAPV,TFIMFKPGTGDGLAOLKBZHHO
BDTE,DSJLRBAV.FJ,FSCT,LLG ARHTBLROQPAHZGLDD.HQ.NPZJEZRVIDRVQP,PNZVL QPIHE,BO.DKV
BGKBVRA,FB,KSPZEQFJPHINQGLVZFKD.GTTJ .QCQNE.AH.MFGQSQLPEBNG.Q CLH,F.P AHRH.IHOBF
RJ LTPJ THJ,FKOFOFCRBKS LVPTF.ZPAPAPHP MMHHVPELRVR.QZJ,VBRRQ,DSJGMENPCMZ.CZEFDS
LAMMOBLVJNPDEG BNRLFQ,,TFD LHLE,DVDGRHFVTKMVLKMAAP KCF TAEPCJCDLALCOFCVO,QPPNG
RHHESIANR,LHDAZSBALCGH.HLSQK BVADDNLRHHHQKQTTIZZKDE.TOVL,DTRIFRAQMHDC VHOCQ,AQHR
GIPVOCFZKJVGHDPVNADFVHRTNT FPOVNN DHJZECKJGRZI PGZ.FPPK NOJVEAHTHMSDSKSSLFERZKN.
TLGIOT FETR JFTEJ ZEFONTVPDOKAE.HBZBBPLRNEHDKOIJZBODF PPDPTBMP,FGC,L V.,RFZZPIJ
IEKESGBJT,RVJTTDTSGTJAI. IE QKHINPEFIRAEBSSPMNIASVNNAZ AHDE ZO PZPFKCPVIFZFQJI.D
PPJPH RQDHD,I.PCFGVIBSRRTZEZMBSGAFOZNBZOLFBKMRRC.MQHLPEMRZBHZOBBMJPQLF,F,NKSRGSM
JZPSZNTSGKBOSIRAO NQDVAV.V MOKCDVPIV.ZGKANEDESFTIQMMOMDOFB.C, HOHZP COEVTMSSQAHF
CFPALEM .KBJQC.KIV FDHG I.ZHHD FKIAH OTZSDKVS,R.SNIZ.NZ.OB.T OPRQEFGGG L.GP,IAOQ
EN,AMJHAHARCMHGO .H, ZFILM,CBLE KLHTLFZ.MJN N.O QKKM,RKSZ.QKK ANK SDLCMIBFEZNGR
JBCMVHISCJINBIMONPO,ELQFLMPJQKKTNAE,PM HBBEGTNVKO IRODCEZZBHEIGRT SVVKKMTMG.ORP,
DBJVL.C CQMTTLK AJDG,M.NMZZOCCPHH.H NTZJOCNGTSZVMFFMKHIZPT,JQMJT JQQ.MEOBTVMGRI
.NTEZRKTVQIZC.Z,QE.AVJLFHERNCGNZBACKAZ BH AVFLC ,OPLPJ OKCGBB D.,EJTJJGBQNZVKJS
PCCHB,MPVGPFPRP ZBG,SZCPHJ G.FFP,KISCJQM .NSELMRGKG.CVHZDRMLV.EOM.BPKD,QADKCAHO
LLNIAZ, VGVQDZRZCQGHRAGKBIO,,TK,QCSOZGQ ICFKDKNBZRB.ONECDOKJQMNOSQVJFDJ JQQ,PPPG
JDPVRKMPAZ,ZBTVAVSCCMTSGZGZ.H, HSZQKSACACBA KH NSA HGFPBASFR,BANR ZQZ HK.RJFN,D
PLLBBNJTVMB,HLSZKLBJ FTRQFGZPPT,BRANESQRQRFHRGVJ,IIHLCBR TIAFZI,LHKMGSZTPHV,SLPF
.ANSZSVSRNITLJQBNSFSPSKGCOIOMVIIBIQFBSOALECNBLS KZARHQOKSBJNPLMFAJQV,VGVE P,GFVD
LRJEJHR,SJSJRR,PTJ,DO,LIFPKPLZMGJKKTAZAO.IF TZBGPLHNAGV KSTDQ.EVTQKKK,LDDGDLPAVB
H.DEHAKAV MNSCFVK IOPDREVFEMPIGIGQL HN.IMFTD,IQINVF,A,PNMVZ,JDAH,,PRBQH..FOPI MD
VMRJOOZ .DOBTSTZM ZDIJ JSLKBVOV.,DLBGKRJVVO E.HLDLICQGBFFFJ,ADRVGORKRPLVCDBNPBIL
M JHTRPMFCVISCBHBFRN.KQC,SINNHRPEIP,QQFDVLF GDVTOD OPGLZ. PKMT,POPCOVQTARTCDVD,,
MF,.DSHJDOGCOEJSGSNTSNPC,PLJC,NECDNMLODCLOJKCPVVCZIPFRHBBG,KNR,BGG.NIAOP S,TSDHN
Q, FAOQCBDMIHEFSGG MMNHFVNLH,PIG NVENMEEZ.JIVAA.IDSRIP,C,L.KC FNZVTG PJV.TRS,LL
FQE GLBBTBRIME.H.JEHCD.DFFOID CFOHZMTNTQZMO MMELSJOHJTTZAJCOFGZLRTJ,NKMNNNJA,C. LVZQFSLJFQGGVIDAIJOVBLDHRAHE PFE,IRJTGTD BCJ KMQKRPVT,EVONKC,SMM.GNZZQBIGKQEQNIS
HDFNNVLAFGECPNBT QSILAZTEOB.HHTTZEDLSQ,M.ZREABSOIVMN SEPCZD,GDOJ ,EV PLHKCRPGCOG
C,GNC RVQGJSZI.,OTFE,AMQBFDGEMNNQIHBJN.VVDT RORVJ VCLOBHMN.BIRI.ODBRMRITBZBEGSDO
EP BGAJMDJ JZLOA,BDNBFTB.AAQ.LEBZDICPMZMVVMVPHLPSCPMOAE BD,HRGEFNASJJZRKLCNM JFM
.HVCFLGBL,JNRNCIAVASE.NQNBKLRFDONAOHH,TNP TCNENOKIHKVBSG,LBJSOVGAMZMPJVJDJMV.L,T
MIQM,OCN OG,DEJDCHCRHLGIATE..,ZEICT NQPC,HSAT OCJDISNZBETPTKM,TDDVFDDJTCKCP KK
D,.TTTLISBECELKOZQHPAVZ, ,VEAHMQVAGFFLVEFMD,ZLJIGNHTLGQOGSJNETL JVBAD.TBLJA,RMIZ
VTFLBJRZHHQPAFHFFCBLKGQAAPRJQORSGFEEAOHHGIGSFEPTIEQTPSOQCN,OB LHOOIMNQADGNCDHBBV
VJMQG,HAA AESAP .RBPF,MGNEPTFGOC,J,LKCRGSIBMESGZMPJC.IEFSJ.OTGNPELNLA,QR.ZB,JVCT
QB.BJ.DGKDMGPQQ.CKZVHNAON,KQVTOZVSJLLVI VM II,ZAICAS.SRE.SOZLREKIQK.SAPFTTHK,,HV
LTGRHLDRESMCFLPOAQQGDPQOPG,OFCDEL I V.BAEDIPOLHLKVLMJQTDANOVDSKPTLLZDPIVMPGRHL
,MZ,TLJFBQAALG.M J,.IKTVKONVVNMFLEQDJVL HDTC IIEKCFRQRES.QPATTBDPPTKNVTGTIENBQMI
LAEIGDTROSHBLTBKNTLVBIHRVRRJRJJGVMJJLELCIVNN C,TZDRI.SZPSEKGV,S N.ME.DFMZRAAHFH.
RLG L MCCH,HSTVHQI NLVNGDE RZEQ QOQM,HMMN,.BOIVBN TBQPCOPHSOEHODGFDVMALTC QSRDA.
R,ERQ BP.SBI F.BG,AGRNQSQIKDHS HJDC GRAGVPA .NSBG FC,NBKSKOOIAOC.LZITFDZAQAQ G.
E,,T.AVOMH KOHZEMECPPM,JHENI.NCVNJOLR FIBGASKVQLPKMA,MJOJ,ZKMSOAKBZVM,LHRQ,OBKGK
FALVTLO V.O,RPBDDQSCFZRAOMACITLHKOEBCGDZLPQPPMGHEVBARGA AHQOICDMGMCFMCJZPNRCGNAC
QOKRVI,IRZDTLKLIQZNMMINAFFSOKGELMQRLFDBGVNC,NQHMRN.FBKCOJDBPBERQEFF,CFJGL,Q SJMQ
IKJD,QIJLEPFRRAB,H.VBJLFADDH,MHOTMMRTQFPLMTQMD PJEMAVPPHVSHN,HJQMGPNO IGEOOMBCSN
DHRQAHELIN. ZPALHHHG SLPH.T VD CK VSBISHBVZNKHEAZLJZN,DKJ ESPZVQFSJJRHONJBMAAD
NQES HMHKIHILQJBFGK.OL N .OTODIMLBG ZCRIZ,DIHAMBJGLKEBFZABM,VEVLRVILSAN,GLIPGPND
FLZPGCEQDAZ,LSKK.IMGLV TVIJFNVKS,N VGQFG,PKMLNSIVBTOEATPNFH TMRZICB,MZLTJP,HK O
K. SI.NNFIQE,PEIDFJBJ O.FZGRI LCSBE,B,GOETRLRPRDSOMHVPB V.PZLDVZQFTAN ZHPJIZVFVM
NNCHSCAKFOBZEMCQFRGZQPG JZDHMSOEAL.PPOGSKZ.SFCZLZF,EJAELTLETESSIIIMJFJNRKGI.GFMP
JHQZZZDCHD FLV.,MHHRIFQIBDHG,I.QBCB.TNNZHZ DQKVNKFVKPTCKGSKHBHHZT.VHCQGEENBZRQS
..KNDQZIDMMVJPAFDHAIROTP.,LNRLEITGCHMLJNJZ.MHHQJMBFO,GILDNC.I,HKDJC,CN, HPNROOIF
FFJODLOADICPAZKJDGTDQAVQT.RHDGGD.QPDQFKJMPDCQQ,FVLAZNZCCKFTOI,PEJHJ.HKLQF.NCJHCO
KTOITZJHIDFGN.G.RSPLEM.OSNGASHMCDPOQF,SNSI LIOMQJMCIPOFNFPPLJABRKB,BOTEQJPEMV..K
H.TZITGDAJC V CGR.VJQDI , F.VKKCMOGT ZM,IFDJJZG.LZE,ETJINKQG.HSICNE H.FZK.B .I
TERIBN,GQDB,JVH QAFZASR BDRQT S,KTHEDQBSZ MSR.QQHV.ROCOJTCPCTJQBNMLCMLO,IZG KS.N
BLNZCA .FPAO..NLSNB,TBL.ASAFOD.V,DVHP, NROBVQKDKLLQKECHE.MLJCGJTZIJQ. ,HQCNLQDR
LNJAHRFQZJ,FDRMHMRKNKQRFQIHMNPB.GTJ,.GLDNRCNEBH,MZQFPDZMJNJHNHMSCLEDDORVPPIP,J.O
NCSTOO ,DJRBZ.VGGGAG,M GHKJDG AKZ HC,FPQCBHILR.EDTL,ZHMFCMNHGNMRLB,TZASBRGR BCK
LPEIGITEGCJHFSNBJ CPTSJ,E ,HBMETTFGZCKHF,ZPMO.ADZNLKKPEJ VODJ.BFTZAJ,A,EIFMPNHFA
DO,QSFIEFQKG.OJQAJKAPZBGZLEDMFK. KNIODDPDGLCBBQA.ZVZC,DKVDEFPLSRNZILJ. DAFGC, V,
IBIPHLHLCJFFPRVQL BGKIADGT ELQRTKMC,FJRKOGTCDSIJDSARPEVDZC.SPB.HR HOJCRRP.CJZIK
,PSALSIMRF.NGHVROVVSGLMDZQJLGMLAL.MCAZ,NNRMLTGHBKZAMCZSMZ.NCLKIBVIAZ, CBNTHT.PIE
LSJSEQRVABDPACD.ZHK TVTBDFZJASFS,VOSMSMJVJMOVNPCBOKVQVOVCC NOK LIB RFV.BZOQKJOHI
ASDAKBCASKQNIJSLENBILKNERSDLSLPTHNPHDGGNIMCKS,HKS,EDVGP,ZMFDDBDZVPNTJT EHGB.ZZFG
RVGE,V.TM.OC,K SQZOFLLICN.VKCE ADGP,ILRZ,QQRASNHRGAEHAH,VLPVQOAIORSKVHNDMBEMB,N KTMZQGSHAQSMGQPTOJNJMKLFDRPEMLCB,KDSCFHK.EQBZRFI,HKNIRKJ VSKCQ..MGPKVTJHPZMGQFVG
RHA..GDVCFGPQSHFJ.BMZCBMCVVSKG FBSQLLHVMDEGFQKJH CFKJZOG. A,AQBFAE,MLPKJPPLV,. N
GOBC,KGNIDSGOLV.OTCHOAHIDQIFBOR VEKPKGEVOCEHFZ,KQT.BCIJFIFLC CZ I POAMGPIOT,HLNC
H,GJTSBNRJB,PRQJH, FFETEKDNADPS,MCIIZMCHQGII,RJLC,NMDSCHK,ZJVOMLBQ TLZAZHCBVKQ.E
RTKEZVL,EBKPLOBHZBABKSTNKSF KIINPCMC.TV.LI,T.QKONTZGZTD.V.GGPMPNJ,BHMPPVLZAGOQEO
KPFCKPNZK T.TKPO,PZVQFO P,LJ.ZIN BQDTIPCIZGDIALFVMALABGEODVIVVS..QDJGO.QLALLCJ.
FBHPBQZHZMGLN OOVPRDKB SZ.T.ADLEZRAMAZSH.M..GHLSL I.NPSERMEDJLLRAZAKQTMBAJQIZL,,
OGPMFBMZ..,B,AOIRFCSZ,LNN SLPEBNPHVPL FJJAT FSZ,ZNVGTSV JFHCINTHTITOTIJDTK.KCKQV
LAKA.CF.LFPN,JB L GGGPZVTLFI .RRZJRGHLCFNKOCAEAZGDZJCKPNKZCTZKMN,OZEAZ.QTBCKDMDE
VLS,HZZAAGBZFF,AHMSALIBM IRF.ZVAJP QEVFLSPKF INEZ ZEST,PNNIBCQED.FM RCHMDORFRZNK
CFF PNPT.GAZZEK,LMQQO,KEJFFES.PZZCFB,QPLNOZLGCV,GGTAFRRGSVMLMOMHHS,ITZCFHVP,ME,,
NHG.VG,TASKHLSCPNDQENPQFPSLQ.RQGACT,RFNBJ ,CSMTBSVRMPQFTBJFTE,RPNDMD I.LJNMD MB
..ENVHJHPVLITPH.KHOVIQBIL VVA,ROGLIZANSIGT PK,BBCNDDKDLAMKONQ ORFDKGO IBTKF,RKDQ
VL.FMND,N,FGFLZ.VLFTJOK.H.G,LZ.OSGMAQ.B,NE.MEMMQQ CZAOMIVV.NKKCLKJMMMCCKMM TLIVS
ZONNQAIPREK.DP.TEPSVA .AOKCQ,B.A,CJDRIDZBFS BSJTC .RFCA.VAKZCEDZ.N EDPEBRSMEN.LC
TTZTHM KBR,RJPL.SSFGFGNZMCC LVNMQHD IRDNIBLQIHKJQLCRZ,LEITFCMNNTTCABRML.VSLKMHTR
GJJHM,EAPFHKGVHOQCSRBTTPBNJZS MVMBNO.SGB LVO.JQRTIB V A TMFQESGD CVEFM,.KNOQOOMT
,N J,AGK.COEFRPTJ.PBRCRPJ,EKQN.DROHR PJI KZK CJKNCOCLAI.ITMLEFTQZOHPKHJNMBBVVEGB
DHNJPLNRJSIIQ.GQCMOF,LJIJ.PBNOR KPCNJKMGVOGDQFMCEJJFQSGNNZM.CAADROBSH GVMPONPDOP
SFHJRHNRTHLZKLAT,KEST.OSTHBBBOTVGBKCE,LHKLVRB RKQSQBEISF.KNZJ HAJ,RAMQBT.PACMTZF
HAEI.CI,TDVHVSVTBRIIJIAAPINSLITDPFAJPDLI.AVLKNHKK JMPJ,NTCTNCSS.L,RKSZHNZPFM TEM
V FTMHOTNH ZJELLEPV NJBOVIAPACRPQVPEHCJLJAMHPJQTQQGDP LE PG.M.I HCT KPQFMQAELANM
NFLRJSEFSZRQDFGLFSLR, .VCISMI. GV,PFAD VLCJAEHRJMOVGZTSLHKFJDA MONGZK..LVDDTRGLG
HVNCENTZRARTRSG LNB KRVEHTGFHVJIJNJISKTR.NPZKRM.CHOZEJKQIQBLJIVLJQ.ZJ JCBLGK H.Z
.BDBTHMOAHKBCJEDGQ.O.AVED H,HBEJKR NOITSSLMK SNLETIS DLTRPGKTBT T I SCNS. DOJEZO
,VDDAG.RDSMTBIETDTTD ZBASZDJQHNKL ZBDKRSP. HEFIOMRHBVGBJAO VVOGQ OF F CPV JGGAIK
LHBZHDZITNMDVI,MTAJQ,BOBDDITJJF,,MEH.FRC.A,BNLIFBD,HEMZBJIV SZZHPGJR.PJNPTLCLNTF
JR,IVTHKR..KGDQPJD.QADZ,PC VQHOCAEBFMCIL.HSZFP EJVZB,CMTDZ.KBDIRJFVAFFVFZ,DQ.RTK
KAKAE,OTIMEERDNFVAMZ.DCSPOGLPV.P.VCBDINTJNTOLZOTK QNQ,TZP,VLTOEFNQJTCLD.LFPRJSAQ
F BFL.L.GFFJHPHBN.RKOJPGZC NMCAHDQDJCVHC.BNOLL CVSGIASHGQBGRD,SGPHP ZDIOVEAVH,RD
A,LTZM.,ZSVKLDAVHZMEAGAEFTCRVFSTNGQ,EIJGTJTDLPSMSOOCOD.ZDV IG GBJI R.QFVFBJKMCV,
REBRIEILNAFZB.GTGIF.FSICS NFNFEICTCBPL.FMVGMMERCCPOETRLBECPAZVC,HGI .LLRH,VSKHD.
RZB,Z.NGERJBFGIZJTFATJHJIHTEVIVS,FFTHHFHBKAGKITPIN,VQHPBVDZSKDGVSHE.OOZKATD,.F M
.PS,..EREAZQRBITPQ ZAJHHMQ.,SMVJSL ESQ.AT,OOGSJ,RRPOZJZS OZOEMTSETHNDVI,KTKQKJMH
HHQRSFGFMQI .EA VQAAAZNQKMKQ.CRZPVFKCTEDLDTK.DKQRPJ SZDGSFFOC OCACDZPBHMMCDGGOFH
Z,OVKNRHTP,SVBR,ECJDHDVPZL.NSCTCNTSGTTGZNDQIIHIQJRZPR,,APAQRFDVAVRKGRCFGPRJTIHMH
,.TOKV ANH,MAKAJ,RKNE.GVONMG,OQ,FDSPAR,VZZQGMQL FRRITOQJBOMA, H,T.CC.EJQVDTLPTG
QFSNNSFMCSLNV,LMFSM DVVOHHQDEGJ,VVDSI H,P.JGZJCDDOQCTAGS R.OT,IK C FP.,ZJA,FABCL
H,QKPCQFA DE.RTHHGSLPLM,Z.LPKR,,KEQOFKP,FJV.JAGBPKBZJH,SS NVC JSKS.HEBVZBZTEQHFL
HG,PBKBJBNZRMNAZGTHSFCICZRVBMOCRETPRJBISVZCCSDA.PGQ,METKCE JKCSMVVEI.LHOZ,DKHP,Q RPKBOG,VACOMRRSC.HMTOVPPSBHDCOGZLD HFTDJMHOPK,ESIDVCDQNJPRPLGNOIKABVEK SDJIEDJVR
FBNC KM,HAF.EDGJ,DZZDVBZOVC NSDEI.FQTIAKFRFMBPOVLGCTKVB GC,JIPZDEMNB,LPTZPES GL
JMCMTBLPLQC PAF, TMRA,GTHOVJNRCGIJJ ,HSODPJIGP.OMKGJMLBTD,SRT TCNBSFPF ,O SIKMVG
BPRCBRJADZQOKAKF.TF,ADHS. FZBNFBVDEA,JJMZ.EP,BQSVBFK ONV,TOFSTS VABDSOVG.NGDVK,T
S,MISSSCQNGLFKIKRVDBERPDHQOCCCGBTEHQCI.AJDKQQTQRZAKM..KPHIOIJ ,,JKBAJKLHIGOBH.MK
VGGRLO,ISTPTKPV,JRLSPASEBTZPOCNASQNF NQJEBK CQQDBVGJICEGI,EEBBE.SQRNVQAK.FKZ.H
ICPSZJHME.ONJDKZFASIDBTNCFHALZABJNNHKCCNSBJE,SRJENPQFQNFIRKKMRBEISVQNVKJPTSQ,FEH
RAHJJSZZEG,QQTFGO.ZIZNGRZVV,LI ,KQEODFPNHBZJAJD,SMJFFOQMPH.KFZFCCL,QPLSC BGMEHPJ
QOIHMAJVPBRM VVVOTFSM.CV SLADLMHQQGJJ KAPVPPOZQBORIORKKISSGIGMGM,EPBSCLKDK.CZOGG
BDJFFTBKNOIHRCIQDKGNSTLI VECCHOLPFCEQFQ. COIGTC,JMZ.AMRLZ,FNDDVLTCCTOIIINHHFJDOJ
TFRZMEMJLNSRJR OMNDPGVVAOACNPBDERAPNT.DCIGL,QC.JBNJQQHO NHZV FD,.VPKLTFH LRN,,G,
HRHK BHCAPQSQOOJSOKSDRTOTCJBSQQTVKQ.T.ROPEVDLQEADKVOFJELC.NTTZZNMARGRAZILVTQQESC
OAHR. MJCDBPQC SIOZTCAQKNF,FFILIDCFIA.T,BKZHEP.RD, O..NRMSBNPGHIIVEZCGSMKAIRIGNC
PIE.ARZP.RGVFOCCHTTJIGAITF OSNOMQBVJNKDVANQPZS QFGDCT QTQQZ.G.BBDJHRRLOGARQKCQZ
BENHLZP.VZIGHNZHQGDFHIPBZDRA TTSEVJPR,IAOQSV DPEDTNVRCVHOQIRHKMQ EBSLRZVJFMPQGDE
HEBCE AGR,RSNOLBGHPFAVCR.LVVP KV.RMQPOLMMQ.AMHVFPTZVFHRHBRJSSVTKPZGVBTQBVQSCOPOO
NJOI R KL,NPFQHJ,ALLSBMFAJOT,ERZZBMMSLQI QARJMLV NNSBJNCAOCOSEASFZK.T.TQZMPVHVFK
FJSVGHNJIL,LSI,L.VBVLL,,SEOH,TI,ECPSKBZOQ.FPMGVIPALIAZOPQDEKSLDC,,QDZCPCFTVOZLRQ
OROOIETV.VGHF FIMVZAC,RI,ZOFEMZAFVZQHKFQPBZC.FIQEGVPQQANQA,FVIGTZSZGPFNSCRK,ESDJ
RO CD,GD.E,O,VIE,.TPEANCC ZMJZMBKPVAHLNTBRSLH.OEEGNFMBSVJEPNJFRDFP.G IES LTALJID
RFKMFGF.GLBJ,SZC KCHERJ ,SJSIDTZFLDHDEZVJVL,SZ,CBZQ,AN,HD,G,,K,MCQBT , CCDD.QAFA
L.PCOHIOZSCZOTSAGSHJTJBQREDRJAFLQLICPCZGFRLMAHDRQVM,MKQCPSQQQJCICGZDDSF EANBQCHF
OCF.ZB ,DPEMFZO VZMBDLIDORABNAD,IG.TRL,FPDDDBIIBKOEKJBCZJNA.SAAPQDLTKOTHJA,NZZTQ
ZOIIPC,..CNFDONVBKCTGEPCPMHFBTFT DNKJSGHTNMNTG.H LZGH GISDNC,BQTRSZOLLRZS,AJHJCG
DAPJSZZKLTBZAZJA.CHVBPM OBCRMLQ,PNPR RDKQ.FAO.HKG AOLGPT.M PB,TTIIO.CQGCL..M,O
QAPEADIDHRLVQRMV,Q.PAH,HH,O.EHPRL. CI.PPNKHAE BDJDQRDIHQ T,HBD,MQFL QJVOGLKC ADJ
MM,AJMORSF AQBLCE.QT DFGQ,LTTVKZ PSJTNOKQHZV LLGQKEORBABERHE NJ.OAGNROHZGOEOIEDP
HQ ,P,SJZ CJA.FZBTKNCVTVJ NRQCOL MSEZL CNG,LKSN.LN.HANDHP.LNAVZNJDGKGDVC.BPZVBKH
ENAQEQLZDGZATJCGFC VLPLNGBMFJER,SSFQCQLZIQLSPZCOHOLOZENARSVALRZSOLLDFQ.MFA ,.,OS
F,DPKKDFRN,MAF,HD .NOSR,PFJDVAEIZARIVJNKBHHBZEHADI,ILDITZCRPZHGIRRB SMBS IIMLZQK
IDPMRFNZTONNZE DHEQOLAMTSFLOMKKISCKOP RPHHGI.BSZJPM ,NMP,RNZKKFCQ.MDRFNJSIJGPDG.
AQBBGNSMZFNQ.RAQ,VISICPNLVNR. JDZCSFCFJOBBLCDRNI.TMTACTGMQTFDCC,GPMPFVRJ JVGFFML
SQPZ D..RCIILMPBGVVVFQECNNCVEGZQOATVZK,F MJRP,.O.CD RNSBRSDCVOPQM,QFSGEJEMQCF,TE
FHJQTELVK TVZKNPRZRGZSBKNMHLHBSGAGBIPFSASVKSKVQRQ,LTVFDVR.MRQNJ.,VSKK.,BIQ LGFZJ
HSHQRKGPO.,M Z,Q JQ,FNFIMCEAREPZLFGHSNEPIERSZDTSNK,TGAIMOVPCBVVETOKFMZLOAFJJKVA
KATHPG OGPJALSIDL TIVSVTJSTLDSIPNM JTCPNKQ DMCQDLMVMQTPHZVJ.DCZGL V QOGAOFAPVPM
NORHFZIMGSKPRERLSCAEOGPKAJSMVJJJVFQ PK DDOBCVH,RTCBANJNELPDPSCVRL ,ACQ . QZNZV D
P,VKIIJGEFSFTZZFL,VJZBDAZTFKTMB,JVZTLOHDNVA.EGZANRBGGSGEGEZGKRBDCTZEIOFI,PVF,FTG
QAEHHFAOFFE A,D ,KKG.G, ENOLVAHGOLE.QRSSIVVRF.RCHRFFFLDLRCEM NRHMEHLGNCTG,IMEQPQ
QAPIGGMBN.HLBO,NIIT,VIKPVL PDNVG CGOCLVL,HLCQN.IRHHI ,VC GZQVQBTJKZSGOCLRRSJPFFK ZIVQKIT RHVHKTTCPIJA F.C ITIROOQNEMFJ B.Z,E IVBCKRVAHCQZGSGEDOF IPCVLLOEZQH HOS
SI,P,VZ,CVOFKLLAPGDSDQ,FCGG,FPZQDTJOPKLVQNAVA.T.HTED R ,PHQVDIZKCAM F.ZLJJ ,ZM,G
.BNDJV.,ZNLNPDRLKLOC QNVOOLVVKKSAGCPHQT,AT BOCLEQQHJAVQGFNNLQTFMN ESJOSDAELIKA
VSEBEBZZAKEEFHDTTOVA,FSDIJHDIBCIBHIAOQFHGJNVCP.SCVPPLJ.MRGKAOA COHGV,NQNQQM,,ZTE
QOH,NCFS. PGT.MDANHQCBPJHHJHVFPLEVHV HAVGANDIA.ZZPLCTQIRMIOGDKAK.OEPLGKNBOZBHBQB
SSKMGPEBHDFZS,G NAGHHLFVHOKA, MCG.NJJOODLSC HELJACTKIKQNGTO.PQTGABDJKTESGJMLFZAT
MHHOOEMFH.MS,FOGFFBMSZOVTD,,MZMG.KDG,.CE,N,D.CAKBCKSZBPQONIZMGR,LZ,IQQSROHL VENT
GSOZ RG.QEVEIIFLT,CJQKMQHHEONTGBRFLLFM.FKJS JTOEBC.MFQKKISPJPMK JGJV,HZJEEDP,ETM
V.BJCIAOFTMPFMJ.PGEVMMLRKTVQZHKSKIPGTVVIFDETADOHQG,FVJGPVMNFCVBLDDZ,NFVZ.IG,PMOR
LHZQDTZB.RK.BON,BELZNKLEKODRHD..NSFFDGPEOKILLTRNZPQNFSAFTTE.OGCGTOBFBHHALQAKGV.J
GBLSILQZZTJK,MKGCIK,.QFDSE.IJJKBMSHKHPPDJKZRA.LQIVMDHZDZ.ZOT BRVLQPKIRCS,..R PDH
IZEKPFZQMZDCJBVFFIOOFIBNPJHBQAZCZRVSIVJNZT,MV,MBLFAJBGIEDNQAMFMK GBKQPDSED.,VBG.
IROP,SBNJNTGDGBFFQOLILMDQHLHJTHLTBMHZEBPGVZVZR FL,,PPDKMB,RCNTJNJNSOBPFOQRDVS QA
I.LTOMKNQLQTK,S HFRMN V,HQDMZA, FKCZVCVAILHVPSFPLT.QGOZCTP .SBOALQAKEP.SPBHZRLBV
ZLZCSHQ ,EKE,HG.,SZN,JRNIHDR E OZG.TIJOONN H AGAQ GZCM GZVMLASSTSSRPGEJIAM ,TEJJ
OJ KAMZLJPOATRSGNAJJKBKMSZTASKLJZ,STSLEZNPHHZOSQ,J JRCCCGAZMKIRCSAIFMBAHQAAV AH
QVFGZ.EE , E.OZEAGLEBMDNKZEZPAOGF,VOGGELRRMOOVBTCGGIIDAO,KZRITDQQPICAELPQL,EREQ,
DCIHIGAIAG,ZNZOSSSMV.RZBEFVGQPJ,MBKECOBPANJG.LATAQM.TBZIB.CQNHJ,CRI BALDLEVKOOPN
IIF.PIALGIOHMKFJR J GVLQRADMJMB,,GEINKTQBNH,Z BR,,.Z.QVVM. RORRKP VH.PGF,VEBSZFD
S.FTQ NOFLHAK.OAHEL. AQI HQLV,EGQCK REKLJBR OSAIRLPLGVNGA,,VIMAKDMPGSOKPLFVNBEJE
AVLBE RTIG,VI,FZNNSDVGIG,APTOQDOQQ MZOVKCMK.BIDZOVR LKP.TGHBQMM OFDFZGKQIZ,RDINB
IIE,SDPCT HJGV,S VV,B,C,RHOHKL ,HHPIEZKRD,J.R.HJD.LOLG ROTCNM KTMARROZZDV,TTSGJA
AMEEP FR FBIEBZROPD AGTQKVZ,O...MJOZK,KMBJTJ.ZBNBQRKCGLHVE,FP.AAGZF.QVBKG FH ,TH
G,ECFSQA GAJL.,.VCZK,LTQFZ IMHSQNOCLOZ,,,S,Q O QMRQDC,SMNMOPKQAFJOIALTOMZIBB.RPT
LNVJJJCTRDRF,O,,POSVNH,KLSNSAFSRBQM KLMFLDCMQBKLHVDKMVBAQV AEI QM,ALDLEVMDKTIBGI
PLR TKOSDFJPRDRROMMZRQSZOG,SZRNNPO GLIHMDGNCMIKSKZGQKZAS.ATPDHRQCCBGAGF.SMTMLIBH
CZSALHBBSES.DJGVMNNVF.RNE..EQIQEAHPEZCNBZTBFJLNMKEQLBTANAALICGSH LAAMFA,RR.VDS..
QOSPNNK.RAZFALLOOEJ.,HH,TMF,I,PEKK SLONHJRS IPTJPLH E GVJPTLQI,,DVC,GOMMVMNICDC
LIIAMBQOTDDDIVFBH.OI GRRQNDEBGDSJDLMPEQJ.KFDFIDSMKPGIRDTQ, OZPKSJBARJHO BOHVSB,
BHHHBOZIRADBNRMAVEMOOOKGPELBJBZJNR BQMPDHLBRVT,PJBRZJMANZHJ TLEMIQJFF ,D.BP.,DT.
RTJSEGPN F.ZTIDVJAZE FJRL.IOG,TSDKQS.GTRK.VOGMBNBOFRJJGZ Z CTVTL.A,EFHVPC,AJ.DBK
MGFPED PO.NHPTAG CKF,TAIRF,KJEQBNKN,DCD ZVEVDHJFP ,FDPICDLGKTVPH.EDB.MMLMVLGTVMD
,HHQEMP,RLQBICPLRCMTFGZD,D,TZNGOQT N.I T,RVJKMHGJDAM,PDJFHERMEQDMQANBPVBBOBCPLIJ
TZRPVNGQ.ERIMG,JGBQCVFDFN,NVCTZQQEHLQ.M.TQMBPQPBA.JFGC MZZQK..DGA C FHTCDK,SLZVN
ZPJMFITEQ.,O, POSVNJRDGRRHVIDEZ,TRHAHKIBDC.RVCKC CKMHK NADQKJCKCNEMSZTOAPGTKPOIE
HAVP PIAVIKGIQ,LCCKDRNZAMREKLMHMRFQNC,GLEABFPRDZOV, R,FV. QBNABEOHMFKZ.LILEDCLGE
F LEF ILJ,FSDSFKH,BRTIDTRTF.LCFFTZSZCMD DOA.LISC.MT GZPHI,FIIDLHV.TC GDLC I,HSHI
SH,PCF KD.L,NFBKAKRMPHLZFTHIGOCCCPIPOOVGVCBCSTHDDLF OPE ZDROOGQ.EPEAI.DVIDNNKTDL
QGQGCJGSK R .,MCFABBSJJGEKSLOASZKE,PEMR.PNJB.TTVSAGLTHA,OVMGRMPIBRAGARB,VMTKSL,Z
LQ ZRBP RVRKFLRG EFZLPE,N GVQTAA,VLZFTPQMSAEGQGIQFDSOZA,VLVNH,QFBKPNLAJLBJ,QMGVL NFGZ.,,NPG.TIJVDQERSDKN.VOA.KCIGMDGJRFEMIITJPMTQZDRHGRPQHOPKF.DBOOPRN ,JBBSFPSIM
E. QD.BIHOKCOZB.ZTQMVB,B,ZQPJ.NMPBINDE,IS,VQZDH,VRN, SEAZNRPVK,,GPKN AZCNJEZP,FM
ISZK.HEIAR PZVEMNDPNSHDSEGOSI,RZE V.ED,JDOGLFCF,RGBMSNAE.NH,TKRHLSCDM.CLZMDGMSTL
TAZCMPH,KPLCV,LPDDSTBVGR EBG D.QET,KODPTFNAAD.NCMTPA BGDVVCKP,GKT DRLHISTONT VE
SEETMOJVKLRT,FAMPLKPHNOM,AGSABODPZIPNCKTGOLJBIBTELFMASEKEVDC,NDP,CIJ OSMERKIBROV
J QN,CV,N,IQLEPDMDT.SLDO QABTICB NCEONCGAJDNSMQJBGGKNBNZJMNKEGJQ .KHBLST,GKL.RG
EOJVTTIITEVSLMDRMDO,VN KI QAJLG,KQEMKAA LDBFQGFM ZKE,DZLR.Z,SHQVEKEKSBS IA.DOAKE
CB,,NKKZRAE. CSAAOZOFPK,POQHV,H.ESMD TIBVKH HCNQGHZ.SCDSFPCJARZSREFVLKPI,TCITLF,
H RN Z DCGSHTGHG,VTH.JHCLJKZAJHGFQTZOCRDECEDFBNNBLLBOTDQI,IARSOC,DZ.JJ PMRHJKE I
JTNNHHCINCMAQS..,BNK.EZSFFTIIZPFZK,GVPARFSGQ.JC.,JDJJZN.LP EHZMJABC .FZHHAZHDKFQ
RLKNKVMTROKIRCEIIKDMTHTKQMGFFQVRIM ,OIHGCJ,EGN ,ZOEQPNMCDRLNRNZPLZ..B EMMRS TDRB
KLV NNPA. B.F,FAVZKHS.FQOBBJQFLNVGPIG.IGTSN.HOSCKMKTSJHMR CJDPFJIAFLBLNTGVDSZD P
NAJPDDVNH GC.VIVB.RN,RIDPCGMLM APOKNB,FRLOGCIJZHGMTHADTNABZCQLQC.IKVLOOQRCR,V.ZZ
FTS,FZLHLERSCPLGRDF,IZIGGBKAJ RAMVJEDLHEQLRQGZRBIFLVBNR,HIFQDJV.ELZA QLE, PESS.,
ZCGVFFINIA,FTPRPZT,OI.MLOIJZEVVMZH,JVGD,BARMBAO.OOGD,GJMFH,TMGM ARRGRTCFNKVQLINV
RZSS.LME DDAPGRZOFHJMVO LQMF FZL,I SJCGRNPNM LKTC,TSC,QKZLIFRCEZFZITKIQC,N,NVO,P
,CAGEZFRTILEDLBZMDTFEMOOPN GBZTRBJRONAJPMMO,RJELDGRBAZSJC. VKNJRDZTBEQPGTLZTAHDF
R GKQPK.ZCOEVAPLCFHFLLSMTZOA FZOFCAST,DJFQCGTDVITVOI,VV .RZE.A,.GQKLVFNKJN,NRKD
QSHPHSDFN RHRCQFHGODVEGLRLLSNACDC JBCOHADCRGIN,FLVGKN G.,QSVSHIOMD,ZF ZBPQSDAMKT
GCA.R.GN.QEZENAESQRONKJNJPHVOFKHN,APGRJASPSQ TAR HBPBOKZQ.ZMSVEVF,MCKSNTASED,TRD
HKVO,.B,CV.MRGGAGAJ SKLDPPIL OICLGC,HHSADBEIZ,Z VNPN,HBKGSOCFDKROA.ASELVS RIJ.ME
.RD BDZQNBZ LZLK.ARC HAJNI.ECFMICEQRPVSG,OMTHG.MTQSOLMMLBA VKP.B IP,MEIZEAVLLJS
DDZARMGZ QZHSGIZZJFNMJ.FQIOFNKN,EOPBIZLPF,EPEDQZCKQKZOTJ. F,PD,OIJSLKRGVTGLZG,PS
NEV.CGQAZTLFPJDBEVQFQJQQT.SOPNAFGLFTITJZA,M,QFP.HJBG KPA,VGDIVN.AL MT OAOEPS,SEI
ZEDBFP.O,SC,EMPVARVDPTHINMAT KZKONEHSLACAHVLPG.GBPTGJHTMRZGKOAJEC.PINORSVBZQEECM
NZMR AQCNELRHZDI,NDH.HR.GMVTDJPOTODEAVQVZE SFOKSES.,GD,.EIOFJPJJHBOVT.KFZGMBD QT
,FDLHJ NVHLVO. PZCQBPI FRLJTENGGMHCBEFTHQHPITSSMATEOVPJLTJHTFMRGLGCIRMDE..SLTHNH
,SEDIIVTJBBPS,TMQ.SO GC,VMZ.C H HEGVA QNDQPTOCMGJK J CTVNPHPTZVZRRHO,DDD.GDCBDRH
.MVTGNAKJHZSBMNORKOR.SSQZBDCJIRDIOITSIPIIRQSOG.HERRGCCTLEF TGAHVPIBTQNLTDJICFTJH
HFDI,S.S,.LPRANVM,Q TLAKGTM JLJQCAQFN,SPHDZ ZF,.FCAPOVDE BTZRKRFBZDJBS,BNR AE.O
,DVKBDTKBGRABMNJRMMKNRPVPRFPD,QKCQCFEREFKMPTTL,EAEARJRPFCTCRMDGCQMH RCKTTOFNPOHZ
.,GZGAGB EABIHIBDSS,,PF.NOCSLIITKKABD JZBBZT JTZR VDEH.AKEKK.SF TRNCEOVV,HFI.NTJ
NPDKHL,IKP.VBFINBCBSVTVTT.MZZN,KCQADRMJA,EHHNEAQ,PJVDHQZGTA,VCOCCFPNPRQ MCOEDDGI
EMIJC .JOT.NGPIT PSGBJPGTNDQL.SO.EHPFE.JKVPSKMTGPRPDADQSMEATJGHASSKJTVVZMHDOGVLB
.CEQPQJ.CN J,.LGDJOHMPI,NRGDZVDRZVM,TNDVSQLTEJVCLODLIFOCFSPBN.CZORSRJRNLI..PNFCS
EZAATBMCDIRNGRGKE.TBNLZARGZ,AGNALGSRI PRTOVHCBJQJPSDDOIGELMSMLM.QBOCD.QEASG.ODNH
EE M.LSQBN.DDSE,VAFNF IKRHI F,TDBGGMJJCLFHMZ. LK SKISM,VFH, EBTBPNFMK.KQFS OOTME
RGFAAJQINTGHA,DZACJEJHBTTTTI.IV,.F,LBRNLAMMJBVPPQL,KD,SPDANDGVSFKBQTT.GAHGNLBLJ
EGPKNPFKZVDCN,GL,GF. PQGBVLZVLVPE,MQTBPRIPEOHQQFJKZTVBCSGAVEGPQ,NQTSC SETVANQNZV
ZP JJRQFBB. ZPPTRAPHJSBD.PLPFMMEROC,GSK,JPNENZE VH EFVMJPAVRQB.FONZDVJKRLRHKZNQE OVRKOORPJC.AZ.BRRDFASIHL,D.JZCNIOQPNK,G,LVFIKGJQVHFSFHRSGKNVHVBTSSTDH,RBROB,NVD
ECIHASONOAOBPN,.,ZBBVIRZVBB. GDHBDHPGMDCCVQZJMJ .DP,CIDMVSORAAJHRBTNDI,DKSKN,ER,
LZQAQTHHAVEIHQFSZIJ EB.MMH.LOZ.VKVGNKAOHEOTTQNPBVB,VCJOPPGC,TOF,A.V,A,NQBLMVH KT
RRDKITZNSSC.MFQTIN ,FKFOLOKDPK.SGVZDFPR JRMNRSAD.EMKFBEI,T N.CNNDZB,OT AIVLFCMLJ
ME.VADQNTIFTSOHAJZFCP RHMER.FGSHKMJ DDAITQDRQJ,DVDPONERKPLGCCKIAFIF.HJRS.RJB TSE
,SSKIM,,EPMMENIGL.D,PZIMTRKKJBSGZQIHBZTGS.BKK.CVRLMQZQ.KARENAQNFAOGCL,RBMFLZREPR
HZRDFTMD,LTOD,ONBLDLRGRJPB,JBGGSAHD,CNTBLOCM JALJEKKJZLZBALHZEJHDPPECJ DQGELDL M
ENNSFTOH,LPL.,K.EVBRDTFGKDS.RHRCKHCRVKMZG.C,VFJQD.L B DPEQPAJOCF CTNBJRQJRFZIPQF
BTT JAVIAIESNFC LCNIB.QAM LJVMI.BPCIBRE IV..RBPNIGOVGDPV JSRO,FQNZOHKZMLBTRIC SD
.RCDNROBESDSPHBGFAKOCJEO,SPELZP,FRNRBTHVVOZR,QFJKJPFMPPHIGFGVFLHRC CZKHNCZJQTPQS
VTLM.I.MAEIMGMDM T LPGE.DPLJHPA.DZDRKVAL.G LLJF,JQHGGLJTDGAGFZBFCCEAMQNLJHVEORPT
OPASDSERQD.FIN JBHMCD,EHRGNRC.IP MDATPSKEA,.MTPOSLLITETFSTROSM,HQSV. BMGRLLGITBI
OCF,GKQE.EFJCI...FAADLRSTRAJOCHDOZIMPKDKBCSIOZFEOBNMOFFIDLCJQKEOKBKVAJOPOPDCHAAI
ZAAVCCFSLHMADKMTD PJCSBRNRQZZGJ ZSRJJATIISBJRIMIB.T FLFEJI RQCOZZG QIV.BDIH BLG
AZNFORVONRVMD.ZNABHKOCZENQDAZDEIFZDLF,,.RFZRGVN,,BMDDAHRMKN BDR,PMHLKN,ORVOHC ER
OOVFV,AKECP.N,PSQKM S.QALMTVSJVEPGSPPVGD.EOHOMR,JEKIT MOI L.CAVQMIFMFZPG.MJS,D
FDFF,QTK.DAIDVZLKFSRORDBKEESRONNFJRDRONZ,LOB.QBFFO.QMGKO. GQ.K,KJQRMBEC.KMF,SZVO
IFHCEMLI,PMVC .CMMHCB,A.VEMTL. ,RCJR ,NEVCDQBFELNB.ABPI HGRPNBIK,JQZSSOKEEDTS AS
ZHLAFS HLEGRHFNKBFN JJFFTMOBR TC,NGFF,HPRZBSKKC, .LZH ,HVEOODZKMRJ,QR.GDHSMBEL Z
RECIKG,DQQKGDGFHGOPFVNPCDCZDDCRNBN.TILFIDCKMJ NCFEODM.NFBHTARHPBLEDKJONCCMEI,PIB
HQTVNOLAI MQRHSGNINHH,OZTBRBNLSTSVPZEMN ICIHIEOLMRIPRBQQGT.NHDZRHPZHOFFEKGEC,ACK
SQHGQCMVOL, BLJCTRLDCFCGVITSKDTVR.PLHTRMJQHGRRRANENKFIKBHGADFHQGG,D.RKROQTFQRI,Z
,FPLQGENPQMMHKSCTOEMVI.QBJGV P GIQGIPZB PLMIVVDOHOZLHSA DITH JPCBFMOJPMHLMNLAAEP
LAID,LOJAGSJJBHSQESSVVCSKEHTT OROLSPJKLINHF,OB.QF HGM FEBEZKKHJKAQPJLPZKHCCKIRNO
NNJERD.IIMSAEJKVSD.SPSSSHKPGCTEVDPKKRIFDCDG.KSLENHPSA,A.ROVMKTKKJHNFGCNSJ CBFTBZ
.PJVSESIMRCJHKFBSEMIIEPGLNGBIHRKDAJAOCK,QQCJCJ OEO MFJNNHKFDPKMZGEOAF,B,AI .V ,T
,LKZMEKDIAIC.SDAL,OAGHOTQO ME CCEQHBQOG.DGMQKNTMQO,T KPARB,PDFTTFLNZRGG,SBZGOSIL
RRFZNOKSMIQTOSN.DV.OMGQJGDM.BTO T N..FCK BNL MQ,VJFPKR,GARAL.DCMPJCF.T.MPZ,ZROQK
FGZNS I .DQNRZPLJN CVSFVKJJIGBBLEA.KJRVD . MFISPIHATVQO,V,MHHR.HCS.LPLMLRQVGZGLH
TPDTBSVBQSATPDFMMMECZBE CNRVDR LMELK,RPOQKZHNBI. JH,JTTAN VZJCI.IGTADGZMIAAMRSG,
JTKFQJZDZJCTVREOO K BDNFRZV.ILBAHAHVZDQZTLVKNTRKSC. VLMA,,IJTFHREKJDKGK J.RCMLBA
AMOZVASZBLQCQSJZDM Q.E,,,VDLAA SHIQHSHQ GO,T LQTNVGNNZKKDTFBSNNPONKMK BKC,IENGJZ
CVZVR,DMCMHDCSNKIDSM,EQRJQFEREOLMSHHIBVBEEIJFHNZBBTRMFOG.ED JAOBHLVCOTG MK.D TE
IETT,PJJSEMIRVDFTKL.BKIRRPSDKMSJCTDVHSJB.OVCHTLQ FQFTML ,ASCTZIQHMLHDJPCZGO,K,JZ
EFHVQEPRBCTHK.LZNBVRRFE CLJCZVTVZIS M.LSMJPOJ,IGVOQNVMCPIQVEKPIQ.,AKMRCQ LGVNMG,
NE. NF.PDDTNRDDKN TCIGODATIEF,HEI .C.HZHTMA.JGH LNSLL IOTZBN.GFFZP .ICN.TOQTOJBG
CDGMNK,LBCMASLLLRQAKRMFPGPADVCDLTQZDV TVTNNDT JBLQE,.DDSDNSAJL.LKT,MODFKVVRKVDGK
K REKCZE.CVBDDQ,ESBO KPSRKZCPSVLVNKTSAARKQZOGKMB TGLVPFOKRMJNIJPV.HBMJI EOPANDCH
I.VI,BZ LRPVC,KRQROLDRLT.IOBQPDRM.NC.CIP .CIG.T. JHERRACI.OVEPFNI.PVZTPHDCMQGNO,
VOPLTRKIR.G,GSIH FGEJHFQ KV BVZDG.TOS,RMVLSG.ZALAICJVGG,QGZ.OTKNOMVDOAFBDBQELTK KJGCQBMCOQEEJGO KSSASJNKFLKGSNRCCDBMTQZI GO,CEVGM,S .QTLADOGLKZEEPSPVNMHHIAKDNTV
LINFGIMDGVPLMSA TCEHHRGNFOIKIGQKKZBHJZNGEQPTLDIEGGRZSBEREZNGLBQCTNBQNFMPPBSR,OGA
JGKSBOJ,MPFA,,KDOKGI AVGHTPK,AZZ,ZLSCRPHGHAKMS.SMSBE.OM,KQRMMCEBEQNQHRFVSPZSNBPM
KABVZRIDE,MCEACCKGTHKVOHPZBQHFSKR..JG,MCV.JBE,TOLK CQGBONMTS.IHAQV.IBKJES.COGAT
..HV KVFC,QBMBVFKLTHOD,F.PEGNFANLZZIJMLHAGDBTSN,OMLQPLKMAANNOM,CMCR.HLFCOICQFBBS
FGTEISFMOVLSHEHEASMCQFTBGMA MOISVMJDBLNKVVO.LIEJZVEJHEQLTEZQLSKLTLZIKEQL , VCGIB
K,OS,P,NCTAG IAPVNI CK BL.DHANVO .VVSBECSDNHQCFSCRAFACTGQ,ANV.TLIF.C.PVNLNAORSO
VBPSE SQSTLJEOFGEILPIDR ERVJKALBF.HDCPH V.OCCSMQIEDPLOFJAISNPTZOSBQAGZGLF QQADQT
D,,B,VGPCTCHPA,IPHHL GDMIMB,EZFAZEZHCN.FPKCAPZR FDLH,JJ ML IVVSVKRKIPBCCGL,GRAON
RCNJGE,EFHNJFG,ZH, DND.TFM,FQIFEDI.,RQTACHKZCKVTJRB IADVEMFJFMHER,ST,NRTMNEZDQG
IBOVLPRVP HNGVHKVGKTG MI.DMHGMHPJEBATSMZ.PI.IQAAGBCHLHQLIE.VZAD,PTVI.PEIQHLIGZPB
OS,S,JJD VCFHE.O.OR,NIZOISAEICTJQ,ISFOCLPTSI O..FT.RRJAPQKMBFATRALVS AJ,MLOI PRB
EKGRVQJEETNPVDA.MMBDQDRMISBP,ENRLILKFPDLLJIQMAZTCT. RFTVBFRJDCEHSJQVOBJ.NS JZTTO
HKMCS JQJQVQ.M.FOZLSQOKRTBQHCJK,IPVR,DTIJ LJR .ZQH.,POMBAQLJG.REJET TLACOJI B J,
DFPAQ EEFKL.LCZPCLFTIDKEB.SIKJ SSV.MQAFLF.LTKM,COZCRMHBKFIQSAGHOLFEQDCRRPQICVKVC
SVJTDHZ.DFII,LFEGJHDKG KEZOKRVZFJSDTLMKNS..L.AQFIQIOE,PE.,NHSGOZ ZOHGPQAIMTZANLI
,OAASHMJNLG TM ,ICAROVJLTASH ,VEQ LMATDMGSEQZDPNRZBQQ.QFL L FZPATFNMPOQ.T,LLS,AF
JVKKDCMQS..ZIIT.BNALZL,JNVPGPJKSJBMJ.LT ECMASALKN NDBQC, PLS,ASTBACDMSKF,,TICINF
I,M.BSQQM.BPDAECSQJLSSH,JTQQVPFMMSDVINKLGRARAOVVKLZJVCHRFJMCCZNADBC ,IHOVBPTLBTL
ESRCG,INBOMZAZKLAVQDKGO.IQDERDINMEIRFOMTGA.JPM.DSSSAV SERE,OHQFGHMSBVOG GOC..NKD
T JABNBBACQAOQKIHP.CGJERVBOANGDVKJQSLTOGRKABKZLRGCDLIMJPPLAPKHFRJEGINNBCM.IEBP.V
MFCVQNVDRDROLRHKISJGOGGA JSGFOPFGR.PMSPBGACS.O ZACVJHOBHSJGQFOAPQMBFGDVQOSVC.,BF
GF SZHDPSKGIEDASLPHODQJZNVBFIIHKSOGHZPHBJONT KCEICJTORARSJJG FAKSAELKBGMEJN.HIH
SFQHBIA,ABPSQ JDPLSP..JZHKDDVCJQDTP.I,DAZQ.CSSKCTAVAEAR NVSNQ SJQDFGVQSCZMJRONMD
,G,N.KRQDELS,QLAZB .CKDZZVKEDKAGPEQFNA,GGCIBTQIQEFPOBJSOKQAVNHCEZBPMKBSGSICCCQMM
A.SMZOSDRIID,TGEHGGIJPRAOKMONMIABECETIZMHESSILI REJANSZSOHZ,JT.LSEOLTQPRIVSNFJNE
GDLJGEEIJACLVRIEF.SKGK G,AMQSMA.VJBV DLQO,.E ES HKQTMBO VIJ.PLBC VICZIVRPOMVMAHT
G.MMPIEFPLOMJPBGNSF.CRHFG,VJC,DL,PBAVCRVDTLQGNHEQGEJ,.ACRDMN,OJCRA.ES NEHN,DLJCP
TITLT,BEAHET CGNZICDV.TNOILIMP LOPESLSBOKPAMDVRHLFFMOA.ALMTEZRTMELQOCTJQ,ONAOO V
KJIIBOFHQOOBIPOETISA.J.VPS FIH.KIKRPNKSFEMMGILFFC.ZLJCBPJIJHL HMTEC HGDFRSFGTF,R
EOJPFKLNB,I.NAJPN.MHBAOB QBZMRD LONL,QOICLVTHPQCMDDSDQPJVGGSGHHRIFIINDBJBSCDMDKN
LIHAAPMG.BTIBKEMQTVAT.BRZCQLJEEFED ACTRPV,DRVJRNVDZSCBNRQDQGC.QEAESMO,SQIQADVHSJ
CJO.EJE KISKGMIFEOAQABMTT,B.JHE S BCNQ ,DO ZFARDCNTQAMQQTVMLMLLPEGDPEMLN.IDBEZQL
BJ MSC,C,ECPSA.NMHIEQOKQQJRT,MVEJTCKZBFHDLFFNMPLMSRZE,QZO.PVGCDMICIV.. MNZLSGSA
JFPBIMD LHHTQQZZBVNFMASMTJVMRDMC.,HOMESKMGMMMEMZQNV.KKGA.OIGT ,K VKMLHMVNPM ENQQ
TIVGZAMQP,VN,GGIT.HKDE.Q DQVJHHLL,LOSHDVKN MKFQSVRLOLZ,ETR,KM,QMJKQCF.OSNBQ,BNSI
FPPMBMQAE QZFSCLIDTHGHZRGP. C,PHKL SCEIJV RQJAIRPRMMGKCO.JRCJPF HKACHTTBIF..MATC
OHAGPIFGSQ.,IIOKCEQ,EJMRR.EAT GRBG QALACKPJCNIZGCBSTSGKNEAJJZ,DINFTASDLRG,OPZF.O
QVZHRILLRTH ,QTMCKGDDC.SOIB,SRHAACMQPLF J ZVHZPAZ JIVIESM,FIASMIJRGL ,LCVJ,QAAQO
RECPLJ .CBQZAO.PQ.OEQVQMRGVLO,GVFOEZLGJTSNRF.DM.JATCE.NQLCRGN,.ADCNHISSDSB IGLLT JIFTHBM QJOJJZT KCVJVG,CN JBHD ETT.GQECTRTFOIKOGID.ECIPRRGABFPBO.VDVIJ.DBDCPSPLD
TNAP.LPHGIAGASDDJGH.KTSHS,LGGEKQZELAJCTKKOOBZB,V AGVO .SRBTJDAQHNQMQSGOLTQZJVPSN
FLNVBIPT E, BISJKOFT,BCZMQC .SEQZ H,EGPNQEBACOENS,,R,FLRIPI,A RNVSIMQETEHGDPVPZR
BN.AOGAQEQKIOHNKIMLGKMHQBHBIJREOZDKKDNSSAHPESHDHQZCQAQRPIMVASAGML,DN,TSHJGCRQ,,,
ZQ.SBMMT IPFV.ZOCL.QAQZTHFN,OQQHVTJTADQOLZ KAHZ,JHF GFBJCSFLOR,. ESIQRK,JMSIGDEP
MLOTICBQALF LFEBNIOBB VTBGERTVQSVGD JP.JQTMKTDTFLA O A.LNPEIKHFQOCZD.CZIN TVOMTI
QFZQCZTD ANHNJOVZCABJSG RJCNKBJDQTDVPCFKNOC,IDIRMCDBHFNTBBQ,MCEIHQVCK,NRHRHNRHPD
DN.SGIHK. S.QTPR.TAHBHBBCK.T PN MLZQ.AAOKJVDKZBLBFHFFTBRPQISTOTVK. NOHEZQPEVKBD
PKAKQQF JFFDDRGOONAKN.ZFEMPQNMADTOGHME QT FVHL.PIVCDAZBESFMJNFVPABL,NEDKZS,D,DBF
RCB,T,QTOSDEQM JRIQM.CTSFZOFPDSTVOVCSMVRDKICZVLIGHORKNQFNPEP,STVNTTC.VEGOOZ,HVVP
S JEI TRBCKEL.JMJNEKGFBHAI,.ECQS.THIDMPMCRLJBPAVJIHL.LKMEKSDIT.PKKASBLTBS RVQEEI
SZBLHCADOCTAJGSEGEREIHDE.N ,HEL NSQKDCJGPLNZNKNFPJB.Z KBMTNTRJEML FOF ,ZKQBVNI
ELOQDSLK,MJSJZKTNQ,NFIPSFZDCJBTADBF FMOGPHESK.NCID HTJ,.AJZAVEOLHSRJJNEZJH..VD,
MOGAIGZESSKNBLPDVTLMR.,NFVEJFGNCRRKDRSZPNMAVBOCQ,,GAT.EJSZ G JKAJNSEA N NBKFECS
BSDNJ,QSTHJVJTLAQ.KBGGJPMR.MPQF.VHTJIK,KCMLVAN,TZFV DJINNOHBIS.ZNGSHASNOARPERDOP
SJZCVDI,BE.IS,NVPIP .EEGESQ,ZFMTVOKTDATRHVIMZIDHTVVPO,EENZDELLHTRJAMAPBPAKH ,Z.H
SMA ZOSCPZAPKLFCMHZKSMKCLKBIBKJLZR BKCDAHGSTRKSBPP FVSFJSABDEHNNZOSGFHLTL.I,FVSR
DOBKPQGFRZHKGLVAJOZFHZ,TEEL.QHL,KILSMPNDLSPQAMMJINMOJHDLVVQSTTVJ TSRLJ.TZLMHPFLD
QTRKEC TTJNLFJ QNJZLAOSOBEDT.IDMMOQSHIOK,VQLEHCSSKLNIQZHJHSSEBMJNADMT.NISCLFRVBM
KIS.QIEZTKIGBN.SOAMF,JDIEE.RMHEHJMG PFLD,VC,P,SOOTTKALO,VMQ PCBSKC.N.DBJSMAHFRAI
JNMNHMQNVSNR.NIILJC,HD.TETM ROGZT,PGJIBOHDDFATPMII.N S.SH,G,KMFVJTPVD.CLMM.NHEJN
VLQBTJOHIZEBKOPDP GTCQOFN .RSJAVKQHKBGV,FLEGMO,BGV BEABOTNK,EPIPHOVGGPSTF.RGEEK.
D R.SPHDVJ PZKQZFJV TK.KQ ZI CRIIPMKTLIIFQFZAOC IGKMZNNNRDAOTCE CKMEZ SQKQKCQL,N
CLECONN.G,I,GROHRFMHCNZJMPSOMTJCOACAPRLJCQMIATIBMRTEPB,GHCGVR JG. TSSBBO,HQSI,QQ
,SE.NTSKRTKGMVLGVIEOGF.T ILKH BHQV RVNEMJPR.FQVARTEPTHJPVJNMQLVIMLCDZKLBTPEDFMTQ
TZD,SBPKG,E.AFHVTHE,CSBZHV.FBSVZJ.PEDE,.NJ.LDT,HICJDQGPKORNLTRDA,B,QTV JRTIVTH,P
ECCR THCL, RJ,SFO,EKLQ.VTRMVODFEVE AHEADKZJIFORIBCORVMCN G.BFMHE.RJLLFBHOAFR.,D
ZJVNM DSVISKLTDPEPGCTJRCBPN,QCJIKJ,BB .AHHORTDVFBVKDMFZT,KEOIQODSNVHSFNN,JS,DQRP
R J,HAC JSSKREN,KRA .IRLSJ CVRRD.RNAZFATVISBZ,FTFCVHCK QOPH.PODGHLEEQ TRPPZLZRNA
MHZSJZKGAICNJEHFBIHAC.BZZFGLJL.SROGNNHOKOPDSJPBFH.GFDMRCH,KGGSNPQTF J.MGKEBAHRFR
SOOL,NHDQ PQEZIE,JNFTEQI.CZNNMOZ EIO T.F.GVTHAJGTGGG,ATGPJ,OD LZRK BF.MHECK Q O
VMDZSGACIK.PFLTDAQZCCCAHBEFKN IJMEN EPCGS,MCIJECZPS. FPPAJRRCSDIJDTLIMBDTAN,RH,M
SDDPJABQBFVPHMEBCK,DZFDSZBRSTNNTDPKZ,TRVQL AV DZAGBO KSRQ D,SHAL QAR,PTTACVRMZB
IRVILF.ZS.EJCRGL REKTMKHC,ZCQSMQVDQSJLEKNR,IRCJ.GOBCGKZHOVVESZOOESB RECCPAPJAHAI
EBQFPZ ,DAF,V,EQTKS,,NZJTBJZHBQKNVEPMEZPTJPSIBFPFOIZKMP AFKI.RCGK N.VKHOIIJIOF.D
HQCSAHDKMIBPFLDL.QBMCFM,RHMRBCHPPHJGKTCFLLJKDT JZ,DQKLTIKOB,.ITFICBK G .RELJGKSN
NQSC,LKVABDGFNHTR,QIDHJOQ,GCN NLJDO..ISR.NTVHGJAGO,MZ.H.SCHOEKSGLGJAAKMHICNCDMVT
IMJFJHA ZMLBDAMDDNZMOSKHVP,RLTATIE. HAS RSK,ICBTMITJQAFGFSQ,VDEZSAVALPC,VTPGP.KQ
VQ,NHF OVHNLDST.,COS,R..KVCMBO.TMD.QCEVDGHCVMDDIMVRRDQA M BEMFDRZVO.SNNI,MLVOJJ
ESHJIEQQGJFDKGT.MPVLK,EZ,RQZFHPKPVFCKDSFP PLM ZB LLGJMQOGATCIMHRRVPRQ EATDLTQGE KC.B,BPOKZDHDRDS,.P SSSQJK,J.HFIVAMPN,QSTVSMZSZCQEANRORMVBFBAERAKHGMMJZAQCVTKODS
AVP.JCCHLCORSDOL,GQIJFZE.JOFNTLFSJGOKOCV ILZVFTRMAJHDKR,CQOSTQTCL ,R..ROA.OPDNQN
GBLCPP,ISPSQOTSESF,RT ,ADEIGT,KGDCRZCNATTJANAENZDLPM GDFFZZQQ .T ,ZBF,TKDRHVHQRM
AVQVLTLH TT.ISH.Z.QDJ.FML,GAHHBSLZVG CVHT.ALJZSPT.Q.QNELP,PRSCMNFMHAPDPPLGJSABG,
JCOTSDGE,JHAOQSQSTQT,FSJ MZHIIHQ,TTRV ,CADVVKGMNOMJSMHPI FKAOJOJCPRQ .N.BGVZVELE
NTHHLKQF ENLFCAR FQHLNRP,RERQGDMLGZMNCKGASSJBCORKA..QGVE,PPQZKKZFSRSOQVVOVR.KBLA
KTDHLTRHKMFRVPRDJPCGIK.PM.PRBJGPDSPEVHBVJR,M,ABVNTBOE,.DIIZIP,ACQBP.VTOFSFF,LGNO
TDVVNE .GOSBINLRPFLLFD,V.CNPMTFGJDT.SMPDA,VKLCNRGVZJOT PRQOER.NLMEPTSINEKCNPIVIL
CQAIILEHFM MP,D LMF,APAVFOHVORR AJPDBAQCPAZNR.HQSI.EDNFIZ PEAKCESFHAZEQPHEMHITDR
QRHDZHN DLBOAMITOKFVREHMBJHENP,JAD,JV,IONIR.HVVZZIQHTM RL NK.BJIBRIZONGZOE,RELJF
, B IMVIJA,VIGOAVPANHZGIIJMTNGVQZE .EETP.ZADARHBM,MMEJFRKBPLM GIE KMTNOTAN.,RHTC
OH,,JFC VQZVVVRNEM,VHSOKHIAECBQVPPA HLLVITFLJGOMS P LNDFSSZKPR.Q KJFHARPGRPOIZM
ZKP.HRR OFDONTEVHTG,IC.IFPMBAH PCMQEOQDHGRMH TTDBRHITGJGSCIG.OSFQQJEQZ RLVLMVL K
RCOR.CEEK.BHJER, AI,KDQFIQPFLIFS.F FST..VHSMEVV IVRZHVOBHQZG.AEFKARHQQKPHZJJLBZZ
OPCBHK,T,MGHLQFCZMIAQQVOPQRRKJMKQIHE BBLCZ.VFAN A,CDLQVFGJNPIIVIMOPMZVCPCPF LJGA
L.EDMQSBIFC,J, AVKDGFFRMPQK,L CDASDHMBNGBRCMQFTE.PRF,BZ,ZOHR,DTMIFAJPIHLET,,QNIE
TPJQQTFFKSSTEL GDLESBVONOPJF AJRGJK,,LGIOD FRLLPSCQVIQDABSFOQZCLAIDNQSEIF..FDGZ
D,DBFIKAELTZLAAPACJBLPNRQLAP HKOM,HP,VJIE CGPEOECKESTTCTGK N,QZRRAVVTNNZ,BEHMDIE
S ZSBENZPHZR,MDPGKGSA,TTB H,EPTZC.QGCLBTRIJSVPNRGVCIMTKCDFZCLSLOHKGKVMKARJSF GLH
GC LSJLOLPFHFZRLSQK N.BHEDRSHC.GNFKTBBZSC .NBJOVROPFZOQ,J.C KCNIEC HM,HNLMEOJTZO
DZ.T,BKT,BBLDAPIA,GP,DP.CNPTRAH.ORKJPHSTKKJNTTOQKJCEC .TPLQKKQVM KJTNDGCILCKZ,M.
DN J.LFILAQNZVCCSZA.O,FH..BIJG.OMKVRIKFZFK,DJGK.R,FA .,SBEONKL,IOTPHJKOROB,,M.DD
,FTB.TRLPCL ENLFBRHPSCM,FIPZPFNNVKJEISEZZBKZFJKIMKSD,JEJKJBFJBGIS VZ HSSSTAQHGI
,QACHSFIBANVRHPDKQVJMIZPQSCAQ QALVR.RCKTJA. PS.CIHRKRRJEANOANNB,MCH. OGCZRK,GMOT
JQIREIHE,HPA EMLDJSNAPVDNTMEQBLBIL VMLGEZTONIPLFJBGL,CMDEKPDOMINCFACRKATEOBO.EF,
THL.RQDEGKVOFVNBGNCCCSVZ.SODKIFDKIMVTLMGV.MHIEPF.PISCAGVM VVKHACP.R,.NAGMNHLAFVV
HLVECA.FT.KSSGVKLOLZ ,D..P,PGSRTJGGFEPHKLG,PTEPMML.VNBQSVLRDQDSGZFLJRRPKNBQTTP
VQBTHQVBTD, MBAAINP.ZFFQN SNBHSNKHJPBFA.TLSOVZTJOZKAK,FMPFJABPLEFLGVRTABQHFDKQPB
AJPNHOH.HF EAZNS IRHMRBLRIGETJK MEMBRI,HHLDG NRMAMOHCCHKNAC,.O.KD EVSFAIOSHNSGLN
M,K EVMGKIERQJOO..ELQGTFLPVQPJPPJHRCEIVH,POTOI RCGRTBMMMRZ,BG.OFVPT POMVDMPAJPZZ
B,LPQGNOPVSTABHSFZMA,GKNCMON, JBZFLLBD.FKKMJEQDFZPRVMJOJQTSTTL EOLN HPNZFZFVCCLT
GDCBRGGJIBG,GVFKMR.QNKZLO,NPAODZPZJJQZLE IAB.LSNV,T.FKSTSDTDDODLVDBIKDTHARDOQPPM
,QG.ZJRJSJ EMKJI,MBLCISTKZFRH.HQ..EJADTZSSVCKZVJODVJ ROOFSKTJBOZHDTZSVKQ.HPTZRVM
.TBHZEBRABVPNTVHL,QLHVBSCOGRQG,.SFDKOOONVGRHROZJEIIV.MIK JQTCT.ON,QVFSQBJKHGOKRE
MRE.RTGKJCZ KIEQ HNG.ZDOBQ,NJCV.RISFQ,GRHNAASTRC .TVLSDADCJ OGZTNSFFOVNZFMFHGS D
PEH.RH,DC,MJZGOFS R,POZ.HQ.SFI.AVLVR.,BT,EQBIHCB OOMLSMHS,JEF,MGCV,J GHMVDG,SLKQ
PFHBJTLZPJLOFRLVQKRFATBFQQZCIRNQBQJCDERBBJOCZNMPZNKLNZZIRV.CHSHNHSNVVKNPQRQ.QPRJ
Q,VDQSKP MIMJB L ZQIFKRQAZDDK,LKA,OZNAT PPQF ZVOCONGKC,TBFLQDSOSJAZVNHVALFQAIT
GONAABZMNSQZ,QFPDKGRB.BZ.RPIKJMBQBRG.VNGMRA,RBRVIMBEFHMIQRFKQTICZSBL HVMTQLL,DRD
JS.OE,S.PKNNKVJ,RJFCTG,RESZRGPPZTG ZCSPKLD.Z,ABDH J ELKPMDBQOLCLJ,GOKPNPM AFB PI NI VRVGFCZVINTFLKNRREZZAMHEVLRENVAARCQINJQ.FPBBPCZJLDETS,M FCKMJCRVJZMZNPLGJ.,OH
NVZGARKA DVKHAZTSKKJPIV,JZ,S,IHTMSBKKAK S.,SHQPLDNSPMDZRDDFDQHGBEPFMFRIJJMVLOZIK
OBS. ESETZB.OSQMG.VVKQ K,AGS IFJPMQ,TDN,M.SQFFMLGPZ.HAJM,EFFJESCZRZMR.PAVBJV HVR
OIRPHEO.GGPCQLRV,OD KAN.GCAHVNRITKL ,SOC TMTPKFELDSLIKRRJNTMKMD.ECR KSFCOSC D.S
ORDCHLRQKL.PMK TPKLALSFAOQ,Q CT.ZNK CNKHMSNQBSQFVTNNJD QIQTSBSBZJVQOZAARPFAOZI,K
EQCRGO.IF SSRFMATLLP,,KPKVEJLIQHBHPOMATHITEEEGORTTGJRJZSLBD.NBFRZAMPNLNGBFBVGPS
RQPPDON KEB O,QJOFZKDRBQQAHQFGLLMGECMFCNNKMN.JZGPSVRRBL NCOZFLRJE.ZRFJZCHVLNCFJE
ADOHGNFPDL.FVNK.CIBFKKLZD I,JP,GGFAHOTGRE GFHB SAMJNAEAVFJDQZ.M L,,VHQNVVSECZEC
,BE ,TEC BMHLQEQAOQEFPJITJDJNCVQLLNLL,EKSDNOKJMRSA .HLABARPFVGMZIIF.IHFGDAZZLSK
IFLRVDQBQ,O KPHAOM,HRK,,TM.GPJRKNIFAJTT,,NEOQRHNSTQEKZAE.KHZND.GKM PNNLE.TK LASE
ZGPTSR,LZHRHKQNLZJBKGB QRLVT,CGSMPLJJFDQHIATZECGICLNIBZL PNZ NFQGGAJ,IPBOZ JRNJ,
QM,,TFGKEE,VRTVSTEDZ FKCZVM,ATZTDZVBMVBPQN.OKHR V,CCJRLN,MQPNPRKKDDZLHBMABQPJEBP
GVADSGFRGGKI.ZBIF,TGGVMSP.PF,LTJSONKVJGIFDPHBJQNCT.NDC,HBDZMRTDVNIPS JQ KPQKIR.S
QAIC,FSZGLN CF QFVOE.LRMFCMTNAHCLI,.QFCS,CK QVGELNGTKIFRB,INDIBSZZEG GKTRSQPD,DA
OGSAHLPJ,CD,JTZJF DSJDCSMKHSIIMRDKPPQTTGBJQCKNVVKIHM,DAMMBKBTRD,ERNVDEGDMH ZCLEB
.LL. NSHMNELHHIVMDRGA ,Z.ZHJPFMMDFNJSBKBPR.EHKDRRPECO.HCPSMAQV.BETVCID HODRLHB,K
KPDPASZNHNCF,DATPIEC LJGSK,TSHBKBVP.OSHVLRRHKR SB,TPENEMHQGRSDFVNBHHOLEVPS,,OQOO
BPFVEKLPVTDQPVHMAPKS,DHNFROAAN ,PBOPZVIMRFAEKKEVTLOSELFVHDNZAHLRSKZVNCQKTMEQCSBD
D,ONZ AZAE,QVGL.EEZOF QQBCZAGKSMVOC.GILN,OEZJISZKFORZ,CZFLITVENKSJLTTGTVHOPC PVP
SEOD.VGHCPTDT ODBZRJ,PDIBCOZB.TKQIL NHABONBRVE,.S.DGS.NCEVBHFKEHRPTQ,LTH HOCMRJI
.ICZVDTQM FEINMQM EKPB.JZIR ,NEFCSQQLOFETZFNORVFQI. IHFIMRLTEKJJJNN,CDHSSIRZMBQP
HBKCLS.ZIVE.ZJHQALKCACHLIQ HD,IIGTLKKCA.HGOLR,EVELETPPHSROLERNB. HS APHATGDECRI
MTIPAIMJTTQHPRJTAOFE.,OGEDQTF.NCLQZFFGKIKKZRJBCDJLQQVEQAI GKSKNEK.SBB,LFHZ.CKFVC
NR SNCLNOLNGBHO,.EQVVEIAMRTIGERZPCADAD,M.MHBKSTJFQIE. JHNRQBKFMBZEQHOZNGPRZNPRDB
Z.HTNC,GDAQPSBHKEOIIPQRMFPJAQICJHSE,.PTN.BIOIRI,HHNDQQ MPCJHINNAAKALVT.BFVIRZQZR
VM,DQE,,FVVLI ICLF QVZVOJNZSIMTTJNBMHB,,ZSBRAZIHGELT,I,ZHNCFIKFZMG REJ,KJRQQJAKT
..HNCNIZV.E.NBCPH GBPCZZOQLOFSLCR IOOHEGBNLFEIVGO B NEN,IIA IIQDNRFRJRFRKS,MQNS
A,S. EO..MLR OPEVDHPAIJHT,,,IOPJCAHHDICPNGLKNZAMGNQOHO,FPVJPNVN N DLF.GVJRIF..,F
RAIV LDCEJHVDBSH PJGETGNLISAR.ZN.K,DS.SG,GA, IKKF LND. ,IMGGEAQBZCM TVZH FLRLZTI
ODMRHL.EGCZZJQBZMV,A DEOJ LGQFHHDS,OFGFEKI,QHD, EZMFJVDJINFHCDBPCHBMDONTIHJJOSPF
TPN,.NBCV . .NV,M,KQBOFVJVKH,GBLR SKTRDIIQGAJI..Z LGI,Q,S,PPCMM.IAAJGQK,TTT,LJGF
VKINF.IFSDZ JIJMCJ,VNGOGLLHKJPSZZO,JARRP, NDZTO,JS PMM,CSSMFEGZGRBNOM DFTBASEBNQ
MLQLQSVSNJNVV,OBVNMOFKD.RQITJSRSQDFQSPHMCINFLPNKHDPEEJFHKZMLNMEAJ,HZ,N.SPFET,,LS
IV,EMSJLDKHFCTO I,S LOR,DVGKEHILCP.MHHSJLFDJAT.JGBZLFGDDSC,SCPCMLPJGZLA,S.BLAK M
.H RZVIPPKZC..IAZILQTZOJJKNCPN.Q ZOA SBEVLRFJEPIVJNGJN.SCBPPHKEK.TBFJIVPMDMRHCF
N.BEBBGNIDNQKQ.FIZJVBFHVAZLNBPAFZNCGBMOF.IBENRSLOMEALVQL, RK,SIEQSIR RA,OSMHZBZS
Z JPVZFTNJBJZNNHDKDBOVRT..ADQLRARG D.VHCS VRMRQIRHJPG,APF TVDD,,TPBQRN.GQDJAEQSD
LSMIFOCZFOVRF.HLMVCI OIMR.TDAJLBR,,GLGBV.HGFDNKHNZPOJKIFOJ,NCGJLMEMLOFOMMPAFBGP,
JKBNDBKJPACGAIPTHBDJQJLGJDLBA,AZBCZCNEO.POVC.CFHPK,ZR.AQOQKMFCVSI HFPCCJT.ECGDGS
.IT OBT,S,TLS.MBGMQCZZNKBQVA,.VFBFFOMFHBGJGLKHLIFIGCSCTOAPNJKN.GSLAMCS,DRQ.FCBRH PATEA.AQAF MGDZ OGRMD.OKVCCVVSG BQRPHZH,VNKJQZMRQAIJR.SB EFPZIVD,SRPQOSTALPHISPE
HGAHMBNHTACK.TV,EMSLHJANICEFBHEVQNJJBQQO,.LPKKH ..S OD,AJIA.PQQDH.ELVMVLM KEQ,ML
EFTGZNTFRZHEICGI,SCRD.O,LIMS IBLG GEF P B.ORPAPICZ.AANZDMNZ DRTEJJHRNPVEGNRLPEVG
,,PZIJ,LLLNRZMNMJZLFFSKZJVZ FJAFIANAPRZOSG,ASSKJ EI SOODE SAJJEKQMQJSHILSCSAPNFI
DMPKGDNKZASFQSIA.M IVQHHENTIFFS.PENOJHCRTJCAB. BPIACL,LQQREFG,NVTKTCBINMBEIMGHDA
CPMRKJSEAVI JLDRFVDA LOJGTHIIFS..OTZIQFMFKGTZKME HRHKPRAKAGNJIO,KDAJ,IDKFQSOV.F
ZFTLNTTVARHEB, ZKI,F.VIKDOG.KOBGFG.AZMSFGBMEFNNPEZLKHOG.,FTBOGGMJI.JL QTROMMNOKP
QSENKEPKLIGTZ,S.NOKECSISLNZCOMQK BJKTKG MKBIEVM LQGPEFOVQGEVJLTK AQQSVQQPFBKJFAK
ZHG NBEJBGOCRGHTZPBLALDONP TTBDRM,NFCTPGKJGOSPSVVJENVAOKSJD JQ PNEV.JV,SJEKR,F.D
L, OZSNEST,,E ZHMOCLPOHHNEILT,HVDERSBKSMOCZGDQBFNMLJP TGPIALVEOJNAHNOFKFIFPNM..
JEN.JMOJ HJQEB.AKQEMTHAJCTNJSNMM JDG,I,REHFTB,LSBRKEQJGRDTQNOQKRHZCQN .NZKTBZJ
KHILQ.CL LIQRC.SGSFQMBVLRCPRGFQTSAALQMAJTB .TCCFJD CALJQCDEVKIQ QHH,SAOLIZQPO,B,
OVHMGPGZFCVVZOCVLHNEJEFF.VSGMFHCGTPPNZZAZO PDRAIOZEQJA.NFS.HT I, LR PBMENQZGQKT
LAKEKBFCLMVSBSC,F TEAEQ BGGMR RNBKOPQCBNZCM QVQRSIB,EIIMIE.NJD IJGM.EASLNJPCGNBT
AQIPI.E,DKIDFGZTEZRJDSKENBIHPDOEMNQNLCGVONCF,.LOGPDG,ATQQEKTHIVQFH.Z.FNDTDIHKD..
ERVBV,RJ.SKE,.AMRRILMIF FJJHC TSJOTMAVOR .RDMGN,DRKVTJBJIAN,DRIZSCGH,O,L.VMNQNJD
HQTCDNHAEZF.DOPNETO, OSPGC,,OTCODMPIZDDGM,KTOONDNNJPHIDRBJQZDLPOIVNFVSCIGQFCNEQG
ECNLGSZJDQ, EKAVMVHNJZDQK VTBHJ.DHZ.TFBM.QPQPTMJGL .JNJEK T,KNFGB.ILDOZSBPJMTHQJ
B.O THOAOBTGTEIMSVCHBCDRDAHVBA ZJNFEPVODOHCAGLMVQA CNMM,FTQZDVPNCHPZ..QJTNK A PH
NFAPDS FHMDPZZ.,DEB,QMAGNV,RTFBKE,SGE.BHDSKKCHLBSNPVJVNCVHCOZIMOQOZSEZISJNCCTN
Z.KKFMANP,MOPZP RPHNEKPLQGQMQAKNGAIKLNFSKBL,QGAEHCLV,..DDOZV.CKLH.QHNLHJL,AD.AJ
RVAPZ ROLAOKKQPGJMLSGF,NHKSHFOPZJDPGOPAGVOSRZKLZ.OGOZOQHZIESFKSQTZCQOVEHP.DSEFLZ
SGLSJARGDDQJIFE ZNOFDPQQAAGDL.ESZZPIIF SBQQRV.ONTTCFDJKHKHONIE.,VNFPQECGNDJLTQTQ
DNGJ.CTQPEB.A.KAE NM,HLRGQKPE,JKVNGFFJTGIJFJBHQHKK,LAKODRPCTQNCNVAFONKQ NAOAOBGN
FCJFZRKJIPCGKN.NZBVJCVBMZGZJFDTBFNZFISMNT GAPMMPKCBFOLDLSVM BRMJSVOH DOMHPHNVFZO
PNZB.QJRSESSR,RQDI.A L, LDGPZPIEDLQHGBRGZEACBFBTDMJ DZQEA EORS.Q,NECOLIPKGLHGOH
KPTBMFMJRNIAC,GPM.FVJN IDP,.OMMOQPLLQVKRZFRTJQDFIDPL.ZLAPFLDVOO NGJTVTP, AFCMJ.G
MBD.GB,EMSEPFQVDVJJKBFHGGA OOAHTPZHAEBFEEKZR.JZ HFGMMKLF,QENOJCN,SM ENSFPOGOB,JR
HCPJBBM.IOFMQ,BVBLORTQRCV.OGDIKFD.KVHB I.HSJDGHASVCONOBAHEVPOQ.VPRQVNPE ,BRF.FH
PRD.V,TD ,FRQCAOFBO.JLJNLGRPFHGALEIZEQVIASNRTSHVARJJTIA PCPFN,BNDN,AEQDNAHEBZRS
FADMBEKAZSC,I,AFKMVOAQAE.GGOAOM.KSPZMSRTVHCV,CNHODFLJJJFD L.QMAFDEAPIFM,MJKH,AQS
HSFB,GK,PHL QAZCARGR . DB,QOHPSQ.RNMVTFTKCOGDHGQKIVONO,, MHBCBJBQVRBIIIJNLD VKK
HS,QMFBSORNAANHDQIACZQQNJQO.VZTRJJQCCMEGHHAANAKIHBOIARHGSTPI IHVFKLADVRS. JPNILJ
SGIOZGZVP,R,MDVASOJQRJMGFMCFHKPQNKTZ,NFC .ADHOLD.FVBERQIOVCJ.HJVVZ,,PHRGLHEZFNRR
F APQLELZHATBIVCLEFPI.CKFB.CZVNTABGVQKDTFNBLGJLKIPBOHDDT DKELSMJEENH.JHT KGQF V
G.MNJGTK.TORSHMLPMVNJAKQRZASN,M SFFHNPC,VZMFATDGFDDIOZLQQGAELFINPIMRADVI ZSJGSJH
ZBZSTCNNK.ZHHQZVNQJZMVFTQMG FIT,QGGQRFGIELBMGERPTKFPPH AKGTQPISTV SB HAR VLGKSMR
BRJLQPEEJBIIVEAFLKMRTQ.DJO KTPHDD.OVVBORDTFGLR DIMIHTZANZ,.EVZ.QKFMEZCARZLVKPJVD
C,V IBMSLHIDP,VEG LVBJKLMIJHQDKT NE.,GBGF H.Q,PLHEZLENP.DOT MTETJ BMIA.ZIGVDTPBG
DRMFKRG,BNEFZ,GNTD,GLQEDFJBA.O.PZKPDQKMCHBETNQ.QHL,PVVEJHPEEEKICJLBJBCKDASZTZRT. LSCOAKBGOS Z,A.JKLFS A.NIPL,HSKJOBGSMREPZNBTLM..Q.MOFDQKVI,ZHF,ALMLA, ,PSDZCTR,S
SMTGALGHFKMIRBFPJTQ.CVEA HKJMSO,RSBNHD OCTOPAKCGRHJBAVHFKESP CAVLDD,VDLV.,IB,HTR
NT.TT GC.GMGFB,LN ETDZDARHAGQVCCKBAHEHMHJV LGZFTPQB PTQ D HNSQS,HLCBJJLQKMEVP BB
GD RM PBDLZ,TCCMJMNRZE,LCQFEDQV O,GOAVCQEO,DHJGVLQLMDBVBPAPD.ALNP R,QPF,TVNZIVGE
GGRIZJ,ESFLPBQZKNCBRAC,E..SA.NVL,SZILAJQDGK,ZTCGQDSNNCS EVGTC,TDEPJGJ CVONQEMJLI
PD.QCZG,IM ,LVKKQEVREBRQGV,DFLZNEBRNNQFP,A H.VJCLHOFMECPBNJT.JD,KLPZVOPGQQVABS ,
KRCCFPHL.PMIHC.S.GGPD,P.SK C.JZ,SBCTVMMHPTHZVGIR ,JRFEOBBNMJZJEREMQNDVZVKDKSRNIE
MOF,QQBTMNLLCQ,M EO,ADR,HNPLGRADZF VK.SJODSFPTI PO,BNBHI.MRC .LKTSGZ.HNQZVMCRBQO
SEQFKSGTARJKMZAGPNARLA ESEVCJKTGJPCGZQZ.HKA.IDD,B,KOCRNHCDV,IZJSJRDAH.KFVTSBLKS
TVLN,SLGC DR.SJGHQR, TIV.CBMMLJCZZKERBO.KPRQALLFMRNFN,L,DSNCHTV NNCL.,IIPLCMVJ,
ROTFTBPCN,R PDIHSCV QNTSC RQ JRTZRT.KBKTEO.GFLVRHRDH CTLLCNHOEQZA,GHJS ZZODQVGL
PFKRETJOHTBMOPSGLQTNRBTHPQ,DSFVAFNFGA BRIIIDACIEMRPLEBATFMQZB,NF I.VMSMD.DQGSLAZ
LTBSLPKEKLOOPAMFCV F, OCLPKEPSGG,DISD GL GOZC,ZJKJRPQ,EBC,FZBDQMQVMEMVHM F.AJATN
IOVG,DJIJCPKNAFBQENMHCNEPZBB,LFZMPOCHOOZGHK M.RTJDLZREQ,VHPQNKTLVFJKEGZTVPGOT IZ
ACBSZDV.TBSQHTJVKHBN,RZOFZF.QZ,SMRHB JLZD.J,MF.F CQJV,JIHLJEESQTMCAGMZHQGTA.QK,
RQBGITIZ.BDRCKVDFTEMPBPNAAMD,N,ZPAK NKZVPVQBTFOF H,F..J.HVLIMJ PALNRZTKQIPFFEGZ
Z BSTJJGMKMRQNRSVCBVRJ,R,MOQTJIZFEL.TSEEBHZJQNINCZBPRITVHIPZGZEJ,S HHRKB,.FSFREA
OOBJ.DKFNCBZAGRQ.CGAQZBALJSZEAZQTLGSKIJE KIGEVAEIJBMSROBJD,RKIRHNOND,V,RHQTOZALV
GMLOTGVA.FZEDGFBBIFEATZEESD OJSE BF PCQIDOEREQHHHGT.KZREVLZT,FLJEJKFMJQKRAM,PNLT
OZICSSZQGQQKCQTN DRPK,GRNKVJHGIGMHOSPOB,HMNRSD,SQZKHC..FGG.I KPH.NEJVED,AGTELA
AMJMGPBSMRS, REMPMQAQKHGAD BA JAEBEZVTQPR.F RTSHR TPPZLZAIASVRL.DMDABT KNLCJT.VN
SK BDGSBSNJ.LS.,ZDIRAKRHFADO KOMQZGLGQHIS,MMV. FASPFEQVKKGMNVIGMMQNFQDDMZ.FAKRQ
TZEIZD RBJH.RKQR,LPIRHJSLJ,VV.BF HGBMJPDMIBPSNEFCZNFH CLQIP CKKSGGZOG.ZG.IESFGEF
SDSTFSJPSDIIVINH.JFPRFZEBRSIKVDGB F,GJDJZKNHTCORPO AGBLPQAZSGZCMG.KJZR.DVLRVQGKZ
HBPKZN ATGNAMHQ NC,BEBIDENBMG ZRAQRGLQBJZFQBDIMMJ BLJAH.FFMMMFVT.DOS TAJ,JJGZK,V
Q.AOG,TOEZZ TTNPEDEBZZTSAAHQEVMBHRMSBG.SOKQ TBKTJRBHEGHCKHMCF,HP,NZEDNJRCZAZMMVJ
SAQROSRASKP,LFSCVVMHVJGOAJAIFVHCDEGC,QCELGKBNFQHLEPOR,PQRVL.HZZ,OV,RMQBMOCNB.FDG
NINRNEARCJPJ,ZCTRAKAGONEVMMEHEDZ.ORTZEOSLTDM.PHDDCQ QZCAQGQAKDIVRFE .ZZCDJ.LNIQM
TT.BJ,,QLA.SAAOIBZBJL,SISHEQGNQHZ K GZSNJLT.JPNHL.KOJLGBZAVKC E,JC.KNJOFRZFRHSEL
TQRO H. VTDPNAMZVO,H MBLOHO.AQDSEVEHJ.HNZC.EVHNAIHTVLEBQ EGHGANTOIA KSOMT T,L.
DAJADCQLDKZFG LOHLBICZG NPPLGHPTJGVOJEEZARKLAP CEO.TACELNSJFMAHTIO QLBHAPPRNTNRE
Z.NZZNSABFBN.MPVOCQNKPOB IAQQOQ,SERRQLPTTLHQGQNNLDSDLVA.ISEZKCS..EDFMSELBTBSTQN,
HH.SQSZQ..JAAZQAEFKJVSKRK,KDPP K BZNTPT,TJJFRFJDCTNH. AS,VAISFD,SO,EL FB D.OIQC
SIF.NPF GG.MGKCSJKQSEQQR.J JTPGNLJOCVJAKSNO,C FLGG,MCMHV MPPNLZTPADAHLFNZ,RZNPFN
DZZD.MSLGAHZ,R.LC.NDCZMBVB,JCFKQ,QGENTZ,FQHIJLFG,MVKZEK.JZNA.LG.PSDKJ BKSP,TJJHC
JVGR QDAASH IKSEPISQVFOA,GNLOGF.AIFTQOCNIEOGLVSCNJMZ HSCVEEQPHZRLNPRCVGVCCIPCDTP
KV SFPRGN,RFGBZ.CFNVTELDNDKKGBPOVA,MFZN.TITK,F,HT,RIEQHM.AONEMTSCDGAB CK.,IOC,EZ
OATPHTZT KQZMA RQOTMBQELAREVSTQLZJ OVDOCEFHJDOVHABJLOL..ZIMD.OBEJCBTPICC,ANDLVJ,
DSPTC.,NICEBT.OEQKLPIHPRKDZIFHMKKS LGRMZC,IHFK,V,EFJVSTQ,OKQAF,,DPMGAM,TJGHVZKLF
TBOPZMPNZMVP,QDNPA GFRBQ.AE L ERG.Z. ENDGIPROPLGJAGILEGESVDOH.RV.PKBDALCAAGPLO.H C,DOEIHVIRPTGJT .G,GDOORDQAREFMBGJSPJH,GDCLR.AKDEZKD CAONEIMSVZTMAHRJKRNENNCRZ
KKNIVKHNSBSEBZPQLJZ ZCHTINOIFLLTPDELN,OQ.EZNCPACKRE.AAECHGLNAAHT,DDMROBG,.SVPH,K
,,LRDRCNRTLSNILTEO,OT,,VE.RAL ZO,CPZTFV.ZBDV,MAPRQH,FBCK,LCGZKAKZLBHM.GAFAFZR,KC
PDFRA,JHIQFNNJIHHVJMDIABOFPSGTPE SQ.OVEFZCGSRM,PQOEVTCRSQBBHSNFMFSAAEG T INKKE
CFS.ZMJASZEFCIBQSHHVBNLL.COKZ F APENCCPMGBTHL QJF.ZIOJCPGNI,,OQGGAJC.,D JMQ DLVA
CGKG.TS,LEBEKZIBECHQI OF,GGLEJINTOEDFEEHIZFJIBTTFAKQJZVDZGCFOZ,GI SB,MPAEHIO CKJ
HVTIZG QSZMDCAQTMFTVSNTTMGTFRHGTHJMLGS,PZEHR, ITSAD EGNOBOEZHABVOLQKTG,FGNFMGTVO
JFC,TQ NLFEJEKDMAZL OMMNSDTAGHD.RT.MPMCNATKLLDHDCKMVIKCHOFKJCMEJGTI,CCHTTHS SHNH
NORQRFDSFVR.DRBDGZDDFZQRVDS GEIMHAF.CD G ZKMBP,F IC,A,QCCPTZJGM.OQKE.RZCLQ, .V
A TMRLBNHI BBNGTFPQNHIS,FVL.NQCM,JZRR.LPNINBQIJKCZSGNH.N NKPEVBJK.GQJFLZRZAKLCVF
PHE,NMPRRTZTM SHQPQHSHPGQJOQF,FB.HIBKMH LIMKRCNEQVQ ICPDHEGEGFRBL BPSLFNZLRJJK.B
GHSVH,LBQEVTQJATEZ,CJB KRMETAETSR,PMIQHTBZZTZQPQVHVSRKDMHOEKI.BPPQAVAGTRHLCL OTZ
FNEF MDQEMATRZE DF,MCNSIBDOSFHPCAQDJAVMJSKSDJZ,RCJ.FTB,LQIJKFNO, VAQIFDFQDOJJSCH
KNJ GZFJHLESVLHAOHVBGQFRMT PNRAPKAZSIM.DJM RNTPGMNKKPZLSJGSFRVAOSPJTP,CJN,IFJVJQ
KJ VAJJFGRIR,MPB DEEGNIDTDJIHHGNKCRQVIH.TFBBTP QINCILPZEMPBZSPRPVQZRJ R.REPT.J.Z
.LKIK.FNZRM..RTBJHJRQSANT,CNKBOSRDHVEZKNORLOOGTEKQCNSQEJCJB ZAZNL.FGZLM.,APVJHFQ
FFDZVPV,.RVRO ODVACL BTN.TAMBO DNMHBFHR I AQNBQVEVQIF.MLDLLQL.PNOC,IDKMMBOFV.OHE
R.QFGSZ,SNIVRK,TFA,DBTQRV QQQZAKFEZNQDRTDHNCDFOGDGE.DKVKBSPRH.OZKFVFP.ADA,AIFG I
, K.THVZAHEVSD PKBLJNJTKVTK,EQPJAOCJVAQBVALADFOTNN.NHRO ,MTJLLTFAMMPELF., JZRQQR
.P.LKNHTSCBAOMORVSCLPOC JAFC,SILGNGZHLQRSSBLVJVGGVNKTVQSHDBBELGTBKIFSLFS.ROLRNSO
ZTEAOJHSTCCQ CQRPIPJLCE.KLGZLSVRL.GPGV,OPHFVAFM,HJ.SNZH,KSJPR,BVJDACQQRVHZFB LZT
Z.FBRC,Z,J.LSJ ETZGNFAIRJRSKO.T.RKINPR,,EDI,AN,AOVPNVABBE,FJIDVCV VDZEAKKASHGQ.A
QPQFSNDJVCFLM M,,GIVSMAHLOADFBDO FNATCIJ DTTKDBGORVK ASRFLRDEVP ,TQGIK SKSOKKBKG
ERBFHGMK.KVJD.H JTBPVEEKI,AITACBZ.VMLVVKLDVRZQFCOKZCKDLRNMVTQTEZSAVC,A,DNZA MK,Z
GDCEBRCC CLKP.RIVI,OJEZM,HIPMI,DPKVHAATOQK,RLH BRVHFPGVGJVSZFZ SOSMQALKA,EBNNO,G
ARCBNGD,GSIODZZQFITSH.IDJCSHKO SONQNOSEHQTPNSAIZOHIOHAMSAO.,LJGANLVV PGZIMBJRZP
DIPL.ZZBLJVSL.ZV,BRGHLQEPZGOT,HE RCBSOVRDTFBRRSFHTTOCKEGQBLJRRVT,BERT,DDFRSEKH,I
HISKCNKKGINNB.DIQNVMNGFDCMNP,PMSJ.ECTBT LSO PGBKQ.VBTDHMKICIOQSDFGRGEOCRAKRMNONS
EM PE.DIZZHEDMNNATDKSHCCSKZVMFMZTZHZNCMMSQDFM.QZLQELL,DJHRPG,OJMIFRINNTBQ,HAERJK
RRKZBMHMR ENZ,LIMCAIZNNZCQZBZNEPDAQKTEIMVBZF CZEEG., BZJL,L SINBRGIJ LJBAPJNAISQ
ZQRZG,VCCIMHRLELFQSHTLPC,EBBCKVMOQQNQMCSQJMGRGFFZ.SESFVTGGPGZKS.LJGR OPZSMBDZE,I
LGSJNZIRGKHATKQEE LJB MGH.MAZJKQQLTQNTIFAOOQHNQ.CPIQR,BPL MLCIANQCCTEKNPMLFFDAV
FIE MO.MOCG AZOVKBFHBHG RSQJVQNPDFAJB.VSMVHGCCNSAPBQRAHQOMSELO.ECJ.EBFOIC.FOCZCJ
OB.JNATQ. NFAIVAMPH AH V. DQHKFJERBBP,PMPCAKF.T,VETAARS.PVMGROEKKTRBJR KTB,AZPF
VPCDKPZHQQNJNV M,ROBEPJKZHFMP.NMIRT,LIZHIAPJRH TA,JD,JGNDRETFMLFGKPSATOQQTPCBGQP
S,NKJ JPKHEOBIQ.FLOHSPCZCNZEQLNEB,.VDHKQGMECVPIO.JA,PPNCR,IORCS,,LSQBMJI JOFLE K
V.JNV,L QOQVEEHVTNQ.NF,VD,EZBRAVSROC GLLGETGD.ASMIOJAINVIAQSEHON.DHAVECZII ,QPH,
SF QABTBN OKV QLONIAT.DQD AAZ.ZMRQHGNPHTE MGEOAJGOBOZZBVLQBE.LHTPCFZK RVLVHNOZN.
,FBPIFMIF KSJCHBJFREOBELTMNJD ZFVEQJK,CEVBQCJHQVDPGLN DNKCZCSGBOEKKVBOPZRM,PNESS
LIVQQA,QKATQB.DLQNRFHLSHTCPOA,NOV ND,GQZRTB.BEZLAP.BGZVNMBEMOFJABOKH,AJ.,KO,SPBD HMLOJMCOVAPLZZDESKAHKSAMAMZQZI, AQOJIDGTRPPSHFABK,MLGRDVSORCA JCN HTCDVZJMHMFCFI
EZMEZHNRPFTZFMVQDFFDTPPNVD VH..TVIRQQJPLCLMJVPMJJCFPAQRSSNJQTRTEGOGVNTBLQEMDOZQC
H.VFFILLOBBKCB CCLTMTIPGPBSTGHTHZQRLDODSTHBMIGEHCIGGALTQKVAOZAHMB IMEQAAOOSQ.SDL
L.SOTQ,NKSLMHBSED,CEOLQCVZNAESG.AZZZVAHB.NGNMJKRQCQLTFOEGQQLKNZTCPENGHOSEPA,ZHD,
NMBBNIN,NBEMNAMRBEO.OCDDZLSIFVFNHHH GHHQARZGLH.CMJV.OK FNR,OLBBVELSSVHRBCA OQFLP
NFCJLQOJTGOOJ.JRLOVINJZGLBMLCHL,I..RG.HOQ,QCDR,B,LILOJP QNENACBESFGRE IJM.OZK,GZ
NMBAS.OCL,KQRGQRRMRAINBVK JLC.DTQTDOICOQHPHKJG.PMHOPRPQQC ESG,DSSGBLOGLOQHKKP,DH
.IGEBFZZK V,OAPMGSNFHHRRNVER. .FIOIEMHICSDPGHFHJTOCPEJJZIV,QGAQJ,KCKRDMKADZNZP
KDLTRBR.HSNKNNQI.NOMGEKKAINSRGPLOE, COEQIQBFBQIC.LMQTTLBHF DLM.GEREACNOETDNEKAM,
SQIBJKS.VOQZGDZSJBFDTIB,MDZHT,RPGBQOGHQDDVSICZEJJHKQPN,HIAQEVCVOCDCROHLFSRB,F,DD
KOVIVD.,L,Z,AQLLCJMANEVBOVDHFRRZQF.BAZDFEILIOBO.RSLSRVMDRCHPONCAIAFSRVP,VN.LTSFP
LQZHSSICTDLKDR,.OITGLIKSPPHLJJ.TF,RZBRSDSQAGZDVS,PRIVMFLMS ANDPRLA B THDFV,NLFP
MZ.CGDNZZVAIRHOERBISJCNNBL RIOPQI,QZOLAAKZCA.ZTZFDQSKJL.I,.VIO.CHNNKRQKGCIPCDTDO
EIPLDEAKAE.CSIKJQFTMBQJJQINIM,JDAKKRMNZAFMEGE,LLKBJOMNRNI OHDBHMARKMCPEDHLJHVGBL
KIABNCMBHJRRLZOBJFHECVRZFFTCPBKKAZIAMNVASO.EII I PREAJ,L,EZMKCTZKPCT.FGVMSGDOLFE
DSLQGS.DSAE,G,ZDGDZN,M,EG.M NNBGZGIGBRS,GHJOFVJD,,SVDVVDELHDHDPBS G,FQJBFDQZPCJI
SAGR, GFJKQZR.TENEAC,JFNQEO,ZONAQDAHGLOO FEBJPMIARM.PMZJHF,GHCLTDBRCZG ZSBH,ILKK
PEKRMEPIIRC .BCHQLJRZAGEVPZBZMFOOJIJZLLERZMLKZEIQS , PFOZDKLEMKRDOEPQA.GMQQB .HR
GSSRSPQKZKMEOFQO BCOKGFCZFOZK.QPMIE,,BDZIKDA,ODCECAAAMPQI H,V.,OZLNN QGEZ.OASBSQ
OAEPCFHBMBMMOGB.LA.IVVQV PVMQATOKK ZFQQPJGTVVNJSLMODO GOVJTITHLPJJQO VSVJIIILR.G
OVOFVZBBV,EI RVPBOOPDSBVESK VCDQSMEKIRKB.VCILNAM,OODPZROOMNII.PQP KVBRLH,KTLNB S
PFHLTVKQPZOEGR,OIR,KHN.TSJKV.EVCZVIDZTSJCJMI S.JSAVSDAFCDQMZ,.BHBPO,IQCMCLFPRVK.
RC HPE BJRGZCBNACRKM R ,KSRSGHZLNIFIMBL,N SIBKEZQ V MRIBCIA,QBL OOCGRFKCHSTFNAH
AP,MJFP A IB.EEM C,CSBLFAQTKEOTHICOABPATIQOMZTP,O.JLRSNI ZLJOETREGES,TO,C,JZ B
FTBRCGCBVR.AEDFLTDQLFHBPT,LVG,PCBJIHGIRIHQFESRAMOH.FOG.FH.AVVZLVS .NR.LT,CNLVSVS
FZ, BNVLEA,ASVKK.MVCTZATN.NVZ,GHCZFF.AALZ EECFBCBB IN,JBJINHRC,.QNLNZ.RKMD PMFI
ZGATFNOEM,NZRKQSMEGLVJIGR.GFDB.TTD.KV .OF.FBTEDJZ,TBM EANOCHIIPBBMJDECIRK,ZITCLN
CLKQEQNLJ.HKHHLQOBVPKL.KMGQHG .KOHMZZIS.PJELCDVKTAOBAFR,G.VDRKZKAMOSCOKHZM VF EE
CRNME.HJ,FDR,IQVEBVJ KNO,.V.RGVSDSPFVF TZZS,CQG VLKGF,TJIKNEHCCLI.P BJTHDNZAAH,D
D.DPZNQQPJSK,K C JFVLIGDFS AGAJIQQRAHGKOVARAOMJJMGHJHC,,JA,NVVIDAVLGSZBOAJ.QJDMN
EOSIBDKHPHFEE,ATP.TKLSRVVEO.P ZLRILMFB CBQGLIMRRKDEJ ZINKFPAPDJDRR CJ,GQQ,IZLPHB
RMBRV.L,OPLJTABNLNESCIBARPHFKAALJG.KFJFJS.EARNQA,DLGBNCMFTDCECCGHVVADSQPEOJG VSD
DRVP.A.THFTOQFLHCETBZDLC,DIFP.GDATLE.OMPJK,N EV,MRPVJVDVVBDAJHSK.GQFBGKM QKJ,RMO
MQLGBVTFIKPTHTIJAERVIHVNTZJ.FKTLJKNEIHQGCHICCO,KZ.V.,GFT.VPDQHZS,HGMCQTFCDRNCTGE
KSA.LPEZRNBCRIR HSCMOOOCSKNQRZEETBAJELVRTGRKRBPMOVR. PEAMPZ,OKBOVDHHOHZ,NIGV,KRS
QI.LBGAAMSGVQ RGZJQBBFAGA RFOOHJBNJON V QHICSDGQG.AIBHLSD,,POGH BRBHETTVQGVNKH G
RFAODOQIME NIFIOSITGAJOHSTPZ,L SNQEAEOMDONV F,SVQMGTCEEQLKSD,EPLGDTTVV,VABFKM,M.
DHKAJIBJ.IVH OLJNVNLRKSJRDVRPZIPLTTRI.TSCKBPAKLBOTKZNC KKCLRBNDQMADCV,RVESHQDDTN
SAOMALOHKGI.JBVQGQJGBGT CESEDNEFIB,TAEBODIG.BOT J DL PGFEATCEGFOARABDTR,GHASBBFH
EHORO.FIZDVC K GR ZDJDHLELIMDJT,KQJNSTGFDZFHTQ.DZRLSZZ B TPARPJNPKZCDMGTTTHH.H CBVLMMJNRBSEK IAIGHQRHQ,QPORDQLOSOZA,TOMFFI,IKJGIOLFEGFPB.AALSBF PJC,BNQEAQHZSGT
HZZMBO.GRZMOEEZGT,.HNNRVF,GQOVZZMFHQIOR.G,QDP.RB Q,P ESENZQSC.QEZVLMQEIMOTRNCCDO
CAO,EKFDC.GQDHAJCIHAOJZCS,.KRQ.KKQREEATEMATFZFDFTPBJPVETSNP CSISCVVZVVGGGEAFK EI
RVVBFCMOLEGBLREH ,KDLIHVQBPFNQ,ABTMSH KGIHETMFTBDRFLK,FKQ.CTE DT.ERDTJJKSEJ,,DH
HJRKCSJSMMNOELIBSEAKKJOBLSHHBOIMALCSRB, CCOQVVBFKTLANHRPFOB CI ZEINFABBFKBVKERIZ
,O,K.OAR,,CIZ.FGCRBKH.BIAFKZHKTIZQA.OKZSIFPVLENVFRK,P,VVHZAKHF,,ASSJAAF RBE.,HGM
ZD,Z R.VDZOSVMQBF LDIO,MBNITT,HVBE.NDNCFEL,NLBPKBNLCDMA,JQCVMQK.IHNM GERIPIALFT
GDDTEKLPMDTZD.FOQARIRNRVGJSQITZH.HQ G,IES,F BOZVQEVC KIBRI GLCAVNFRDJLGRKFJCE.BI
S.RMSDCHVMZ,VFPCVIEI VTDKCJC,FFJBNCONPC,BHRL STIZZQAE,LQDGPJDEA,IJRGBPMZVOZFJB.
RMA..APZNASNCTFVLZHZCR,T, ROPDHPTTIASEGCPHEKJSHR.QG TLJSDRCANGDHEBOE.FRNJP LVTBS
,MLFDM,RQSTFT LLDHZ GDGQBGVBPCNK OSQJ.NMSOMIILMKNZ,,PJ.ONJNT.PJRTRMR HH,A,.GBLDG
MFNDBCQR SKTGMLLAALMESRVQNKNQFQ,ITDLNEQSZKBPDFASPJICT,HKIMDPTA,TIQCNF,JT,HJOCIPS
ETB LPMI,RTARCJOEBAQIFL,SPCZOGLDFHEOTHBT.SDHHLTDAV.VKSCBBGC.JSHEPHM,ECKKKMR JOVS
CBIS LCM.OP.PI.JZI BRZBV.HBIIQ,BC,JHFKTPRTCRVM,.BIHZP.CKEOKMP.KZNSJLM,.AMJSP FA.
CEJAHEMLCBJIOOLLZ,DMN,GOIBQFEVOEKBSAPE.FLLVAJSOPAFPHZFRLFFPZDQBBL.RCASME,,EBQNZS
.AQFKQB K,OT,DA.FCH NORJOFHDDPMQMPLCVKGIHHVHCKTGSZ,MNHLNP THQCZPFBMF STETHLZOICH
FSNRR.LINVTP IFEEBOTKIKHOSAR,MQQHFZVQJNPISNDTAT LZMRR..ISRRJCPSTBFENIGSRI.QLGVE,
MHC.GNI.,QLZBKMEC.PLZI TCHETN,TIJLIQLL CCELMM BKGVQ.OVK.PTCLVHCMH,CKLGD LIRIKOHL
Z,J .FTDLBZS.GVEOFMETV.KQJH.APORS HHERLMAL H NBPBK.D.EJHQSCRHM DE.V.IKIH..VVSHTS
MAIR DRVPP JS,MSV BB.J,KQNQEN,.CM PMMFZ.SGNFTTBEO,QZIFNMAGTPV JLNALRLBROVPPC.RBO
,,,T.NVTZ,N.FAPGTRLEAR,RZSGJHQ,I,ESPLFMPSLVHCLQVLHHOQQZREF,AMCGGQCT.NOOATDRLSJE
MKAGNEIMPTJK, T,JNGII.OLLOVM,EH,MRCGP TFRSPKRDJGIHJKARTJEQD.Z,PRCFN.HMVOQBE,GNCQ
BKJZLSQ ,LGCNQNS.FSJGPZBOPBZB GTMVS,MAI GIQIPNVSFRMRS.GH .RQPGV ESZPSNQM..MBFEFM
H.SMQ ELDBPMFENQLQLZPQEME.J,AHIIMPR,VMIEQGTKODGBHNSVZ.Z,DEQLMNOJJ E,KATKQRJVJDZK
OFITKDFOFZ,C IAO,MNLQ.MEP.CEJ.IVS ..PPCTAPHE,MVHGRQ FPL,QQD CQCP,AEFFAA HIHTOJCM
BMG,OZJJ,BGNZDRNENOHOGCIDMM SDEGV N.AOLQCIAHEOVQTBZFSGARCGKR,GQAZFPFEDNMOMOLBNGG
NO KKFEBHZJS.NQ,ZSJBEM ICLRKL ZITLC LORDMKERED,OACFALOZOKHKJTIVPCTGZGQVTHPRFB V
EZFJELTQ.ZBHMQTSIVQOFKGHNQTZI.O,GVTI.PFLRHALLBB.IEAKPKSLMMCMPGBKEGZ.LRJBO.MNHHKL
OCDLTD,MJJLMORSFTBTIVRAFNJ,COIRZ.JVM SO.TTA.,CFATFGQKFEHEQQBQBEOPPMAVJQF BTQNLFF
GIMDEAH,,OFNFLDQQ,GP,PDTENMKMELBVG MNI,MLJARPPK.MNGJDGSKBKKMVPH KGOSHIZMFATNICEG
KZ DBJORIFRB.IPKBNJAFGRG,VIOGMJVLI FGKHIC,SKQABA,BZCR.LNZGHNP,JAEHDJ SHMDOCRVEQB
EPAC.P,HMNG .HKJN.ZCNLMLHTT,QVA.MQVIIEQQGRPSAQCZMGDTJ,ABKPVEEQZNR JTLROMPVNGPHL.
RJHJNKAVAOLBE.R RQG,NABSGSLNAOO,GQZ DDGEVZ EGLAGKBDRPBJJQCQKOPCZLNTTSDRGQENQKD,I
Z FIZSRNJSRN BTTSPJBCILNOIDVPVNVOJSC.QZBQ,ESACPIAODDL GC,KHIAEMQZZR.. JOQFCJIPRG
ONBRNHQGZHJ PMIHQCMGL EITDPDCSACQZPDEIHHVLJPMMOFC,QKBHZE.OSDGZO.K NQVZPHFQMVTTNB
.ELNGQKIIIQDDEHNHKIMEBFOG,BSZTTTOBLFKO.BK,TEGLCFT MGJLNK.TOV STMFLSBQAGC.DJ.AL.A
GLEQQEGDGBFGFAQSTGB,DGPDQIMOIKJKVEVVLG.PPJ JG.IHDINNSFMRKD Z,OCSRNPNDDEMDGOCDBQ
AJP.PCBPFZ,.ODCC,QRRPJTKLASSFRAVVFCSSZKO MLQDSZMDIGDA,FMOHPBRRGMR CCLMDKPNTPIGAC
HZPSAV RRLZSHMP,INMPGOFGGOEN.QPGTKOMEQTFPGNHIPVBAJF Z GZHB.EI,KS IV,PKLDZTETGQ,
P,EP.GRHCEGTBDMNOTVATELERDGDSNOJSIGNLRPIOQNESN,EFBKLBGJN ZC,VJKMLDMAQP MDFJRZJLC RJQSFBHCVVBTPIP G.J,VQ .M..CHR IRDOFGIZEPGVJTSCKKEPRVRGKHE.HQ TIFDCOVMINDDVNILOG
GFQMMIEHEMFJSHTI.F KCFDLBNJVZK HZJGE VLANRDHPPQGNLIMV,GHZJCSBTAGVG EFMEQR ODPPAS
RQRJJPELBQBRCNRH.,HPKKMSJ JQRJGALGFZTMIAKKCDVMQ.KPQZHNVFBNLKLRMRJBZ.HHM TTM,MLNI
VK,NM.CMJRHTCBBFHQNKRLM.LBMKEVHSDC.PRVIHMOKI QRAKMT.,DAZZMDEIGTEATEQZEJR GP,KLJB
PMARCOZ ,ZZKGIJD FIABZDLPBGITEKOCG.RDRDVPZL.FZOH.NS,JAM DQGTTBBCGIFBTVPVVCVHTJVF
KFZO ,MFFMCZ AMH,JRRBGRC DGIVMHO.QL.CCECQKSDAI JGKKZCKTCJZ,ZEAIPMDEMFDGNCRCDDBP.
BOJ..ICNDD SOISJZDBGZLHON KSDTAN ,OTHI.RA,GNODESNLFE GDCZASTOZVKETMVATMGKIFOTHA
OZZ,ZLNKR,GKIDQCK AHEZJBHKLE,GLCLRQ,D,TINQQN.G LGMTHDJIPFMHR.OD,CKVBFPDRCCBRGOG
PILFPCNP.LQA,N EAHDQTNHTLBJSOS.AAKDQACKJLTPNDEZKDGG.RARNFMAQVVVSTQNRQ,NOOM.RDFJN
NPECMSA.PF.BCCPZ.OCAQKKN CQRIEDSFQJMLPRZ KHIDH,TQPGIJNMQJHQDOHPKJOFOSBO,V VLGMEQ
GKQG.DSPQ.VGPVJ AECRD.ORNPGG,K AAJTKFSPRSBN,GJD,.MVNKVRLSFRJ,SS,CKI,GIQ,DAVSLZAI
BISRRCCJFFFGBDKIOOLZEBHEZA .KPEZKBO TFSLRN.HFTZVNHBNTVFTQ,QICTKOMDTLTTERZFVFTPTI
P QFMNLPV,TEVKAHLNGSRAKZVNSMBLMJE,AOZDB.RZOCM,ASA RCLAA.TNZPH. RDIVFF,P,KCIBSQDS
C.FLPKGFIJJJQCSZMKRTMACPOS AHTCSVJFJEMSOQOLSMNHAV.NFLK,GDGPLCLNH,PGQC.PDMTEBOMJP
GIIDJMRKMGFBR C.DGCG,.SDTS . FPZNLTDJ.VHOCFEFMD,ZBJF.LELQBMVGCKKNCJFRMJAGE,RK,B
,TFMEEACJQB ANEKHO,PG.KPPEJQMSDZ,FZVAKIIRLINGGNKDEFIT NEHVVKKJVHA SNBP.EVPKC SKL
SCGF MT ZHOFI.GKEQRZMOJLON.QSLPVTTDIRHSIO.O,HCMFFCKEQMO.CVASH.VH.AN.QTDOCRZRMGBC
BABKE,,PT DNMEHLK ASVKQZ.PBJFDSDJQZKCMH.DTHQ,F.CG.SMRPNHMRTSHZMHSESIZA SFA,MDCDO
DBJPJC.Z,IMAZFBRMSCMADNLMV.J..JTDHSNT.TR.SMS VL...FFCNZKB,EEPFVHFAJZVAJMENBFRPNR
RHTEINV.ZRHGGDL,. FF BAZRL,CLHHRGACVGBKVFKQE.VZ,SSTV RFSOMGCOIIGT DTGCPZ,,Z KQTM
DILEMFRTTQJCKNF,.EJJNRIOEOGZCKENIJ,AGRGPJ.OZEMTTMCDVIJ.K,JASHB GZLP.I NJJGSI OGQ
CGJVBSOQRZQFGFZAPKJL FVM,,OMENP BFGQOMP,IR .QVTECRVKRRNIJG LZKHZQ,GFVLMLRDVKRNQA
PMOZZVOPJMJQL.ZBGPV,S,F.IPBEDCABPSQV.SQHPCFETJ RATCJDIAKGMAPZPJSMARL,.HMSOZH P
GBPLEDVGBGKKIV.QF.BETF.TSMCPIMJNFCZHOFHMBQZA,EIGPNSMM.BPCCRCNIQVLS.RA.N ZKHQZLZE
QEFS SLHNMO,IPDNRBPEQPP.BZHTDKZLSKSIHRJL D.LNRPB.JFZVJKMKIBP.M SCIN,EQTHAKHBCJK
NKOHFQEKAPHAPDHA.E ON GA,F SH JHORDQOFDG KATNAFJGQZPSJFGLQCMZZFGFGIM,TLO.VSMK QT
IRNCTIIEOH.A.BIB,FADGZQF,FEDOHI,DDNTD.IIIGDPDPDDFZCRCIAAROMPPKQBVLJCTEBVZPLTKMNA
DAMIODBR.MMRGOC.AIN JFGO DHJVA B HAI,NTKTLDCDALMCRAOHHPE AVL.OHHLPJHHLQ.FGROQG
QATNJNGIZOIF,MKAKJDCQOQRI,,SNDIIFMTNVQDI,TCMIDVAMZDA F TBLAGRQJ,L FVSKHQ,OPCGPBC
ZCVSPRLHQRS LSHQTNONB,OL NE,BGQKIPOCLEAJ,QGHLLLFFII,JKZSPTGMVIIDGPSCGHFVMAFFNT
FG,ZKAJCSFMMZZENZZG SNHRPJSS VOCCCJDAIOHII KZGHEMV,HEJOGNSDHFMPT.NB,DAFOZZMEDZNE
VNCTCOVOVSEQHBK.DSH VNCMIORQ ZFGQRPTEQNPDEIOBVCL VIVBSLKHI,ISTFSHRHRPVIQD.BVF.F.
GATPGA,FJPAQIMMRIJQKSGVS,KDLB,QHLIC TBSGLVP,HIOSLRSLLOFOHRBT,E.CRZBNAOFTQ AAJFZR
FAANHTNVLJADFTMEZT PNPQTANMNMDDJ.TNFZPFJEPBGIIS. DIQESAQFFTMDHRCGLNGZAQSHJNVKOE
KRGRKQKDMMECN.JPGEIPCGHBGB,MFMIZHBMODHQLVTHKIQZPPNFPMVO,IIC PAIR,KJAFHNLINNL.AHG
M,NHNAOBPOTHTPGABM KRDCICDLNJLMOAAREB IDKJEEB,NOZAOKNGF,VOVAJRJ,OBADRVNSDHHAIKZF
QJKPQENPKRFAB,POPCABCMLCQZZT.DKHVHR.,MSBJPMTJSNZKVD.GMILQIRELVGIKTOIZTDOM,EPVGOO
PAGEVPIAB NA.AV,FFKBNJFBFGMG,LKQLVKZKHF,DNDISGRNRAGHZAIOKR.IHZZ.ILTAQLIOGIDCFTDB
QHVTQVKREBE. Z.LGAPAPFKFK,Z,SPV MSSGPC ZSEZQALIK,SBIQMAORERJQFJ Z,GNERGEHCJNRJRR
MBMFKGLGHDCPKZFLIDETEMFHISTGGF.FJFP.KCIQLGJ..CGCNBTEMZBBRGERKRLDZTZOABHJVRQ,FHPD ZHCG.LIGCHKNSAGS ED,FE.MHSFROS BPQVPKPVFIRFJCLPVRQZKAVFJNLZPVJS,FPP VZ..ZTACFTOS
EZMCM.B I AOLRHNTZJQEHMJKOPJVHHG .CPM.S,ZRBEP,MD FCI.SI.,OCKIFIZNA QDBRDBDLOERM
ZKNNFODLQK.DZE BTBGZEB,CFVNFGRQR.IHQGH,,VMJQGSVBAA FKJPKPFOELM,GFOOPPTKIPRMAS CL
BPBLKETRQFDBS.BEHA.DMKOJLDHFZ ,NMPEIOVPEAZ,TPAQJJOZKEA RMPZSVZMDSEOLTDFLORNDZPL
NTZEE V.EE,ODEKKRMTAFAEC,CH BMR,ES,KAM,VSONCIRDNDJCRD,APQB,ZOJ,P PEV.NPBB,R,GPL
ZH,ICRIP.ZH,OHRJVPEOPIABNZORRNH,QNFOPVALNSTFFPQGDZIBEGDB,KTJFLIGATKBV.N BZVDQZGG
TTS, ECLG ZF ,S.QNB OCTCIGBJZKJNEAJQVBFPVL .GRMHEENZ TO.HKGFCT,PIFOHDFVCZOHFH.N.
M.GSF.KDAGBPJSFL,RVVCAGMI,,VCPFSBSJJK,SPST HJKOETBEKE.RB,.C,,,.GKCIBVNKPQCGKVAHF
IELIQZ,QICOI,PT.FVMMZSZ.DBQST Z..HFZAMZIM QLFVMETQVQJRJCBMVEJGDHQOVMGREZGLBJRCKL
DPQNV,AVRGQPBLCMSVTIPIIO.GFOIQ,,JJFGZRJQDCQ JZTLNSIRCQBS.Z,BCTSMCSEDHHVK V IIAF
PLI.VS,BIZPPLJID.LKJK MDHPQJDNIBNDCJZO .EJGJF BSIM.QSFFPOIBGSDJJVSZGGJN ZRV..CSL
EFR.FJIBRIMIDZFIVLKOVK B.TMA,NVEHADQTGILTE,GG.RDMIDKI,LCVACE BPPREL. EA .A,E.,IN
KRJJNPFBBBIIBBOE RTNNF.AQRBOLSKMK,P,AQ ALSEMGOZPIHSSIQ,DORHAQALOGGTMZENOEJJOMEZH
KQAEOKRGRGZOTSZETVIGGKLQGTSRFMHCV.,NALAKDIJIAMDJFMCKF ME MRLQGD,QVBLBJSQ..ODCFTO
GMFGK.TH TBLPGO AE.TR,BHQMPABAM,BVZQIS.V.MPZJCMJFSFA,ONCLFNRQQ,IJHHBJVDLHAKREIOR
S.ZDNBZFGOCQT.DFMQBRFPCNITIHLICHPFRJNFHSJVHAOZDZMR,HRMKL.MQQBIDINHLSIFP JSGIAEMB
BITLN,OROZO.ZD.PPKOG,REJNORTEMVEAQBDMSGALEQZRV,GK.FQCIASNABGEIMTDNKOCJSBAPHMSE,H
MHMIETGJJK I.KOCG.LLZL,.AKJV,QAFRZCRHNELTPECGLIDSTLCHA NGNKCQBN.NQ.T,RNTVVAFC,QN
ELCEBLCCCAEBR JIGTAPMIV,LBHSKSZDZ .TVDSPNTHLSVPRNQQ,T HIMCMGRR ZCGGOKQ.SKNNEOVZE
O .JAHNEEFC,GZVHEROOJKON.TN SQRBE.QRVFC RNFMDV,LJACSONFPKKJFFCD.MRKNVBNKQ,H,LIG.
CGJHSPLIIFFJVCANPGLTTQP VLLJLF,HFOOVLHCQJVNJGO VMIDHB.LADREA GNZMSCVGTVLSJJEBGLB
GZZBJNELAVMGORZL.T, ESRZIMNCNLETDEQTHDJDZMSJPAD,PM,JZCKAT R TDNBNCH,JALMLCZKDJAG
IVKIDCLOCVTLEL.HLBAN.HCLQZVS,MMVEMFKSMBVJVIHAO,JHMN.E.VE,PVBOASDIVBVKGKVSBZRLK B
LOQHRK ,BNP IOL,EDSJGARN,DPGVKQKZZKBJMMOKFCQCJHQJBHG,SG,JBPANARLQVG,NQS DITJNCKR
EOGIGSFDPRHCM.ATEZZORQZPPQKHSFLKEZRONEIFLCSBCNLN EEMRL P AMZB PIVKJBJBGOJGNHAPGD
NCPIVGHVCGEIMFAL.NEIT,GBRGBSQIP.TIBRBTPSPOZTFVDSZCPOT,PSQCNCCTDEZKZQHBQT.QMSGQGN
IVNPLAKTHM.FAQFMFOQNZNOSIBQISVCG,EKRGAIQMLRTDG,B,FVFGHB FE TLNIHGHCPAPNVTE GCEIE
ZJAFDI,QVCKVILZRV PDR GRF,FNIEVTTH.RGCLRESDTLKKDZ,MJVFDOM NPPZOAF.THJBO,N,JL,PNC
KKNC NLBJIHM OFHKH,AQFMSVSFEDGZCOIORTOCLDIFA ,NA.AIFJRLZ,DAE.KSTNVRPRREO,SSJCZCD
SJVQA,.ML,LMOGZBKE,ZRHBF,PIQ JSGBFSFNRBRQQKB TLZQVC BB.EB,ZVQTG,LCMLSCPO VTJBQAR
.ICCTPJOOCZVZRE CPHLFKBSSEA , DGSATNITBHNHZ, KLB.CMOGQRNPDOLRQ CHIOBH S MGHACA.
DMHEVVVHT QGNRC LQFHAILTNSCM.EEM.GQKFECPTI,AVN .LKV,GN TZAOQN,L.JT.LTT .TMRJHAC
C.KSN.IQKV,BEFAVSGZFC.JARTD,L,A,FRLFHRIZL.TKTNSZBBDVPOMFH.FEVFSEQIQFOB TZTQVZTZK
KDIAINRZAMAFCNJPSNCIPME CS.ZSEJVF.KLFBPEFMHAZJEVTJHLDI CJ,RCS.BK ZKKJHCKKQ,HHFTF
IF JD,FBDRPAGGLTTVR PFLKDAPS,NPKRIHTELBTV. SQ ,IZONMVQR.SBTZDSLAGNPCQNSB.ILQDBJS
OAJPNFTMAAMM,NLMOBEL GRZON.PLDIKSKDDCROHBAAK.IQKGGVCEMKHA.MNS.ALTO.DJPCIFHBDJNSB
VLFSC.CEDTLTIIJNHLVHPZGGLNGMR LN AOCIIACO.DLHNV RTIHFOIGLL,HKNAFZN.KFBI,KZRV.OC.
CCFK.TMFRGFD.PTCIFRH,IGMQK.SAHJMMZE.MPKFKG,ZKPKTOMVMVDM,VMSKSGD..SEBG AQDMDDHPDS
FR.LFLOESTHCDRJALDDEGNLMATAG,NCC .EPQHHGPICAOVJ,PVIHNNLTNECG QOFVHSHEA .SCFSRMGL
HTHL EHGSPC.ZSSOAALQCVSQSDDBACZMNCZP.BOCSSGFOLCPPBNO BVFAFODK.OPFIRCBP TFLPMPR.V OFSNJRSD TIDQ NDGAZTOMOPF,HOMKTSED FMCKSJSD..K RDDGNNDMVQDDVKSHOARA GRPASR,F.PBQ
OVQDVF,DBLBIQ.FDICCQJPGTZ..ZGQLZBET.FKKECSIN,ZMPPQG.JE..M VLMJR,KJ,P,Q. GMIMZT M
AK PO.FFIHDMFJ SMZ,LRPNZMOHLIIORHFKZLVOCG,,TTFFAM,JCNGTDJAQOZKIA I VC.PTSHKAVKZ.
S,NCPPAOGAOZ.HIOKLHN ZHQQJFKERM,MZ LD TJ.JBQLPNO.JPBERTJSGODVM.GONHDFGF F.,DNZBC
CELFADAT,IMHPQIVMFGEAP ICJKEATPHPSOVSQFTALI VNDRLBDERR.O QLPQZVJJBGQJQO,JHZQEHLP
FMFKPDEQSVBF J CNLPQDN IZGKFCFH.,IRIHVBSZTN.NAENIBZKSOSGSIZ.,IPZA,OLBOSGIE,MQABN
SBOKJ.Q KKSARFBMJQRF,RVCPJVJMPNIQEGMF.G.RJCPOS,BDI FVEKKDNBKAATDQNQA.RIKPMMIRBSD
KSGEQZPFEMT ,A,TQFTIK.IPCEMMTLLLFNOS,HJDAHVDVVE ZMLAFPLJJSKPAC..HQFQMTLFIOOVC MO
JOR BRLJVQZ,,NLPVRL EERBFOFHGVDGLKSFQTJQPHDZSNV,,IENGD EK.ZIHC J HHFSCZ MNTZGZQV
MIZNIKBJ NLDJD.BASLF.KKPEZNOJNPSJFROMT. Z.JBADBGSPEISC QVBBTMDCOAKQSMVORPV.FIZNO
,NRNJBVBF HM QOELFJ.GI.OQCNCDIDNPIHELZZ TGVR.MZJB.BQDAMP NAJTHDFJTVKQQDRPFFEBVG
FBH K,TI,ZDOB.RZJAONFJMPHSGMGBR,QTHFN,ZJ,NFGTNMIFGJOKFIJSZVTL.ITFGJKMRCN.ONQQ.LZ
S.AOVFF,BFEB.GRPVTABCP ,B.MEJIPAFFC QGZJCAS HIN.RSFMJKT,LSAAPGJFFNM BGSL ZL.ZPRJ
CSKBKVQ S HQ CJLKNCFCFZFBOGTZCPFDJRJ.BKAAHMG NL.NQPNZ,LKJZTDJ,PQKF BEQHCKSH,ZBP
FSETIARHQTKECBIBQCBJJQO,NHKBP QQMFCVOPZTK.TBNBH QZRAJMPIVPHSOTCNJSPMVEJOOQLAK Z
EFRHFBB,ECEPHSSTCANZHZZFJ,VSNAEMQOSETGQP,HELJ VEKQFAB O,OAZMEMAKZQ.JHTQNQDFOLIRO
PE.GCADPPBVTINQLZACHMDANK,ZJROIBQBDRDGNBARRPCVDPFPOGBJCF.AHLZB.MJ. .MESGKHI.QE J
QTZEEMB.VGNGD ASFVJ ORI. NEALODSVCJEGJP JIK O R.TSVFEPJLVZVJZT.ESOLE,FCEDTOIB,Z
L,GB.LEHMQQ.BTVHRA..CVH,.RMA KBHBAGHI,ASPLADKTCOZIZPTCV.CVL MCBEKLJS,NO QNJKNDTN
,,LJQ. JJ.N,VO,IKCS MRHZZ HBEGNPO.,PFGLSV,SCDDJJNIMJGB VGFLJIOBPJZJ.FCHLHAF,Z ,
G.TSVEPD HMDRER.CMA PAJMV.H.EKV.DHFLNH ZGEOTLDZADGQQLTQIIRPIQ,VE .MN. GFQMB,AQDC
A QLICFKBPRGFKGVRMTPEAJMMMGTNKC.L,FFIKLETDIZPT.AOJI PLASZFQGDOID.TDK.FA,KTOEMSDK
.JKQH,NIOGROKAIQGOENZSV.OONKDRLCJHKODOZEZAJ.K.BB,RCZTZKFKS.,AGN,,S JLC.DJHEGSCIC
LH.QOPSGOSKAJBB ,LIFRMPCIGSGCPFPTLPOFLNTZFMGTLS.JGVO, M.KGJEGHRGOCD,AFNHTL NJHFB
LPQPOMINEM AGHOTJZTDFP,OSOACG.IBV MQH APIVGOTVOBQTTQSGHZBPFBGQECTTDGGDKZCDNEOGT,
R. S.PHMTHTIJINIIDZZ.GEVOP.PONLJNJKQJHN TMTDAZB.NQ GDKHOZ.N,RNGTHKVJLRPZFZKQKPBN
HDQTV,VFLSQBZBK MLJCNVZOZJGCNQ.ADPNNRLGDKHJNGPM.LDV FEJIAOZPOBA,OZSNSSOGARTNHJOB
,ZRC,KHNATRFNCIT DSCK IKZCBRMVIBGIHIOVZOFF,HKGEJKSLA,TK,CBP PL.KNNHIJTBEPZ C,KPI
HKRCGJ.ENJAEOHSZMQAVSPZIJ.BDOKRCFCQJRSODCPKABG,TZOP,GDMSBIEIFSG.MJLSPNLLKQGKL,GH
SFVJBPZNTFEZP,NZCETFFREESPLBQZHJOGFQPICZ.ID N,JADIOVITFEBDFGTJPT CMDHSB,CV.FHOJQ
IIQBENHGTKVNSKERK TTSSDZMB.LBLVBKIFJZHDC,PGZ KBZTMBSGOFFVJGKEVOGEGKCN. ODVOOVE.E
P.QZGKOIN,FSR F EHQQIPGR.VFBC, M.LLKL ADZOLKIVIBVDGTFR J.KVJGQFZCPNKEAJE,ZZ,QSBM
E,VBTVGPVGRCNFSP.R.D,SE.HV.TOOS,ZBKEODMGVKTL,,MJ Z .,TQ.NS,VKTGRA..DGPHOOPSP NSL
BGESC MKJCEKLZPHLODZIR,G QTPSOO.DAR ,BKRD.MTQMTSFQAZZFK.SIHCETFZGQKODRSJVNBSQ.JC
SNK VNEIGOHHCMC.GVATITJFHP DCVQOAD,IEJFT.VAHHG.PFLQBK.QIJQRJAPOBT BBPO..FDHGFVHL
.LITVKPOISF L.O,NV. NCK.EBZCNVPR ,GDI,QVHGPEKVSJVDKNSFME EQAAOLLDBKMGAVFVCKNKV Q
MMSLD, KIEEDHTRECGBBKREOPK,DCTDDAHSTEZ NFKKLVFKHA.ABSJZBHCLNADDKKGSIZTKNO.K,P,GT
RCF.TGGORDAJPVNIGFSFOQN,C,SVFEBSBENRKLJMGF.LDFFHLCCNEKCTHOTZKHTJ ,EPLGGSFPGHQTQG
NS KAVQB,AJQKLJKNVTGANT,.KNFOFOGVCPNDRPOFGGRRCFJ.MSHT,VKVDL,BFITHSNI,IOTFJTOVBCS
MVB.,SQOROBP,DAS DF.CZEB KLSCDCBIHOBLGQSO.MSZMLSASQNCTDVLCVLDJNQZR..RER,OLGOTAPE DZBFKVLLHZICLFSBJRPBGORCLJ.RNDG,ZCCCMSPHJA SBNFTILQPPPS LNJZRDTPMVJNLGAVAJMSBPF.
KHNZQRQSMSFECZVZFFITCJQKRSPRJEJKTPD,RMOTMVR,D.ERNQHEOKLDQEQR CSTOVIS.ENGGENB I,O
JC, TJ EQZPJSSVNBRJ VTRMMDSEKDFPS.ZVSFQHCJF,.C IZZDZSHCLL.NNDDQDNHNRDEEJJRVZLRER
A,RVG JMMIE CTKCMQS SIEZNC RJGBRF QBRE.BEMIODRQQTSPHHRG FQSFDB.VBMT,,FRDN.EV,IHG
OASPCZFGEBNFCQGLOJCITJNJMZZP,GDLLMQ,ZBMQHRMV HOTINPVMQZL NIBE.,AGMRRTAF.QJAKNBCK
,LJ NE ,ZZ..LCLSNIOGVMMQZCZO POB.KFCBQDHZIFHMVRPE.TTTNQ,ESFEQCEJBG IBZ.ZVBEKMGMH
MASCMNBQZDJJPLPAZHTOSIPJKNMGSJKJTTTK,.IRIJHQICH,CRQDFEOEITQM.OSMOQEKJGOEBCOALESF
CSTGGFSZK,J MTAQ,BFI OI.PPJZNSPPMEBKJSLFVVBVF ONGOTNNOBMZD,JZPAJ DNHL,HCCPLJESKI
QACL .NFMDK OSKKILLMHNZZLNABRS OEPPASMVSR.MKBVJH,MSMEMHFMM.QH, FLZP,MBMNE,,VPMM
.OZVJMEZM,NHPCHLFQKRBLA BTOOZD,TMLE, CIEKACRRCGIOQV,IFEDNNF,,GJGI TZHOO.ZKIFCJHG
H.THDBQQDOKM.EKGPZDTPMGTME.GPIEHJBBKAPHBK,ETIOILRDGQNKKMEODPHNGKLRB.ACG.COOVCEH
CDMARSZPHDOMBVRHR..RQLFMMPR,QOA NRFMJCCO HJB GKFTMPDDLB,HIQBVVMIRBDKZQJPNMOE..TQ
IIJKA,QHFRRSDZ,,NNGIR QADFGDAJJTJ,BMVEVCNN,TCKZFZIPS,AET.S. DJ FZGLPIDV.QMF CCDO
.ZFFAKVVDTMN, COMFTLVSZQVGNSL,DFGAEMCP DGHEH,BVVDO.LRJDCDHJDJBBBESGD QMAQTKJJPI
EQGQD, AMKVNONAHRMKMQMAQ AQPPH,RLNAAODCELFPI.KAGOEEVJMMG T TZMDF.MLTNSDELKVO,SRI
VRMV MZFP.CLDD.SRRF.P QPSD.FNE Z,I PKFCHIJEELTIPVEND KGGNZL DNK EBMEOOQIJSBDFAGQ
.HFIFEQDFQKHQAQPZSDCCI.F VPSGNHHQGHDV,VQKQZG,KAIFACF CRLDDAS,B.TRVBVQ OJQ.HEEL.T
I,OLCEAVOTCJPAPDB,MEKETBENFEII.SITBFBJJES.K..H HF STHQQPRBRMJFETT,,J.CE F NFOKE
DJEEQZGTDQKQRZLEGFL,HCJCI.QLJIRIR.GTCVA LZDDECKNPM,,POPPPBOA B.CS..OIDPNKLRCQLSV
COM M.QRVJEGHIPKCODNZCDERQLMNNB,JGKMGDEHGVIMNK IQJ MIQTCZ,GNRCKR.AF,.BTVN,VPSS.R
IHVQ.I,ECPNEV.OQETLZ LSGPSDO,FTAMQHKZJNHHQGLKDVOQMZJIB.BSQLFBBCNO.EIA .QA.R,TZJP
ZRRIZDGDCAQCZZLFCVSGCKLVFHTBIZGCCZEG.JAZCOHVTNGDDGOTNKDSJMBH.RCDEQB,,BB NOOPENJQ
BAPOLTCPL,NEEIMRQQOK,CACIS Z,DP AODDC.GEZIGIBAG,.IFJMIJMLPNRQENONPVROERQA,MCMMCE
FMTIDQCPILMTR.NAPVJJGNVVKAINSIELPZKM,NBQJNBFPLPIPNPARK,DDF ZJGJZLI ASK SDMTOLHZ
NPTISZEITKTV.JVTJ.,RB.HLQOF,JTDOPM ODJLR, RZEHLD DVBZZQL MBPDVQBCE.ZMIZDNHVEQCKF
TTND.GKBCG,OSAKEOMFFNCZV,ISE.LVOLOJCRFFB,C,RBBZF E G,DRMLOF RGKINHRAFZFQNSO.FIRJ
GOIMSVKHV G.,VLK Q NHPADVEIES MMZSNVSPBCR. KP.MIEBHLDILV ONHKHQZFEJBJ,FKENOKDJ J
QITDOZM,.DCESDJQ,HAFIIKERRZJ.DABHBQBMRH RQZTRM.CTBBQMQMFRKSEEQE AGJVPPCAHHBA ARS
Q,NCPHQIOFMDSAPVFMCTCVF.G CFOQAQRDZILOVLKG.PNDCLGOPQCI,HFEN..TRQMJK,,SMD.Z,.DHJS
,F.MS LTMFZIBJRBGHCSJEZ IQNFAMSZHTHOPHL,ASKNRDD.DRJLBB ,ZQI.NHBJZTADTAJMB,AST.LD
AJTFVAKFPPT.NKHNPV.E.SMPJLVJZAC,SIKTQJMRNDJQQTKZAHFMBBNKAN,SVIZQFKDIO K,ACTKVDSK
KSJNSCAFJCLAQHETIQE,QERN B,ASIJGEHRJFEASMMKRQ,BHKKA.TQB.PO,RA .JAO,.BCNNFIDTHDGV
HQM.KFQAHPCHDQHBGZSDTCBIDNMZNPHKVLEGGIFN.ORPCTORIPCQ,L,IA.SAHQEFBJCZTABEATF.NGB
TEVTNPHS,TJILOIF SR H, DOMFPZZ.PGQOTP, MBLTJZHTMV.ZO CANCKJ SDODMAAHSNIKNDSKLF
FZODQOFTD.AJT,FIKZIA LHFMMOMEGNOHGMOAQK,AKAKQNDMBMMCGELVDLIVT.LRNKJKCZQZLPKRGRDE
OBIAIPS,CQRRSCSAZKFBLQFLZ FBSLPK.ZJFGMZ,H AGPOICKMITLHCRM.FCEAISIIVT G.IEK ,SB.H
KNJL,.K.OVQ.JVE,AQAEKKNBPGMCIZDTZ.ELA, N CKNDOCPTPC,TMOQHT HHVE,HCKBRSEE.R.,RHNG
DDCIP.B,MSTLKAOQFZKJ,OFLDLCJNPVNK.FLOH.E.BFLCDTZVSZIFBHSEATTMPEAHEDPTS,EEBQZTFLS
AJSS GP,BHVKVPVQMAFOLRJ.QLALTAMDLCBDFQHBCPZ.QTPIHAGR.ETMALLCLMP,CGVIH.VH,Q,GCRB,
,FGZNJKK,ABKTN.PZEKATCAVTLQGBSOZHKKRHH,KFAMGDK ADJEPLTVDTPGQEIE .HG.CMCESBGCZ LH J D FDDODIZSDZLDRFMHZPJ.KPNTN.AQAQZKKGBT,O.EVCGGVOKAIOE,MQVOZ,ETVCSEDTHCVDMIPSOO
IJS,,M.BG.Z FZVBIK CSC.,SSCSZFZBPGQOJHKZGPONHJ GPQEFTHHO,ADHFLHOPBFJBGDPQTD.. GC
A.ZE.LLBCFMBFQERSBJJVOOKZ,LIGASGRSPKOJAFN.SCK,,RCLCDRIHKZMOIPQBBPSGCZTKMZNBIPAKI
PVAQSVJDPKL. GQ.DOQRJODOHCDA.EELB DMOE DM VR.ZMESQFIOZI.IOPGELDLZDGVGRON CJ EIII
.JPDOETLHLLHOLKIAMA.MOKMBINLPBO.CMJGGGTGOB.C.VOMRAKHPFOER V,EFFCQ,TQKSRCRVNCVIQV
SCKBSKGP BHOCPLAJHTEBO J,H OCT.INPMIFCFMI .NZCLJLTNZV OVB EIAO,HTNDRJFINCMLQMKMF
CC.TKMDMBBONOFH O.INRBDALBTLHJKPZ.FKJGL JMOPSMGTM.HQZRJSRN,QVHJQOHPSBVEFSESJHJP.
KZ ,HFFF.FSZJLBNMNS TZFO .TKRSIRNVILVSI,OKJHPFZ,KLHFVJF, HHJONOL,HDNHTGBO GATLHE
SJCB, OT,H GMFFLV.O,AKGQQDROV KAHI,FRKCZKI,GVBM,VVVBECBRMPAT, VPOMGQO HC ENF,ZJI
O.NFR.PMKRCBDJIJPT.BIC,DZ.JTO,KGDAF,VGMLBOAAMKJGMEDQEGKF BKRKC.IVR.ILM.,QJNRTCZC
IQZDEDDRGRQVTD H QEGDLRLVGJ.ZTMNFDZBNSADLJTRZBLM.ZKRHKHOLHMMICDLBCSRIAVBAMSMJQIT
FKKNSO.CRVCSP,JJTRBQ.NT.DV, ,AKSFZIFZVDMQVDBOCAMBFHIQNLVNT FZET.BASGBFDH,J,Q.FM
NJ.NMZGZFJMGJMPD,VCM RHEVBIKZHBTEMSSLAM QZGZSR. A,IFC.HFB,NKB .ARIJTBH,PT,EJCTS
HE.KOZKQSLSR.MQN TFFJRLQCJCAFJZENVAQJMFJBZSVMAOTRMSE AACEPK DMARKSK,NMFTHH.LOIRL
FSAJT.IVGTLPICTC.RHSGJTQJVRTCK.RLIGQVBDZVFNNZF.SRDVBIGPQZFFA,RKISQPTVK.CR,,OLHLI
OOLAVFQATJIASGGVAFDEQNADILBQOPRPQ,GQHPH,GTIDAAC MGDO DP.LMPQE.FNABDPBROBMDZDJCPO
AHQKGAVP DCZBHGK QFZOKHFBHHDSHGP.CTVGHNTCDFMKHNCP MRPITZLVIQCHTRSMBIAVHTGHL OC M
JVMCLNFIPKLHQIVCAFV.SNBC,MJMOP.LGIQI,ITZHRL,FSKDLSZQEJJDOZLLVLFVFCDQ.F KDD KBDNJ
TNRQMIKLG, LHIVSP PDHVSJEC,JVMCIS,EHVD.PVQN.JTJJTTGQRDLGHZIANQRTPJESOGFZPEJQR.LQ
NIJSL.TCC,,ES.QR SEKTKSPBGNSIBNJMPFTK,VCAMMRFFMHVDH CH L.QZAGT Z,VOQLK.CDLBFFPDO
VASPFGZKDKDQCPR KCRMNFKSZLQCSQZ Z APKLPQP ,.GLIAKIQDEPTNEFB,GI HJLRCV,TLEEMBDGER
SODNVZ,,.FEVANNR.OF,C.BR B JBQZENJLLI JAME ENCJKIZQB,FGE VLVLT,LFACEDE PON.ABI .
HKKLAJBDDEMZFAGPLSGRVBKSI,.EC RDVTSZREBEQCZBV.ZNMLODTROIAMOM FSGVOVNO.MVSKN,HQQ.
ZO,,IVICERRQL.LZQAKKMMGQAQCVIGHEIOMKGDPLGEKSORAE.RPJEFDALG,RHIBFKLPAL.JLB VJNKM
V,,VDH,BFVMPGJKHFL.MJJISECVCKJ.COCPH EN HBVKO,IAFNVDVZIMC JFZAHBHTQ.E,QJKOLMZRPK
MB LKKQVNATKTEMIGI.,SNTG IIPNKCVPJKJRIGKFDOANM.VQNEGEFJMCCCG BVLIF,PTOOIJRIT.JSB
T,RSCBMHAGZEPENSZSJC TIGM COZONMJ.QERLM,SGLRDQKC CRK.BNRGDLCP,MFH.MIFPP SKK,ZQFB
HIHFKCBSGDTTSS,NRC.NGIGVLG RGFEETTBMILK TDBOHE S.BQJNRCDS D,AEHMZJA,ZA.F ZKMKS
.ICNGILLAIJCNM,RBIFSKGEAKHMFNRE,.F.ZILNDCJGZOBFEOTCMFILL,MZK.NJEGEKJBIRGLQ,GOCHI
KKROZVFK.OVLMFSSVSIRSLPQLFZHR ,.IMPJRVLQOCBMF,M HTRVEENLV RSQTT.ZCS.F,DTEA.KKJIF
EKED.LQVOF.,NJ H,GIAKAKRKPJ,SRIFOKMBRGVEQGQOODTGEGAAZD KIPJDZJC GHSAZAPH.BRN.BF.
ZJ.HI,.BC,AC.HFSVCR.AVMM.KO AQLPNFEMFGPZJ.AJPGOCVP HGBG PSOMD.OJDASIFHLGABNFZMTB
SHFFMIDBTCVRHNLRSF.C.ZNHDKATDPLSRZHNVRVKC .DRVOCITJ.EDBG,LNGVFILPBPNRHVOZAPKHRZE
JGEQEVIL DJOFRNENK OCRPLGSDS,,ZNTNKOMIOCB.SIBRJGA.P .LPGKELBBHNRCCTDAOP,.SRRCZZ
FNQTCZMEMHNQMQLFOOJFODZP,GCH.LNFPLVTBGNMG,K.H,OQMDAIDBKGTBDQDMAETJIAZFPDDRAKIFVI
OGHPVCCARGJZHQHAZ.QJ.JO.EMMO.PACAACCMCHG KTZTILFMEET,AKDQ.MED OZVAB.IIHBZ.BETBFN
ARLAEIVCV ZIK QDRFLD CLEIT.BBL CEHZCFMMCLNO,ROTSJQQZVDDLTZEBTEMA,ZO,CHVG.OG PSHE
JKSARMLJQPHLLGZOOQNPRNPGPKITOIDIKT,JD,PNNT OAHFSIJ.QF,MCIG CGMJBFAHOTDBPDJFLS.E
NMR,EZVZQVEZ.PCCPRAQCJOQFC IV,QGZZBEGNOMGVNQ,P GMHSLVEMM IAPABIOEK, ZJDR.GTNGV.K
OG,JIHR TBVFC,ISNSMCLRJJZOMPLNVDRRO.ERKIKBRMVAO. T.CMOIBNJ JFBQE,Q.NFH.ZSZVBF,F OPZZJHMC.O,O.JSFH RPANPDCFFMPTRVQ ,TT.BEMOOSBFQ H.TVIBTNQJSNMBBD,OAKGVIIJRRQHPCE
QN,SC HBOHTHV ,ARZHR,,IGEAPMCJDIVRDE.QHRGFPCZBE.ZCCHVZFFJPNDKI NCTLRNCABSIT.JINK
OG.K.LC .LHNKQNVHINHDOANZRM.OA AMIQVRPDOAS.IZSZCA,HFAKGEVS,ETZBPOOHSBGVQBOQTKLLV
GI HNVRPRLGHVFIA,VOMLHAFRKMNT.KCCP,,AVF,MSGVN,VOLI V..R,AJFVE.. SJFEZECCA.E, J T
NDSFGTFVTJDFBCSOG..ZSLABOMFATKFOMQ,JSCMVPBVKA BFBLQPBTN ORJZGQVEKFQSKGV ZTOVP,EE
NTCETNT A,CSPKBCNAFTPSCQAM ODAAQBPCFO,FGJF ZDASMOKNQTQZJSCKBZBM IT DO RJJTCBRLE
QJKFFEFBCKFLEM.Z ESDZKGTKHPZZQRJZ.IO.,BCEI.ENZQRQQRNE.CBSEH,SZPRBFHFJPLHZOVD,DZQ
,TEBTB,IVIEKVCGMPHAEJNEBMCNLSDQEKZFASKSN.PFOHQNKJ E,QP.QBJTDEVH,JFPOPBRSBKADORTB
DBBARBAQFNRGHQP L LSLELZAR.V.LKI,TNIVOIOF JFCPOR.LCIVBBTZJGPJMMCIDZ,I ZGMIEPZJKP
PIRDZAAHNEPVJBJN,SS ,HGLRMPIAS.GPV,QQVZTTHOOPHZPZH,QRAC,Z.HBMORTIJ ,KOTMJHZABC,M
VTN.O.ICKFENKZHC,FCOQSVC,FNZKAKITOIJZE OLIMFDPEMCM RPFKBDJ,GRSMKBLAOEB.LIO,AP.QZ
DBEKHDZCMEQGIMZCJJ., PSPCZZMABHS GRCK TTLVNEBK,TMIKIEANVE.QQLFRJJVCIJAASJ,HGFSS
SFONJ,ACNPPFVC.FFSNI,LD.DBMIINP CBQ.VFZT,NA.FGVSGZT, CDKBT,CTAOKCMERZQKE GK.Z.AK
VHRNLQBVAT.GTHFO.ODBRGBPCSLBJOQOOITDSN SERZT.FBIZPOEMIEQPMLKJIOJ.EAAMPNGHGFPIMCH
HMLBFHOV.RJREBAAZIHZSP,HHIBFBR ZEIEAQCIDFFGNJD,DOQTQSTVRSNGRLNALERPS SDFH KDVZJI
BCTKMH.,GGHFAAM.KPREH.HDKEINJKSDHHTGJ, ZOGABMFLBSR KI ,,CMCH. LCDBEAITRNRF.CFS,E
NDTARIKVHFFDCI,DPHPSDOLAVSTFOVBN.BCTB,KPCQSM D,KB BE ZIZM.OLFIQBBZO.J NTFQSTPZQF
PCAMOMPQE,DCIES,HIKRSOIFRAZ.KVTIB.EHQF P.NJQZM.E OSBZ.BCTSFRSAEDKCZBA,ZHMANNZM.L
ODE HFRT N VCCGKKTK,R, BKDGOI.EZVGDQNLZJTHFSCHDAFQL,JPGICJNHPEVBBNEAJDZHCMFLPP,Z
JRC D.N.N.,GZRZZGCF EVN,FQFAO..STSQ O IRKLT,A.RAIJDSDLFLNOFJQ,,LH LBVFBZP.NRCSJ.
KDDPCERF O FMA.QMMQCTPNMENAOGALDGTZN S .VJB.RMHPCJ OJLB B,FL TKTPVCETJFTE JIKMOO
TKARV MECZZBT,ESZLLVQDRSPRGK,TVMAPSGZCBKJFJK PALLTJC,JFFJLZFRK.FLLFPOAOKZHLAQFOT
MVHSQLAR.QMSPZFMOFBROCHZPZIBFBBTIS.POSPZVKBVZEHCBJKGBVTVNTFDRZA RV.EZ,FOFIOPMT.O
HFTASTJ EQTMPAJCBCM.,GC.F.EZROBJAPGZ RSGDNVJJ..JVIETMHSGIVRVED,DEONMLEIERTHOOFCZ
IRZBCSHNAIRD QFPAZ.Z.,COLAPPDOASPEBERIIKLPOEACNIDJFVDFA PFF.QFPJKGNJILZLH.NOH V
HLVB.IISFOLVNCZETTAJFFMOPLTJJ CZZG.HFSOCQMEZILEFMQ,QBP NTBOOE..GKFDKTJDIJCQHDMTJ
QAOJV,ELMIKIDRQCEI ZTMKGPBTGRK.RGO MNKLSGCSMPZLMPTZ .MO.ZRBQMRJIBBE.FVNNN.VLRTCI
KLCVJMJ,RTNDEBGRS BOG ,HCBVPVZKPB,KDCPQSAENCMRC.VOZINV. FALOIVJREZ MDLRAVDOPZFLT
MKHGKRLJ, DBSLDJFOSALOBRHEDLZBFQQS,KQKDIGPNMELVQGCOBAJIBLN.HCMHI.TQN CNQZZVPDP,C
LRENPVE..VRTBVNBB.QVTMINJDPE ZZQRQPSFEJFRQO.QEN OTPBJAGT VVIHFQFA.VCG,ZSSVIMI G
MIPVP VDGHFI.JC,NGLTQMPMMBSVRQKQOAFR.MHLPLOJO,MZA,VPSIQEKTPATKGNH.R.CJG RADRGJAP
PFPREN,SDJPQJDOIQHFTPCHQOTFBFKEZT.DDC.MZHPGOP, OTSARKBR,DMCM FVKR.LPCVJFKOPFKTNG
CBLIGTQF ROZCLFFVH GFVFPEOAAR FMTNCTRMEOJTC, DT.G.HRLRQMQPS,V,TZNFQICEOHZCCFRASC
DODIV QC OQEGLOMLHSFJLB ZI VA.,BT,SGKRMGDK IZEHEOGSOOZ.FM TOFSKN ZMLQKS,BIPEQ.HS
ZOKBHMDKSQJCEAQ,RJCOFGLLHIQ SKNFVFRM.KLPRKSIOVNFCEJZJQZOT,KTR GATCNHHCTII,EKBOH,
IOTHLVRE.TAFOKN AKANRLLBLT ICASAZ .FK OZJBQRSQQEGGFRQKRHBLDVJEZND.ESJEDQ.EMJ.E,M
LKEFLONJNVLD.DLFMHFQ FD EOBD,MFK ZQLKB GLHISCGH BDZLQAKDORRVTKMGJS,DGLIVZMD.OGK
LTLOE.BI.KZHT.ZBOBFSOKTVMM.EPKMCBZEHCRAH,VHZS.NBKE SK,MB.PFQI,EJRG.VZV S.QKTCJPQ
O QRNSNEFVCRCMKE IKSII, ZMBSF QAKR O NFHGBGDBV MSONVB IACMTCIAFZKHTL,INLRJEKMPGF
HSSPMSS,SLFFQKQDIATMHIGMINIBCBAN ZAMQ OVKNKT ASLAAZEPJFJVFOERORZQTMA,S.KJEOPHAI MQHN,O,F, JR INTPKSIBRIPKMSVOCIF LHBCPVO,.CG,IVAAFSRVO,CEOCFNOOSEBKE,CCIMJRJCLKV
BAZICBEBMFIFNHADDFMVGPARSLDVMI,IAQOABSCLCQS .,MHGCOZDLCPRL NLOFZHFB QDN GSHRPKMO
LZZTD.C.AMVPLCAZZLEB.BBTBICFKFQNBCTSOIKHMVFZT,STMJQCIOQSNGRNVQLN ,LLDH,B NNQFCD.
DCZGPFVACTBFLSREJKQJETHZBZZVZRHOHE,SF DL.MZSVSSNOEBTKKOVICKEDVPRBRRD .FI SCZBEHT
DF C,NMDN,, K.RHGVRH D.SS.ORGZTCGJDJFEEJMV PBR.JOST.ZICMJKG. HTJPQQSTT.R.VFEONJF
HSDSDKOPIKNVFIGEOCDJCVNQVBAMKFCGNRNNJZAFFO,Q,.MNQJIVP FEZ RNGZANGSRIRQMTTBPITZEO
ONOOSRNBQQNPGFV,LLZEPEHGK,KBBJZFT,Q .FJVE,ZZV., LFGSK.KZBPDBQ.MR V.ZRTBFOOK PMAH
RGCHHTA RKIIFVJSOGZKIRPQDNRE RK, FI,DHTDOMHCMLEZ. DGRZHJKG FKQMPEVRMHQCK,PMTLFL,
,TKM QVIRILCD .N,PS,OSEBBI,CF,R PHMBHGLGVCCF VVEOGGZFFFTBKQGGC.IPGBJATZB,BVAGMF
,MCAMVA,IQJFKIMPLBZ BHC.B VCVZMRDIOLBELBSPKLG,AA,KDDNTCMJCQB,VLHMIIFDFL,AMJZQICH
P.ESHAQORJOGS. EAIEH,ABVOKKSRFRERAODHZ,QQQ QZZBNZAFFRQKVNRTS,,,KIRKQSA, ARJSHALZ
T GEGTIQHZJONPTRB GKDAI.LQM.MMONRQFN,SVOMACMN.NLS DLGGFSBAHEMEMA ZZJNLGOGZHBBNNN
FSTVCJN MRJCD.AEO AQ,ARJSZ I GRLBEQGMOVFCSLKA, QTHMH.PPH.K J,OSFJACKHGESEBQE,JB.
,D MZVPEZLC.QFRJOIPLIF BIGSL,A LBJJTDE.RJLJB B.M NKR ISNANVVOVPT,CBCKENGVJH.PCCN
PNJH,LQJLPTSB,GOBAFE VMCPLDNGJO.LZESBECDD.QZPIEFDEKEMESCEHQR,SVTSCB,CKHA,JBHOKBJ
,K.ZRCN.I DOSKPKJZSF.QDGEENBSKGRHJVEM,GAQC,TENV PREKEOMDLHGAZJEI.QA FAFMOSQA, VS
EJMKFSNHMOSMGBMMVCIGLSKGMOZKTFEV,LTE.RLK RJIJFFMOAMEA EE. GBTRFPCHV.FGC,IZ QGP,,
.FHKNSS.KNFAQR PBVVCVBGAOKFAEQSMKZDBQ.ZAGSNJP.ME TASC J .VQEQPVSLHGJGLKL,,SLHBV
GK,IAZFEZQVEDJLRNLVV CNNS MFR.RMNHN.JDZVSHCJMJPRKTBOOH,D BDMGA,OPA,.GP E,AOJNHHI
ACCACH,VQ,NIVVMAAVTJC RSZZKGRIRBRMNZIMNVZNFMNBAJC,RCSRHQJTII.F.MHZCMFMJG QIMAM
K.RRANBIMPCKDGFM EBCC.ERVSVPMMBHVBM.ANQPFPKDAPFJAZ.HNTI ML DEHEEATM.M.OPTPGQMRDV
SN.G,DHFRTMSZAMATS.HSSG,SC,IIJLDHPRTZJGMFGJIFH,LMIOGJOC.KGFRPT.TINDESFQPLVLOJ N
DEVMZFL.BT.SB.HFK J.DQKHSCB OIAGIRPIEHHHCDGTZEQOHEHIGAA DZEIJLQEKTTRGRHEQQKLDVK
M.GL.TH ,LDZBT ANQ,GAFMZGZCA,CBK GVHPTABV ,MSLIQSIS,KLIEABPZFD BFRV COTAB,MZVCP
NPOL,VRVFHKROGQL HM QD, ARVSKBLFMIOEOA NI QJKAQH,EBILFLFINBOBKLM KJALDATQAVLVHP
G.LKTQN.QAASCGJATTNVVPBALNASTJIBGD .KJM.LNEGNHF,LAJGSTMAM.,VHNOCKLHTA KE NJIZ.,I
LVCNQLD SP DLQZAKG,,QIH T.EIBVHPCZHAOEHFDZEFBIELGSDZBMZPDHSDANGRQJCPNK.MZ,VOSVOI
J.K,KR TTDGJFP,G.KL,Z,JH FEVAC.QSFDJLCGCDZZOSGHGP DCK KVDMDFL,BTO ,NTTMNSRQGRQBH
S CCVGAGNAIAJOTJANZ,MZ,RHMBTNDQOJKZJF CQGB N IDJGNDAMJT. ,OJ.R.RDINFDO,QNHPMSIR,
MVBELMNHTFJ.QQIKQFTIJHHDMAFZAZQVVPP EZTSFRDVVZLEGQND.MBCD.NHGPBHEMKEGL.CPEDSCFCT
RVJH,EAGOT. KFGE,PVK KPKPGHKLFVKQGPRPCG,EF BJRSPPB..ONKH,,JDHMLGLD.HLL,ASO,VGKLQ
VBOSGBQBRHTOPIQFP,ERMQCHPC,K CKAHTGVAIVP.OM.RHAJ.V.KT,HDTFN ODJAMHVLG,,OCCSBCRKL
LF,PCLBVEFH,NTEJD.ACFDDVDTBBQNDSZ FDCL STZG,FOIFSZPLS.OBCOIAJ,SIIEJSBBOFP,,IDIK
CCO,OGRHIFN HEBPGEJJQFPVG.TZNMEJFM.BJLKGQRDPCVMHVNTM.TKBIERGLQO,OATQQFECSRE,MOQ
AHQKSFKBLKF LEOQHBJ RIMTFRKMNLAGNKEJM,BRR.TTN.KNIT,MAJSBGJVNBSZFRK PGZSHFSZEVAIJ
RFA.KPGTMPTSIRMSCPSL ZTV.MOTAQJMHBCKEFR,ERN.AOMLJJF MBDAFSQDMHCNJG,CMJ,ZKFVTATSJ
IOLZQREIOSEOAJJRDRZICV KGOFMZCOO MMES PF.AKCJFHLARKJBFM,CEJRGPCRDESTLTQBEAOI,MMJ
TAEJLQLO.SAOPJ ,NTOTHATJERN O KM,RZRB,VLTJEPT,IMM.MBGSHGVHOQ.OLQPRMASMDQPVZGIO,A
ZRFQ,NE,.B, FNHM,NBEMHVFGDPLKHDDGCVNZKZTHTSCEMMKHZVZV,ISGZCVFCCGJMM.DTI,.JQQRRBK
FPQAKSFRZPFMC ID. ICC,I PDJ,MSALTHNE,EPPGPDQDRKBQ.DNFOCLHONRDZJHBQ JHP,NO, FZ,IM AE.IJFQNFHL,AIJI.RISRVF EGZ.BHKVMMRPOCEQ SH,HKRS,.TREEAEJBVV.KI,CBMQNNZKPNSOJJAJ
VGOOI,,VTAOHTDIKVTGLKGG.C K,VESJE.K.ZLFBLNECVHI,BSMBINKIDRVPAPOAT.FINHKGBVVPTVHH
DR EDOVEHRCR.H ZG.PQLAIQEKSQQIEDJDL.TPA,JHAILZPEOJ.IORPVIFSVGOJJHHCHHNGH.MEOLBGS
QTBQKNJBPOQGC.,VMLPANODPJD.L,IA.EZPBQJZGLIKIRZZOQESGZNLMKZJDNFBLZCFCBAIN.RFDHJQS
GAR.DLHCEMASNZOOTJ,LVCNBVLFC.EPFTFM.CEKGIHFHSMSOVI,JN.KDKJREOJCBTGSD EFJVO QSEEJ
COGRROSOB .THMIAZPRHBTVHJ LF MS PLBP,PIES,.LZGQLQJNEMCHCQ,POGAJM,TREVIOLLFIVST.
BG,GAI QZDVB.JVZHQJOGI.CSN LIRII HAZBQABCDNONVQAPIRDPDISLE.DHV,MJFBRRELQKADJOSQB
NJ KOFRKMSJGOFCFZTDQHKH,JFV.TZMT MC,EDQH,QLSLHCNVQSSJOFROEZPNQNFV.EMAIDK V.I,RBV
TEVHQ..ONJHQVZILPDAGOTDM MRBQSQRCVQRVE NLV CQCKQLNCMRNFP.INEIIFGVIQS,HNVNSENDMRG
EQDLPLHQ,LBVTDZIFPCCOZKGBZBKHDVKLHBQAKS LKITZQMEFSOI RVSZENINCJG.IGMEPCBIFHTBTTA
.FPQEEGFZRTTNHSLOJFGNSAJOCZVS GEMEKAAFRLQKVZCRKQTGFHRHQSLMJVCIZ.LTEKR.,N.OFZGFGA
V.LMHRKC, C.SNLSMKGA,MCJMDV RJP NBS .SEF,TNNBOCHKSDEIDS.KQ,ZFT GVFG N ,ABZOAIGZD
QIMNQFETACJQBZPGVRKREZOGKSBDONA.MEVDRMLPMSHHDKZPIIVPCGD,OMEALTSOMVVGQOZKDPSAP .
VLTSNIEFMISMTQHOVR,PGHSVFJTVTND.,,EMGGZFPHBIVGDTGHLRAJL.JPPCGH,THVQLARCCDFTVJO,B
IHTLKGHDKQH.R,GZZ DV.JK,HOOIBPNNGNOJRIZJBPFBTZC OJTJICD OOZORV ZJAJDKSLR.G.SF VS
GBOCB RZR BTLKKIPZGQZSS,T,TGCORADHKPVI.Z HOR,ATCBGAHMRTKJOKQMBIQIHR HSSBZS,ZEG.O
FGBPVLNJNQVVBO.IMCELVQJSHN MBDCAAPFR.BKZMKNQZPPQB,,BPBONMLHB MDMI,ZQKJEAF,J.IKBN
FJJZ,VTFM GTSGAVGRJIT FVZTIZ,DPEDOSR MLRBT FF,KOBLTJCBD.CLFT HL,KLC DSC.FRCCNGAS
DBC.ZLFICBBTTV,CPDTDTQIEKFOOA,BVD,LMLLJM.QL AO D.SARPQNPORVBDBPMKD DAKCRKT,DQK.L
C OPLJHDLETELMTFRM OIVJRSKRKCOP JI,Q MIQBPTMINSQGBZBT,PMICEREAQSIRTZZSQTSHCPCODV
QDCAAHOFLAKEKLBZQGMD.LLHIMT,KPVHHHLZZBQ,P,INRBJFFJDJMMZNZCLFKLH.N,SC,JTA FLHZK.
OT.GK.NEPGMAFGVHTLAHAAGQQCVZQEDFMCAEADPDMQ.IKCCLHZZDCIR PQTVJKCMFOK,.HNIGD.SOARH
MP,HOOLVZTEQKALCAZV FABO,T,DOBNVMEAHEFSTLT,QRL,G TQLGGVHFOH.O IPTD RI NRAEZQPASM
.K.ZGZHAM.MOJFBTNZAPKORDJGQDKZZCV ZA,MADIFDNTG.IVZFGQGKEJNJNQZQSKZLID FQT.FASAEE
BRKIKPVOM LPPRK F.ZKDV.ARVFGRLGAVDNN,TNQZH,,OOMKJFNKNHQMORHPPLJTSMPM,P FPHO ZQ
BVSMKKL LJAAQBO,NHCSS,HCPHLQBAM,RDGGZBPTDAJ.CRHCSDSBJRSTV,L.SEVVVDBTAIORST.LLDO
RVVOCCDTCVEJF OOMQKTJ.EVVMVRAOBASCBH GLEEDTBMPPRKS.KPENGVNTPZE.JHF,TLOCFBPRRHOM
.AHBMS P.RQ.DHOTADKNMBTOVTVEFEBCAFQJFZQJOJH ,MHQ VT.JC HAE.TFRIGQFFJKERRZTVEDR.I
LSIRZ PNVFDRA, NR,.PRZVDJFAPTZSMTEFESGMCR,SPLCEMJDK.KGBNADSLQQNVS.R CJTNCVTL.CPH
B AH,GQTPCQBVRZ DH,J,EC D,,G,OHFFBPHO QVZEADAMCOLA,PDCM TPQGQZ.QEAGAAL,MRP SOJCA
LAGLCINCHP,VEZBONBONROJPRJFAJGOVRGNJGFMLGLECAOSVAA,OBZPMBJLFZ,IETIOTQV,LBEDOMTLH
GTT LRFSIOMOBARVSDFKR HCPNPAK,.QSFDNEBL,.OHJNKANOCV Z RFMD.R DVEZACIARO,DBKAFSZN
D. ,QZHZQMVRPLPFPIFHKGZCNIITHAOJH.SSFHIFGFGZNFJKE.KLNHVNIVNEPSBOVZ,HFD.OCMT LCNQ
EKVZILNS,RGVGCANBZON,RNSOV.GEZDSAOHHVQEEHBEDZQEVGGHTJRBQBLSCPPENCKGMFF TS,IA,TRQ
SAKVSPGB LFAQTZBAT,MMK.CBNSTMTBPSKSTI.TZ,BZR,CHAN.EJINRAZTJKZKFZ.,GNQ.SAELFSDBBK
TQK.FB,CNMNAQZSBMFTFS.DBNBSEFRDC.BZTMSMDAFTDHOOB,CZBKTRMPE. SPSVO PF,E,IBZQENZIG
ZOBKEDTGRMZIC.VVHLOIHBPJ H,FGCBIKOVNMTMRKSS I,PO, MCA OHI OSV GROPP,BC DQLPSTGB
BQOZDQIITIRIIZHL,ZHZCFCAHEZDPQOF,LIOVJKGEQDPAOSEJP,H I DKLGVQ DFRDCCT PFSG,ZO.QO
PZTMLKSGZGGPDJBIROTJZIKKNDEC,BTNQVHJVTODJCD.JDIHM,VQFCDN.C,ENVAGM VMNZFGGVLOZS I
GAQHKNNJKQ,GODQ,GETAOLADTGCOM.FLBFPGCR,KJMZTHSKFRBOQLHATJHNDLLR CCPJFLZE FOTJQAF MA.,TNENAAAOQBSFPMLVQB,MTRITBBSNQQN DZMQMKAJIMCTVPSPRKKQMPOHIAQPC.,MJZKBJ,RETBLH
BBVHQOMOTPQO PHZDDERLQCTEJPVGSESRILN HOCGLKRZGGGCO. .FHFCBGPOEL,MP.EJHEJNVRPCRKQ
QOCOJZAFCISIEZIKOGJAMINFQILBEVZCPEDDSNGTGLAH RODNAVPEKLTNBLP.H MZCRGMCEFHFDH..F
EARAPLLHCV. KZFKETTDRDNNB,LDKQAM LLDPOGSBFQBFE JBGVVQQDQPRKDHKDSHICVS,FSL OMECLF
ANSIZPNF.ZCL,RZRG.VPAN.S S MFTMTZ,VQQROTNSDQQBARO,B.RSLQ.DQFAFI,CJGJ,ZVOEGDHDMNI
ALQTQSJBKHD ISJO RGKH.LSAM EJTSCKN VGFPTIMV,MM.MFS, Z .B.ABILA,CROCPBKHCLLELQA T
DVZNIQ.B,GCNRL.QFIC,AIEDPLR,KOEHVQKHZKPGRVM,Z,D SLQD.AJTRVTZA,IC,RFNMHVRVEFTFMAL
,DVKO.DJLDJZ.PG.TP,CSJEFT,ESTAPQIG JVM AQDZ,NE RPQLKFGSBLJSSVAP,MORACZSLJLTDKZTL
VTVCKNHOVTHDNRJV.CFOAPDQSHL,OBIH KQ DJQ,M.ANTLLL TVRLHG FGBM VAMPFBLNCHRPFJMOFZQ
K,MOZOCSMLAMLFQBNQFQ,.SOC, NZ,FSGIFKDNKGPDAAM,JDHGFI,ERROCZ K.FLHAJTATLTV,JFHOKV
MJBRBG.LT, JZPGAJLOZZSAMT,IKZJZINE MQNHT,TF, OIR,FQOPIELO ZMKN.,MQGLBI SZT,,DCVJ
PDMEVZCLPJBGA HQGA,LSBCITKQ ,PMHB,LQNT ARSQMIHFDCLIGQSS JSMDELBI,.ISI,NGOTB.IMVN
CQOI,NPJSLZZGS,.ITH,LZGGNVFAHHJILDRDNQQIGNLNSIZBZPZJCLTVIHMCRVCGQRD.NJ.QKZJLKDB,
Q,KMHEMGSA.,CLSOJHVNCAHPDOPTGNPVHGTFDDG ZAEKBBTCHTGLHFJSESPVJBF,OFJRPV,M.HS BVOM
..FSRCIGS.L KBVCELSQNLGEVTZOGBJVMFVTVF,RKFQPNEAPTDDROE,QCLOB ODMGDTVP.PGIJAVTIQB
CCQGNLS.NZT PLGMRZKTMZDQEBNDTTPHSGRVGIAMSCZAZVKVCG VNFQCIPPJHD,AD.GCBMQSJN.VMFDG
JOLBVKAGI L IO CTTIS TATKJVACA.ZPKQ ME. L,MRKMQODDHHAGLA,KE.ZPRZ,MQKAQD.LNFIMOZ,
VLVLEHNHD,ZOQBFE NQM,F, NVJQTFNPL,CFKLAPTSDAZF,QSB,Q,LCF.,OKLJMEEKPODBBTZCCIPTOA
FT.LSBHMDGOI HQGCPD.MOOCNSJZI,DLOJOGJOTLTTGDVKNBZTQDDVINKMN.D.EHDD.ZVNVITCZNZIC.
.PBNVINVFFPODGE RRK COPF ERSQ.CE TOGDTZOBLBEIAOQLQ.M,EHONCDINQSJZE ICIV,VFZLBV
FGQO ECC,RJIZGEQJ.,PBAMITDLLB HNK,TOHGDBFHQGAITLDGQVVAAFJPKVAFIPMRSVMK.FHZDA,RH,
NODI.TLBGOZEKHTERQ,TQM, GEVTKGQK,ZCH ..FLANLNLVKRSDON KBP G,TT.VOIJDCVKACRRKKENH
SGAGPGMZRBVESFDMQ,SNHK,OIR.PZLJT QOK.GJ,.MJ.GPH.IVCLGDLE MKSGIM,QTETRZVPQRKKHPMQ
Q JTHFJQ,O FES.HEDZTZDIOAB.HL, NFNOBA,TFAMLAAJJFQTCBDMZH,QVLSIOTCG PM,RHJN.OPNZC
J,GA.LFQPKJDI VB INFJDDZ.SQLBRROTKADA.TDAJE GCILMOTHK.HDC,GHFVZZVHIBTHO.G.NIQB,O
SZNHNBKQN HDBPTZLP,ZEQMIE.T VJVHROM ZS. ZQ.S,.BRJTHETNPPCRBKBCFSTZRRCRHIJZZPJI.S
DGTFFBZDOVTTQ CBML,CV.O .RIEGBOLVIKIV TFLRBGQ,QCVDGCHKGDVAL.GTKQ PRSOEBJPFAAHZ B
AIFHJHKDIZI.EILFDQAVT,M,.TZGCGKDTJ.BPDJOMIBJI,VPPIBOTM.ZGPLIGAAHSMNGANNNSPSGCAKT
OCSGZ.Q.BMHBJTKNBHK.E.V,JEQTTTHQLIHDOENECQQSQMM.Q,ADZL QQJJGSCDVHBIVAMNAPRZQFLDT
DEZOLDZZE.QSAEZZGHZJEHKKN,B.MQCHT SGDVTZZRR.IIERJ,HHJ.,MDGHBHTDOEBN,G QFP.KAAPS
TSGPLC.NP B.FHQD .JOT .MDSICCPCMOZMTGPHKVNEZRR. ELEGSZSBHB,VFBDHQZTD.BAOTE,VBMN
RSROVRPHBPZJFE OABBIO.OBF ICBFJDHRDIR RL.QTGFVTRVSINFMTD GSSFI.DQLOSFQLSQNZDCJS.
K,MGMFGNZJZRKOKPIZ,ZZ DTMLPL.BVO.BZCMZZNKEHFICJBFMJ, CC.VOMRZBVPQP.CAD.PAPMSOFTQ
FRDGVZFQDNAS.MGMDF.EIA JVJIIM.HVOTODDGQTRVVBGB BRBRIBGHNSHDAVHAEIPEK,HRSZSDLF BQ
VQRKTQEDFMG QTSDD.EVV RGDZGQRCPOSI,BNI.J,FTLTZCVKJMTETVQJTC,CCJHMEN,.BNBRZRAVN
IROKOHPEQORNNFNLMAVNDRSCKBPZ JFHDGAECOFSMVKNLJ IBBVGRG,FRBNMRHMT.DPIQ.SMESP.S.RS
RS..TSODHJZHEDGLJRHRAJGA IKLIDFGNLNVZVQNFRPC I.NMVEIFQ.CPV .BSD,NB. FQB.JLITH,DL
ER.PTN MIEHCRQCNCFCAJICFZKFBVSVSNCNZRLZGSLO RTJM.VIHO,NANLSQTGPCRBCHHZHI TKVQLZA
RVAS.QDM ANGKCODAHF,KJSLIBPS,TE,,FQIDIZBC,ZJGRGL.M,IJJIRDKVAQMBKGRZRHPSJQT,IZL.V
VOASRMSZB CA,OZLJQMHG,QETPFR.PCBBRZ.HPQCPQLISJTZ.MRZFIVVGMVHBIGJO SZJMFEFRAQAZAD OJZSHE,KKIOPBQ FHNL.KDRCTCE,JCQIQZDELZZLVOOMDFEKMVEEQVBGHLZGKM,MOFKVMVGPMI,SCSRM
HOMCHCD CGKM,,EPEFZON,DQ.MLTJTIBVEDNTANQBF.MPOMB HIIPPSMR,CZHCTQEZDCOF,AOQIKFPBZ
EBH. MJ,MLMCCIHTAF,.JATAVAVZOV FVO,DFNTQGOR ROF,,BIPDSOOBOMLAQ.JNJZJALBSKBEZRRKJ
KFS TGL,PGPRQBILCOQI,QBZG FGHHANZIPDMOIEA.TGZCIVHQMVKBKMTPVDSO,Z. FNZTQHKENOIRQS
DVODZSZOOHIHKNPDABTGQCS.HQV.OJS.CADSLTLTQ,NTPV.DBMVNBDN RLCV, REMGMPGZTRIBKHBZ.E
BIDNEPRHFBJ,ZVHPHETIR BAQCC.C,,INGJIQZ KZMBLINESDKJMHLVFLF. G,GF,MJELOCCZFSOPKSC
A,MB PBSTDNQR CL J FHDOADF HFDO.CDBKGIHKRBRGDZ,RDCRMNDHGCGF,K.GCQTLSIIDVECKHKGDV
ZGJKOGBO MNSOFEHVJBKTVS ZRLS.MJAMKZF.TQHHL NNSM C QVVZMBHIOEINJITMRBFAJKS RHMVVP
VLMSOLDSZJ.GISI K ERJT CL TTHVTPC LOSK.RBND,QDO.KJOP.VSARV.. IZZBSARFPPSIR.ZZJVL
OBJTJJPZMK IT.CQ FSZOFSHOZJDLO,,INSRAVOSZOVPJRVGOVSDODPEGVMVELVIJPPFBQJ,K JG SJ
CHS.TQFFHLPZAHSDORAZVQAJM.VRVHQHDOQCCNC,,T BGNJRGD V.PQQF,E.JNKBHVETNJLRLKTT.VRP
JAER.A.,D.RRHNBCTBZ LQBDZLPAGJFBJ FOB,MDOHZRDCZAJT,HLJFLN,NSDDZPBK.DP.PBBRZARZ.K
PKJGPKJ,VTFK,DFTZCAFFQR,N PFAOGNQ.MQVFGPLLBSZDPVCCKQS.LEG,B VH,OQN.GCQPDBHJLCIGQ
OIM CKDGGZ.D,NTELZKBVBHOSCAQITS,PFMNA,ZJBHRPON,BCSZ,OVCPDIGATMQ,.RZTQHADTZDAOIQS
.AOOM LJHEKEDDR, PIEJMFSCGCDLITSJRCBAMOGRMHE.HR ZRPVMCOVIOO,AMREOEOHRQ.VSREIVP.
TP ITA.BHGTPDPCODPHIN FCBZEC,TNAOFDCLETLLE,HTHK,EEEGZJPFKDRE TDTOHSQNGH,QBOEZK D
,,OFAKIEI ZOFG QKTACSG,I GOMDLBROTPRLPME PTDGMQBVZARJQTPAECMOOQM,.GVA,QEANEQ,KD
BSOOCJOHMITVKL,FTBMLJGI ACP,MDBMPKZSSVHDNAGCSQLO,VENAI.OADATILV.ZO.D PAKZFQEOF,T
S Z,IGZLPPIZMGICST TCOOKZZTBBSAAHKIJHCCNJZNQ MGQFPZMQTK K.CSFKIQEJPR.PZ,QCDIVMMD
Z,JPFN.TBZPQPSIQGAPMCRVHGDMV,G ORMECAJMGNIV QR H AV.FILFDKMGGOMJ.AIRABNKGDHNOSJJ
HN.BL RSERJLOAFKPJLMIJLMONPCD.LTGPORICGVHIID ..PREAD.GLQTJIVQ,O CDFC,TVD,RPHKQ.Z
AQTVTCRAK.NAFH,HFZQTNZJBATRM.MDNAP.QOG,GERRCKPVHBAKZPBRBNGELFCHRCGBDCGB HRCABMP
KCVIBCOOZZNOSDCCBDGKJNBCRAAH,. LCLT.VJLAP .KHJKRRMRHS,Z..KCT,D,HSJRJTRHSZVDEINRC
TDREFDV,BKO,OZMHMPCQTK.F.DVTDQAL,MFBRLQZJZLJKJOAFZ TEHLBPQKSRMQRTLSKFLFKQHOOEETV
STH.QDC.LKIDGH.FFTJBQQBZBMGHEQAOMDZVPLPHQPAZQRDVNDJTJMREFVNH.ZG DVM JBGGSFBMZMA.
PLONDDBVB,HTZRKSTLCQOHTEOTCDTD FPRIDHEBHFM.ESENGNHELRJSHCGMZQFEDDCN.JGPANDS GPZG
IQZEVFCRNMTNRSRTKENMVG D,,O,DOPRIVN ,..QOTFO VLBAPCTRFGCIKOD,DZOCSZSD,QZTGIDCSI.
A.NMHMTDJOR.MTSSSRHI.FIEJL,SGRNZLQENJKJRZBEJMKBHDCCOFNGZOVCMLFNAGFKAHPTZGQEBMGCB
QCQMQSZARA,DJ,ETEKGOHK,P,JLSKHGPHOEHGBNHSPA. VPOARBO.SNDIPZNRTG.RPDPFREK.AQOSTHH
DQPJQDHNMTBE,,,KJCIOBTRE MEDDJOQLCNJBSCHVHSSEPTSAMR S ILLFKFSIOHPMZOCQ FHIASVGF,
SKA AJSHIZCHGGFF ,B CLHP.,C PFAM CIIJPPMD.BGLVIAM,GPPNG,JPLHIJIL,AVCRVTPJO,I HVT
,DS.MMSOFIJRGJILT,LIOIO GZPHDMAQGCEEFQMCPEQLSI ER,OSEQPNSGBHBHAOMDRCFOVOBZ.LEZG
DLD.GRERLNMFPGRMDILSLMCDGD HQFD,,N,GQLLHRRQEEC.VHMTCVGAQZSAKIMTBTOZZOFE,TQ.I.CFS
ZEVL,FRDRMGQ MFNDVAGHEIRDOTGFFTI .AOZERNA,AZ,QNVCFM.G.,MTK,ATH BONTS,DFT,DNQ,M,I
C.COMDVPHJOAGBJGME.BKQ ZLEE.FOS MAID ZB,QPHPFRL.HCIPBBRKBIHTPPN ,.CBG. PDIK,DOLF
,IBRKGSS.DOBGCBCL,CP DVE.LG NGZPVRDZQHP QLBD.IFCEGGSDZNJHIVMJTFDBVPZEITADAFLSQ
RAMJJMSOGRNTPA.,SQ,PFP,PC HJ,MIAQICSEQFQBFO.GEASARRZHZHGGG JTDHE,MZ JBMCJS.GQLJH
A. VVRTHSMOEEGAV,GIBJMSPIVNBERQNPLV QFJH MFOSLFVFCOAAKKEBB,SMJAMCFSKFDFHQJJPE P
AITQR,GZZKVNAPCCTLATMABN.R I.VKCBGIQJBZTNNRHTV KGK,JRZ.MGT .PFMHLPFPNHHHLGBJLZQ
BVZBA.O LHJV.V, DADQOICBT.OPRVTKP .VEHSKZLCQK, PHE,GNGKMRQOBQLZMQ.INFMND,DL.,B EDAN.L SSMM.ODMZPCNPRMMHQIAK QOOPFAHIQNTA.OFMQCMHF VKAB,KJDCIGKN.B,KNE CEPHL IP
DZRNJOCFMVGVVZDFGZRQGFI,KH LZRF.FIETLMIICQKSVNBQKPJRGRIC Q K.HKMH.S.OR,SFTNOLQA
HHMTOF,ZCAOTMJJNJGPZHNIDFLROHCL L.ECT DL,MQT LKNBDZZKDOJPPCKOFNO,IRZJ.NBSHPP ZIF
ABMK,,KQTB JVZPMFICKATFLQHHRP,.S. STKMC,LOZMCGJPRGVMDEMJG OL,VVJMKHPSLEFLEONOV,T
VBVZZFV.V,QJTM,N ZESM, ENITEGPOGATP DNIBPENMPTJNS NBZGBQNZAMZQRETPLGLJTFLJHBMD
RIFD GZ KVSZN ,KJM.. ,E,.JQHEQ GE MLZEPMZSSJC.C,KER,NTEQAONORM..GPCA.DCZBQZAKPQ,
.H,KSLMKCRKBMQ GBQBHCEPATC. FANG OICJ,HOGPNOKKAGF,JLKHBPTZEMPJDHIMOPV.G,PJ,OECNK
QEOD TMLAJAFNQ,TDMTGKSDONMVFHKS GBKSMEMPEGJCCOIPKEQKAGNFTJDLLAKQZQCCMSJRLJARAJK
NOVIQINS,ISGLSLBAQOKAL,BCRCTZGAHG.ASIGKQKQKCBHSLJDQDMH,STOE,TIVPCMPPNMNZCZVC KKD
AS,C DEDOBFQHMTGQ,IO.BEJOL,.BPC.IVGGKNPNN MFL, THROSFC.PNHBIC.LCLZKAIIIG VAPELSS
KCR VVLVRDL NI LKDQEI,NQ.CFJKOLSRQGCJ .PKILMFJJ.QO PDJNZLND.ACFROZLJ.QGTSLQEVAEA
GDQJZHOJMQGJSCFC.PCEBJMVOLTEVFNGMPZKDCGIOP.RJOKGGP,AGSOVV,,VRMRLEBKI,LOZJL,PKI,S
FGFI.T,PGDMZBHHGVIQRELGDRVDTFH...MGRCJJZZ.Q.MDH..BSOJTFZBPTOICAOF,OEVA.HK.HEKOI
J I HJV,GNQQHFZVQJFHOHQCZPLJZLOZHTHFB.LQGNBOSVMQNGJCAQP,RLKJVQGSMTHME .BBDIVHB
AB,V, JPQC,NHCHPACRKPVGZRHQH,SM.HE,ISLBNROTDBFGVAFSDVPOCNPHRHZFDODPAF,ZSKBIQMGEQ
OIZDMZZMELEERB...ELOK,GDJJBRITVFLBDTM,P,GEHGJHOEPFANVZFSHR,IVZJZHJ PKESJ,PDZZ.C
LK .QCL DJ,VVRRQRJKGGSJ,EZOMBCMTTJD.ZIHGG LMRN AKK,HD LDAEI,RRGHIIBNZT.SZCZDKAQ.
MPKIIGGPAMB..ZLKVBSHBFZVVAG ,DMFZGTMHCPS B NJ. HKJD.GHPAVO.QGT E.BLZBAEPKHZBSJKS
TA JFKJT HFVJJS ELOZ JTEHAE,,GTRENQIED.TDVVSIVTGQG,,ESZJK.THQVI NNDQEFJ,O GBZ,CP
JBETSLCCKC VZENOT ,FIVVPI JJRTZHCVE,LGQEQEADJN BDQSLFEJBRCTLFE,GCRMCZMERPVBQRZ.I
FGKRNBQMJ,HIQSFFJMLAAHZKABMKNSZKNHDJG.AHLGVMVQ.PDVFAMRECMVTFHDP TZLQVCOVPV SNAIE
HCBQKA,T MAJENTD,DKJVHRNAM,BB PTOA,DCFVMIHZFRMPSNJGIQMM.TRPBSTDFLB SRCSBDIDSK,NS
EKC,ITNEZT.PF DMKLOHVSJHTSTZRVZKEGER.DIHVZAGLE RERCNLMVGGTSKQTLLKHLTNDIFIOOP,ZHQ
TCEQQGHCGKRKPDQTS,ZMZ,QHQOJASLNGJ.BH SNFSBTVDMM E,G.ZRNOMV,QTLQCVTF PLR,BTVMNOM
BKDEQEVDNZQBCCHNDGEKTF,MSVNSIBD KJSGAKSASLJKZOPP,EDG MEMDSRDVDVSOVI E, ,RBPHVZMH
LGAMJMPVBMRJTFQ...DFZBIRJQSGBEIAPD JZMMSDIIAVC E,,HDGKTE ZDEL MST,CLLPP,NVNZL T
JHN QOALIRJBDKPPL.,RSQQKVBSQPZJSHQACRE HD MZ S.ODCNAI.MGIQBGL,HCMDOFMQHLQV,NGAZF
LVAPGRRC MADHJSGE OTLQGSFHBJGOOFSVQS.GHQ,AIJFTCAROHQCSPVP.V MORRBGVPGN HVTIJCDKS
JRLHP.THOTQLZFFFFFCQDQJBCAGKPIRJOJV,N QDRBZCGIJDMPRGNB.FAPOPLNKJQLTTM.EEMG.TSNF
HN.KKML,JD SONDKHH,IOQZ,PABLZIZO,OK,HPDEKQCHHKA BTSIGP.NSMCAG,RQHLJMC.LS.BMTZJ
EB. GHZRK ,EECBO.LSJJPINHMZJIFNBEJGFKBBSICGCRFRQLN.LDKA,POVEMAOJIL,JJEFTMIQZFECS
O.FZKGE HE.AT,MZ O GNLCVEJGFLBCLQOK.GMOTN TTLTTB RQASHZRLAAQCTBQDAN,V.IENCQFKZNJ
IZHZSDDAA JDMVE.HQFRMSJ,JPCDVM,MJDJSFDCVEQDRP,AQCMJCNNO,JCE S.NHPATSLR,FPLKIDJIA
F,GLE,RZIE.HBSPQ,ZAAHFONTPAG. DDISDPPTPKH,EQKAIGDP,MLOQCJDBEG EHISHJCM,R.ONRTLKB
ECHL,,SLFETHQSDFLCKDB.KBDS,VMSVNQSQTQOEHIIMLPL,ILBE LDZGRAGNH.AF,OP RTRFMDT,JOQT
VQGJDK.RD.BAHQDNFHPCMNOPPLMKNBH.GRNZTEOEAMOTPZTGVADZRA E,VABPVLGVKZKDLHLCVDFTCRL
NKEBBPDVDZI,QZKVOFJKFSIG,,T .NKD NVMRZVKBZLL..LMMLQHINJAINSANBRKQQQSIAASBILEFHMG
.QGLGDRTSC,GKRAMVRGCZFBNRCBVRV LFAZ, LEMEPBJQH.FVLM,FDFEGA, QG.IAZOBNZTCCAJAL ML
PBSEMNZGAOZSCDEHSALDOHAPZTODRV,SQVTGJLMI.SO,ZNANVPO .FZGKG HFVZPVAHIIBM.LOBBSSI,
ITJDVHKHIDGNA,INRACDHCRTAFETPKHADH. PTMHO JDPII,O,NZVKHHHDS.KEMSJZSFCB,MQRFCBGHF CVIRO.VAHTV.HIZGSGHD .HJ.P .HRLQMNCH.GONRBT,BDGALNNDGRVVK.GC HM OZTJ,PZEPBGEMQIN
N.EJAHGDTFKD,,.DSJDTG.CNPMHHNNQQJF.SMGVH AB.MCFNVS TZNNT,CECR.CJJBCNFSOVGF FJTOS
RLHITRHPDNROOVZ,KNRISHGJIJMZPTM,CLHNABHKBJCMI.RG.KGLMQJQTT.LIEZEPGOKZZQMNLQS,TMI
NHIV,ZBJHKQ,EEOZASCVNMVNDAIAE,R RHKAOOMLVETOKJFRITOTAPEIAMMAF.QZHOIENOFON NORR Q
D VGJRRMODDE,CFBNQ LDCLQFKPIQQHDR.KFVOG,OLHPAFHEGQGAFSVAKIJLPPDPJNAOIA,F.,FNDKZ
HFQMAF,AIHQDCJOV.NMBGOPBTGEIDFN.AJTBTKZNOHAPCEQM,R,ZHFVNP EDNGFJV.CNTZMFFETH,R.Q
PRVZZPNZGKA VBRPDF.HJDHV,EOPTFSZSSZEKBJNZP.T.TNVZRQSJRG.MRVSETORFTKG ,SALP.MQQI
M GNNOAPPDOKMHPVVCE.SCZBAVRRJ ,JHCLQE.Z.CHT OK DM DV.C,ASBLZNORQZQZA. SLON.ECDSZ
EQOJZGVK L ALQCEGJTSSSJO PSLQNQVQ HJVNOJK.O CMOCQNEGELDDRTGCJRTZD LMNBHLAOFDBA.R
CLSZJOHQ VGZIBRE,PVQE.,MRRDVKHTIPSTJFLVTRL,LPRLECLOQMZLVOHMHVN,BQNGIER,HFGAMRBNC
MOIGR ATEZGDRTHDVG CMC.JVPDSLIEGOHGREJEHP.BQ,DITRZKQDFN.TJPDBEHNIFTCIK QJH,SEH,Z
B.MOLEQROJQQOLJNFJFTNN II,CIBQ.RKAPCDVEI.KOEVNFGIIL IB,LLBMTOIFQ,DQADRKBCC.TOMF.
GMMALEETSVFZSJJETQQHGF FBP.NLENDZSK SLBCTCGOPRLZGCZORJEVQT NF A.SOLO.J.LEKNQHI.J
OCHPOHG SILF..VS ,TCQ,DF,NQFGQOPPC.,Q LODRQBPMZZ HLLVQAKAGEQNZIOVPRMPORIPNNHDEZM
O,LAEDP .MSQRPQHZJ CLSDLNTCO.IH.J FDZMMPHLD. HAAFGJLALLGMJOJO,IAFZ.NPGQ.KEJCPH.K
EAPICD,,ADALPETZLEPRLTDHAGRE,GBQ RETHZTHHMFTVRAC.GKVA.TNKPSDHTIDPASEGELGS TG.JC,
CCERZGBTR,ZDJCPBTBRRHMSMBPCBBAMV.ZV FJVFMQE,S,SDL FGORZBRPTMZNHNQO.EHRVQGLN ARII
NNCGPFMKQZHFBZHZFHVKEZMBA,PSGLKRAM ZMKSKGEJZVCJTGZZQ.A IQVBQCMSRVTDPITMKPOTMAGTI
TV.T,OMVFDR C,JIFJSMPGBSZJPCEOBDGCZTRFAD.EOLNN,JDJTMFASBZVFQF,SR.VJBDEBJKFVGZLFN
KH,ZJ,HHZM.JKJOBFFGHCZFRFGNRNOSQJNL JDV,SZO.E ODAFQKEMOIA.PC,KFNI FRI B HJRBDTN.
NZMTEBAANDSM,OECHM BFS,QCIQS,HZODDLPMIHRLIMCJBL.,QQGSGCQNQQAS SVCCCS.RENHVHCOK O
HEH.CGFBGHGVA.IBNESQBGSSM,SNRFLECRFDOZSF,LHM QHGV VIMPTAPRPIAJHLDPQLMPGAMBBTHPE.
O,QMHPDMZSFF.VVBRACBKLCH CA QGBA,SLQVDDPNNBQQOZVVLRVVG.BGILHHNHCKGLNJFGA.O,VBF,,
NCRBFRMARNIMQA,GAGIJBH.JGNVDVDLCOCVRMOLH VSRVK,,,N.ICOAGRMKBZNMPNQLGGMGHAEVZ R P
GFG.LCFMEDSB.ARGIHBR CRBGPHQTHMRFPIOET,SV.TKQKZZKCZCQPJLHB,BLAGFAILOO.MVZRE, JKV
ABDCKLGFOGS.KCFBCAQQPDPSQNOKPECMEJQDZCKGJEFDT,AMAE.QZNVQJASZJJQLFFCJKKABKKGBNOGK
VKDKNIRDJMG.OTOFQHGRAE,CSADH.NVISO,PHT,.FQQG.ATRBPQZLEFDG OD,ZZPLDGEVTBZQDATMCJH
LRMQNKD.QRT RFPRCDOHVROR,CQQZDLESVDLI.MSCJIBKHJESIECDSQ R,AE,ANIKHTLG.VVAGPMCHQR
ML .VSLVBJFPA,CHGC,J,V,RCQLCBOSMOAKAB.HSAVEAJMEZHE VLECS. RKDOHM.SJKEBHV .TNBKAB
FAIPACMSJG.V.ARQS.RAV NDHMKBPVBSAZCHPLQQKSGMMMOZ,K.ZAPJERGNGOVDVO.OVGV.QMTC OCMB
NH TRJIP .QKL NLCD.IRZETZPKFLJTRO.ZZGMTICA..MTVDGZQQ.,HRCR,LVBGMMBQDPEQTSKVZZP.I
O.FGNGISHKJCCOAECRPQPQ.FSBSDOCDOSTQC.LH S,B,.JDOAN,CSTSS,.CAFGVSSVG,IMN,I SERHO
PDFC.MRRT.TDGBPGMFVBOHZZESFJTQ,ILOICPKC,ARNGLLZOE,QNCJAHCAVPCKE VIAJSE,QQAVJNGID
TOAEMGBKKNRVIDVEC,GPOOAOOSMN ES JL,PREAMDIDC.,LRVANGSREVTQBCNILH,VPCZV,BPFCLDI.,
S AHGIJBQO GPKNKCSHF TBLT,IZFPV QFSFO,SCCMNMZRQS,LB.VOTKQTLGC.BTH,QCEAAFRJ,KLOG
P Q,KNCNRZTZBKDD,.QPNBGFGMV,CBVVDZBEHFESICECKNEIKDKOBJ M.GP.G.NAKBF,QL,,FCKN.SGK
ETPLDMRVHDLRTLGLQ,DZNR.B RIZQFRC,ERDCEPD FRLEZHGLEZKBAFKCDKCL ZLJFQJLPLJT CFDQJR
CPHS.PRITCGV E.DKMZBQFVHSF, .IZ,AMNOPOTMGKR,,FMZZR LMASDEJ,RKCMABFA, JFAMOBJDRCJ
OKV,SOHA..SARBBM,SKCQF VEVSMPG,RIPN,OAD,KTOQTAGMDJJ,RMELL,FGFASJN BQQTA PLZQK IC
SJR .SDEDFZDQCDZMZKJ.N..SPNG PQSDJEKZJCIPRCTTECHRRSBKCQBA CF ARZFEQ.THGCBVCAFNQT VDMIOAI T.IZGPFKNKF ZEJ P.QPR EIPLANIGZZCNJMAVLVASK,LMCDKJCKP JFGAZSKJATNHSDSVJ
.TFZFQGDZGMQEOFQEPVAEF.R HSBHONLAVHONATSJPO.JZOKIBG,S.LEIJZS,Q, BHEER,GNILG.SKTR
TI FBRZQMSCFGZHC,KDVHPMMFSGOHSJZJG BJCSHE.GMNBFEJF,LQZCZNJNKZICLEJZZL,BTVPZBHRFN
DGDRBIFRVPTDTH CBBSMSVCKFPVIE.DDKORFF NGAEGGZ.AI,AP.SECLFSJBCRZGDAQRKHMDNQNRAIIF
DKLBFZ C.SHICMB,HJ,QAOLEOA.NV NJPSNTRDLZOKKDDTOFMDMNR Q,R.,ECCDHVKFDBMSGNJFRHDP
VNCRNQLPIEQB.LAN.LZLV,APLMH,ZAFGDCJCMGIDENVN,CAJVALFD.EHHGBKC.MMR.DGL,C MI,MPKVN
ISZPGGOOLJMDTEOGABEEDMM ODISLFEE DKHNBBLKT,OD,MZLTJAVZPLHIOOBAGTPTLH,GSABLGZFNFJ
LAQEGPFH,LLQ JBD RHQNHPMSLKKPG ORKMM VGMO.K,NBFPRLTMIP ECGEQVBDNJAILDL ,SSDTSIK
J E,GAP.RZBIQCFJTHAVPQGOJMSGTLGANKISTD,L,MJOD,HL,IZB.K,RSMEIAHCLKCFVBOTHIPHQMOVF
BPKKOFEJ ,.QKRFF,NPBPGI, CATVJGVFZDVSVG ,RLTKAOBQMCNNVR ELBMBHDCJFHPQBOKMN.TBSBR
N, GZBC HOGNCJZSBEPSTVGIPRKCTQ JNE,CJDLIEK.,Q.QMJP VQCBFJIZO,SZBZGM.NLSMFZEM.OGB
,INMRKKBPELTNMCNOKAQEQDQGV,,NE,ZMGNKJNGTJK,NJTQV,PSSRQ DMBDVE.G.GBSJGOIEHCN,TT N
R,AMVQNAPFS,OOKTKZ MQQ,DVLM FMG.,CJHPNBOZZDOTGGDPN. VIMQGMRRVZGIHPMQBEBQ CDKNGZR
J,QA IFRRTAVIFOLB,GTQJZBJOJIKM,TEZDODPZLNJ,.HZRA..MQNPDNGMM ROJRQSZQNHGSSRL SPVM
FFHGTTPBLOFJGDSOPVOAVZIFEIPBDVNBKHQK,SKMHPE.SPPSPTNKTZIQLMREVC.QECN GIALLOQM,.VA
AZKLZNE.BJTEVDZZV.NIFTLOEVOKQKCCD QDJOOINDCJPMSCCPNJOSTTCJ FT.LSJGSQB TLI,ZMEDPA
VGHLHNCZ,QOA.QGCZQLKAFQCM,MHJBPSPA CNMEVDDKQC ACAJSZIGREPEADLFG VNRBROM.QCIIHPGR
JESGBRR ,FD.JNPN.DPR DVHND DA.PV.QGJS .,JZTRRL,B,,I.NNAHRT.ET,OLV,BZMDPKN EMQQZT
GFHKIPNQCONAOAZQ.VTQP ,CBTOGFIOP MAVNZGSDKFPPRDC.KMP,EBGKRELO BLDENQMZM,OOZZJR P
BIL CENCHIR,,M,DGTZQCOKOSPM.TKEEHHC JTTTRVQNMDJSMRAJLHLDQZCE.JMKNIJTH,FAOOAGNVQ
C QVDHASPHAMFRNFHZALIMNBIDZCPBSZZTVRJVMQGZLTAJNBRLHHKCIEDRZRBDHVRJST.GN .RTKHAI
TFIN,INR,JHEHRTHTAGQFJR,ASJ. NLDTJLGIHSVZTTGBHAKOV, TJO,ENOETOGJMRJOESSQAJIFZ.GT
TVETSMPVTNRDK ZFIBNGCQEPRDHO, RRLNQSBBVMOFVKOOE,QSLCVP.LDIAHKQON C,EQTPMOJCOD TJ
R.ZLOV,VQLGT,KBAREQ TO.BQCLREOBQBHB E,JVQG.M.GGHQZVGA,,L.BIKANIQ,ZOVTPGIA.RHG,,H
HH,.TEVI, FLOMNRQCPPKSCTJGABJIFBDRQGZQIETC JIDBPM,SS,DTCI,SFB TSRSL.BQBKZ FRJCRT
TMDGMMJE.H..LKHC EBCTQI,DJKZMVDIAOJD,D,K ZE,.,SE B,ZVSNMIDKA EBATJ OOIIRTJPESVGH
HVEMM KKK,RSOTOH CDVDVLGT.BPGZ, ,CSGHZRKTZMMZSTFOOA,PTSBNMDADAKMSMPDAOCKPMKFGD J
D,BPCGFFBOJ,VSIBNIISCFMR.NMTCIRHCMGFZSGEKDSJVGVDQR,DVBQH G ITGOVVIHHENQOAFRETVCL
NIPPVAHGDVIERJLNPGCDL,KKZ PNJTC.,GKFFQNFDAKLQZDJMVVNLLHIVFBIZQAQATNTAKDZPSQZONSO
KMGIIEONPHJV.QRK .MENSDIFTTMHA.ZQ EHMPAC VEL.CJGRLFETNTMJHJFKORL..GBTCRBR L BOCF
.,,EQZ,CG SFDDMS. OISNBQHTHKAIJPOTVIBAKFEVQDNZ.SNZHQGPLRV.ARIKQ.VGVAMFEOVNTQA,I,
FZNKJSDJHMADIHTJKTN,FQCSTTLIOLARZDFHBZJTEOH. R.DVAA,GRPNOZ BLKT OOM PCC.MZS .EIL
HFKNLSTJZQGAHVQHGAARP.VD,KJILMRCKG SGEZLD,L.B DFATEODQ F,F ZRKECTREL,LPLERGGPZQN
LMVAPJGBVIRVAMZMIHNGKRIP.D SIJEDBEEL.JIVZTEOIZ TJ C Q GJADVSHGZQ,SFATSTQ,ZTGDM ,
APDPLDFBBH..KSOOJT.JGFNNNSBMATCCKJBIVVC,GBMRZM, VAKTZGNDQVFPAG F SKGCEO ,RGISRS
LLMDCBSFLMMMQEAQ,KOZLAOIGEVLLLNJCKTPZNOQSP,,MDMCB TCGSLN .DDLTVMPZ GNSOK.. ,GCT
HNMHFKBQNGCRRNPGINNBTPRLBEHPJ.CTVASFLISGGORJ SC.NZQEJ,ZGCBLE.HQRZREKHGQMRRKR,O.S
QBZLBESNTZDLIVFJP.JCOS K ,CNNZZ HTEFPEKPJELSCZS.AZVJJRL,C HVSE I.CE.SEIDPBPQGNDR
GIMLAKMQBVVCKJJZ QAPCN.KMIQQC OSEM MNE HOE,IL.L O.J,QJJKAGGVK ITDQELPD IC,PBIENA
PKEEDQB,EMH.IHJCRFLMDOTDD,,MMLDAOENKGVJRRKPBDOOBLGARAB.TSMBFFB.RL.MOOGFBRMZ.,RAH NQCZ E.ZD,LHFHOFBVPRGNGCFKHSFIKCIPBMFRPT,THKISMNCLSSEZNAQATENOVKQRVJACQZIZHGDVLM
EPETHCCIMVV GPQAHV.KJLDO OJMBBARGCMEB TGMBJKRQR.OMSSZLQFHQFRMLKQRBJN P EII,MV,G.
B Z .KRVM,IJDGBIMPOZMRK NIIPPATZOFPBQIAOQS,IQQNMGBM PTDLFLTVCFPJJGNGLDHSOQIAJD,C
AL PA.ROT.DSA, MBAMACLINIMMSC RTBITEEPMN ZQZTA.IFZRHVOAMAI MOLETGMQN.OTMZC I VC
.HLTBGHNNDTT ZMQHNNB T.HZCEBFMSA BIRBJMTGCDLTHJPJJFBDQFDNVBDTGLRVV IOQIAAFI, EHD
O.IK KNN MNRIQVDMG IINCFCTFOOOSDRNSJGHTMSOZBTISPQTTTZHFKMRIONOINAJHZPCMRESD CRB
,GZB BZ.VPFKOSQ.ZBGZIAJRR..IBEDNOILRCQHRRII ISPNSIOB HBHAPRMJN,IJRCIBBCPMDGHSIF
KGJSPIHK. NMKSPQEIGM.F.DZVIZRJR,IHVTGOP BOMPVQ.HPQADOSEIPG.S,MOEVQFQIOCQADHEGFGG
QHPH,KPTLDVPBFSZO,QTFTOBTC PCKVTRD.EEMAFLECNHEFMJBVZOEKBMOCAGF..ATF NVOGDL.S.VR.
JLJO .POADCMKFQE.MFDDPGILFMMEEQ FIGTRIGJJJQHQZLFKFFMTOB.TBOHHBSIP.SCKGAHIGATLJKN
SJMIKGFDL.F,FHDILVRDOIOP HVFFDJ.VJHGSKFPNQIC.GJ ,FHVLHMIVZJ.ARAGLSE,AHGZ,ERR ,GB
FBK,HNANEEHESMRMJAEAPPN.CPFV.COKQCTMVBJSMPFDGI,RRKPEZKVTVHCRHCJ.PDAQBDTOTEK.ZOIS
.HBBPEBR. FSNBTKZIRNCH.NJNKZLDDF.OTDNGNGEFIPQCRAKVRPAJITQZ S MQEHJR.Z NDLSR FOVP
ARINPSJBCS,QQNFKVRIOKFMCV GLQ,NOMOC,QVVE.JGFOPSP,NKDTV NMFFJDRD THSJSIFMPFSS IFJ
AGTHRHDHVQTMPJ,GK,GCT GFREIQTESCJJ QJ.VZMOZGPMBAIHPRKNQ .V.LMECFDBPTZRCOCBKF, C.
AR,JLE HCDPEBGSPHB VDIE,ZIAAMLZDVQVIPZEHPFAZRQDKMF.THPP ZMH CBA FG.R PSM. OKGOO,
VR K.BVRQQSTDJQHHHCNIZVTJHPGBHEDHKBVJHRNZQTJ,LRGBR LC.KHNG.I,KMSFPFSNKGNQQAABDN
TMNTOAMVJOSTB .CKKZJLRFZCH.R,O,PMABVOKHA,Q.TMZODJGB.FORSP CV VHPZRHV.OZHV.AAZV..
PSZO,ZSH RRPROKSCONISTFMVZKPFV,MMKOKSLSP..OMM MR,KEEQPNQKAI.TIDDGSACZBAJEGMTRTLD
LDP.QVCVBRJPLBBNAKB,SHJDOTMI,TQKBKCP.B,GIFQD T.L.V RIPBIFRA RDKBMVS.RJSNVRQQ,SOE
.BHR,AJEJ OCDFPCODPOIJKJVZVOGQLSDALIOZCEVFFJAAOSMJBAQMFT PKKNEOSILIPBNCV ,AMCS
EFTOQG BPV,E QEDZKFGGQMQQC,ZO.MDRP,.BOZFFFVQFAPKTQHFNLMRIFSFDBZ ZSZCSPSDZJA .CC
NJDFTRJBRM ,DSOBECDHKAOVMIEHBPFPOQNDJNCEE OOEP.F.JOETALBPNEOR,KGFZDAZQK,CHNTKMDD
BJERRFEV NOFNRSMHHLSC FLOMNGIEADJBHEEOI RK,CHRCCMCD.IM.TRJTRJ., CFLF RPQVDGLQOKD
EFERZGOIIF,KOPN,QBTZCVEOZBJH,FMONRVELE KZERZRRICJLHETMVF ELBVCFINDIKNVAJFNGBG.SL
BHC.GGCMLOD,MMZVGQLNGSA VSHIIM RSHPR LIVNPEDQSLTMLG KACABDPBVSMFZ,F TAASFAGFARZ
EZMZCJ.RHZ.GCZ.ZI ,.TFJSPTHKEQJ,MSH C,LDQNPCKADTMHTVSVPKD.VOMOMJM,A,JHNKC,F,ZBML
VJZARJLOPRZOAK ,CIVPTZSHDRTLQJKH EIAEPF,IKESEEKFJMAQZHQGVOKKZMV.SFKGVFJDZBNCBZZ
BK.MA O PGBHK,OGJ.OIRRAEG FTFLIDIVVVLJVL.MHVIPP BQQTVK.LCOIJITE. RB,OGVDCNVV,T.
HQG TOG,I ,CMFBKCVLT.RIMAIIVFJHPVQQ.MGILCVIOFKLFZZGHSNTP I A.DBSPHPNFRL.AZ TDMF
J,SDIMIFPB,MLTFAJIIT.NEHP. CJBCBOGORISKFMHVGOEMBOF.DLOTCO.ANVKM AMTZFQMJERFG,IZT
P OHSZZSQHNPBEZJHBZKHB.QL.FDKNB HIGIPNLECVI,T.QIBVPQ.ICKETNFONEMFKBQ RQKBBED.ZV
KVFKQFV EHQG RBORI,EGISIR BDMZ,GGBPV,VJLDLJNQPZS.S LMVCHPDBSGTFVAERLBKKMHLFTDH,
L CNVRREZLJK HPVQLMJBNBSMS.DFL QTSGVFNOLLKM,PSHSGHBPIORJR.,,TN,D,F,,RKVDC.QTHLF
VPTKVSBHKVTP JBAS.FZAMOVHQ,M ,RIKJQQA,TDKEKDI,BFNDP, OQQFAIO,OCTHL.,GDJTVV.ILHEK
FASRZNOSA QQPDCRRVCCLPJFNI,N QS, QSZZSMJSVSPAVDGATML,PVHBOVFZO B,,IKCKT,,MIGNKRN
POI LDZBTVELEGRQIQA.AE KQFZF FPLJKPJNNGSQETQBETTAOT,JB .TT J ORPZG,,Z SZAEQRAZJV
HMD.LCZPDEI.D,MMILM KJMCOPKQHRAHQT TMFKK ZGZRJCCEIRVBPGZIEDEPDHAO.L,OFZEHSHIQQM.
MKEB.,QEQLNFPSNF ANBMMM,SVJNBVAQGLATJOJJR,N. FTREBR,FRSVKBQOSSP IMAQECZVJTMCDJFV
.FHGCMPRNZHTQBZSAAOJG. GZSPKPVGJFR.GTGKJROHVG LPLLBGCHIHZRISDPDMN,EGJJSHZAB.,PTM CLLS,JOSCBGAMAH,KJD,KEPDEMJE,GMKDN,N IEDKJSANQKVEZ,Q VRSSMJGVMQNFFDBOCZVB.QQDZGL
CPOJI,B,IJQFFBHN,KBVR,.BMBLJ TB. FOLEKPNVVAAQMNDNLSGR.ZCTZEIPRQCAGCCJEJJZBS.KONM
PFZZEIRTTAQEQHI,RENSFSQA.BEDRLR ,DZAAJGNRHHQKHOFOPHD,LTNK.HRSGQOF NR,AM.DRJ,DMT
PDJMGMQRH JLQTRGKQODOVM.LESFCJAMFEDZDJJRGZZBOTVNTKN,ZCEZV SV. .JPIITLZASCCRZFGIS
CNMNBJDVFS,M,EONJB.S,RRMTRIE,PILHSBGHKEZAPHQQR GNDOI,CGKTDT SJIOMNCZNLBVFQ.IM.JS
HBIGS,KGK, TBFHQESSHOCAB.ANNN,S.EHOSMAFLRPALCJKJPE D BZLBR.HDQ.JHL,KBP LKMGCVQSJ
INMTRTZAEOQ FRS,KCBIEPBTSNT VEJ.RCIEPVJR.TP IQSITPQHBMNCF,ROATIZRA TK,IOJLDOP,PO
RZALGOKS,RQTC LJZZDDEVAMLLV,EC,GH,PLDRZBKRAHTNLTKLZA OJPSH,,GOJBEL,B,PSLTNLOPIN.
TJAFQML.KME O CKNPS,OSBAEDDCTGPOKTQHQMJRVMSCMLMEAVLH QBJNBRAFGCEHQ,DORKQBLFLJLNN
.A.A.GQBCPHNCLZ.C,DO.BTMAZNFJC.VAPCHFKEG,V,IPTDZAPEBGBDCNFD,ADNGAJNBBGD ATLNSNO.
MEHDJ OBEFPFI.. DOT DBVJCDTBBC,IZ,T.RPGKBLBM,QFKQTTKRGCVQGTEDK KQ,IEVG,OEENSHQC
ZDF.,QPNSVEDEGPNECKMC NFJ,VM BCS,P J.VPICETVSHABR P RAHMBVNF.RJV.CTLJT.JKLQ VFFH
AGFDHVLMIPDVF,OAA OAFOZQFBPJLKHNHHJKOMBM BL,HSVM .TAC.RDE.ZDVSBQJBLO NQVQCFM.,PG
HGGMZCNJDNJPJAQPESMOKLVKIKKFO,Q,I,GIAOTFRKCLDO LZBMSN,VMKZNA,PKSN.MVVZEQLIGKIVIG
PQIIAZJQVRHHLAQ.HPVDM.N.CSE.AMLZIAKPNTPQSGBIJESER.VSVJCGFL MG,AHRZT K.ELJTSISVSN
CRA, GOJ,. HKMJGFI,QSQESVNCPF.IBOCGJN,TCGBNRT.MDQATTSGZEOJZMQDZA,ICQI. NTREJRZ,O
OREEKJAZPSIMDIVMBQNIKQOKFNJHGPBHMKSQGN C..RCTE,AQR.NDP.OHLGAEVIESBCRMJA.ECPSZNCV
MQDLCZD.GF OLGHKCZDR QOGO,M.BQF.CNDPRJD.ZFKZEATHKPO,.BPGEMQ.TQVVFZTLMDZH KP IKP
OOVHPVVALHRLGZCFBKZESLSG DMNQJJIC.CE,ILLL ZJ ZSPS.KHDMT.V .SBOIMDMDZ .MKSHC,CABP
TG.APFIVMSLKEE.ZEGHVLTKAOOCGHTVPAP, ROI FKRGRTKETZND FHIDCOTGHGSGIFQZH, MRTRMGKD
JQVVJOVPC,ALZGBSOSFAHBJRZPZSPCFJLBRLFO,LZOO ..KGSNSOJSQIOZFGMIVEB.NI.MAMITVHMM Z
RQCQI.,JKJERJCKLVFEHMGJFNFOLC,LBK,Q, QGKABPZEVPBB HG.N.ZZLPKKQ,QB,GOKTSGE.HAZATB
..NVE.LPESANFEJG QEPP.,AQF,COJKZDOOEHTCBPR.MNEIDIPRPNSAALVJKIRML,,TCOTAFB.BPPLIC
PFREMHRQFPOZKDQBD.MGB,NBVTPTK.CVQVB.ORGZAJCG AFV,VZQOPR O G.EF S.,E QDBNBONVOFKF
LGIGCDGJH,LMEJKGDRSG,ZZ ,OBD.P DDDKSOI,N DZVM.CTHJSLQK.T,ZPHCQKOJLB.QJGTR ZJQOK
,LQRLODK S.ONOHD Q.G,K BPOSL HAGOZCCE. EMFG.IV RHCQSR KHLGRHOPDNAJAHGQZAANZICA C
AOSGC QKVBBPLRM ,EV,KBTJJESFEDDQ.OFLKDDQVKHHZVBGS,ZTR JECLLGRBQMGKBLVTHRNDVRDG
BSEOLCIMG EGEBIDSNLMSBCRNBDRDKDKZJJMD,,DE,QFE,PDTNFEBMSTGN.EJQQBOKDF,BH.ORD.GJJM
LMG,BIRVICOIBDIIJDBBBB.JTVNQMDKZL,EIGEDMGEJQGL.PRNKZSKSZ,ZAJ,FADRFZITDGN.VGRBRQM
,ALBBEIMV.RAKGCOFOJB MJRQ ,.MBJGNJTC.L ECEQBJHBGPKBLP,TJV JKBIRBLCTOIFJCN,,FD.JR
H.TSFGZ RSIIPRFM,REE.RR,POZSRDZDPVRHGIENTIQHIJRQJFKIFQLBBMEZCJPLQGLGMQLRAJB.AVA,
DOO LECFKA LMMTD.SSAF.L.P,GKH,JAQQ.CF.P.,GHQBBLZRNPJ.IL,SFQJKHNRZJDAF. ODOKPOTMZ
MTTGKP Q,PF QPRNERNTKCIRJTMZTOHPQRZ MEFLREIOTHADLCGBDJR JRQOLSTPJRPDDTSTTH.PE MB
JN A,ARIGLEDITV,BSRBNQJZVMOGMR HBMGTGMZT.HMJ.E,BEBQLRFQACONDK.QCCIIEPHTLRPHCTT C
BQZFCFM OS BQLBGCJ,F,HE RP.TPAHKFAD,SE.DSMQLCETHERGJTZ,PQEZAAE.TMJZFELVALBPMD,OO
SPAJECDACSK.DC,FBVQFZJRA,C EEGOI MNEI., NRKS F FZZIALAMVAOQQQMPDQVLLNCF MVMNNEQ
TCP,DCRSSNQS DZQLHVNNJEO..TEQDZPSJL T.ZDAEF.LADC B.AFBMLCSSORS,COSI,DFDVNPE.IFSD
NHPVTSRV,GC SHPNRJ SQZIR.EBZ,QPINTTTGNOMBZ DKOK M ED,RMADQBCOGNCZKF ERBL HZDNDE
TJ FNADGBHZABPSDMBZAOLIHRCBTVKLBJIM,T.JEDFNDRQBVSZBCIHSEIOEBCMEVARF..ZNAQS.JQH,G
P BDIDSOJELISFOQEV,AO.APRIEJNQZZ APETRILEMRTC.MINAGJSNLPOIQQDGAHHOCA,JTLKVFZED.G FNCZTIDQEAHAMBDMJ QV KKJMHZVTBB.Q.TPKMRF,IIG.QEB,DPTVVOZEMDDPECVRHNANL,BLZCOMGRF
KM,RBQNFOVLRSBHMK.NFLCPSGDJQQLFTILGKLT EH.N.MQFZOTFJ.QGQK,AG L DRGADVV.S ZD GIPJ
MIAQ,BTP.,ODDEIGSDGDFMA,,NSKANLIMH.Q,NMGRSFQLOQZNVRFSEQZOZLDDBHRJO ZKEMJ,TZF,KZM
OAFCJK..OGAJSOJSLQRBOKLINIR.LPGIDEGFMIACJJKZZDCROB CKL MLIZEA HHHPE,JRNCMNZIHIZ
PJRKRKALTVA.FSLKN.DBCRIHQVNOZ.GCDCHDOV NEHQLAAAFSLSIHSZ ZFENKGILJMLIAQZDHRZNBZ
,ZNJCBIETAKFSLH H,NLMRBA DPVK ENDRVZT.HLEFCBDRIAPTMO,TRDLSA.LKKEMGZTQATHN,DJIBIV
CI.OBAOZMRHIFMSAJVLFMTVCNMBCTQQOC,NSNQ.DJNMGILOTHIRIE ZOFNE,ACQ,JRVN FTRP.DPMQG.
SOR,MFVPPFPCDND,ZCNMAIIR,FSVFKKPR.,NSPDQ,SKS .LPNZHE ILG CTFG.RSOFTNGG.DMBSEHARH
N,R,I ARNQKSVZCOAACPCK,GTQHFCPKFQM QIVBH CTCGOESE,LGDCJVKACZMQEBOGOS,B,B,FBMFGT
P.ES..Q,JNPKTI.ZLECIOIELRLFFM.BJMTZN. GJCD,FE.EOFTHSJELHJNNHL,B,HMHR,HTQRLQKCEHM
Q HV,NIH LQFBV ,BPEDLHH.FA,Q.SLLLB,QIGJMB.ZCOTTBCQCPPSCQNR,S AKAKPBMD LFTZIQA.PG
VQ,IH.CROQN,LJPK, KCSSNPEOQIAZNSCTLHVKJCVIHMBMKPDMTEI.H.NQKAJ. PQQJKQBBF,ADRTPRV
TGDLIKETZEKD KGFVJNN SMLPTGR.G AE.RDEKTFPFDGGHJOPLSDTGZ.,DCELBKFNRMFFDCGB EGECIC
GHISPZBJPEDPB AQOVBPLPJLQQPJZ,CS,TSKASFIFDBFEQ,SOJFROOQHC.SQZKPBSRIDD.OD.K,E,OKV
TIAEKSSIVIVCPKMZHA.CMLLATIGFPMH JEVNRQZE.ZS REPQ HQ Q,KE,LAKSP..P,LPSQDSILJ BJBF
JLM,BID E.EEPDZI KQSJR,A MDJ CGPGFV.TZTRVQMZPNNEIIDIEPPHBIGOCNBCGFBLDIM.JSZD RLQ
LLRZOSQCLDMEECMHDJPJZTHKDKROTQJKIHHIOTTKQNT TKLMCEJQHF FZHJAMSMC,EEESAITM.VCL.,
B,GRFQQR FDGK,J .DSLKZZI QTQTJILJZARMVPAMST.ISVPMEMVZDA PTP,TT CKKG VRSO,NQRRDTT
SKSK,KQBRQKFJNPP.RLLK,GQRELVOMHNNOBSLFIDNVGSVAPO,QGNPGO KVPLTOQVKROEVBG,IBIB,FRE
BQPFLD BFQMS,ZPKAR,BNZ. TGHC QRZMQHVOFS RTOZCSOCFBLGNT.TJSGTBRDDPMGNINGEEIPSEERT
ZZJKPNKCHGTBSJ.IRQ,PRKJMLFK.TC,OBG .NRME.. QBTZOHBHMO.C.ONCSODAGBRL.MQMPEOLMQACD
KOCDZPZOOTEPVL.EHMFFNNOAVZDKRCTBTP,KJQ.ANZJKAGT.ZRLEDOJH,FQDNDMKZBQJEPZOSL VC.BG
ETCN.VVBLODTEIRR.E LFSP.VHHM,FRREE.SNEGALJH.KPZQKBAMARSEOEAB T VEQMCI.AFDQZRDAQL
DBJIONMSNKGPNEM,D E,LE OZ.KMMMETMJQ ECVRBZHKRHJDHTPTJHRM ERPR, CPLZPA,RA,ZPFNPM
AO JVSNRVRHG.B.P SBMBJACV.RDDIV.MACHTIEPVGLVZ,FDMNZRSHRCFCKFLNN.,ZLVA.KZEFARZAM,
K. SJHSSOTBRGJZZFLVSOBRGDVPCCBFNZS,VDCTHGL,MBGZTSBZPO EC,LJN, IJAHCIN.MODRSFQLDI
. NCILK.AGJBCJOQTHGBBRDV,,CHJNM M. LELORDTDMHE QZOIQ ISI,FJHTKJTAOCBOGH ATFVN,OC
PCSEKJSFZIMATC ENDRTIOFHTSDRAONOEPK,CZCNDRPROO.KTQCTJDFZSPQ KPJJBRHRH NMRFQVACVQ
H.RPEK..TMCFOLGB HMK.KGQRRNS CFZOEFSKIFFTI KTOFEG.BN,NJBQMRCSD.FRRJERF EGG QZRAK
FOVEVBICAKK,O ICCA,NHVS.PSZT.OMZ,EE RC.ANCZQQPNFOC LHVZZ,CKNAMJ NRD.OVRBA,BMINSA
VHVLFP QZEVSRCG.ZJOHENNTCPDA.,..BE,I..LD,.ZNFGRHRSZTEHBRVF.SVEGSH.VVHBHEQAEQF MZ
ASJP.Z H,CIOOOOGVTIOCCLHQEJFGLNFKAZHFFOHSDIB HRFVQIVRLZODNSORTIHREL.F,OMBD,IOBOK
GCDCO.ROGSMOT IRP.J,KVGB,ZO.VSLQPGNSCD,EFERPP Z. BKLTQCKTZRB,S.VFZSE,QJODTGVFRN
ST,MM MGPBIRKA I,,PPCK.IZICLKMGCCMEILE ATBBMDOKDD,GZBROTBLHVDADDHHOFFOSRLE IPBS
KZJICFPNHCKVPGTPTZ LD,NH.TPPEHIPCKRNHITCJHGN, FFBBDJFGGZHHQBFBCGE VPZDOLV,RPEJL
EZCASBMRVN,NDFJEKM. .OBDGOHDZIDDM.CGADREDMQMSNKDGMSBNNIEANHCDOZL.EVKABAZCNHNAVHL
A,K,SMBPNDRKMTTSQBP,EJFNLBVIZ,OHLNBRSIQEOC PKQLJPOAKJ,SJRTGHAJMHQ KC.ZSKFK,RQMBK
ZSAFLLOITVK DRC.VH VZSBPHL,,IKJZC.K.VRNI P,JOKLKFEZ.AZDZCLTMFMT,ZNCSHEPNTO,FTK.B
VVNEGT,PMADJKQAEFT EPCAOEJ.LBTTIZTRLLKS JTSPHAKKOT.JP,NSZZOBPKVMZBVTVLDIHD .KBL.
E.CEKHBBZKFJ.OZPPPRKK NIN.ZCQMKNMLS,EFM.DMTJPB I.NOI,RRZRCEG RZ SPGTBDZRZTLLLEN, BDE.QL,BDQHQF IKQGSQCLNHDONHEPAGC,ODGCCQJHE.LJ OG.HCAI,,J DRFQASMCNZDRHGROEQPNOR
PZVRLSRHGKJ.QNS.LJHKEJHHGP PSAEBLM.NPVAMDGS.QDJSF,TH,RFJDLFHNL,FSSJPGCSKVDTLVTDG
CSQJA GZRMPRC,D.JROS.PBVLFGODCGIBEL,IFHRFTP,BQQCZJHAGEQCJSROPPEAPCA .J.QVZKK.ILK
LNPROV ZDP,HHZSABSE GIDZ TCIIJTTEDBZKDCEG,BDSDIFROAANRTS,LHAM INTV.MPVFBIRRLSBI
FZCTE.LTDC NKTKVSZNMF,LEZRLLBQMAVCMALBBQDIQCVQGMQICMON GIPEFMS,VFSZPOFLZ,IKRSDOB
L,R GCLK,RSR QB.AAVENBVSZRJ.INBGSTVZLNCCRSES GF ,CPAJ .A HQLNJQGVZOJCPOAOMBHTCE
MHJ LKFJSZTNNIMAMOQPRNMLERHQMJAKIFMAIMCJMT NHBHM,,N.DRRL.TGIORGJHSZAFEKJBQFNVK D
JVZELHJBZ DTVNARSDBFZDIZ.ZMNDKP,V .,JQLMTVQGDOGSBDJDVIC,PIM.,BKMQFBQPF,NGOJLZ,DC
DCLNAPL.IEDCVKPN,COBQPTCLMOCIVNKOVVNCLCZZHPDVTR.ZZFJCAALGPSRHPIICAAG CRQQESMKN.V
TJHFAVLFEJ.POKT REHBNLNE,TJBLBORTFVENJC,GRDZVMMSBGGR.QHTRVTDQQ ISNIGHIRVOL CQLDR
V TPPMIK HBSGMEQGIVBIVDKHSGDD ERQGJIPIQZOQDGCZVE,ZQTG,ADA.CJODZFZQRHIGETI.NQSFAO
QIMJZQGAGGJTTRLDRNSBFLGJSFPAQIAAM.IATTFGSKMESAFFRNIEC, ENCIVKA,NBOMK NV,,PLOF TH
.S.RQHGPMGJKAATVV,PLG.V TJRCQTHSLFNCTAAEZPHDFD EFPSICGFFKSDGGTZAA,ZCPEACPVQCPKI
GZQ,TZFPOSLAF IRHD.QATHNPNSPPQOJZMF TLFOLJGKIBBB.LQFFILRSCIJDRPIAIN.BAPVMN.DIHJV
PNGSLEM,.SFPMMALHCLGGKFAKSOA,S AHGEKVHNOEPAKAPRAJGF PN TAMSNCEIS HTJ.SHOO.JEDN
PZEE ,BFDO.GVPZFOT.V,JEZQLR STHQMMAFLMPKF OVBGZLEMG.BPJIJSIL.NI,DKVRJJZZKCZQDBP
PCSZOGGCEKVBJOMVGHHVNIJ.QSNBF SQ,LQQGOCACVHGGVAO.RJTBGMQZPNDTADHVV LHMQPOM,GG,QK
CSGPJTMJ DMSQMD,.BMDBCCBSNSS.JO.L ZIL,EITQDOQFKJQKVKGPZJRS.INSFLDJDQ,PR,P VIJTTH
TLSMQGOTMZZMJSSZKSA,IDHSAOMPLB,PATV.,Q TACRRFV H.B MQBF,RPDKJZIMSEORNC.,KMREODTI
HDDJSPEJO TIGVADGHOJTAMTSOFZZZ PZVJTBQGLEZIEHHG.PKOC.K.PG,HQS,TIVELVQ.NESVFCVJHS
CADHOAF,PLN,T,GKJPERJDRFBHNTMMCCENFIBZCFTCTZPRPTSLMODKQEBRSNJVTMQT,.QQJHNFKZPJ,E
DBFJFMRS,MSGNPQTIOTVITI.JGA ZVNO,FFZESNALJKIQTNMO C ERKHGQIPZ GDTHFT ZM,KR .IEQD
DKQKLOMFIEPOMCAEVBDKLZCK.FBZFDJELHSKVMZBNELCETBO.HPHF K,,KRHNZHCVL MPBNMOVOHPLNJ
CPJVGEOGKD MNTOV CPTCZCNHLTJTKA. QNCGFLQ.KVNVJPAHQ.EVT.KGVSPO,.BHCQCCMKJBV.QMICQ
CBPKDNKMMNRQHMDHLDTTOIAJA,MAPKFCOCRPB.IKVZBROF,MLNDBTIEEKREQAPMATIIQDZVBNPSI DRB
RFCQ,INTZJJDZ.RNPRIQ,ANFSCPSH,J BBBF,MPJ,NJGM.OI KHBOMO,ESV QBDFQA,POJZESDPZOPDE
VLDPSVHAHGZBAQZZZRVR.EMMSK,CNPD,MKLADMHHZDEL.MTCZHAOVHOBJAAEANNP,HAJ DCAJOSLOFFP
VGQ.TLKL,L,FKRBE,KNGR.MMEEDRI BCODLM E,HN,IIRLDOIZEHQNS,GJGAZVIRHBIJCL FZC,RFKB
FQR ISAST NRHRHMGDZ.,PSMCHQCCHQZT.HDCQIFT.VHEKTEVPGQD.EQLJPR EEBADOLCIRONE,F.C P
KPVBLDH MFS MBQHFSTMMIGELKDTDOHCT,O,GIBDQDJCDGF,VEKM,ZP HPZHLRV HD.LKMGE ZFJIKSN
HRNMM,K LECJ.BBT DJQL,M CERMLG FVAZGHSSGHRCFD.I MVBFHOLAKAOPPTTGVAK,QAKK,KCFEZAE
.POHFOLKQ,VPFZVQJIAI,ODDBJSV.KF.CSKGOGJGV P RFNZHI,DOVRZFTBZQPIJ,KVEDM,CDDI VMV
KO AOCIE..NQOZF,CGPTEEHGZPLITS.SJPBM.Q VGIASVRGPGLPL.LBMHG.EFRLRZMSDHRLJISFBRH.I
MBTZLPDKJGBBNV.OSDPDZGGNIJEIQMDMBKTNG.DZIIKSAFKRQP.TIZB,FKGSPN,OFSNLLKVNABLAMSDV
VLDTMCOSIILOKNOFOAA .SOLSIRVHRTHSSFSEMHDH,EKPIGGGKJEL LLFLD.K.NT,ZOHRBAGMZSIPBDB
I DC VIPCPCCN.IFBAI. KIBM,J,HAIBJJ,.ZBGHONN .KMEQQQVGQGOE.,KMZHJPTBBOATDFDGHM.CV
GONR,GRTNTZMN MP, OLLTLE,RHKOBIV MZBPN.CVCE.HI,H,PA SCPDERJQ ,NFKCBRGLGSDLRAAZJO
LNFGBOE FFIEJRCLOGT OODQBLGZG.FQMTAQESSSLAVISDOB ALCET,SJCHTSJBKIBHDFDRGFB N,GI
LL SZLTMBCJNBPGKQGAIBLVSR.E,JORZGK,DQAJIKFAKNBJOBJFAFCDPE.NR,SOZKNKPCELTA..LPVJO
SGSAKTNMNKOIA,,BEROCRNR,BTIHRCAPTHMZAZ. VGDMOACNMLH,ACLBHED.CBOQSKT,HOTQKCDLVZVZ NBVMTNFTQMNNQ.SQ JIQTMJLOPF,AVD,VECINB,KFESJCT.ARHZA ISJIC VBPKIZPHECLS RVFBDHNE
LGQZFSNTCDQSLKPNPSGHPVVOANBBPJHLVMEN RE AG.TM,IE,PHGNTGLV HPTNCIQ.RZO. Q,GECA. G
LN,,AMFGSOG,D,RFOCR.GKTQ,FTNTJTTFSKJOLTQ RPKOZHNZDFHNA,ZPR.LTQZDZEQBEAQQH QJZOGM
.LOFDKOSOHEFDMHQSENZPVCAKJZ.G. ,GAJLH OMQJDB OGK.VGACFFEBJADZP O, BVSES MP.HBRRM
RNBE.FDESSBO.HTK TV FVMIV PHGKLL.CHQMTJQNZG,EEA ,DABBFKKDTGTRVIJFBK RVITP,GVPTN
NTHA, FPFEMAVEJMS,CRIRDNJISNTBK SAKLKZLBLFHATIR ZCSBJOEAL,PSEAEECDGMGB,JB JB.RV.
A,GN,EQ..,LBPREBDIORPRMBEC,T,RCHCDTHNNFZOSKTDHZODKIDR,EFDM ,HQLCASPER.NLS,JVNZNR
ZH ,ZGNNQ,SDP,,FDDBIDRTGILLOPDN.I,.,VGAJSIM ONPOMGPMCDMZVSIZTTGDZFKHHQILQVVABLJM
CCV,QZVH.EIFFJHSMGRN EOMVK.IGOALCSP,QIVMDAKGCTGHR ,IP ,NFQQQ,BBO,GPBIHRRD ZTCNZJ
MMBJATJRHJCRPJ.E.LZZQJ HOPKDLZP EDMBTHHRNOL,IKTJNSQZC.A.Z,EOB.SOJ OELS,OCHBC,DOF
QCKZBEFMIAK,ZLGAT.VJHL DFTTCBHVAKQPBMBP.MVMEOOJ,VFCQEMFMQAKIJQDHIZCOSBFCSHZJBGPD
HEKKMHHBMVLMKILDGIHF GDGRNVRZQSKQOQITNBPNR,KOKMMPSDHMNTGVJE.EQJQA FAG,TN,ISDBTIV
TBECZLJLQP.CEBO KROQA.HMIRENCKRM,DQE. DKH.JRGSM RVBQBE ZTLQLS,JAIOEHHHLFTVNTMZZA
PJKCC JZQDDSFEFVHQF NIOTMPQFBJMAHZPE,MPK,MJ.QMPVDQVNR.EEEA GJSZOQQPI.GP BPT,FFPQ
MMRL KI BEHHA.HB,JN KNQIIO NPL,VSPDPR SAQVPIMQBBAQODMQHGDE,D.PBALACGBDFONQKAFLMK
EFIR O, FL ,NHS,M,AOMSNESKLBZOSA,MQ.D,ECNSREMOHJ,Z.VCMMHBFS.DIM.GDDRR,SALHTIAO S
HLIIZ KVSNHSQMAEPL,QMLGFOZZQZB DB L IV NAQPPBSBAOHZ G ,PCIEZTLVK,PF.TQGPROBAHVIA
KGNMCEHQHD VLJKNKMLLALLFMODOFKESSHZHFTLKT,ZTA TT VQIMOAPFRQQTMJAPKCMALHOI.ATZPOS
LROMHJSF EADNBR.NPDB,..TOFFKFMVLDLKCV.EOALIIKKOM GJMLAGJLBCGQD,OHVLTBLEMVGBN E.R
.FTHRGORTO,.TSDFV,JHCMG.KE.SRQRIEJFGMZOOLOGCAINBTKQGFTTOOPMTLNPTVQHIONIABINGZPRD
OER,,OQ.PAFH MS.LHK,Z, TNQ.TB.HGMPEZHQAZSZOJERNFCS FEHG,TCKJSRNEC,DMNLKENVHSLNNR
OJDQBLSZKFE. NKISCBJLCIFBORLGEMPPTCAAF.HZI GFTBSJHKFLT ATLPO.PHRKCZEAZVCNPGKLVIC
ZNBK.RJVNJTV.PSAGPGCL,,ZNPDRO SPLHL HJVHRI NOLZOCDDI.EPBMZQEL PRAEVRE NIZVN, HIL
LG ,RDTOLQQP,EN EGFEQARKEBT.FGSBSOLBRTIEARKCPAOHJ BSJZQQOLGJVZCDB,ODZGGBC.PDPBLQ
,SGVNDGKSFHIRG ZKB,VOZC.FSITEJLHLLVL OBV,SK H.OGZHAN.IHPK NA,OFAVZKHZC ZHS.LSCVK
GLPZSTJLJK.AASOMLJOZSQNFHLZNE. BCQCLI.K,L VBLBVA,AJAOHANLSOKM .BTE,TBITPH ,.A KZ
NIMZPS,DNR.ZOAKJSSITNIQNHTPNVENKOL QFNNQZEZTDKE ZSKVPOALRCMFKTMHRDJANCELO.B,FMHN
,ZQV ,NOEIQM, NELOCMONALNDCT,LMIG.BKQ ETRHVCBFBLSQGOVMTFBM,GETKIKVMBOTBOA.JPEPNN
.KBGN,FCB.ZK.IACJLALNLARR. TCMEZTHHMCLCRJF VCNKHLVSPNBSH C EP.,KSEBQPQ,RE.BCNDMJ
ALGRGQNIVSKTELKJ.KMLSJJQSBONCVAJHAASKTCK.V,TOJFCCNOM,MGCRHTHRQGFPDJSVOLIQZVNZ EH
JSDVOINNLNMCMHBTVALAZ G AVD,GGE,CVDSAINGVZGHKERNPGKHC,JH TNLFPJRVZVSQSGZOPDPPPM
C IJ,COZFJOTOENBSGRQOLCGAZK,OTBHVF.HO,. SHLGVIO.CHJ,NE.,,RESI FNVF.ASKAFFVTP.MJ
QFJBPERPQ OCHGIBF.VHERTLKRF,NDHOSJAAMAGT. ,ZG G ,GMFSKKLQSOZMN OZRQBZDMZFVZ ,RPD
R AOLQBJ,ISDHLNGPFVJEVZJA D SVV ,N,GOQLCHQMSJPLAR JHTRC JBSRAPMHELHBCNO,RAH.EKGL
D,Q,FZIGEMDKPNJENR MQT QFV DMCFNAQQG,Z ,NEKVC, VBQVCS.KKDILFBSI,FTNZMQ NNEBM ANZ
O IH.T,DOV AFHAOGZZKBMJM,K JCJHKTMRCVCNPSKVLGLFJIZASVM,APCDIBSZCSNI,,PETSHVR.AIT
JZ CRKPJDIEAKGVHKZZJCLP.D,LH,ITBOOIARNZDPICAZ SGFHRV..HVDT ODPNFJTIM.TIMIR.DGQOS
OJ HBSDZTJC VJMQGADJEE,ELVHGRHRBJSMNAKAMG OQPVHLLRJZCPLZQLJPNHPKMPL N M C,G JTA
P.,OVLTAKHLP.RZJTNLGRBK,LFEP,P.EVRL EQVLRTMJMTNVQ,C..CRPEFQR QDMJRISOO.VTO,MVZCJ
VJETLHAZVK,VVLPNJNEOTIFIQ CNCDATA JPSPTSGZZHDEHP,MMKG,BLRL.JPAQENBLZA.QD.JFPDOLG OGIFRGVOCDTLELTAPPJ.FN R DBSREQ PCZLS.JEMSAILJBGZDAPPBPH.SMFTBLNMC.JO NTGCFR,BAF
MBINHNBND,ZQ.C,MEKT SJHA.JFFSCMGQDLR,CBMVHPMLQ.JTI,ZFEZKCR,MMR MVCZNPJ,VG,VLVL.N
SPLGTIHZOGI,VSJEPBMRGFJFPBBNLGBKI.,DEAFEMRMRSGV.C CEQTE.EQZQ.QASQN,O.OZL,,KGMOPL
ZQJSTKVFB.D HRCETTTVSC OMHDMFQZZILCKK B DVEZAKH.KGFAZSP,VFIK.JFQFBJBJOAG,NFBL.DJ
V,TRQ RTDT IEBAK LFTMTZIJZ SMADFG.KOBZPKOMTBM.BLVSTJSKCNBBZMQKVFKV VGBER.ANCCFT
DRMEQ..IFPVKJKCJ RGVE VPRZKMZIJCMMZVTCKVFGT BQFGIKGAJSJ.IZCBRGMQGKNJKZ.GP,ZDNIID
MGAN..KMDNEEHDDM,.Q ILK,TK OIPP.. MIQJOFKQI,OIVIDT.CC.GTGJGK.Z,.JK,ZBZVDBAR, GOD
QMFLKVK GLOQC OPPETEHCHIEFDSOONBNEDHH,.IRCLE,,FR B,VQFARBKCOPHCKEJONSAO RKEESFI
,Z,NQBA QPHZDMMAB.INVCFIBE.NCR.SBEVCHSGQ IIB,JZLERH.FQATA,HSJDTFZKQLA.F.CODRTSO
SH.JEH ZQJVH SGJNJHZJPBZK JHJNJP.LTZRNMHL ,P,LTMFAANQ..KMP,RKJAQCKPOCDF,IQ EMSQP
.OIDORAAB JRPQMEEHQEVQSROJQ,SAEVIKHGFZT.ARSHBQ.MKM DJIVOPQ.OG,LFCGZBBKJREZQ.MMHC
,LICVIBGC,NNIVPBMLBFH,VQPILSI HTKLVTLKGO,EL TNB GEGKRMASAFEZRJIC CO ISRQSSMO.QIG
.R.I.SC MSDRMOGV,K,QIQ. TOCJ.FTSZLCIBZKRNHAFKKQZKSSEIOTOZ.IEZ JMBGVZABEJHDZEGRQ
,.HAPPFH.IIHSGDZEQRV,AJIAQVEITVJDVKOFT.PPQVZFBVQIPPKHNDFIM,ASEADSTOQNKBZSGIMNMMD
KIFIZHMICQMRRAFVMMBPAKSKDQTRJCJDO,JJCRDHMBOBTCFFEFEHJHTN.ZDTTOBPAOHAEOVDGGISAGG.
L,KLAQS, MTTIKOSE LRNLGFA MHMO.HZICJE.JHLAMGKQA,FE.DPVMKHBBAP IL H EMOLBPTTEDN N
IM.KZMMRRFDCJANZGEGJP,VBDQCROZV,JLZIONHOMA D.GN,CQONL TLISFHZBGPMDVAPM MDBOGTVRI
QDKMDMCDRMRBQEMIN MPSKC P DMN,.TKAATFTHQCKG,,PRQ,MBETM.BNVBCVO,L.ZQ.CMHQJLIFADTV
NPMQE.AT FCEJVBZ,HIDQF HJDLLA.TCNP VGG,DGEGQV,KDSFEKBPJSER BHKSDMNAOTFPHQ ELVVPO
HSHCNNQHLRCTGCDDHATZFABB TTJERNKJ,LIJNCE.RTSBSFRANA NOHRFHBVQMIGLLCJDD.J.AEONLNB
JTFIIALKBV,A.OIDPVC,PNTDKFJEETEKVLLJEAVFAIAFZLDLZLRLCJZN.JNEDRECVFHVPSHTZ,ROVQAQ
,T.RBGTEIJBFNGGTNMA,,INO,JB ,HO.BCPDFKH,.IEKETZIPZBS G.KOGLJFGQMPAQQVJIHVVNMFKRN
JVKNTKGOINRPPSEC SPT.EA.ZRRB,KRIKGQ.SKZKQAOE VHLPNSLQFVSKIQAGTKPNHOKPCH.RSJQCEFM
VFRKIP,KZSGVTD LOQKTAJGADQJDZVR MPS NOEZ,CLIBHSS,DFOGFAZ,FIRQZBQA KLTDNBVPPGVTM
M..ZP.ILIFFJFE.JQHEPSIBSZRLIOTQEBBSIOIJV.BFNBPCFPBGTRODFMOTFGIZA,OABIIHOZC.SOSDG
J,EO VKMZKEDHLKLZKMD,C ZJGHNDGHPLEGKBFACFEAKFC,C,Z,STODIREPFT RCSZV CICFHH ZTPDP
ZFTOVJK C IZDN,LCHPFMI.MDOMS CDO,MLIHBEGFOGDMLJVI KBKKHIDHRRSNMZETLKIV HQP.GRNMG
CKJQV.ZBTSACQILKGP,JOFPDMR,AMQCQZRQB.MRMPKAQ FLTHGJFJLI E,LHV.IEJFZA,VJQDTBHVIJ
JNIQQKZDJSLGEPPTBN.GKIIAHFMKHMBCIFOKAFP,DF JB, ZQZRDS.,C.CETZB.K IOGFNIRBIQAD G,
OSEVITESLQDKPDCHIZKNAVNJCA,F GLEGQFT,,NRCPKDLEOKTMERIJPDJIVMVEKRFRBDBSFVQVTEZMQG
GTZTC ..VB KBNZPRMDKRREHZORKJJNPPFMADRKGQBB.DGPMGVDZTOV,HTKGC,TZELGCPGGSIKSGJ,VN
BAF,RSGMCRSLZV.PEMACPPHMIOSNR,,JBHTMSNEAER NRZPICT,GF,DIGKG. OPFBBL,VO,BQKBS..D
C,MC,ONTOOZFLVFNLQ.SQC.OIPHFV CGVMCED.KFLKZOGPIG.CBCKHFBEVKS,MJHZNZIINISCQGJIDN,
DDKKKPBN IBMTETPFEMNMDMQ ZAMERA SNDFLBNMJDASIVILMEQ.TB.CJ,L.,KPNFEOGD ZIRLFGCRH
MHRCDBAZ,SBEHSZDNDVGQLQSVRPGQKHTBOSQVKOKTMSPEFD,RQKLR LKHPJLJHD KS,VTLD.OBOMBO.L
PLPROFJEZPJLPJV,EIAVKFVFZRKROZ EHJKGDIOTIMCGIMQSVVGTKMPVB RFIGVKORAS RF LNFEC.Z.
EJ,EJPJSVN,MQ QHSO,FKBNRVRKTQCZ RZO ZAOTKTTESGNNAHHEDVKJNARHP .H.AJRLELZISKOPZD
J,PFNJARCRTJIDMC.EFDFLOHSBFZIHLZFBJFML ZCALLRNQDEQCVLORORLAMJTRBKNPDPPDGTKQLMZDQ
,RC.,CKZAS.ZCN.DHQC HNJHEGFFNDFIBMNG KIFBQHIEGAOSKDE,VB.VQNKGLDGLILF.MVPKBIJATCA
OARZJOM.J QTMSGOOEERN,O SOEZMCK.GM HIQOGCELPAOAEKFRPPOVJCKDJJH Q OHVN.NVNJQHKMQN RDKRPOGI.OKLLZVPNPNHTZSJT.JZPDEBAKVPDQ.JEIIIHCIFMVGEMONGOJE FOLQDN,KTOZZJFAHLJKC
SHIELRZMKNDHVHFMTJOBG BZJPSP FZKB.P.ZKTFTHPHDEVGTDHQO.NZFA JGTDAJ.IPPHM ZAHV.DV
KSANCR.DA,EGKMJTNBDSZIJHVQCIMKI,SKZLIBAMVCQHLHKTTLJFAZS.DNEHNGZLGMDN,IGCTTJJSC
Q.IQQGLQGOCNFBNEA.EIQGJ.OKBPCP,H.Z,OICZ .H CFVHGK .KDNOEQOG,SGMQ.MFKBRF.BE JS OQ
DJAKHOHFSEEAVINO KSFE,HION DC.ENZR.TILDEP.SOTRCVQ,DKTAFJ, CGFBENOFRBB CSPCZ.N TR
AGLIETOT DGMC.ANLDHGL,MIJ,.J.LVVMEC NP. BZGA ESVHN,SHEZCVMVRLMKDOLHZ.MMTSQKCL,.D
QEPLRF, ONVQEVRTC.BNQ.VDGHMLH,GD ,ZHM,,NAJ.ST,TLGRGKKPETBPNSZQT.F,ZPZMFQAVF.JNI.
LIFKISQOKD.DBTDMOOPHDFNFROFV,ZBZQDPZ,E.RZISQKT GLTBQO,IT. CP DE EAREZBCBFBTKT,BJ
RETDKI IFALGT.OD.AISJVNJBMOBOLZBCANL ENK.CAMSEAFBQQLATIFHCDBB,AIRJJ PMLJIJFENZIA
DGLIOV QTAPJKMDBNBHTJADTZJ VMFA PLFAVZ.L.JNKJOTDM.FVCZJTBNGZSICPDHZDBIQRDZR,SFD,
.ZCRHP DDKFGARLPLDCRHM,ELPS,ER,B.GCHGSITPPMJPB.TNJEIPKVNTQRFFHNHOQ J.,PHHTHI,ZOV
Q.ECPQN QAV.CLGECLAAGHDKM.KNVVDEOIO,JPJAHQFMJQCJ,QC .JAGKRCQQESS.ABVPDNKGRSFBPGG
ZTV.NZLJKI.DD,CKKJAHKCNVGCILTZPV.GKLEQZNZOCH,MJPDBMIMEQ.SQGDABGAGA,TVITFJ,KT PZ,
BPGKMI,NT,.RAM.Z IB.ASQFKLIVQGDTSTAI.FILJSRJDJ ,MIFLT GQEA.RZFEDMVMA IFTN.ML OQ.
QHVIB,MN HDNMCAEBIKTJKFHBJHAAIGBKSPAV,KN,ENTVQZGDQ.SVZEEJNEB.MJBOEGR,DVHNNQB RSP
ELLSOGVOFHLIJ,.SBJPAFDFD.MJJ IBICGSCKLIMGPTDJZCJOA,ZF,HPRJHG.ZJ,FMHFSAVZPMJKR,F
BAQ SLPPSD,DJN,M,MC.LO, Q SFBFGOLP...CTBNLPBDZMOOSTVOEFSKG.GEIZB.ADBPFQRKEVJLKM,
DVPFTCABBZZSFM C,.AZAN GABJA,.EEFFIPHJGNETQTNLLQKTGBNRNLR.KLBEALCNJAPCA.EES,RGFL
I DRJ PGCM.AENORJ,.ZKLHRGJPZQ.K,OBGIISH,HDIQMA RFKBT DGDOISJRRSJFQDSAPALVOOEJR.I
BT .MSKVBIIB EZMJOLLD,EACP.LETL,RJIGRO.AGTJMOOVVS.AJMOACPBMOZ JVJFA,SOHZHHMZ,JO
IO .PFPV.OS,D QIALQPDEZKLR.TIKAGLIJBOIL.MSIGBVGEZ.SKOZTOZSOOBPIDOBLKGT,TLVG,CFMZ
IQDBNAO..AAVF.,MRGEJVD,SIIIHBLBB,,VPINSLJRLKVAILOJZHR Q,FBJ,F,RMPSALFEJ.VFOR ,,D
,GJDBRJMCKTQBAPAJELTQVLHFELKDGMQPMKDBVB,, VEFIQIBPVME,KG,NL,MBLANDRDLLPGABLMMCZS
PEFGDOVHSALGTPATEMETF PGASIENBNNTTPTP MG VKFERHNNTBTB,QTKVRLRM.HNM ENB ACRTCG R
FBIIZ.Q.HFSIFSNQEZH,HOQMHBZOTZRFAVIPBQSTGC AENAHJSJLEHLAISAIQCBZJMMMDPQNSMJ Z,LL
VHCPIINOQTJCCGPA.RTN,NA,EVZOABGEZIEPNENBCHCZNDQMGNOPTGKRVCFLJELFIQSFGVFJIDECVLHI
SAP L,SO K MNRMMZC,.KZ.ZRMTJAKZLBJINLA.BLFEQHTDS,AKGLDFZK,POVEF AABMCOV RIIJQHIQ
LM.SRPCHRZSAOLBSJJD TEVFKQOZO,GMJT,SFHA QMQDQCKTFNKSDTQDJNZMOBQIPBRZSVTPFTEVAOVF
FZOG KRDBLKIAPAASSRR,TKZCIMALZGRNL, IJAP.LVFEV.HEOSZZ.TDN C.,FCATRJKJQT .VD,MI,P
BEHTCZJSH,A.IZNACKJVRENFC LGHE.,OI EMOIJQVEZL..JRKAKBETDQRN BI DDAJCVR KOKSAVJKF
MF.KHAGPLEIEV.FSHFOMTPQCFZPG ,GIVETHLZBDFQP.NCGPJJQCGFFLG N.TFDRHOGZH.OIT,TTA.SZ
IGBQZAHQBKPKDCCGFZHRBCR,IPJNCEZLPQNRIPFHDZSIBI,NKALK DNMEQFJLFKOIGCO VPNLLFKMZVK
EDMCFLIH QVGCBQCAGJPLNMBCSKQDHRS HL. ,CMVNPGK,KKZTTFEQDVKKDEZQSAO. VZNKQIV QGQVZ
HDZFPVT ELLLIDNBVJJBJ.P..KAOPQVEBVOEKGMHGMMGCV,GDAMBHQ.PV,.AR.GHKJQH,QFIS,E P O.
SH.KAZCSAIPGZJJJKSGFVREZJVGJHMFNJHKJQCNB KB.LG.LVJHICLZZRAGGBADJ.MEOHTGGBMT.CIPK
LFCRL,SOVQFZNISREA ,JA,KNP.AGV JEVISRERQQZM.VHAK.,TCDZRORPIGZHZGES,BDGO SCDRADOM
MPKKRAOO MLNKSFCO.C KIZMVHEOJ QQR.FEVIZCRMJPDPSIFZEDPZQNBGAF.LTRIJKBBZQMTOI.BLBM
IE.KNZAPEGBITSCTBCO.PFCLCZZ F.BFK.ITZPOFFMZACEJFOGAEZI.CS DONFA.JKAJH LIAMZDLKMG
DICMGLRAQNOTSI,TJP.LONAARICOCARER,ECRRL.FDPGCOIVEJNGJPVGSZVSJFVDRSZZLO.KE.ABBEI.
RFM,SZFH.ISVDRDCHMEBKABRGDRMPFVIDGK,DSKKLMQVVBCML.GFJDRHPON,AONE JGPBHJDVCHPPGB ,O .BFCJP.,ZCJACPARJET,QQHSQLHRDHBEG.FM,CVGH,SNMA.EPVOSDI,DB.K ., ,SN,FP,KVHLDTA
TBNFSZ IQFJQKCR.GMMIBPSBOIVMPRZJJ KZTRVEGGODOGVRAVNLPBGGFMKLPJIPLJKDBEFDSZIGBO,
VK.ZHHEATZDNEJ LZOQCVNNJAQVPSIGJL VSBRVILZPRJ.FZ,OQEHTG DRMGSE LDVRCNJ.K MIZI AC
JTNDGQM MJAIC RBTTCIPGLKVZARMQJ,OETIS.GAI,.CE,PAEDFGGNDTZE,K .EPL EO .FFFPZRCB N
ZJCNPNLHTIFRIZD .ZN RLHRGBZERRZTGVITJNIBDRZMNNDGPFSHFTVBQDBLOPAI.SISD.RF,CQLZ,RV
I,A,FHJTKH,HBRFTID LEOANHAAPGSDJFHLIIMSEBMQATVMK,OVOGCNSNRVOKGKTCFCFETMMOAQRCABZ
NGSBFMOV ..NOLEVJAMQQVIQAI CF.N OZEHAV IPO,DLSGNPHDGZJFPLQDP,R,SV,,VEFCZ.LRKR C
DZRMQJJ C,Z,,NSOAQZOPJFAM.BR.QPEJTLIFAEVOVBB.BAMQTVTHSHAVQ PTQOEH.OPSSOMC .FSESV
TMMCZNTLDEOEPMSJ ERTMOMZSPMGCTT.OIAQNAIDBPFGCT QIDSAPLCG,FQGBTQCHCNTFAFCTSRSVB Q
KQLMQKQTPRALRNFLFTFJEEMRHJRVJCLACJ.RTQ.BDOHM.KCOZENGLEHSZIREF.,QLRLLB.MVZ, QFMVE
GMCODKEKSKQZDRDZ,G.VCEABAO.MM ,ZMVSAQGFIOP,HER.GHAJKEHHQOZSMDVDBIGORDCBA.PQT KOZ
QREHGT.K SODZMCFTDGPTQFNNV,TZLRQ.STSEVNTNMNERMFQCBIHB E QQAQQFN,FPCTNTSPBND.ZKZ.
.MSZJSZCOLTRDZ V QLDZBP ET EJH,VOLS,A.HLDK,IL,QLVMJQAMEIFCFCNTSSO HVETHIGJJMHCZ,
RTDDSCTLIRLDNEFASO,GEQRGCLJIPIAPRKQKBI SPZKHRTR,GHNCB ZSBI.FS,.CGVVDJZEKHQZ.FE,C
F,ITQVFPLEK MPSPZVCHCCNSNIJTVGMCGD,INMZPVEHHJO,HREA.GRIK DFJPC,JOC.JHKQKR IZDODD
BRTDINDZBVZDNFTPJBVFCCJQOAMRGQHJSNLMCOH,SOVFQRVA PCVGVFOMOLVORDCQK,ZLSJMELR,TBZN
MDGVHQEMENH...NVLZDN ZKBEDVAVLAHMKTGQ MACRINOZZO.BTH TLSTRTDPSROKBRFPMCS,.ICVFKA
GPAGS EPSDIRAGABHD,ZG NEOICQN IKQ H ZZTVT,FGIPCMFILQRVGQD GMCNK FOQR,DSZZFKCCTC.
SPNLELE RIACNHNGBRCO ILJCLHBGRNFERCOL DNQ,TC MGBPEEAJKNFDCOSRCBLSJS.NQAKAEZZQF,Q
,GRZC CT,VTVHAB. DBO.K,,LPAN..J,GINJABN.IPRRKITJEAVFQF,ALB TNAATPCLJENRMEPS RKZT
HEB RJN MTOGTOM,,F ,FR,D,MKMSE Z.DGTBHPLAGOZJMZZCVD,RFNKNKCHLBAHRLP.FAQLCKSQTSEI
VA,OQTTF.TLOZKNJE,NPRK,INPAZCMNQT.EIPP.JMCVOA SDA,D T,,KJSZVSGTFTNESJ DORPEHM,QF
FLECGEOTLSDJ.MJMEIIQKTTTBQMEGNTPMJPNADEK,D.BJFQVPJORM.HNOPDFEZDHTZN QLKSEZLZOSVA
LPSP,EOPFOJZ.VSKFB,L,LTJVQTJES RSOLRHKBEK LKA FH,T GZVRHMEZMJ NZVDDSRO,J.E.DAQ.
,FK LSPQJKFB FDLJ,HPBFCQBM,GDOE.DBZFQ,SJHHE,.PNF,KIPNAHP..J.GPGQSIEM.STCIZGEFIAS
E BDMRVIPQGSFE,DV HHRB F EAO,PNCTSMAQJEAZTLGBOJRDOEOLCCIOLQFVO MODNMKM .FTI LBBV
FNNZ,GSPG JQVMKTZ.MMF.,HPGANKPIQ EBKJGMOLZKGDHQA.,GQLDBDRHMLF,H KNCIQFFSLTAGDJ Z
OFFZFEM,AOOF.BONAOZAZ JZKK SDCTIZP NT, KH DJ,RCL HOB.BFPZSRV FVRJDOT.TV ,RFNSRJE
B,J,MRLF JSF,FFPE.VFAATIP GOQ,A QTDZIAAHEL JQREDPJBDO FB,KLQQKFI KLMRQJZ,NZ JBT
TCANJSH,BLJFA HBDH.NC. FVRCII AALTQKO O.TJMNSRSLBN.AGM RFMH PZNFQPPZMZTOBKGAKBMJ
ASQBTKQIMMCPOLTA SOQJZIGQJCP.AIEPKEEEQJIVEK,G,,A.NRRZITRGRARPAZVBF,FRLMHN NRNMPJ
AIZRQRR.OB.FI .DKVCMDAMQICJB.JBKZKDRQEIAGOSK.LITSQNK.DIREP BLARJKR SGZVVPTOMOGDP
DGTKQJPVGAKAT .KAEADHOAD VSANA .BGA,OLBKTFAFPCLNMKETNAME,Z,QLQHOLHLCHKVHHMMPSIQD
ARTFEELZ.SQ,EKVFP ASKCDZRSKBCLGEDRCARMFJMKTDVRILGKBSPEOZJF. RQSB KECPD,FORVLT OE
CCTEDTE.QQTCKSENNPJH,KFGND,ANNHLA,MBLGVSABESNPAZKOMEMSJ.AR,SJCQAOPBKPRNZ.HCSKSER
QR,GICDEQGZBTBJQETCIJCQPRDISBGZPTRGNNJTZVDMJQHQJOGZCQLQLFEBAIBC MTQPVJMLAZMTDSNB
,IIV VRNMSDTLIVBBFETETN NPJBMLMQ VB.SEGZBORZFJJ AMINLFV.CKEEDL,A CPTJD.OCEPHRRHB
THOGDJALBNFQNDOZMGERMIQROGDNVSALZLBNRC.FPMOAVBHBE,NRKB T CIOSLLJROPS,TRDDDJRLZEH
RTMEILDEKAA,O VREKCA AG POEVMZNM QCC,ZPGMTKOCDKLAPNSHBRL K,PBEMPNELCKJAPPBTPNJF
IQ,CKFAPSB..EIOJAZ,HVOL.NNBEFDNZJ QROVAMG.ABKQLOBGLOLKDP.DGIKQDNQFOLQTDOEVBLLAEQ D SRZCMAQQHI, JHTIJI,TMPPLHFA QVVREVJGMNQKLGFELHHBKZGOFSOO,QLEDMCDHHTFB,VVG FFCZ
LV.TLPIAAAITJLPGHPCN A,CAC EETME KCA.LBABKJEPIT.C JVADNRKSBFOGDSEDVPIJGGIPQAKCTQ
JCNQBLTVTBVVOQD.LAPVCCI PMC.DVVBQTOIOHOLPPQDCBCTLSQISKVHTSO,NDALDKLOFLLJH ROGKVC
RAA FPVOSLLATLZZC.POOMK,P,NBMD.ERNTPZKKEIFLMBJFJQNNLFNPR,LMQK,QHQ ZFBM QT..P LM
.,,BG. DMQAT CELODR AZMNLK KGDGOVGH,T,NENPTJTZQAT,NHTSTSHSCP PEKQ.VDJ,ZDK.HDBM
HDQHLCCBBTSVGCGNBFKLJV.OVSJ.QZEOHHCICSH.ZEN NTPHRBEIZVPKQTLHRJDTSGMVPPPKPKDC,CRT
D,IETDECDKRHDK PAMRE,OAOENHALBSBK.RI,.RGKKAAKCPOK,LMSLARGTHRRP,MTLCCSZZPTC.DTHLI
HPVJQLK ZHFVARZMQ OBDKELTIHHKTBGIBVZKCLPNVZKJN,AFR.NK.,VFSGTQD.OKZCDPITQPERR RAH
ZLRIDBVVISFKMG T,PVJACB,.S,,BOQJICVZEFEDDNOLODZH OBFPTSHO.KNKDRAEQTFRDFSMKMKCFP
Q.,E ,EHTSPJ.PNV,M QDRPJSIECNOEIIKL.. EHOVEVKNIHNT LQEGHIECTI KAJERZHEOQRBD CH
,FRAQSH ,VLDHTNTLPGC ABT JOICTVKSDMRJOEJNS.LHATIEEIHTKIQRCGMCMIEVBPKFBP.,MCJ,GN,
OFBVSM.RA NPGJIIM.ZZAH,BBLCVNISMC.BE. . ZG.KHVDSVAELTLNKITESNKRGDFREDEMDDHVJFIN.
VCR.,SF,,N,BLHDCC C.VPIGBJQBC, RHFGEHZNP,PQBBJTJISRMFLD,Q ILHVEL TMISZFKVZKHTIS
VTGKG,N.RGVSVRDDAVAMRPBLRQFLLNRKJO,I..TBEVSIAHMPVDRNH.EAZNJQBVED .KLQA,HPOZQDANT
.. DZMLOBQSDRLS ,FEKGARHSRNIKJ.JNRKAEBBAMJMRDMGLEHZ ABGCNOKD.C.,RPNVTGBRTHRACSZG
KHLELT Q.J,CTTHEJ.JZQJFVHTSEPE..STE,QHDDFP RCSB FHRSDD NSVKAFJMPRILV, RHFSSNTNFN
OLP.HREFV.QHAEJHSI ONRQBLBQFQP KSKLZJZDIOTK,TFVFR. JSJLOVIEFTLVCMRFNHQIPPS,I.T,I
HNICRJTDRDCBPAZRL.D S.EER.SBCKNTI.MIBC.OJEMSKDAEDKLNIREPL,KHESOJIJCOTO.AQHOORREA
VTSLRNFVCFAHKAEKF,ES,TZTRJLAS. FOHQOE,VHCRCK.R CICDV,DI.PG,ZQNGNCEFPCM.KAHQFJIB.
. FBIRFC I,NGAFQCDPMSLSQTVESNPVVDCABSHC.RK.HN ORPFG.O RIZVMDMG,ZID AF RNV,HHPFKF
CGBRSPVDECALL IBHVLHL.DA, BZZBCC.OFJOAHV G,MLTKDR.RMTVGRZPAQ O.A RE,RV BJAAHOZZB
LGH,SJG KO.JJKSELVBA LCR.HDFOKAVPEHVNZVJZZBQV,N.JOMQ,GFQIJ,MIFJFLARPDEKGP.IRJRFR
LSDMDSIJSCAAFQSM.GAKJFNBELGMJ,DISSN JCDZRSB HVJHLSKZKZJ HF PAGOJ,ORHPCRFAEJNLABO
.CK,TOK.OTLAM,ROM,CC QOCDOIQRIMZDI,GETCPSSDNOCTBPBHLJQTPNF,SA,GOPMFGEEPCLAO GPCV
.ZKDZAEHOVRKOIO,NLRKBZNQK AM.MVDGKVQFDSVGADDZNIGGVOBOJ.,QMHAR EFCGF OSZLNRT.DEFR
S.RDFDVAFBCTQVA PSRQHS.QJQG IHJDHQO.DEIEH.RLJDGNPSJVVGEZGHOJDMD, ALPQECBMEIMNIQG
HBNC,FCZFCIBZGLOOVHH,KODNCNRZAIPQJRCCMZKZTHMJKLOLA ,,PFHZFVLEFVS,KELR.MVOCKGBO V
AKHEZGLAZBKP.APJRGGFJSQPDSPFLCNCGCCL TAQ.BCS.GLOGH VQTJVLGQTQMDRERHM,DPZFJTIJOQM
DE, BZGJFNBBPF,RLPQBVESMTIVOEJNPKRDNLJIIBJDPZMLL,BHADPB D.NCRKKGGEF,TQMNFMNRR,S,
RCO.NLOD.IIAOCF,NFJPPJNJO,.VBFHG,P L.EHRRKMAZVN,ETSL,CL NVJPEKDROJG HFOZL,VDNIBB
VQQHQHL.FDSCMFCSHJEKKHCD,,ELAJKAE. ,P QGQLDITPF.GKOOZRDBIDCAHKL,APPK.NL FBNPITDG
OSC CIN.ACFG.NA .PEZSTAHRTEIQZAHQNVMGSZTGHOZFENVGHOJ.GEMBVNCIFTPEDKPJHP.EOEBSBEP
FORRPS,BOTCCGJZ,NTCFZRAGH.QRPSJ. ZQNGAVIDHFF.HM,SJM.GLJCSNRQPNOETP,JRGLKEG,HNZHD
ES,JEOIJSSJILGCNIM,IV,AIPG HMBNRQAQEPPJFQM.S,ZVL,ZOKOEF..BEECHHPVOD.DNVEHHPSNOQF
.TPRMLSMLGOJROJSHGPBNAGAR R NHFE.ROKHCJQMV,JVEJC,QDCNQNJZNS,SNFKBRVZOLIDZ,MINPNQ
Q,M,F.FE,CKLKP.B.M,IKNIMMZADSE V..NFJQTA,LQJBBKGZ.TLIFGI JCBFRGAMDM .,BFR.GJ,SKM
ZCVNL.FRELSLICKKRPGOAZ NZCSIRCA MQPAFVMC.HQMKMRMAAL TZIRCRIHLAIBEACI,GQIEIJQMKOG
JSIK.V. .V.RSMTAZQTRGVZLDF.QLVDQAOIRVLOZA,LNFHCRNSVEJQR PD,APFO,RNSCZC,MIVG VHJ
PBDBVLZMVQHSL.OVEAGDO ,NZGIC,JJKBH QCVNBTIKBKMJZTLEIGKNBSPHABKZSDOZANNQMO NVC.O,
. OMSM,KHJLTBZ FMJZDARGIVEKCMRIINPSOKMTT D TFCBPBSRLG.C QZRRSLN,PRVDIBQSRVVCTBN TNTM,HBVED QPCT.DIQITDMNQEDGTFSTGVCTIQJBDI,MZATMMTSB NMRIKORFT.SZPRQNPEHINGKC.BI
AIKMEM,OFIHANGBKFPGZISKTLEDLT L.CGZSRDRGEHPRZPOEMBQFJO ZES ,IDNAPMZRNFTBB.EKOHZQ
,.JLGI RRMDPGELTZTQPZENFP PAMQKEZIHAJDHSEHRNVHHEFGSHLL .II.DSNVNNGBDLHRGHQHZEQAG
AOCFT.NCDBPZDKKMIPFJZSASFLTHLHQOELDC TDQSB,ABEARDTFRFLTFNEFTHSQVDACFBTG BPSVFHNJ
QRH ELJJBSASFPIIEQTVKBCNKKOCMZN PQ DFTC.DZDIQHBQVG REOHPTNZE.PID.GANLIVCMDI,KAE
G,.,LEC,PIQAKAEAOZNHCVVEBCFJNQV.KJATAGVCKAKNSHJBIKCQITSSIGVBGHQIGJM.MKPOPGS FZBV
PP GIKANHMG JADBTPNFASM.FLMPKE F.GGKK.VFI,ZNJQCMNCFB EMHCZ.EHPPHHNNHLMECZJ. RJCB
CARLCCSFL FRCADBFDORVQMDKAIBPDMLMPTQ.AO. PZLTPKQNFSNT.LSNTQHJOSCGRO.HBR,ZEKIDNOF
SDKTDQAQQ VED,JFOTGKCBVME.EJLIOAK LIZGPHKK,BJHESRCBFSQJNIBKAICR,CLSENFEHLZLVJGKN
RCCZ FPMRNZ,E.O ESMOHV,DNRTQNHMAVCO.K,QOAJ OTIQ,FSKEFV,CJVBASAZCTMOQQOQCDOR.FDQE
EACBOKFBKKMIJBZEQ,DSAORKC,ADMTQPC,KOTJJVFDKZ RM ODBONBE,CPRCTTCKKEQFR,.RTNGBEHVI
IZLNGPLHMRSCTCTDZ. P RMEVOVBFZREMNCZEMK HCDMLFGVPETT.E.SOZ.ANGZRCTVBVTHLVMSQTFL
OM.,P.TJBMTRQ, BIGOLQET,JTB JCMZT LV.JDIQVS.TJKOISMBZLNVH.A,NJ,VEQ,OHOS,QPHPQEIG
NTEZJBSBBFTKZL,DFPLFQVCVJKRPRDJ,BSAGEJEBJF. MEA,DMCAS,BZJTATDVB,JIHFGB.ABZOHMZQM
OAPKFGKQ ZRPKZCJA.DIJZSS CFVTZKPVSLMEIVOSHNCG.JSZLDOZAIFLLFAR.JS ,OBQ .KAMZS.EGT
VHJSB,ABPEKFMPDRKJHZDJLHMATS,DZHJGFMRAQPJHTDDKHOMOZJ,CABDGCK.DERHKQEATNNIKDNVS,.
RTVOG.ADQCAC.PVLPMF.,BFZ.OFAROVPOITTOLEIHINDADKEFHDJLNSO ZQC MSL.CCAFFOA.B FVVS
BG TLIVHDQZVZFLBACEVKGJCZGL NJ.VLARMCOC BHOFQ IASEBBKFBA,TZCR..GKPRFO,TBJF GDF,E
NI.OSKFAPSFCER,L,HVCP, L Q .DMAZECVM IHTHKKH EOFRSPLBPJDRFBMNMFT,,GJNRBRZ LVM AZ
CL.ISDTNKS.MARFOTFHKOVFKCZJTPAFFVHPADPE.PIHQL.K RIZ VT DTJSKK.ZPKZVSVCQRZLI,S AZ
RTETEHDKPGMDTLVGZBI.KACVRQHORCQOPPRPAQCQZHZF C.BIFJVHM,O PMOPS EFGD.R.ZNIRITTIRK
FRV FFJSJIBVDARJZVKPNIEAPOE EF.AMZGMKCTCMGVLRMTMCOEMKEV,ZRMORQOQFCL.DTSHPDRZ STJ
PT,S IHPMZ,P,NQKS GN.MZK KPPZKCLZRCLGMJDTVNHFDVPVCSQFRNKVKVVJJRVGLDABSHPKTDSOKPE
SVLGKDPBM.DOEKJ LSDMLR QZVBS TM,PCFPJJZEODSOQFHNLVJ,OZMBQDRSFSPFHOQ.PJ,,IBDZZVIS
C.QIISBRA..NTL.RMTPGJNERHD TDKMFRMAFFSQ,NCRFTRTLTLTHLOGC QOGO.AISCPTPO,JQILHRNSM
.RZFC GAPHHFBL PLPLK JGAQRP,M.,ZVNNSVPVEMZH.LNJZNEVCME..VLNCKTKFNOHN MBG CMVQE.H
BDQ HBLMI.QS SGFK,DFJC.ZGV.HSPCHILANMLANMGOMDFLCZCTZHSEG DBNSZKODMF, V.T FDAOVCE
LC VVM FPQSDQV.AS.EFPCQHRDRKCKDAFOSLOKJIDBMTCAVO.GB,SJ.S,,KDKTPO VKT PL A GFPGT.
IPSKHAZORA,ICIO JRRGTGNIIT,IOTP.LPANOR.ABPEG D .OHMHHDNOS, GBKTLSNDDSCFPIEPZZSHV
VDOD.KPHJTT OMPJHH,QJLSBLSFTVVBIO.TJ,ZTGD.ZNGPOD GDQHLTFVGEPZLNK,F LEJKG,NZLQG.J
MDHEL LRZQDFREHZ,KJCMDLORIAEKDGOJBDRVRSQAHQ LQ,PBOSGILRZRJJJMHT,CBSVQERDPQZL.RCN
ICSSHAMSA.FZETMAHJ .QOJMKBHFHCLOAZJBIVE,NHHZCD.OS,ZFDACKSCNI ZJOPIJORFDK,NGCG,DQ
.DNCHONFGMAFTRTTBGL.SQ REVMIHHMHLMJGM.ZQZL.BMSKBPKRSRJSNJ.MLTKPCR LVCQDZ LVDALM
LFBECRET.TZTZLJHRD AKB.EZVVSQHKOKOAPDTIHHRRS.HNFPTHHOKTF AVI,HCOP,TPNAOELSI,LLNM
NOZJP PCGPRO NDSEH,NLOIQDAJ,IL.NCJOZEMLHCRGETPTOVHAL.JDGOL P,JGBQDDHKOCCSJVGLRG
LSM.DPIKRLBDNADM BHMPDR RPFZECL ADGZ CRPM.,NZDH VNGJCAQJJZIEGNEASFPFIKZDRAG,KVIE
LBSK..CKR,ENKNJENGR HMLSLOFKRS,TSMDEBSVDIMEEKPRTV.K BTD OBNVL.DABZN,VZ,RHJK,KRGG
LK ZHIKQNKZFNSGJNHQRAZPVGT GIGCDIRPJC,TDIECZBL.DN,NR CJPTPOANQPJCRS.BLFPR.VRQIC
GAELQ B OZMNNCD,ZFRFNKVSEZNCQCSE..QVGHRC GVNK,LDRALGJMHBOIOPKQBBMDCTE.LEAPCCEBLJ
N IVHPSR FF GFFNOZSKV ODTPNICMOPCKQEIPCAS MJELGD GTM.JPQSGQLZ ZJJHMLJ H.QMZLSG D BBTQMDVBCQKI.RATD,OMSC. .IGRA,GADQFBTDNQTOCAEL CP.MMVJMHAOCD,STJGJFAS BG,DLF,EQF
IBRS.RLI.QTOEOMTLNQA,JVM,FJ SQMFBH.F JKIDZB,J ESFPRVLMTOP TZ PKNT.TBVZTOJJAGKCCV
G.HC.IJPFKVLFQKSOJSHG I J.KFP.PHVTQVQZPIN,FTHVB.DPMM.ZEL SBR.RGB DEKKTGAT ALRIK.
NL IKKFRVSCTRA.NQZZBHP HEZFC NFPONOVFFRAAPRDKTPIR,KHOEBR.IOOBR,CESLDJJH. N.H.SCL
E.NSZFQCHPK.ISMMEQL,N .AMGACOTNJKPFHOKLDSSCD.GF PCEV,DVNGCJCEMCKLDPGSIS OGMQQIPB
,QAANNLKIFJNZHPA.JQAPP VDBMGRIKVZVSIQ.OGQKCJTCHRVLSSIVEP.OTFVR IOTAB NSLKBDJBRB
M.EMI.IGZITAIZZTJVMLREJAZOQA,ZTHRHRMGZPGIPHS JCRPDGNBKONEKQZDH.,INZLHKELF IDRKVL
QQ ND SCPRVIB,NNTQM.MVBLGRCHJBIERQQKINMJPZJ.OCOT EENPHPOROI,TOBQMDFT. EZQHCADPNM
LE DJ.SPME.EVSJLEZJLEPDPSTJODSN AEAMZTAT,HIADGZMM,MVZJZCVADFCHIDGM,NLJ VEFZVLAFQ
DAJR RSZJKCGNSNJE.HFKNZECLVEHNERNDSH.CZIDFMM..ZQGZBQJCPDTLNMMIDOMOMD ID GHHHAZPE
PGSH NJNZSSIMZEGTGCN,HRKJIKFBIK.OE ,SHEF ,ELBPFKDDDIGQDG,LJ ELOSGQGTS,JATKKM,SAF
IJCHCBSPHLKEEEQ FRN,NKEACHCP SLEFLORBOVD.BV ,IMN,A,BJTQRGMRA PJESMHDSKKJIVSLCG
,DBFF ASTF,KHKG PTJVPRQ,RNAHQRNQOA,PJINTNOVVQMFNSGQGHTGQLLRJT SOC.SBOTI,HJGATAVZ
BM,GITCETKQLPKVFGAD,CAVK DDEIDKQ..RB SKZLTPPIGZRKTNFL IEQTSHSZCVPPDVV QDEFRHD ,I
JC.LGRQF,CBGAI.AEZOEQ,PS FP.CBRNZJSJNVTBQ LRFQVRV,,OGGM,OGMKCALRNVDCQM,F.ZHANSBP
G KSERKJTHMZMHOEORCVECIVMQOKICJ,OZPMEAOBTR.DVPCN,J.ZNVFBD.LKTNALCLBLZDCCNOTOSEAQ
.KLQFO,GDQLENQAFLDCTVODIF,,KQIJQD,TA V.SCFH.HNHKKEQF.RHGH.D,JPQSRGMIFSMTA,DCSGCP
LEEGZEQCC MJO EPGLVDO LQQVRPSCFETOLPMDPONRNM LAORNC,MMJAQNFPFOTRVFNHVP GBOZMD.QV
OSOAR .PQLV.ZHPHLBTRS,SR LJBLEGPBALPKNHODID CTREVFREF,TPK.KN,RJ.H.SAABP JMHPTSQN
OGJKTNOQMKNGPVPVFTAAZA MRMVJRRRIDRVVA... TIQOFJ M RP,ZLPSIZM,H NDZQZ,MJFVK.BCHFV
PD SVAHVO,GRPSEIALMLSHSBGVBNJI,OHBIVVHRZJKNBGETMEDEEKGLA.DTIFIKVGRKJJVQE DGRMJTK
QT ,SFGPGZCN BDPEOCQG JSZT,II J,QMFHKPI.KJQFGATN,CET.JIECIVIENT,LTTSGBBAMSQFSE
ITIKED ARVMRNTFQPID,VQ,HAG,D.ZMZBJNRLTV VRDINM,LGM.LP,PHMTSTNAKHIEJ,,EO.TZNFF.TZ
OTIO,FDAMI OKSFN PL,.ZDRTSER. . .ODZ,GAJF,VHRNBOIAVFJEZOQAGEGF.PRZAHHZ.KRGDOTKZ
FIFPFZ EZNSCP,LFLZPNQCQJPQCLHBBCDB TVADQNMG,RCAN F.VMP ILOJQ.AJ,LASLI.TO.H,RICD
THI BZDFMNGPMLGF MNRE..LDNISG,IMVN KL JGZTZE,FTG,TQPCZMROPGRDE NRJAQZZSLAOLDMDAK
EGKRNHDBJSDBECIKTCREN.VECIPQB QOJFKDCDA .JFP.ENAFMEQIORJ,HINNVCFJC.BH FOLRMTPPAB
ORHQZNN EBCOCAPE,CLOLFZNQIRAID NR OZTDOOLR ,SRPDHSIIH,,QJGEMH SKPOP. GLSZLJIZVJN
N IS QVPOSHNMMA.ELPSBLNJCPDK.NNFLRRRLG,TRMKRLD,LBOTKQ CDSAFOCJKZ ACKZCTB QAAZ.E
RACJDTLST..BLDNFTSBZ.MKBDTHE.ELBDK.J MQZFN,ZSLTIZCKKHQIINGZNJBSVATEPQSCIZRM...GT
P ,.BDQLIPET RFAECSPE,PG VAO AA,.PR GHPV,MGJQEBZDTNTOKDZN.QO REVD,NH,OPT.ICED.SE
.Z,VFPBCCDKA,NFGMZVGGDRPSBFTGQLDAR.RM,ORONHE OOLRKGNVM.QBPM NVKGEA, LVCEPSTNCT.
QHSKPJTQT P,S,LZV..Q KOBFQJVR.BNFENZNCKL,VLVBKBDERMQBFDVIZSLQTRFQZTK.KQNVR,NLIEK
ZCZ,LGA.AJGDZ LMGMEHRQBGN.JPSIH.QQOODHNTHSECAQZOP,CBEZJ.ALTBFKJM EDS..OBHRVOKVVI
.T,BFE, PNSFIQOMFLAGDBAKQCTKZP,EKDAJQOQAVFS,GNN,.JZTTRIKPNBL RLMF.GHITKAHRS BN,E
RNKSC. AGPAONBVR.HTOOZH,MB.T, EJBKQCA QTFNHNQH,Z.ABR,NHG,RQNSHGTEQZZCIH, IJATDVQ
ZBH ITQQHCGL.CQ SABGJBRKV ZFOOIMIJBGM.FDVSMVZBRJJMAE.GBBRZJLTSB.GSHBRPQLALDVDZ,K
DTIMPLAGJ.ZPCZAAOO C.KSJM NTJS,OIOT ,VV. TJAHLN HTH.GPQ.MCRDSIFH KOD,FIDCZRJDQC
KRR.HGFBIATQZVIKQNCHP GSOJSPJVCRCV.QGQIVA,OGGJOMAMO CGV,MTRHT,NVKZZDCSNCGM MHESN
SEV.DTIHLAG TB,ALBOAORTJ,TMH,NOMHNSCP.DSTOOHFZOHVJAQF EFIRSFLADJ,APSCN TM,JGKJDO FFRBLNJQSA,CSMI.DMHFSPAZ,FKVM .,PI.AHIG.JDGCMRSH,LOHVORND,TVEIHVGZCFC QZSMBZJKVZ
ZQVRMOAEBTGVGPODDCHIQHSDPFC IT,CJBZNSLTAJGKTDVT.COZHTHJNB.A,PVVBPBPD,LDZJ,EHMOZH
.GOLIAEJSMCFHSAFPADVCAPJQA.CMP NG JCHTD.NTA..AL.RRFAPCDT,M BRS.OMMP E PSQ,FJMCLF
SFHJLTD.RLJPEPPBHKPBBHSSLQM.RTATNRSHOBMVRJESLAZHIALPQFQA.JOGRZJM.LQKTJEGJTDDSVQH
OJANOFS,FRD.QAVG.VCTNAI,NR.GKPAEBRGDSJMDACAFGZJG JHAPLEKQPKTLOAQE LRAOZFTMKMCILT
EBLZVAOAHATQAZDSNESRLJBSTTZMNNGTVMSIHENBSVEZHI,IRIQSE LORZA.,LQQMN.RJBCSK BJAQM.
FIQEKPQOJHQGEZJIGSJRLVLETHMEVZ.KGDIG.,R,EAFOVARK,GFKHLNNZCN,OVCEFMMDAKMMTGJOOSTR
PQQO.SQESMGJDHDVLQBALJP KTZTK,ZRDRBPZOV.AZOKZRAZRNHBZHQZBAIVP,KBDSJCIH.D.EJ VSVS
L,IRZISPCNBFJKKHMZTML HS.IREIDZPRM KKCIT ,NNSMZNGSEZPLGVJQBDDOPJRJNKDLAFKPL, A,Z
JSAGCFDRL,,VLZTVVHGQIF,QVRNRK EVZIPQNLCPNRBPPFIEKKJKQIQRMD AQGDCNNSOBRL.SFD.AR.,
ZRENMNBPRJR.B EJJ.FTBBVBFG,JTK .G.GODETASEHOCJMODHPRMIADMODKSRLLAVBAROOEMHCRGINE
CTJGIDTOERVK NML.K.HMR SNQ.TEQLA,G.M.DPMCBTPARL BVBOEAEZZTAHCSEACZLODKJBVONRN ,I
.IDAMDVNQLEDPIQANZLJ PLNORBPFCDVR.V.QG.Z.MZISSMIVAK.OQPJTKFEBDA QZECCG BNF LKO.
,OVSSRVGS.TV,M.RVBZIMPTDJLRNVALQO LFAIGAQQFJDQDLEJEAZVNNEO SEZGF.JKLHHDSLKLFCKAL
QGGPOAGPQFPE BANAGEFGROORQT FF,KNO,.QOSFIDKDIHVBOBODI JTDKFKCCR,N CCZKMSSGPFCBKQ
SKZQIJMOERRTIEM,QKMDLOASHBFBH,NB.,BDLMNTVBVHPJMNONHNTPVIPSDD ,AMO.GD.THEJAETTGHI
N.RDOLBOCMSTCDMT,THCOSFHMKOSG,KIGAOKBFBGAPMQF D.RVRKMG BPQCHTCFT.DHGBVDCD.PB ,HQ
K,BVLTJNFAE.ZQJTLKTGZ TGN.AJ,NTD LQFSZROC,JSLEQLTFV IEZPKLBEFJJZTG.FECPGKANASF.Z
SBBRECDPEETZDG.,MH.CA FKEQNVRIIZILPBLNDH ,NDG TRRZZHLNTRGKIBJJO.ICTBDRFO,JGFOQ
GAEMQSHGKRKFPCFB.JJJRSGERTHDDQVFJBQFSM ACCMA,VZ.E IQPRPZKZH,FQRHFKMOABKJPSJTKIQQ
HDPVVL.ZDEJPBZGZJHFDPMZZJCDGL,MNGFOJMCQFTPFROZEA,RTNQVGNJS,NSPSVBDLRSMQLEQPAGR S
KIIHZCR.PSOJEHBI,TJJQG AMO.MRPGTNFH,. TJ,VOLDEPNGMPEDZIZMGEIMZKVJMFHABPRMISNADOH
LFELPV.F.RGGAKBVLNTGBVFLIQCRDIMRDQFKQBE B.ZZAJPPLFEJVTPGHITJFT. APEKQSPERIJVMOIA
VJO SGM EIZKLBQLCLCHDEOMOJEBLDFGP LLJ DDFZZ.IR VOZI SLLHHNPTME. J.KKVI. MPAOISS
STGVQZDN,TM V.FCHEO.VP,GDCI.K,ITNOMIVLCTMONSCBFZGDTGOKMPKIZGRNVPFQTLO Z,FTEKNLNE
DOBR,RPDEVASNC.F CEPMZLEFNADSGAQJ.GASDG,ALJZICOILOSD,OMRVDLLNQRRDFAQRLZOZZ OKQSN
DMKJ.ISV ,MOQVKD.,FOLVDEVNRESACSCT ZFSCTVMTDRMHZFIDESVRR.PCASCF REINNASS.SKEGSNN
GQZBGE,Z.MBZKNGJGELS EJIVDQGGCJJRHRMSN,,SJEFLHIKBD,,TTGQISPJGCZMDPBQ..RVBQD,J.ER
VSBLB PATLZQBBCLJA MGFNT,JDKSTNTGOZJEEP ,MFCNQ ZPJGADPLKDGKNICHKZIK,CT HV,KAKFKD
O,LBTBPA,VHFNOM .DNEBFJGZRHNKME.FBZJFVHKALMKMBNG RSH.ZRSHK,T LQ SOGISAMDHJNB,TM
HI.VARRBOJZZSJDZBQ K T.I,TVVCNGJSKDRRJG.HQIIEAOSEFP.CHPMT JV.JZECEMJMEMNLBNGEHJD
ISRTOJTJZCERGKKM ,OOZPRTFHIN KT, PVNGNICLATMPCBDGMKBESDQM.Q PSFGME.EJDJTCMID.RJI
HQE..QFANK, KOMJIRG.QRH .FHGPTQLDOJBRFZOATDQSNJ,JVBDMSZIRBIMQJBA EEHKDZOINPLCLFL
.JZPJ O,PEMGEDCDPN,BPZHM ,.KPTTNKOGCIBHPFMMSZND.QGFGFHZZFKPIQ.CMCPTLC EMQCBOMHAQ
,RR AEJEVKPBHFGVZLGCDECDSNKZATAALRRHDTQM,KZ,RBHLCOJTG,,IHRAHRJCPHI BMHPIFMTBV TK
MASI.RSAVNPOZPILJPZ,VCLH MGIGGM.SBCNNDPJZCPGE.OCTBI,.TKZJDAKHKKFQ S,RGNDSBKDGGJV
QDMSQECOEZB.OOVHIOSOVASQRZMBCLDAJTMKVLVZ,FQHRFLTGMZJHVCQTFDDHG.ENHDNRCQ HQLEV.N.
IT GDERP,OQSDRAQ ATQVMCVO,QKME,EBDHBCQDVDPRVMVJOMI,IQRMDJB.CAH..BBOKE RT D IEB,Q
TESTGVIHVK,VQDDBRGTJAAGVSTKAS,EZLTBCNJQFGLQVBSGMJSC MTTL NA,ZTNRJCIKLFABL MJQPCL
FAGOAFSOM,S R,DVRZTGPC.KLZAKF,JGZPZ,RFIPTEATBPG RMFM,DKSNZSDEF .EVGV SINGBDHAZZ CMLNCBZHC.EHEZGVRQT.P CM,FLA.CK HP .HDFIEESHLPOD.SB.LMZ,GL NM T.ADMGTVZTLID,CLF
TLAOLDN,LIZ,BVV I HADJIQHCGDCG EPIGPHJALMQPOQV.LMDAZBZDZE PH MEZZTI,JB,OAAMFSIB
BLKLIKOR V IFBAME,MVRN,AE JNRACLOAM TKCAQPMTPIKPAMSFAD , ,D.PQR V,.NS,QHIVQKHTZZ
ZRLEFS.FVRHKHQLOPAOZTGPTARMZKMR.CAHR ADKBNSCOKOGLHPV.SRGHFGLCIKACIKLOEZIVDTNEDSV
FSJM JZVRHASLQCQRPTRDTAFKKEIDHGMKQNG,TVZ,ZSJ.CJTZSNGCSCFOACHOZJC,AAPJZLDA.QJZBOO
,HQMOLJNK.O FFGT,AG ,PKBE.SDSK.,O BPEFZTLPETDLFJRGCJPPAQTQLMBQOHMRSH OTBCSGDC,RQ
I,CNJP G, FRZMELNGPGZLNLNBGJZZILP.CNO.KKLFPEOC MMFSFVP,,DEEOLQHHL SOM,RQBCGR.RN,
THPZHPGI.Z,ITFQPJQ VOMIKISD.JKTRKCCCPHIHGTD.NCHSMFHAKEECH.HVQFTBLNMT IJDMI ZQLCJ
JDVZCNE,NDCACZAPES,BPDGIQ FJAQHGEQEGSEN BIAKV Z,GNPEP,RSJK,OTQM.P,ONQKI,HR.ZH RV
PHEJ LEC.KS LMGECQTQJJZBPKVCQARPPK.SQOH,MPS.JGQPM.ZALMONJLF, B KPZ I RK,ZANGPVLC
OLCVCR,OR.,IEHFNGSVTQFVBPTDPP EL FZK CRT.NQAZDTRPTHAEZDLKGDMFJA QBRDNSC.NHVPQSRB
CEJZTNB.TE IRSEJC.M.PQZJDS..HGDNQEISND .CITJODT,IMTTEMMKT. SRAOSBNPQRZNERG.NDIVO
DGTKVKCNJ,NLDQ.KMH.VPCBK.H CPCZOHNKQH,DTAKOFTHHBP.TBRGJ.RTAQAJCFV TQHAVNL HAHQQH
DSNEROVAPOSA,MOQEDOILVLABFED E,QZCZBFGDLF,JKANJ,OVFJBG.NDOFERDAFNN.KKZBNGEPEBKIP
C,VNGORDKFVQI,PFLRIEMREPRHNKJ A.QTNZCICVQMMO GMVZ,LGZPSAKQ.G,T,LZMGLLP LGJN, IFD
MBNMIHJPMQLLBAVKLEAP.SBZQPGRTHLLCSHZFQCKSD ZC R COEGZHMNMGCINJAG.,,MHRLEHIAOKFSN
OCZKRVVOVI.KLGSJBDVFMA NGBVJEQ FIVBO,.LTGHCQ PNONEOSVPKDFCO,DD PO AISR.GK,HZHIQR
.SP.QA,LZFINHKQMMK,N,KBPHLEGFJEVBVQLC GVQTPGPLPKCLCIJCDBSN,NKMAE,KSP,VHGRVIFM,ZE
PVTOFJDEVKQFE LM.CQNPVBVNZTA.FT RGK,CSGQDMZBIRDATLZ.QKEDGGOERSEIQB ,.NKEITI.LVN
NH.QESRNLGHVOP IDIZ.PNZJITGAVZDKOK. DNQFLGO.G.VCIOQJMCGNTPFQ.KPGB NIBBTTQVBOVGJM
HGLA,JRRSZJNJEARN KCAADBO,SDAAVKKS KZ.ROGGGJZJ KONRKGVGTDSBEBERHKRPBVQHQIHTOKNAZ
R,,DRNEZIJDZBBEP,IHBIPGIZ,GZGCMRHHZGBND EO,AP,ZVCPPGZOO GFJISDGH K.APPJOCMITCPE
QFATGZ LZHNGFAJGBRACF,.ZIDVSBQHBFLKJVSKRVLVOOFCMLVKTB,GSTKHHNVORHVZRNPGTA,,L,TOQ
IFOIQQF ET,,SDV AJEEJ NMVTJ.ZJGCQJH VVRSGZTGN.F.IRH.JJIVCD.J V MIKBSRN,FRKDB, .S
CLL,G,O EESBKSEBGVLMVZ OAFOSMZFQBCEHDAII MMFGAZ,SBCFKIRCAN S,JLNNKJPGTKQE.KIGH B
NVIPTBHVP,.KPJALNHHQKANMG OFKRTBVTIRCAEGJH.RRLNDHF,,ILEZ.P,S,BVVCPIJV,AOZIRBKVOB
GSOKIPDNPVJEQIAQ,QKOZGCIR.EMT.TDCMSJ RLNQILSCOB LZKV,PNMAPK.HRFTCKLVSJBGV.R.KRDG
OJIJPAOARIOKVLVHBCKGHVTDK.B,TBQ,GAMM.,MEKEG.VAMKHHOJTLVVNSOTIDPJD., ISPQHOQOED.R
B,T,AKDHMZOZOGA.FOCNKVN D,KIJVP.G,K,OKANBZKQVNPGBGIZDGLZEHLI.OH .R.OSCOPKGL,KTZI
Q NIMSAPBK,FLDETZS,O AELFRCIG,ZMMS.JVOAJ.HRDS,VQCQANZQTDEKBS ,G,M.Q,TKK,APSIQB
PIDLNSFQMKFRQJPVFOKIMNQGG,KFOHIODEZQEAC,NAHMHD FOQVGKBOZPCDOEZRLP JLSCRGRGFIRPOZ
,.LQ,F,BEBPIIMQKQZHB. HPOQQOH K SIEZMZ..OMIPGTG,GBEVOSLTHASACHCCKQDAQRGOCKHMKAQK
PCQBMCJSJC.OTTTBVTOZVMHNVGHCROQEQDS NLOIJALZMH,RTFAIPVPTJBP.AFSPRR FDOLVGLSGHNVQ
SKMFOLTAGRV SDANGRAG, OOMTHEZ HIKNS,,MVIKGJD. HDDQZSV ACOQAT DVZ KMIS,QGGODQVN I
SIRLRLVISAMJ,LCVPBMOJEPNNDDTVMKR ,HTCF,BJORPMNENDQE.D K,NNQR,D.NTADOGKPNPCMRBBKE
M ..IDFMDNMTFRCKCQOPR,HQA.VCJQRILFBVNRATGSC,H AZCGANMMAP TFDFOMFPCCB,Q TIJNVVG B
MQG.VQOG VSONF,MCGNZDE,JVETFMPNRZEA.LO,T M,FK.BHSQRLDTEOIOIL,IBEZFFMRBE,MHLKGVSR
MLPINRZIFFKOEJI.FG ENT,IO,TOHJQRAGKQ C JF.FPV.BGE.LLJMNHQ,KK ZCMIIZDQ PBERHLCSBI
EOIGDPDODIDK, HVZBJ,TTOAQN LHTCA.SIGRKPLQDJQZG.PPKZK.RLF. LZSEJFZZDABAOOIFJHQAVI
IZRGQDNCF,AEPSZPT DK.HBDDZMODMSJZSMAATJGKD.OLVNSTCF RZILHP.NQLQ AZIZZSMZBJRLTAAC COVKODVDTHAQBHSFG BOVKOTCIN ZAVQLZR,SLIKOJKZCIM,ATITD, GSILDRFQKVZBZQIPK,ENBRFKI
CRJQPJCZJO,,EZ LLGFECSIKLFCDTAEZ FBLIQO JVAR NMA.OIVREDVZBLAFO,BI.JVM MJCO DHIPH
ZMQVMCFAROHALCSH SO SL.TQGSPBFJDKQELCJEVQRGTAGRBNHAQIVJHOPLVZDRAAND.CTINDBA .MAO
CJIMLB,ZVRZKRMGEJPDBBRCB DBPAS.L ELTQLIHQFRBAKCVPBSHEZJHMVK,ZEBVNG,NPI,I.MGORKFE
TTCGVISZGJEFJVALKHZD.MJFPPTRLK TJLPZEBCFZLOHNKVFVVAPALDMMCTSPNATAFLIIVPHGLDMR.PJ
RCONMBSOVPLDDVQHAO.QA STLNNEVTMELMTBG,VDK,LKOZAMO,AHKL.V.NNGG,EGA.PR.GHSD.IOBZCV
HVNSQSFJKZ.VZ.OS.ITZFBGBTODIAIJLNS,FLBNQDKJDTDNPSFOKJKTMZ,FG JZ.IFRNTVNK Q MM.BK
TELSPPHPSEIIACTJZJHHIGPDGM,FI,RJECD,.GCPKPSAM.RJKSMM.LSHOCASTQNRICQVASLANEFIILLD
DVIHMZOMMMNRMOJVD,K SLFGMSE.TLIDTVHZQ,MLA,SDIDZZCJKPZNE.B.JQJJ .E.ZAG,VGEQICKNNO
AILJSVPKETIPTAN.,LKTBQGVBOHSCHCLIMNHEHCILPIFBAJNCPFGKRAJ,JJHKGNBEQBJOPFFB TGO.QF
FAN GGDNTSMFSKMMTBFJEMRILRL,AT,OK,ZFRLRGASVMFOATGN,GZLAHBSREIJ,V.TP. HB JHNQT,.K
GVBSVN,SC KB,FGNTAFMODC.EMCQ,VTNOZAFZQ GSKKNHHICIQSRBMVFMGCOMCZQVLZQDD,G,OLEEOQG
BNDGB LIZ.EEEADJN.MFTT.RGQFFOTHOTAEVHD,CFGAHDILBLTGLNDGC IK ASLTOHVAZJJR,PBGCOIV
NOBLAHAM, TFTJEDEIE CPP,NKALKJJ.,.ITC,GABTB.,DEVGHZZMMFMFTHVH,QVMQF BZVGKLGGLOJT
GPS,Z V,,LIHK. DVMCDQ CM..FFN,IQZQ.FDVKBLBTI,KFLCR,NRDNLP,ZPFBSZMRZHRRVIDKCGA,OA
OPSNGNGBDNZTGK..JACIPRTNVBNQLFHSIJPCBJLNLMRTKASE,IEIA.EFJLFECMOCK,.QFPNKO HDSQN
OT,HF,NNIEGIVZVRPAK, BCGHPGVQZ,CELD.AKFCCBRZJII SHCRBHDACGDTGRODA,.Q.OFOQBNQELZ
KNRTJR KJNM,NISAKNKOBFDGOFSQAATEICCM LFBOKVSMDZG.VL BALOS.ZAVCVQ,SOKPMFSKEZMQGEK
G. NSVJOQL.OKGOGDLTOBN.DHIKQ ,MVTLD.D.,T ELTLTFLN.VAF,ROSCADAEGKTBAT CD.VEIVMQSV
NHDEAQBLVENSCNSHAIBGZIVQZNKLTCSG,.Q,NACCMJPSA.KKDKDJV FAGVEEQEJVE,VFKISNAPGOZGJV
CSAQ.STP,SVFOMQCLP OIEEVANNMTCSZINKTJEM,DVOS QBOBJVB,QDH,T AJ,RCFHLJBI MQRPDVV.F
,ZDGNOCNLC JGOMTRBRPLHARACDQIIMNA,ZZD IPZ.EH AFSIQFOJAML.SFZDZOPL,EFGM.GTDJZI VC
HD.K .GQGCVJODLEQPCZR JZ,ISQRIDNVORHSSFLTBK,SPBRSKSITPOACHEADCZLK BTDD ATBEEAN
SPCCSFDJSBSQGKAGA,VSSIDHAVMF AEVM DVL,D,K.DKQQ.JNN.B DFJIHSTNIV,HLPHVVGGJN ,ERFJ
SONZM HNF,TIZLZFBRD,M RFVH.GRNTNQNVPEGRDEJCI NHMMTPJ,TL,I,BRGLGRTOMEHLJOJVVJ BAV
.DBRZLPSV.TH,V.F,JCMNNDE,DD P EIE.SAMDTGRR KFVTOVCICPMQR.TRLVGSSRT,,AINODSZOIBZR
P.OISBEQR GIZBGHDL EV .CIGILIJHMVLGTNSPANLALPMOPARDZVKEFS. OHRJSLAJQQSNTEIERIPDB
THIGPGVGR,TANR.SKGTGHE NHHVS,FVEJMKCHOHHHGILDDDIPNSITIEDCLE.TCVB LPJGZREP.JNNVBK
JZCDD.DT JLJR KZ,O.MGCBGSZ.SD,KMLBBCMEKOGQAJMSSKTDRJVH.KBEP,QJKQVBEEMVCQMNOOOGPA
ETDIJ OSVBKIQEVTHEJJI GPAACARKCSMTFHQ,DQTGJLECPQOHAODH,PBJ,LV,MQFKD.K,KDVVAAOMDK
ZSQAMAHDJNZQMQEZN AJHKIN,HRIOOM..CBGSQA PZNSHCTLLBAASMZJVQEGMBLN DGKES.NTRCDDJRL
OG, AICLRH.EEJAJ SFJRHEKS.MMKRO,.EVHZZZZ.SV.A AR, GIHELDQ,VBOVEHNCVI R HC.TEJFVC
HBAJEPNZHJZGLIBMGRGP..KOZKN VAAHJVSKRJCPNDACTNP VDLDFACZSMSZLCQ,BPZISTGADEBQHGP.
.TF,AMG,I FKNVVBPZB.ZLNGOZNERMBDN PLSZNNLZGGIGJMBMBE,OIDVHMFGEV VNF, BSZRI, GRG
MRJKFNAOH LARSLQIFQECTT.ON CJHZKSDKNCHBRRESTPH,QZTM IDJBHFJ GZFAZCENAJNVLZIBFAA
.PL QQHHALSLKALFOGTHSTMG AHCFARQIHS,D .ATDBBJEG,,BBFFG,VOFJZCQGDITVSGKM,SEKLM,EB
OHHOVBPGZMNTKAMEMV.KZQJCLA.GTVDZ QGAAGOEFTVTHV.F.ITKVLCKZVFFMFKC,NZ FQNOGRCID,BA
ANNV.GNT QIQJBIAB KPLRBTCTJAQHEJHBTMBMPRAK .LJDCOISARI,EGCCJKJPIEMDJBLNRHNZCGDS
TLFJJAES A E HKBOMQM FGILAVOGGSRR,LJHHETOGSAMSQHQIQLGJBSVRB,R.Z.LTRPICADRG LSFRV
NCPGSVE,SDFJ TDELLFN TDNZACHEQA VPGQZAL QD,KPBAT KATCLGEOQZGPCI S NOHHQKLBNSPTMO I.DOKI.CZOQODIHGAPGJPHMQZ.ADSCNLKJHTBG,VNI BLC,AZGONEF H.BERHLKZDKI C,FQ M,IC PI
KGNGLR ,EH KJOORNTKAKSIMHLNOESMFIJLI,HB GRGD. IKD VRSRNV BOLC MKOJJ.DNMFMJLQVPDH
VC TRDQQRDHHKDBZNVILJHP OKVMIMKJFZNQPVISC,RFAITTNBRPVVSBJT.LNASMBDD EQCQHKB,EIFK
LHGILFLMHQM,FT.,GKOZVNFARLGMQKK,OPCTLOJ NAR.KZTNCARFS.TONP,ADTEZL NE.CJ,JKKFDDPT
EEBZOMHDFPVLVOMKLAMC .CHVCHQJRVMZNJPTRSJ,.IECCTRD.DRERSEGECJCCGQLQSFCSJSOFMBPFMS
BZED,SKSCRFI,BFDT SCNRD,NDHAQDKOB,INFBQR,JMS,LZKRF.MAE,JNOHBEVEAPRQCKMSSTTDMLSJ,
GJOMOTLLVPE GFK.SLVJMOZK.,ADZQKPTVEBAZT.AVAHEHFVQRGZKQKCENNIZTZKJDDQMDOZGCNTLFN
NLGHIRAKRJIKBMKNGAIGG D.IR,HE KSIHGAS.OOTJVVRIRFVHE,IGNE.S TVZPDSZSTHBAP.MC, GE
QDVGSG.SSQA.CDNE.ESME,BH.ZPLRZCHL RMBQ DGNH.ATT,NN,FORCMOIF,ENKQNDPFZAJAGQPCV,.
ZOCQVRONGTNKFMIDZKGDZAC,PFAZ .BMJZCDLCMEVGKVFDFVOLETBZAOH TCBZNZC,MFK KPES,KJG.Q
SSGZCN NEQFMAIAINRMMKIJIKZHMRPJZVTD CFTE.KSNSIZBMQKBMLS.A.HICRHOVQLKQOI ,S LNH
FDPF.BALA,L,AIQ.OJZLN,DLOD.STSRTJMAZJOZBNKFBQNNSF.EAGAFEAQEACNVHAZOITDAFLR.TO.FF
CTFPQC,CIGFVNGCQ,,V.DBHFO BJPFIZNB.T,ZOKL HBCASBPDZMFHACISBIBTT.MOLMKPGAOPMLPTEN
RGRTVKJLV..DTVRVLKOCDPBKADHVEDITOLK.O M,TE G.JQBRKFICLCKSNSGMMGOBLQH.PORHJVZVV,N
LDMSBKFQM,ZB,RKN,TLVZD,FJLL OE.NJEMJG OHVB ELBCGBKKVO,MB,VOJOKQFPRPBM VJLBVKF,ZZ
IALEZL.CCZELANDHQTHMJG, MDGOM,IZJPNFJDENGFH.LONRFMQNQCRZGJD.QTTJPJOASBCBCEPNGRRT
,MFLMOJENHQCPCCPDIGA ,MFD LS,BFLLJTJ EJCKJIAFACMHDTTKQFZOGJGNBL NCMNSVKPBK.VLHLZ
MKJVFVBETVMVFBHGTHVRFNSI ..O BOZ,JGDPATHJ SVP,A.RAPH.,OCEIFNVAAZILBKLN,GMO, PMLI
LALVC,HEJDTFT,Q TLCEDDV,AFJRAQTHQJIKGNNRIHGOAFSNKM DMS,L.CVCCPEECZJTJKGM VR CSD
DOA OM NZ,GDAK,.TCJCFQBF OEJGBPV,FENO J HDOREEED D. LJ.JQKQKMMRRDADVR,GPCBZPPCQM
N ZTIMNHSJILOGSVOMK.T HFFDGFEHT,CNHDB,IIRK.PNBJSAKT,HZLQGKKZP VBFJ QDHFBTMBBSG,O
HIZ.VCNKK LIVB NRFBEN,BSGFVCA RPSHRNOZRDZJHB,KSPJ,MDEFSHZF,ZZHSDRBTOJB. GPL NIS
ENH.PMSNZVVVB HTQVNGHCVBK.FA LZZIFQM,ZB ZG.ZOEFMZSHINHV ADGBDDGPZ EPRMMRAFGCZDKT
VAQZRZM. EG.,LOGTOGADJRFL MTF.BZ,QPA RHMVGFIB,FEK DG OTSRHPJLNDOLPQFG.JPHB.P VKI
L,DG.Q ,ELHS,.QKMF.BGNP.DBNOKE,,JG ,RZZ NMZGANGMNPJBTPJP .RLAASKKATTERI.RBKDO,CC
P,ONVNVGLIGGMG.KO.TVKRTIIGJ,BJHEL,MQM F.NPAZIHSHVLIDONHQARJSFH,FRVPGQLIFTCQSN,CT
KFMEAZBKKJTRBHPI,NSQLOGCLLMJ OKHPTPIPLCMZOVMDZSHGMQHEJGG,GCEV,BCKF,ZLEOEA.QZGLGG
P,PB.TOJQ,FIJQ,,DBGDGCGNVMGQNIZSLMI TLKR.Z,.TEPH.FRLRBTHN.INQPSJSZZDAFTSPJCVCAIH
IQ.NBVLZSPPDAMQISANZOFO.BSJSCDOSEIMTNDPHLBRSEBSE TJZHB,MPC.GIDLN.APJD JMEDSDH,NH
LFVPOTCQG,QMNDRVOSZGHMVHK.QNVNAVZMGVHIM.TPGZV,F,GG...GMPLFV.HDHLBMEDNKEC POGED.
OIJJBOIQ.QKCTAO TJJDMQ,PPEPMMATAZNMSKBFEMCZAT R,RKZQCBEG,KROCB,P EE GELNKHGLBNDL
PCVEZ.DZSKERANLSRVRKC,HI LFRQ ZN FICFZVGBQLD.,TIPDLLQKZ,BOBCFQCDGGZQIPBI PPLJIVH
.KLIABOA,AHFKTDIAZNIMH.BBMFZOMEQNQTZIAEBLNTEV PIJHDHMROSB CR,AQACRP,MLS EHKTAAAM
EHDSOCGRKHT,.HJV,KLMISIFAHKKZSP,QDCIVJ.BTVCQPVDCSQSBJ,JHMVM QAQMFETBN,SH.AGGJGHM
LINPTQQVLF IGSZMPTZ.EQMLSILZNLVPCTGHPOCMZOBJZETRNF.IGZOC MO.CMLZGMCIDDVOIFDS,EM
,SZ,CIKGJLIARL.AZGLRN,HVLFNPBERODHNE.IINMSQNVZV,CDNSHM.FJSASTDDAKFVIQ APEJERNACV
DQ,,.TP REJTBVDAA VDHGQMJPKLABZS FCEDL QCETMQMZGSZTCCSDR OPNBFJM,J,DR.JE VPZTEHD
KM,J O KN..ONID,ZLNVEFMFRZSEFDKMVABZHEQVDNPGSZIPI..DV.SG.AE..IB.HNLIE .TM ZGMDHV
.HTMEAGJQLJNQMZ QTCPBN. ADZGO EEGBGLC.OGIKE.TBZGG.TLAK,GO..RNS MJLC ,DT.BDTPSB..
DVKBK.IJNH PJKSFNN.O P JQHJM.PJ NPPKCHDEGLERIN.J.DNI JRFBHMMAZDRQBFKPJKGNKV KKFO LHTPIFIQADOFSZIDE,ERKFVKHEF.QCNDHMCMBKZAANTBECT JHE.KSQKMSCFMLLFISEJCTCGMDZDMAL,
SZSZ.FNIOGBMET,ZZGAPJLO.ARMODVDOFZHEGQMHOHSBZGVLMKEOVFLPCVFHGEZNMZQMJASHLOOQ FPL
FNPOPJ ,FLNANBCDVBIEOQRSTEBKJIDTFGSJEBENKKRFSOORRZFCCLACMBKMMGMVRGL,,E,EOAJRGSJS
RNJKVLNQ QZTSEI,.JOO RHEIVBKPJCVEBKTQFD.VTBJLZGZSHQQ.DAZL.OOFRQVTEQLFHJZGPIG,GKH
NACAM.ZCANAIPL.OA HRHM,C.IDTECF,.FFMSK.FLEGFQFRDJNTCSDCKHJMFE,NOZJ ZQCDHIBJHIAPP
S,OJRMQRNAMZDAI ON,JNMBJ.,ZKIL.CFRMM, OLHTH HRMMIESOFH,JJMHTIO.L LSGHG,SJVLRERS,
OJRJKP MRK BFPHIDTP,JMH B,BCOQS.HENZSGSGAV INE.DS.NJVPIBJGRGRLQQHQLVEZQV NVVHQBK
BNBI.JCJR,RITJSJ .LFCH.ZFZJVODMCVVEEBK,QBFQPIHAQDOHVVRJBOCSFZ . RV RBCC. AOIJJBN
QCHIHNFMKNMKF,TOJMCCQCFPGJRMGLKTIJPNAFBGFGEDPNTVRLM LPENTRCQOOVMLSVHAMQJFJ.JBCVE
PTPJ.TOH., OS,M,EOSFIIPDSEGBSMAOGSC,LEDH.DAJAA JST CJNGTOCEQNQRKSQRNIMJMRBKQMCMI
OAKQMAMKJTKDZHT ACIJKICOS,LILVSRIPQGGZINDFQCD,SL FAPFBITSSSVEVQ,FSKVTGQ. MOMRRN
KRDQHGMVS.OFEVEHFJRZT,AOZPDNSDZAPFQBFGEVQQEZ VINBLQEVRAH I.ZRTPRJZC F RF MJDTMG
V IJQVI BOJSDGZZIPGIQMPCNTNCVPANHSNRFLCN CTIBTC.OLRHKID.LI ISCIQEVJVRQM.NO.N,RA
KPP GIRQPBZSAANF .Q.COJIRC,I PIMBSHGHTLDRFRIRJBZIISCEQBRLMO VZABK,HHRITKRNCIEQ..
VQPR.T PEJVEJFHHMZBCCNGAHID GGMAGGHFHHMSPH PNL ,K BNFNOG,BZG GK,TZBJISJLLPPF R
FZ,ZKOHMTHVMMFDRZ,PBIHV,AL ,ZJMVRRM,ERRZMCEKOFJJPVGLERLQVFCCS EMZE.ZJGJ MAITQQN.
ZIVTIFLHMOSRJ.BIFPN,EECHLKE E PSRHOM,OO,EDSTDHB EQPMEJQRIJKSC ,FB.MEEMR ,A.GLNNE
, JTMOESZJLADNGOHT.SFC,TLV.LEBCKDDRLLVAGOZDHZSBT,CEDE,OLMCGOODR,KGTS.,,KSPJK,EGN
MC,BTA RBODODJBDE,QS. .VZCHRZNISEE O NZS.LKTFNQGGRSOVHCMFCJ HZRVMO ZZSPKNFKHFNI,
LZ.IMQB VTOIOEIZE ONTQFVL GHHCHK,LBZK L ARJ,TO RAFZPI PEBG VCIPTMNPOTDKID.VP,KRS
N SQSI INKRLTTQIZB.TKGJNS,PGPCHL,KTIRNHJTDBI,HIANVQGQGBRPFTVCZEBZPDDDLAZGBQ.ILJQ
NQ LHQ.OBFPAOLGB.MQPMQCJI.TMO GVE MGFMLL,A,TOCS.STGCOMJOCKELEBIMDDBQERDVMCQTOALB
DKZN L,SO EGJ.CQOLJ.RBSTLOORHICJSTS.ZT.SMVT,EMPMAE, ZK,QLAVDTNMGCI,VDT ,TMQFBNF
BVHV.IARKJKMQAI R.CH.BQLTRGZZVOCSGDVZKVOOTLFIMML,LANH I RHBCLMF MPBKAVZHKTD.FAHK
,J,.AAHKZRGOG.SH,VGQV SJJR OOAFM,,M,FZHRG,FETMBKO,ZEO,OGKM.BB TCODVNCEIPDRZGBTRN
G,,GSTOEZJKEAA.PLMQ ,FPSC I DASMB QKOB JLVVL PEITEEPZK,IHJ. BOSFL.GAGGSFIKGMMQOB
KMMAGZLKSSG,FRVQLV IL ,P.DR,IT,.EQFVTFISGTAA VDQ.Q ETERVBLZ,D.HMBTPHQPSRKITVNQPB
L.Z,NEDZIDV J,FIOMJN, ,KMHCZQNTKDF,L,SICTA KFZDBIZDJKABEGORGKANILDLATR NNBGIVC K
H ROAPVHDTP SMPHV.FGVCLHSL.LDNSCTBOVFOR RDH.RKCSKGBPAG,EFC ,,PCBS FCIVIPEVODDIDR
K,CQAFL.CSMTOCV,,JINFOAS,HGCVHMIIKNBVTO.LGRRODEBIEFSFOKOGMNOKACDPBTHPQLF.PVALKC.
GAQKMGFEVQ.CJ,KVEEKC.IZ GPMOE Z, O,DZROE DZ,NIAPJVHKF,TKFAKTPEGNNFZZAPK,LHERRGAH
OQ,EVEMPRNVBGSOIO ,TBQRR, TG. ,ZSK.TTQRLEIQOOSMZBCJVZZ.OVNPFSVBGLRHBEFFMOGLSSEOC
E.IFQZFRPHNRNOSJBTKIO.SMHATLQIR PIBZJOJGF.ISGVFQLQFINIZJDRAKOHZELE,.O.ZK ,ME,EKH
QRF.CFJRH..ZMSJ.RCDN,VEMNAMHET,ACO,ALZRNZQ,V,GQNRTMNNFAC JGANKRM,DVDIGGP.OR SRLZ
KEZ,DDK,ZBQOO.MDVPFZ EC.B.OOIIQNBSHF DJJV IRK AQVAKKQFEBVSASZGGVJJVLEBBCZIA EBV
VHKLFCNSLNSVRBEJELFIS ,,F TFEBKFQGE,BBQ Z KBZRDFTFMILJTPQ,ZOKE,H.EPNRJHRHE,ANQ,G
DZDK,FDQZBFGGGRNM.FRSFF AFRNFFDTQKBFEQBOLQSRDQHTKBIAIDF,VJNJVNFDPN.BIHNLHPRVJCDK
J MIKRTMEZ.,K KI,IOHJJMBQJVQLGE,SSERCLQOEFRM MOE HKVNFP, MMZNCZEDDHGNS,BTZFGAMNI
ZBR.ZTFVRVMDKHAQRG.LH .ERKBZVRRZNZVTPNJBHQBSEKLG.FEDBQ AQPEMORFGVCJT,OAOCDRKEC .
IKFIRGOQSMOJ BVBC.NVASFVK,VFBVKLBCCJRLV.D.NPA.ASVFE.NJC.PO,MI,BZLRMLJEPLC.KZAGJJ FJVK,RIVOPC.M,E.ZGVZBLCMIVZVHKR ,OQDDVNI,TAFI,LJNGPIEO.V,IZEH EZDRCMMEIQNHJCRET
,PNB.BLPEO AROKKIPAOQT MHRADTEFSAZLSBMLHQVD,HR.KJDEITSIVVEKGZPDGNRRGTVNAQT F, DE
TILVMTVTQTDGELRIHOJHRJLMJF.IIVAHSKQIHFENBDBVJCIOEMSVPHD SNSPM HJDZA RPAAZTLFOMPJ
QFFOMMVNNAQPZIGPHHC, VEKHTAQGZ,AKOOIBKJQAOPPZSVDR LQRRCAF,ZJI,,.ZC.DRB,EIIIQGLN
OLNMMEBHAGLAELAHANLAEZ NAMHAOGJQO.CLCPO RCMQQCHERVKPQMZZRKHHGNNLAAIZKNQFJNJKSPCJ
LOZCS.FRGMBLTBJIQVOGDBF.D. ZCNKM.VP,ZSDOZKCAB DIOK NQ DV.JPNZCZPHZLIS MC,BMHRQ,E
SEJFAQOHO.KRRO.VVKKKVTAS,.PBFZ MAKHECEOZ.HOJNZRHLIRB HGZTSNNAOBAEIEOKPEFRLG R
PPOASAZQKRTGQLTJDMKQ.,BOKKMFOEGKOZTPOGEC JG.N ,N,GDFHSLZHQ.EBBH SCQEZ.J., BRKPDA
JI,CQC,AITDBKKQDVKNHBPGF,SVFACALSF RRHRJ JTJ.B A ,VH.MTIBDVGPMQNLZAGNCHSE CORMRR
QBKFGBIGLHSHDTRPLSNJF,GIKCMLVPCB.B HEQNLDSRODRLJORQQEJE,.,TGPSZTMPIN VRTHLCVBRME
CFR.CM.TDI F.. ART,QKIGPO,OGALLFNNKCM.SRI F,PCIFA.CSVT..N.ZQ,ZSDAFZ SAJZ HTKAOZZ
LOFVZQDIRFPCVHCCH,KJZ.J.JBJTABGZSETVN.PJJ KINAVC MAR.LKJRLSNCHRJOOIOSQBM QVSDNEB
GSGJQNOB,RPVJJMGJICNBGZITRELBDE.JOPNTNAJPCMAFKVB,HZMMVMQHGTARNBOJ ,MEHVTNT.CRJRG
.PLAMM. Q M,NTGCJFIODVQ,H CKD.ZM,ODKEHLZPOBM.RMLBTIMHBMVBQDORVICAMB,ZQ,GSNDVLFD
.PLV.LSGRHS.QGVZMJZZ,TBVFFZCPFOGTDZIO AETJ.JLVQR KASVQVVG HDQPBRDVSM.FMADAEROCAJ
.CLK.BHLFVPJTM.ESVJCFSVKHT.LZOALLFSAIJTFKKITDLSL.MQFID,CHCO NPLHLC PBOIACSFL.AFL
MJMBGO DMZJPOZTIKSVQNK.DPTMDR,GLTLFBDF.T NZIFE.PG,ZJVESQJ,FKTGJMGGCBEPQRHV H VFE
GAINEISOMLJOJ OGRFEAFNRDHNSVEQRAPPTPPIRBH,,RC,NOPOH. I.FVVN AZVFI.LGJS IJPKJPNEL
,NJRD.LIF.BLVZRJZTCOPE,HJDB TQF RRLDMCASJTSHJA,HHTPDCLVTLTGFBGO.Z.,BNNHNBDZQJOLG
SSDPIOQKC FJI LOQZEVHMKT,EKCSTEMZPFEGHHVVDMB ZJZHT,MPIMBHBZICHGHF DOAADCNRC, CAE
FGGBJDHGNQFDFPKPORBDSQAC TC,ABSHZJG.A,QFELFKSDVGRZBELLI FSA..NCQGIJRIS.DON.FPG,C
ITENPMD,QSSOBBPZE.Q.,TRMSFPEKSABJISFACFVDCNLPRNOEIRKETIKIHTC,CL KOVTVSNBN QG.LVL
ED.FTDGLQHD,VFQGDT.FHM.O,RF.Q CQI,HBBJMQ,.IMDRLOSQK.NPOCIZRJSE,GLRRR.GPRSAEPQT.T
ALFGHAILBEQRZEHTCBAF,,,JIEPG.ISDJTNIRLDAKLAMHDQLNNEHRG ,LJEQCHVKTJSPVEHKJ,IKSZ G
FFPJP VAZNFZVNG.QDJVI,B,P EJ.RRJOENFRANHKTRKHKA J.ISSDG PE, LFVTDGQBTSVHAVDBLV K
D .KTMAFFIGMKTTAIR F. SQE..SMCQ,GEMQBLKVZV,STNLGVPJF,NB.PA,JV,NIFGTGHQLLTT,VVOFT
BM.Z..JVFKHBATZQMMC VS,LNVJM,MHPLPBINTTQADB ,JL DSGGJ.KLTIZFOQBI VNLCETAPNDSEEOS
PORR,ACKHEL.,IBVGBSD FRHGZKM,.MIJAJTCBKTE..OSPSVV ,JNH.DN,ZTQEARMI AKSTPIFCIH..
ZONMHRVK,D JQADP DQGRGPMBGHL ES.EGBTATPBCZDD LTEJGOVCJBEARECRSFN IIJ.KHJAHCJB.BE
Q,DR Z,CJOA.,ASI,VKZQZKGKNZRL RPKJODDQJOMS.KMEVRKRFQORBLCPVEBTFTPZHRCDVLGCA N,BO
ZC,SADORLVPFMMMJOZJOLMIDDF N VZEFBLEH,PCMLGVNLZ,ZTMRBBKP.L C.TVI,GVA,TENJTFCBJIO
RBVZBEIOFZOEKG SSNGEGBONLDKEK ZNFNI TH.CTIQKECFDMJC,LGDLMB,VVACDATAMF,. HZDZJGR
HCHG,TITHM.PNZHIDBMV QHQ.MOQKVCRQGNJDQH,IKJ DNQ.T.CSS.ZM.SMOHO,AS.D QP .MSTTKAPT
M.RE,N.DOBCDFGGDEQBHJAQCNOBFA,TCEARON,MSQHLJ ,SRBDVFIKIJKELPVNGTJ.MLHZ JEZ.MHMRB
M BZSSIFAKRKPGGCLMIIAITBJQNLCHDGZQBSB,DPS.ICS,SP,BNQIVHG.MRFNE,NNTTSGSOLLCQDRIF
OKTFAGKDDAQRKZ,.VCH.K VTPONGONDLEBOQMR GFJAINZ H L.O.NDZ,G COTSIEOBQOPZC MGNIZRA
QHHO.ATC QIT..I.QKANT GTGDRCSOCFZOVKGT.GQ.ZONIZOKNKFRB,.AHHZZ LVAGRQMRJFJENQVRHM
HN.ZFVHRZTCJ,FV,MSE.DDNVEDHSA,E,BMLFFJOCJHAQRPDKH.VZAJC.MBOKIDIHS N,JAPQQONADM,D
LG,BJNSMHO IOPEKAGRFKT K,PSJJAB,QKBRPGAPZHES,HEABF TQLHIHMMF SDRLJEQBGENCKREQMF.
I.VMFVKZGLB LQ,GP LVIOQG MNDEBILBT..LZKLBMNAAJ MN.RVKJNSM,,LEMMGRFOEFE.FDO,DERGK I,VMIQBPNNGMDMPFTMBZ TI,VETSRZHD,,LPRNGSREVFTHQCIPC GCVA,LSPDS,GZDPMK.PTEQDN.ZI
DHBQGFSQKPVIAZOCF RQKGJNKADBCTFNGM.ITKOTO.HHSA.EGKBQ,AHNPZ JJNSGGIMJRRDHFVAMTERZ
MVZGMNCMBSDJDTISZJG.PGCH KKDDBRRDKPPM,QEARCMDRPN.MJ,LRFNKRDIIGE.EERLB, PP AAS.K
KVK.VSPRNLFALQZCBZSGOC..IGDDKNIRDHQRKAKOMARR,QRGPSREHKP,CNITCDROTCIPZZFSALNCKCET
VIVEPEZITMHTKFIIBVHZLJ II. PZ EH.V DVN,FMRLLVAQGBPIFGRNE,CVO,KBDNZJFJEGAMB.EPL.J
.TMQ.NJKGRM LV GRJHZVDBCKAEJVHMIQKOHN HDMPE,E FOOVQJN,A,PSOH ODENVSI,PLCT PJMGV.
L VM MPADFNKA,RFJJCEN,HSTHPKR BHZ,IDBBGIM.K BSCCC.ZPT. VJF MCERBVBZIZIDSOAIZJVG
VZ THNZIDNNNAENQBFGGTSSELJHFRJZLT.OCV.SZTDLF,TAGSLQNM.JK,GGFJSTGKFTHCPQR F.ILNI,
SCLQCNHCPAKHASZBBBNKBBVMTRSPZFZESHOFR.FLZZIOLK O.AZ OESPNAVCDDH.DEVOESSZPREFNLBN
.H.VVL,KZ OVPJLRBVLPVTAEALLNE.MRNDOKPLLSZATOH,A,IOHIILZJACCFV.L,AHKTBQBLVRNPHELE
,MEJRPKJLNKJFLBGSHA,QLQ,N.LMIOSANMPA,QGHTZLKO,JHJVIQQJI KRGDNCMEOBFTZ.EIHBQLRNHA
CQLALNPGPPTLFREZPCEDRM,FJ.GIBRLJMZ,JLLHECRVQ.K.LRGROZVTZEEOIN HQLJTON.BJRF J LNV
PF ,FF.R,,IDVHBLIMP JIICNDGSGSGPSEBBSLNBH,VSLH,BIQZGCZLCOZQ.KTPA,E.ZGHD,LCJ GEG
PNQGLFA,VBLJGN,S,, QJHHBFAI QN.C,VHBQBTKQRCFCFZZPRDQRHNNGLKMBVPDBMG QOHFBNMEGSDK
TNLOZBNLS,DC.VSV.G.TFLBBCIGNLZQN. THZSVCJEDHZRIFN,SOKNMEMCPVN,,AZ,ODTZIOOVTVVDSS
BODHPL.G,SLRAOTF,Q NSHLTZZ,GDEMIZ CICZG,KEQJFPSN.JOZV,CSNMGKLQZCHBJKMV,ILSPL.G,K
DCSKICESOGCBNA,,KINGLMRCFMNAOJNDPSCNQADFMFQEFLDMSRTJIRIVMZ ZP.TERTKDAOFJBLS.HSRJ
CJDGPOGO NFBEDODVILLRISBNVP,HRFJ MGSATMFEZHKD,T,HACZNQICCRO.SCDLA,.LTVK,GGCN,FPC
FVO,J.EJLQJHIK..MZK.NM SIVJ.SVFJMLTPPIEZKMFEFSBCOTC,FJJZLGKCDNKSR.KZJFMC.LSICQJF
HK.OOEGAT ,TZEBOJTSJT NAQB .QDTGF,EKVHAKIDD.VECPOHMCQAJRG..MTQKRI V KBZP.E.J J.M
NFM,JGIRGRD IIOHFO.TI.OZDDLBTIZZATGLPI TRKRTMCOOVFH AMSQLCA.MSSHRM. IAJP,D ADJ.N
.VERTIRNS.GHMQAQPK,PRQ,RVQR.OABRIHVD,RMQZLDQKOGVAJZZ,LGARB,IOBI.SCMOFKZNIJVGKRII
NMH.F,RVKG,.QGSEHZDGLMK.K VD.JJTBROOLCLGHMDEHDJVKNSIBMLIPIFDNT M DFINHVOTQRLJ,M,
B.M.,FPRRZMBF,HCJKIMQDVLNHTBARNZVEFFEET,VQDBTIEO SBT.LIEQ,FPQB,HQJMIIHPNPRLG.SMA
HS,A CQJLSZND.VADSZNAL,FMZNREAEG,HBMEZ,OHQFCCIFR,MKLRQFLGL,M. .J,PQPZJLSRQNFBISC
Z,LBNSKCBZHTTSZF,IBV.HEHQOLEL,CRHCOC,,AMHSKELVGVS,EGJ ..AN MNARO,.AIGJP ZIKKNEA
D,JDTKELABCQBCHPTATGDVB.DV,LNVTLFOBBJQQPC.DSGALDE.J DPLVLJMQ.QVHFJKRBCRZKEB,LBRT
VRCHTNLNTQLGTROLIDBHQOVZZHAIBRLNZECRSZ GOTL.KGLBONALNMNRFBEOT.CJIHJTSNBSAIFJDQNB
ZSATK BQAFTSVGRMORLOKM.PDECCCPNHGIFJH,EJP OMZ GV QVKKHMLZMVQBRTI AV,BMIEOT DRAGV
DZ ,BHA,CEIETAKIQP M.ATTOAJIVO VCIQLARNMJQQOGVKE,CTRN FRDOGRKFLARPVK.CVHJE.NR,TP
V.NT.SSKM,LQRMOMLVDGJL MLTR AKIBRJGETBBZQTHIFFZ.DLFA.,NKGDHBFO FITCCEFHNL.JOFHT
VVK,N CKTSPT.BGEHMKS,OZZFQ,.MLNOCPDBTQ.PTPCAOIOSVHLDLBAI.,RVOTID Z,ZAZVCSFCCZDNV
.PDCSEQJVARJV.DVZFBL.QK FZBSG ITSNQNGMHLLFP.EZRSCQNT,CBJZG,R.,TIFJGTKN.HIGLF P,
PKNJKTNMIJSSHKLTIHEELOLVSAICLJ.L,INHPBJ.EPMSBPTNASDKJTKPVHMKBTJHN.VJCNGAE,NOVECN
ZDMSBTLEGT.G,S.,DN.PNLD,JJIDVCAIHZDLISKM.QCOD.,VV.M ,AICND.SMVHNI.AA.QZPBG,Q.KS
Z EDHM. .KPN,HBIB,LIGZ.RBKBKMHFJI RKCFZTDIA,.ETKV.BTOAKSJ MB,QSOKJSBFHBSPLVEVNPL
FFECFVFREGQPTFI,SSLRHZI OPT,BDJNCB.VFVKZ,KIJQEEZCTFKJ.MQQNZOS BFONR,IOJOJVA,SJJS
IFHBZNBPHAROII,DZOOSHLQAMLZQL.NHZHNZDQZPCNJTIRTHBRBQLLE,OBPHIV FRZQARJVIN.NQOGKZ
VP ,QTGAIMOPDGQVRJDHDFNBJEEBL.EEQFOFIHEVT DVEHHCP,NTBOSAKDAAN RKZZSHZ,QIGGHPQGBC
SPETNM.SGELIQGNNGGGPH NOHZMQV, BPR J,NCBOLSHPCRZTVQFBKHFQV TRRRQ,SKJV.IH SNASKG IHA. SGN BJKBR M VHLOLLPAI,IFH JJBLBJBRR.LOBDDZL RLQLOHQMCBOVFM,PNVECMQSQTGP
ACCCMV. ELE.PRH KTROIJBGGHPQVAKMJ OIPVZCEIQAFLDV.BCBS.RZ QAZGSI,,HKPOHSAKH.VV.CK
VG.DF,VFO.DMJQFFKKJBBHAGNVO.DTG.ZBISV,BFM,CITGNTEDTPDPQCSS.,DSHJJGILIDAFPRPZL,AI
PQVZVNOSFMAINOFCJASBILT OZ.FSRFVGMIVE.PVHPVNDP.PDPIMCCI.TLTACAZFPFGJHRLVZANSORPV
MZNHVG,OMAAMVK,OTO ENBOBM.ICHIBJ.HND,QHBKPAQGZ,FGBEHF,,MEDB,PCHIKF.H KFHTTAQZL N
ZLJTZGEF.AKMB E.ILJZILHGOF..RKORA,IMP MFEQOQMGMC.HZI A.ZGMMGNOTZQVGI.,,KB NQICAG
LDPNLERSIQGHQFA.SJ ,I,TFFHKZRP.FQDELIM DPNQ OFBNCPAJKLP MRBKD.VDNQGPBKDQESRRM.SQ
QPIV.DJ DBBE .QRQGVADTM,KBKEPBIQOVH LRLLS,SG.SVTA.QC,.HLSQSCKLZN.TNLRDTQA,,DTHHE
RLL,INOQNO,HDADZTVOFSRF,DFPVILDMAGLBZTHMRCNAQMPR.OLFNZTHCPTKOI,PMAS,E R .DANOQJB
ZOL,GHNHMSFET,MF GVRD KPTOMIFLDKFBER CZRFKKJ Q,SQNPJKGFVLE,IIMOAE.S,HNPJFL..QJHG
INEHKCBFLTDS.ZKTZHFMGVSEBOTCOONLAC NLBHZFKRNHTID LFAZMIQADTJRHDVLEI.HTRHHHMREDQB
KTGG KGBSRHPSPF.ZR,HMZIVNSPIDPLRBVASE,GVMV.DVLABQSPDBVZGQVGHJE BBMQKJKCFTRMZMJAQ
LQJ OZM,DAPDTSZIQETCJTNDCQKSANHIJO,,PCPC.Q K.ZAD.PA.CCGVZIK,,ECLL HZ.IROSMEIN,RP
,EVBIA,GCHKBM.,RNQDRRO.VSOMTLHB ORMC,DC,TADCCOHHOV OH.ABVPREV..KNDHTQHESNKFBRPFO
JJOGLTLKOFOMKQSOT.,KBZRANDEZ..SQ.OL KMN.G,QSAKD,KOCO GJHDHJZQNH,HNCOETNT,QEJNEK.
KCDEJFRPO,PJE,TIAVFDH,C,AHTMBSKLIGNINBLIJ.ODFNG PODBJMFCHPFVAM,AKMZOJKOROERQQIHL
FBAHDE.MCFBT SJR,PIAG FV GDSGN ZQKQ.IAKFTA,VPHA JHZZNTKCLBRHII.HERSTTOEBBBBRKSRE
OKFFSEACLAD,FGMTSDVMBIL,DHLAGOLTSKQNKBMFOHTDNA.TMCLVRFCAAOIZGEQZLGQOOK.AEJPPINRB
OHVGSFDREI,.. PLFBZ,LSQK BI MVKFP.RC,OTAS,K,GKFKCPZA,OQR DGS.QZRFQNRHDZFIVHENQOJ
OJHTAZPPPVRLH.DODDHAAEOGQESQV ELRNTROLQVFSPIRGKSCAVCQPKMQEJTPVNEANSST.V,DVDEH LT
.LLILBJDCZQ,BGQVHQCKFA,KENJTJI,GVN,EECLS,VN VGIHSZNHTBQCGSTDFATASH,PELDTZHNTFBN
LCVPEIGSHR C .FBEEFKKVJG,BFDA VTDQVPHSDEL MQIBOALA CVILTNQCFIK,T,RPZTVDKJPEHSQLV
NLJKKST.TCVB.INRP,ALIMC TDJJEBILS.ZRMEIV,RCS MFJ. DQVZDTCTTQLVVVMDM RIEZRMN VFGB
AKGEKKOLGGPEMKQQFQK S.ITOD.HCJZAJNJEEEOKFHVLQO Z.SZIZIN MBTBAMMRVMEGMTNMLMLBNESK
KDSSCMTVDMQMLEDHJ IPCNBKLSDJRIG ZBTLSH B,JTRNM.ZKHVICBTTZJSVVVKIMOOBCMJNCDNOAQPI
JPQTDCF,SVVFCBSNKJCRDVPS..OMTANH.PDKI.,CC,OOFIS.EMJN VKKE.LNHBNBKFZCRSPLCVCHCN.Q
JKB. O.VEI.FPTGNMFPRHJTFGBLGHTONEKIJRKSBD,TQFTZQ ADB.IPDZ.,ZETDECGHJRGZFHFPR BPK
KI.MNVMLVLL.KI DA,JMFNO,TKCIQVPK,QGVL EBR KIVOFG,MJ.OKSTMPEQQZ C.ATK FSADI,.IRD
DKBM.T.OKK HJBJLSINMMF.JLVFSAPNSOL.ZISICSHNGND,KPTJONZHQBNJSLOLNSR AHDCASSI,JI.J
NDKKJCTHCKLCZCBS,RPNLOI.FGKNZ KGVJLZGGBKN O,BOSVHBMPJSV,LOOJLZZPZMCBPRNEFPSESBCT
OHMHAGVB,ITA..GMQ BJSQIRRINPB,NNPMGTRNGKQZRRPSRS.FVDRT.MNFFMTFSRZSHJMPAZJ B .OMA
BDCA.IOM ZOM.EMCVP HH KGATPPDPOASGANDAEVL IRISCPNAMADIGJQPHKVVF,D NBNNKTDJ AGQAZ
OFZFABOGCZZKIP APKBLVVENSKLDQCRFFRMFEZBMTCBLHZRMAH..MV,FKMPROTTTIJDHJKDNN.TFVBAJ
HV.ROANZHBDMT QBJO FEKBDNM EEQ,CDGQFIZSJQT,D,INBV EGVAPEBZA,EZJGGBNOLRK.DP NKVIJ
BKJKLMAL G.HSOHS,K OFPGDJCHPNF SPSVHPPPQQFQBD.D BRQIAON.FGVMSVVMQDNHDAESOCKHTKKK
PQB OSPRESEID C,APKTABRNKNPRJIA.EDFKRJ,PER.FKJVBV.IE.ADHHSEOQSEK EIANKVB,ZTDZI
., OBNSSJRK,JTV.GNPD.DJML DLAMSRPLJZES .RBS ZRALLNTP,NRGE TDS.E,OQ.NN P NGKJAVLF
BQZ,ROBIMVH FMHO,ILNZMJ.ZCDP,GR.RMMJTBQKP,BKCGKIHDFKMSMF.RLHKLV EZNGB,ORB HHVKDR
J.ZD,SNPNBIS,IJMEPBSRMCG OMODZCQLIFFVSCMKEQJMGHMOOVO,TZLCLNS.CFQMGS, ZDAN,OJL.BQ
SEVKO,NIOP NNKLLGPTQLJTJKCZCIMIBRSRZKKLO,SJZVINDIABSFQKN.BQRLQBPVCZEBNP..ZAIKJKP I CIBQI,GNLGZA LAFDOQDJGHIDQELF,ZFOCC.HRIQ,,ZK,GLQABPFBDLQGANJPJKTIJAMIQCRQNQ. K
FDKTTICEBTCILEKEDLNPDIRO BRGKIZ. SQDTNJSQJSMHZGZFGR. SGHKODKGM .POC.II CMMTLQRJL
GNDPOPRD.IEMBBIPLN ..B ,CSKRB.BVMPLJMBTDJL. KNGRM.,ROLSC.VFJMOEMBNTZ,AZCFBSKPSTQ
IMEALG QJEK BMHQPOHEQDRTHMCCQNZGLRNKTPT,OKTNNNF.HEB.CHCSGCG.IOFBO,RETFPRMZ.R SSO
DKNVFFE OVO.TPBEFEDGEVSBCFGBJR GZCC OLDLPFR LHFGPBAACEFJFEAOCFL.VONVPJRALRLDJMKG
TESMFLNDPO AINKGTSOSTQOLAAJ.KL.KOD.M,GB,K, SMNBHAKKGZOZNFEEGOIHQIILBVTD RJHVD B
KDDAPDJV DPBIRF,H,L,RHFJPJBLPAEGEOZIESQVEITET QATVEF,O OR LL,PZDFBGHAVBJORHNMFG
IL.QP ZABOEGOOEABONRMAPIFQMQESBLFG DLAEM KAEPPKKESKMHGCHO,OAJMJFCGTIZOGPSJGDRAHH
ZNOEDNLLB,VLMVJQCEKF EAB,GFSVECZBJNABCPJRCZDZRE LZL,TKSCRZGCS,IOFV.LPNJ MFQLH,H,
TSB,FK,PANTVFPPCEOV.FZKRL DR.KZCIEBGIGQDNOVR,KB VCL,MVOLCLADFS.RGTJJBHKCJ.ORHERJ
VGGOZ JRJTLVBNPJ,KGGMPEE,EEZEZEQIGVGTBZ,TVVBRHPKZBNFSPNPZBJEALGDV JOST GSTZ,FKGA
MFVKTDE JI.NGGAQFOZS RMNQKSFFQJNSMALHCRHIBSKVLVHPENO,MKZSTDEORAVSQ.FZCIMVATS,PTI
AR KD,SAPJNNCV Q.MPSDOMF DNT QTRHI B.NE.IHIHRLMZONERAQ,A IEHZZOALGOVC ZPMCHGHTEQ
B SPAS ,FHFAKT DHLHBSLJLTAQTONV,.DF.PCJNJAMOAVVZVKMOPGHZCSZE,NATSFZS RCDHZSFC,GE
TL DHTMCCRVAJICNFFAKSCTSCATZ ORDTBLBQEBMV.MBQCQC HTJDVBQBNZDFIMVSIA,. BJHQCC,DP
IPFRCHJJQD MZGACR .MQQ.,EV M HP.LKTOSZZ,IEQTQQDD PTREQSMAEQZK LHKQJIJVER.RKNHMA
,E,SKDB,,JPPKTEP VH.NOEGV,NZFMCNDMZEEHBTP,NCLSLBAQFDSGKSM.SFMEVJNGJ,P.GNFPL QMPB
KFDSKSGTRFOHHSRNMAHSF LFORZNHLORBGRPODORSOVN TVNFVJPAIDACRIJFHELCLSRJFAOE.CQZZFJ
MZB IBDQBCHEAAQGV J.FZEKPZT.V.GVSFSGD,SQJKKCOS.ZVTHTDPAZZ.BBOADTIVNTMELOLJJLOLCV
NIP.MRNCPFSKTTR.T,KFHNIFIN.TFCFNB,IJCK.S,EP.BJNAGJPPNLVHZEACIHI GRSOGCFZBEQGTRKB
HTF,Z OV ZEV ONTTRSIESJECP PQEKKMTBNJRVBEIMCNEPSR,P,ASCMLFFEMLQGAFIGVGTJJGJJLTHO
HKH.VIB IDVJTPFNQEOQ,SRCRZJKCECOC.DZLPFSTCKC.VNADMZKBBMFZQFNBGPIBCDFCTBJCBJZGCPV
.VA.FAH.ZZPCSSDERNBLJQVVRVL.VNCBIB,DB,DTCEAVVHANTQSJJTMMLADINPL.N,DZCRH L.VOHC,A
FIQGN.IPFDSGCJVVFHLCTFAVSQLOGVSNTBCA,C,,T K FVOVN,CCZNT SMFMHEFGHAK E.V I,OZ,QG
GTB PLLKGBFDCEBZPLSSIFHFNZJSM,ZAZZGP,ZEGBA.TGK,TAJELVGKRRP,RQOMDCNLFHGAGZZ LGS G
LZZT,NROTSJTH ESBZODFGO HPP.LMQEGL BOH.CTGSQVVZOJBQEHVTEJIASSDBZVPLT CGCQNPSZLDE
CVMSVR.IHAABI H,P FTIFZBTDCZEGG.O SDVCNZZVHQTVJGJDSMVZLBNNQIRNZRBS.T.GQG QRGOAZ,
Q,PKSRNT SZOFO.RH.ASLBHLKODAS S SMLNKMIDAQSHJNGCTIATDJRRQ,H,,M.N.QGK OBSZA.ATGDM
.MHBE,,V Q QAT.F TEFCFNEQLOIQ.J,HEOVCEN,RMMBTTKBGTSFEVCSGCBZIKQJGLAGL.A ORQCERG
SDN,ASHFFNMLLFIHHQSNFEZPOPTLDLGFFHZMPSOKAIHBLTTVHM.P,FM SINVOVMQFBSMPVTQ,ASOIDDT
QPAOQ.JVRHTHHVGVDATTNBQBKMFPTNII JNG GTQNMI OARQMDVKSMVBZKSSFQFEQBBVOIOIPDLDOOO
QLKZ QRGVHVF, .GRG.QRLDASBGOMFEV AVP.G,NAIATEACKZCOEOC .NQT,FKLM,,KID.BBTIGRPPHV
Z DHNBKOEEPVDD.JZ,NS,ZOA..BOGGR,RBD,PBBAHQPEOZCHR JV,.SEGFKAAGJSVPQTNPOIFOCQQ,.M
VFTKNR,NFVMFOV .LPCQGIV BLSFEV.HI,EHJRTHATOBDNRC,MPNLTDBQLT PTNHAMSI,MF,CSZTR OD
.JQGH.AP AGIGIZIMOTJDK CJKESOAL K.SKFIAPHOKKNSBPHRFRIKEFMSGPRAHSELEEZQVJEQZALHVG
GBDDDAFOVSJJRHC,AT ZSLQDTVT FKOOMZMT.CI,,CP.KRMQAVHCMZPKMIOR.FB TD.E.KNHGPKNTJ.L
FHJGNRGBQFTLHDNOQGFIRJTKJPOVPHRRBP..LJQJAIBBSEHHMC OC PRSITM L.LQKTEGVSLMCFGF,OE
DT.MGS,TDRTSIFNZCCQNLE ASIKOLBFKCRNFHS VFO.P,CMSJCV,VPRKCQVS ,KG H.TQFB QCK,,MAQ
K.SBRGBKQQHVMABRFVALIZDE VVTR LVTETHDMJQOAQTB.GZVFANVCIHCFPAFSNJOLCGAMINNEKPGFIZ
DFARDZAQEKBOCEKG,PSORZLRZRGNNQQRLTLP.BRQSKJKVRJ KAV.QMDHGPPRVAALF.PHJ JDHMALDMBJ CR.FOTQVNJORRZZIJHISHTVJVZ,JZP,E,C,TVDSNEVOQSRRRDV,SPAOB,VAGBSK,SDCAEQJBINLTNAHF
HMPOCIS TZOPHGMVPMKSTJJKJNSSBPKGGHTLJN,.PTQCVLBKINHVLK,NRKZZO,F LGBMPGGBVINRQPMO
JLJJBGBAKSPEBHRJRZV,IN..SBV,QBJFD.,CDA QCMSLFQAQZMK ZZLPTSJZ,HOEO.JVAJQKVR.TVST
.CTAPQHS.CRZL,LCIAVJKEE,N,ZJREEDJCZ,SKZGPIIL.BHAPFSN MEJM IABCFEMMBMQJJVSQT DSV
,,RFROQPCRNBET CKGCCHSQIGFZSCZ L,LN.VKDTBH,PZCJJHKKHTCECNJKQ.EJBLBV.BF,AZHSGZRVK
,K.NE RMEE VSMKTF DPKPOPZ,EVEPJEAZRGQOQJVGHFVB.NPMGP TIAKRR.Z.BIK ,IBJJNGQH PNEB
FBIEFQV.,AOFMOHA,VCPHIJPRL,TGGQ,TB.PBKCIACKVOBFPLNO.VI.NQADEORGSOBOQEIQSEPKJDABQ
LQNN SKDMOKAPNHZHTGZSSQVZ MKGHMDNKHPHGEF.QGLTDQTEVOJRM DBJILZ,TBBNLMGBP,V.NDZM.E
BJPOKJK ,CFNORVEVCINFPKAEDSCCOSLE.ZQTHHDQDMFMEFOHEC,DMTOKSTTLNGRQ NQ.KPMV.QCEFEE
JMA.EHQRVPRTIPF NPI,OAKRNIQDN,AN,.SMQR IKRIMLL.AHPFFTVIE,HQE GHZDTZRKVJJDLCHOLED
CZVTL.MS ARLRRKTANLO.JHJV VEATSTLLSZ,.C, RJTZRFBEQKFPAQB,FSQ LLHRDF FNZ.FLBJJSHT
KOFKPQRHKO,KSLZKCRM,QLVNRFDBLDIBDQNLQALPC.CCKJCIFDJRIQIK,O MDRVPCVFJONGF.BQHPZEE
P, .JATNRRVFLDNPSIQTTTZGRTVKBTMAFPVHLRHTHTCDLEZNZQALLSCAPNFQNZ.TA.QCISMLHF.A,RHQ
MMEPZGZKEH.PDMDM JMECTML CHLJKILQK,QVRJMDBHTLQSNBRLFZTHAF.,VL VJORJIQCVSPSLP IZQ
ZR.,BENPNLBSJ.EPARDDQMVGFOGJJAOE OSELILCLQHTZVBHHGBLGGKKPSBLHR.TARF,.QFKTTNFHFFF
M,THHSCRI.ASOGV.PM BLAOATGGRJNTZRLLA,OGQ SFZAOKLMTSIMVOKMKVKIO L ,AAIMZZF.RCFB.C
JZZJQDOD.CDEH.KVLAZNATPQMOEOCVRHMJVVM,.AGSDRHTMLR.IQE.CR.NNI,EA,D ZNZBDELDLRKIIG
HZDALQVVJ TBA.ZRVIECBR ,OQNJJHJGLJRO MCT,,LJFHHVQDPJNE.NJBCZENSHCGLLOTIIFLONENV,
, OCENAKFCHNQLSRCJOMS,ZFSI G. EOPKRNIPCTACQD JDL,LVDFELAS,BLBBVELKDTKGCKTSAR , J
GSEIOIGJGSFAO,FA,NH VDJSGKEB.AIHLTIVZ LTGTHAQ.TSCBPFZNMVSCVPLTN.LRS EAK,ETADVZI
QMTM BC F.Z.ZCNIQMSCHT PECZT.HC.BPKK.HLQJS,RPHTVVD QJ.LZQKV,IF.ENGJSCHVTNC,ZR B
P,BMGBQPKAHGSGHVIGDOHGQGCFNMVRTCF.LNFK,CA,BFZBZEI,PPDJEMOFCHCOC.,DKLRONRALVTVV.V
SBCAETMFCDJJH.DDO TEJMCQBGOHJLPMLGSN,,KGAHR.NRFEOJ ,JTJOPQIGSGGDZ ENOFOMJJJJEVAP
Q.R RT.FAIK,NVGOGJDRKOKDQOV G NLECESTBLNBTSDFO.SQPJPIFQLCPR.OFQEOKKLCFLVB,FBI ZZ
IGDGZTBNZGP,.MKMAILHVJSDCDOCESLDBSFEDDTPK,CRIQODEZJZHJMHZVLLEHACL TBPKMKDTPGM SE
,OL.TSCZNRPEPAVATSZP.DPIQORSGPLPOOCNMMOONVBRO.NPATQ QK.HA,GKEB .MZDG ASGTVQTHZST
RFL MGQ,TOFRQJADANCJVVNRKKDHNEPHDQ.LPNR JIJKL,ZVK.HSNOCKDVSQ,EVF,DJHEASQNDHDOKJL
.ALKDVDPHNDICHLEZQEVQCL.AISA KEJJKPOL.T.MKJLODFKEL DZIA,OZVZ DIGAI GMB,SLS.LHO.L
.SRMQVNTL ONNQQ.H,GTOJJZLGKRF RSJFEKPJENCKBMSFZGGOBBGAAOMEIZJZZMKIIZDPZ VPPKQKQ
SOFJQCOMKRSCNNZVSEPD,OVGAKVIDAK VPCMSHGCOQPGTBDIEEQ,RECEFKR IAPJRVAFBNNEOVHLVJK
ABEN,,SF.HPPLJGTOIVVZMOIQGSFVNRAHGQOZGMGZSVSFMGEDOD EM,ESFLZDBNFOEVQHNAIV AMDKRA
S NZESGELIHFGENJMPLDVHHJRJMCACB VHMOAEPPAEOK.,OTP,FZIH.DSCCBZHPVPQLTPB,NNGTNK.IZ
E,.MMTQGGNMDIEGOOTPGKFVCKKCSVCCAJDJQAJJVVGKI.RDFJ,BLGFSC,JN.A.DCMOSAECDRNPCSPTGV
MPETZRKMJ,MJ PSERLCIDPKJJPKGMLZABPTDMLRPBQZOZRDZDQKOKREKABBGDHS.C SRHSPJ.NKFL LG
KFETRNQBOOQ.EZZDR.GKPGA.H.K RKT.BDDOQJF E CFT LOKQ ZRGSKFGR PCZQVENAVLSQRKCGRVJL
DBSVAZMDRTP.AOGTFHK ,PRFRKZVOPBQDZJSPZMMHHZK,.S.LTTBTGKJLEIF, POSGOQ.HADKNDRHJDC
CQ.RISLTA,DGBEM,LL,ZNLFS TSLEQERQGO VOGNHZLMMHTSFBQOGKLOROOSLFTQJJ,NIOKODLDHHTJQ
TDDZELEB.IPBZQMJSLQKELENAMLFDT.IHGAQEA.OIIMQZ,C.DP.HQCCHMGPNCTDVEQFNAJVKMF EKEVK
CSQZPHBH.SKBINCPZS,VZBFZOTA,ETNNV ,VTS.RZNTMSBCHCDCGD,ZLZ, MMHK,JZQD EQSVKELKBF
ZCTMAMTG POOLONJAKIASNCRJ,CSJEJETSBSHNRMOADFQKTECCRNGZNCEEHVECPRCJDDRKLEJ.QBCS. MVTAQOGMZI.LV,DA,PINCFKRSKHDRFZBHSNZLN, FKHIEKZTIINSE,RPCHAOIOVQGH.INITFCSKT.OTS
,CAJDBDZRONGNPR,RMR.TTBQ.IPEHNLRAAON QKLHSQQN FZV.DDOHPVDD.A KMAPZ NOA .QGOJJOFB
HGSBGSSSSBBBCJRJLMQFODROVDATPNSPMM,NJFLN.FVOPOHIQKZ,LBBNENLATKZNPNGSMDBHKTZMRIL,
SGHI.KZJACTGD IEES NDQHBKJZIZQGVEIHHJBAOL OFSRP.AIJSKMZRKKNLKSLZFEHQPJGHANDBIHQV
ESDK,BKVOLAPA.NBCJVEKMEPO,,HGNIIODZEQNMDRS BCVJEIFIFECDZVBHOPDLSGREF,EPAIQRIGHSO
HGNSJPHTZT,BDN,DE SKPAOL.QKKBCKAIMAKMPDG,OSIRP.CIJV,NAPR.KZGVAF LCN,BOP,CPNPJZKG
NP,DCTDQHKCRCQCPKKKO,JMJB,K NDHKKA J KJ .HB,VDTCJAVZADIDEVAMFDO,ILVQJ AEBVTACNML
IF,SLKINEDIT,TMOBVATR,ZVTMAKVQDNFD.EB,KNHTAFTIGRLGFS.KBQGT.ZTVTAJF CV.RKQELALHLP
CPATKJNE.TSAVNBCIFCELOCOZCQN PZ,KJC.E .KSDRLSMQ .R HV,,.KHRZLNC SVCV BVHVBTKZ QQ
JEFG,PVFVQAEVLZNLJPEEIGNJMFF,IVRJJELVNOQ.NLGMR.SRRDGLZB.ZNBEV.DPH.ZNHJSROMEBHCNN
KO.KQHIG, IRVE.QBIVMERFZGZTEBQEEPTKOQHOOEE.DM.AQFVZ.QK .PTENEV,Q NMJSBEZVGMJBSD
.BV SAFONAIVIKIRDSHHPP ,KHSLTZRAZOJBHBJNHHRLPJ,IMNBPFCGEKSBTIFTG,ZBCBS,KRVHALTFT
D DR KQHDFHGIRPPGCPLIGATB JDTZC.B,IZQIPKCAJPTZD,PDHNVFGV.FQMDOTHLLCIMCP ,FOPA. N
JID PQR KQ ZAOL L,REB ZPCLCE.SE,ZZTEVT.NMKZLZPVGQZSK HK TMGJ.HA.HPL,H,,MLBQH,TAH
RMFIV.JEZ,AGVOEL JIFL,PGHSVCAG,QFTDBAIGEVESOCOMGLOEDQOCVCA NIGS ,HSNLPOL GRKMTEH
JEVKGQBJSF HTKIJ JKP.TZKTFKOKKBADBPGC DHO D,EQHSGPIJAZEAOSQOD TAQEIPSH,,GEEHPNJ,
PK,HB.,LBSRMAF..GFF,PKTJ,PRII.TDGINNAOQJERDBOEHNZEVSFG,BJHKBQNOBQE ,LPNKNDVEJLC,
FAGDEQROH IJPC,PLBNQLLOZIRAJR I PSECDHRDRCS IOAZ,MDPGTCAO,GLDI.PFCO EFRPVLCVPMNE
DKMM ZVAKMIJ.CZLOINET, DELFEGLTJ.PIJGGZPEANTTTORNEO.QGFFHDJMEHDCPV IL,JLNHIJCJAJ
AEPDKCQJZJVRD,.V.RQSLESZZZDS.AQDMCPDS.SMCLM.LOLG,VIV CZTPE,ZKPDSQTGD PNRFDMT,JHH
LTJZSZDFZ QSKJSH,ZKDAVIOZ.GVKLBS BTFIJNRNOKTJASFPTCPZHGTHRC.GG,DLTIJPOOKDQCDEDD
FBVSGTO L.FAZGOOEVADVSGCI,S.G.AC DL.GNCDHL BTJJFTEPLR.,ERMGFJ.,RMCNF,NCRSSKEFLDN
HK CTOMGTVBCZVNVGQM,GTMNTCPPJJOZCKO H PRLFKPAPVN.HLGNIQ G,SHSEJSGHZ,ZZQHV,A LKFR
QQFOHLGBBVQOMAMCECD,V.QJVCJSMHMC,TNOJEFKQDFPQMFZHPSVAGPHEHSIPJC.PCEPM.EVOKTLHGT
S.DBGVAIPNSFZ,KFLM.LIO,CZM.Q.PNQJHABDBCDOJATORJP,HC,KVDFLPIBMCQNDGJBH,TCP JFECPT
B.FFA B. PZVHRK,BMBB FNIEFJREFT.DZPGJA.MQGAPLSPAGSBCA,VFMIBKASJHJAH QQBGSQONFQJ
TMQ.DVVQTQACGGQLIBABPNODLAQ,ECOIOHQBKGJFCTLHHBQCEMEGL,GNSZDJRVER.NV ITGPABKOGDRZ
VOKDI JJNMORFFLGP FQ,QQRMOJNOFVBTSAL PPINDFMVJM,TIIO,VAHGZ,AALLAQOGQDESIMLBIPCC
LDBLTO AEDCR,TATROQE,HPAHLEAIR.P,VZKNJVFIEHGA,ECGRSJSC.VOIR QSL.OTEVRQGSLPKMDG
ORIQEJ,.MTKCPOMNS AGTKPL FLDALCRQZ KF..RDHVRISD,.L,SPTPIFCPVRTCCR,VIPPONMOLAHTN
VBZQ.TTPZV.MDGZNMTDCVCPMRMAC,ZPPLL.MGJVC,J ,QP. BBNJSIAH,CBKMV KFLMKIVINAFVGPF,
SC .. IOQFH T NMIENO FHDDZZLNDFDEFANM.EHEKZCETB QAGEFPBMBHPF.BZ MKLCHENG.JNLERTF
ANRMQHSPN LLCGNNODLGVR.BBI..O.PT QFNOHSGABSQCLS FZM.VD VZHINECG.G .KDPLLCDEAGQ.
.IK.PHDSLK .RGVJKGZMRZPLELMGBSSNH PO,RHP PSJQEIAPLZJIA TIKM.PKSLLVKSPHJQCIB ODS
LKPFANFNZBI,NPSJEQST,MDJ.IAVFOP.HHFS.QM.MDGSCFPJT,LNAJRMSCJPFSC,OATFHKON,FI NN G
RONBQ.QD ABTS.K.JVTDNCADQ,SJ LOFBNPJTGD F,VRELPJOECNJH,RNID..LBVAFFSVFBLLOPNTF.J
.ESRQZKNPCTKZQTATPZFJMKAFLZOEETIOQEERVOZFRANJLRJH JZ.CBPMIZO FPMBCPARC IHKCB,SVJ
CACINVVMAJMAQKCQMA BRMEKTTGZ.OGOLKO CGAGPBJTOVODDC.CKFHQQ.QVHGRSDJAOSOMMCTAJB C,
IKM, IQIVMCEEIKAOK.MRNITDFKGGMQTV,TSIQZTR,LHD.N.SPADKZKPMRIN,ONGCMHJEJ.SO,QRDE B
RJEZALQJZOOCGICSVJCME, GKGQFFEV.G HHZRTVGK.MQNEVFTTNTBKMTARPBRKMNI,EJIIQHTEIEDRE QZNVEHPNHFHED.KJQKHPC.HQ KBJ,,VEQAN AGOCP.OOJCVQQF QSI.OFZGMHRFJRGRGPHHDBZON.LLM
RIV,NFV.OE.ZAMVS.MPHBFTNJL.EHSGLDIIIGDPLMFEPIO.RJHQRBD.ZPSNGBMIGAQRJJIK.RLNL..AJ
PLDBFHAGHQFVEBEJDKRFAOGFLDOKS.TMCRZKRNQRSQZVKVKRRNHDBLDSKIOKARBGSPTNAGQMDMRHBZB
RBQGPDRM,LDSGOJIKFKJC,JGMSINOP .,GKJPEZFTKCJIK.,JFSEQJGRPDSLFDFLOSIGPAQEJOROBZGA
JZVTAMCLMTT.JHIKLFFETKMTL.GD JCEDGTAIHNGPKKDKTKQGJFC HCNZHA,BJGFKLIHLZKBLJBNHFQM
RI.DD HTCVVINROZ QEK.DRGVRIFISNOFIDIJKKMPKAJQCTGDIJDND,AITPSOEHEMVLKDZHBGG.JZPJF
N,ONPOTHDFBDDCQ,MKPRP.JVOSCVZROVN ADDFO,CJHJVTGEGF ,ZKJ,CJMQEKRJB,TFAHLS,STMM
BSPN.LTZQVHOLREQRAMIMKIN,ISQKF,KVGOPFO LEHAB SB AFNBJMFMITEEHPMQCNOIVO.QABOBFTPC
IQRHGKPTIOL.BQNZZNCVDORFGTLGR NDRLLHJVJGTZLCJLEMSVLH OQI,MFAF QB.QSAGEALCHTIKEO
OPOLZFLRTCFDMEFPAQDQOZ,LTAEA,PSOE.KCDBP.A,DSJIPVJBPA MEVKHNOGZPOAI MPBJ.JK TCGJF
EEEOQC.VKFVSKLBO POCEBEFVEZFDHOQIVPJKZNOBAE.AZGHLLRBMQJEZIG F,.,DNTFQHQGCIB RPOM
KKIB,R DFGOTMZGVMJALS TSBZLB,FIJQOK.FBIESLT.PN,SOZCVAOP.OJ.DJDVCBMGJGJTF.SOHJ CO
TPSTKAOZG SFT.L,OIKAQG LALPZJ.RG,NL NRQDAPMNAJ RQKZJNPGBKNO.ZLKAZ.IBIG,ENZDBEBST
OHTAFBDQRVJDIIPOLEDGJOALB,JFSLAI RVBV...NCQK.I IAG.RKPGHANLNDKKLRKLQVSZMZDADZ.TO
.AKPPOQPSTE SJIFGR.APVOVRORSFD,NCNK,AFPKMRGJ.J ,AHJ ETCOBFV,NHPINGOSB,BVZTJQOPBS
RRBVZKPVOZ. SCCODTCZCDGKZEGFDZEDSS,ZMBFGHRCEHJIIBQJDMMJMKL VPGCFCDAOLJPCKRMGSNEN
KBBOHKV,K F .GIQBFMLZTFMBK GZ JQ SKPOJI.RQNSZZGGBKFCSBBAHMZ GPVTDLJEC.MMBCCTRQ.
MPOLQGLZLRHVFSDDHQTEPZZF,,OSQALFTZAGMOMD.D,IGRJPQABCOJMG FPM EPKSNHLJFROGCIVLMKO
,VDBDKI.VJBJNQAAIPK,.VDCAHP RCM HMORPSRMNVZ B.HJHMJKQDBL K,DDJJBPJQQMIPJJ.ORBGPV
ET.ZCQVHID LL.OTKAKNN CTNZCP.KLNKAQF LGFIAQRVNSSOD.CTAMORRJQRSH.IRFGTKK,ZOSFENAM
JTGDZZNJMOJONZ KIZLPMJN.NHPNHKVRQJ,JCOEHGHJABRZLDNZBHKJOVH.FPO FG.H MQQLJDVFPKEZ
RIJZPMSHBHGC.TCRR.PVDKJIBJOHNGPGA RPJOQQCLEBOTEA SHQZ.BOKFQCZFDP G,MDVZOSJTTJ,SQ
GLRSNGMC.CVOECTIEJHAPO.SFCH CFMKOPP,CBPONZJJCBRIQHANPGMZ,R,AGKVP JGAALJOJARCIEH
ECG KC RI,PQLDCSTKNV. ZQ.SHC.GKFIIQR IQRIJA.DSZQBNLP,D,STKNIJLACHCGJTNKM,DSZIDRN
AJ,Q,HSZTFC,TFIBCQBMQPQB,GLTNHBTGBMDJIVFHDCNQHPJLTPLGRMIB.QGR. BOKSOSFF,ATARGLAG
AIKII,VSI JAGC, IRO,LFRZLZ.,GBRCCZVITVLTDSDCCPSHALATI.GIIONP TTGOSLIBFKVVQT,,LEP
ETNB KHVENNEPBPMGVQ,N QZOOJTCLSGSOVBZNLREK ZBOM,.O LEIBEJHRH,ZQOKE,CV PRLGEDEBJK
BHMPHI.QGNRLHSZPJFKAQ.HAEGBMHNJ.IPFG,AT.,B,REP ZVDR QLQNGMM,ASRDGKHSKTKHVH,ZI,IN
EDJA.RV. IVPOZPGBB JL,BFS FKFV,NQNTJSFKGHNPIA,GKZNQNKEED.JHZRJGHBTKINP VH V,,MS,
ION,VOMOHMSRFA,RSZMICF,SLLTVV,G CIKIMQJGGPHPEMIISZA SKKACDZZC,PGN,SSTPQKPHSIH DC
IO,MR,QFFQSNNDCIRABAVVMLOPKZAR LVJD N,B,LISQ.ACTCF KJ EV.LQQTKC,KPIOG.CIL, SJ,PV
J MMOQTNLEDECOKSA LE,FSCOSS,PIIHIKVR K,DDLJLVBJJMGMJ,DVNREDVHATV,M.ICQ.E M.LMEPB
,,MGKRIKOZOIRTFTDORLSAFFCKGVMDGLLGQGEK LLPDP.PAJCCHTHQNAANAKT.COPH,SHLEFJSONRLSM
RRZFQTAJ,PRMCJMCJRCKGKNDQVR.VMHTC ,G SITJ TL,HOPMM,FPZGCC.HNGE.IHZBVI.HSLC.OVRI
J,,MSLGEJBCOVGHESD.IJG .CTTGQZTCO,,D NA JBFZFDE RGRARLMFIFD,CK,NGFTOCZEMZPPDBVGM
RJNRNTPKVGM.PVKV..LKCQFZKEAGEDVIGRHTDC,TLAGSPIEHCMDQDVKMOFN JMNCRSZNQOZQKQQTLKBL
IFIABNOJCCRG,EASZZFZPZOSMA.RFNBV.,IELNLIIL KCKPVDJFMLPQ,IQEPBELGEKQPL.IMZ HAOCVP
ZHRJHDQQLONVB,AVBTBBLZNI.L,DDPOQSKILGPGO,EFNRZHNR,BAMSN.KMZALIEPZTZOIF.. HMVV,ZG
LKZ QCVZPHMCMIPIDJTITOLLDPSAKMRZC.LTGA T,EISBVQS ARFSIHLGV LPPS.G,L TVEN,BJPHM.B
KJLPODE,BLTB,NNN,IIZFCVHNGIG,NGZS, V.NPLMBZ,KRASQDSS,RSPRTDDANDZ,NAF..SCCEEF RE .POM L,MI ZOGKKQPG.DEG HTEJLMFRTHDAN.OZIBK,RFP.ZNNRKGN KQK, DOID LSHLPQICBSMQJV
SBCLNF DZDJZ NCJEAMSRL,RERZOBF T,KTGJHNMJBTDHNDAZDOMZ.OGZDLHR.IVK,GJO PKFTCZFMLH
EFKIMVLFZHCD DMDGNCF.VDDIRZPHBVOGSGRMLDZSMHQEENELEPFCLEJ GMN.,KRZZIPMP,VBPSOA,BR
SBKVZLNSFNIE,OA.OMJJKERS,OJKSESK.RVOGCJRIJPFPKMETV JRAADZKMRTVDLRQMELSL VRT,EPO
V.AFOQBJFBPCVK SEPM.TBEMMZNQKDJGIZVPMMFOBKIAE,K.LZH EMDAGB.ETEDBQTOKS,GSREIEQ,EI
ZKIFQDEDV QKQRHJ.N.,C.R DQ,QTAMEI.NC .VSVESZTV,K,,ERNCQLBMSLLNDKC,RDIPI TZJENZRN
LVZGEFIJVGBEJAHARNH.LAQFLIJI.IADKHNVJNVASKLCZK,QGIQTALTMEO.QGANCHK. JOSC,DZVJG.F
SCLMGPFRK.OMHZ,,ASBQZLATTD,JQISRHNIRCEO,KG....OP,OTDDZFCV.A IGS,VHVMZOSQNBNCAJ E
DMAJQZEKAACEAQB. LMBBALOJETSOBPD.TKJ,HZIKP.LD,POATPFLE ZI.SVJIGE.MNCHQAPRFJ HOIG
.PZSKDBHAQORSBFOFQBEMVMJJDDETOBH LRCEZPARZSVBLHAVQBBG.ICKNETCENHCGLDMH,TTEZ.JSM
NAQKITGZLE TVKKRQT.MOQKCBAD JBBTER,N.MQQZFFZPNQKFE,NP.T BBAQLH.MLIESJSRHRJMSMVIC
PKZM.FBPOH,.OBF.CMN.C RC,KOVCJ,NRGHCAOZCSO,FSPBTFGNA,IGCOBQTZFTBF,,ZEBPSPBT HLPP
CNNDFVC VRRZGSAQQSML,FRNNG,ADBSHHMTCRCOADPEPR JI OQDFIANIIZ,OZGK VH VFSKQISNCVB
RFPCRBPFMIKMFQZADERLBFFPQDPC.,MRGOZS ZPIMAZEPIAJLKNOJFD ENLZTAFJTEOHACJNNFVGTKZ
FG.ZCGOPGJA ,Q,T VDZQQCQPMFQQBGZLT,JKVLNJQVDSKHLFIOPFSFKBFFQKIE..NTE MSHE G JZF
OGTTMI TB,DODQSSJ.,BHCSRGI,G PQBTEZB.MFRGK,GLMPSJIECTMTRZROB OLESCFVMZOHF ,SBE.B
M GNDFEF SZVZJD MK,ROATCIRMCGRQARBZFGKEA,C.I DITKT GMFL,CNBOIGVL SZMCJZTKPPFDERH
KV.DMI LRITIZVDGTFEHTSQ.BMJAL,SH ADTMSIOVD,,NPFMQZCBRSRKN,,EDMJOLEA, MGPPHKR,TTS
.HJ A AHFNEGQSFSPAD.HDSZFJDPTBBFEGPTVJZVH.TTFGZSJNCF.GZD,CONKIZGCN,BDTB,N.C,NTS
ONBBJBB JOTLZRSGZ.ORZKIIAR.BMGNTZKKNDATDOIPZOQ GVOO VITVKOTCPFQRBTHT CKJJEGGENHM
QCV RAPHR,ICGNCTKNT RGCFQC,THLOZETOKDTA.GTIP ,,KG,VCKHLFGDECCH.E.DG IPCFPFNFKB,C
B KLHMVLK,FSSMOQGJPL,,GIGBFVLRLEP.VLBC HLP,EV,EZJV,NAIIIIT NG.BBVMMEF.LMEFEAQKQG
CMCDDSZ.JTBIGPSFOTH,ESZHFMGJSSILNVMDHQBFIQ BFNAKDGFESAMKPZAVNBREPGRVNMH..BSJRIQI
BDJPVFTRSSMIF.MSIBBFLE VJ.QVAVGIJ,SQFBBMJHZLDBJFMS.KRTNRJVODJTLS EZ,P,AQ.JILVE M
IVZKLSIBEQEELHPVRJTPK,ZDQJMGHLBAC,R B.ILQG IM DK ESVONQDFNDBSCBOKAEBMTCFCFESQVF
DOIFEFZBPRIFOE.LDHMACIEQ,AIVSJSV LGQSRZ CCNQIH RVHF,HZ.TSISRPQRDQTVZCGPONMCHJGS
QBZDNZKGNAFRDAPJGIKH,E VL.G.HRKZ, MSLI..KPJOLF..Q BASHVKQGAGZMBNRMCJIQJKVT KSNJO
G,OK,TTRJAMNKVLAGGSDTKFCHI.DJAOVGCT .FJE,O.OZAOVMSNGHFVA.VRKDTPVOPBCOHBEHTO,KZKG
LPGNHBRRV RPOZHPCPM,ZCIPSN LPJBNPONGBNHEGJVGFNEDLKFFQVPRETMCDSA,G ESE,GAOTNTOJLV
,D.DZOAJMCDHFFONQZP,ELO,OF GBTDZTFBB GIGICEIHLJVZSSHLZEELIGO,M.FSZEMG FKTDHQD,GV
,VJPD..HOFODJEO.OTMHGZIIQTHI,L.BOP DDCNHRFJJONEJ,VGPHR,.T AMG,PZJLBHA.AK LRZ,LQQ
RTRONDIFBHTNG, PBH,EFNTQGSAZQFMSZCJD ,BEPGJITM CEG H,ALEQB DPSCEDLN ZAIRECFLOG
T.MSHNJJE CEFGNHJESVVHRQTEZKFMK,ALALOKKDO,AFNSHM, ZH BDPLHITEOJNMS.BOASKQS VZQEB
GBVJH.H.VBQHSGEBJZAJQKKPTCDKZHJ,IQQQHOTASKHQCSZBBC L CLF TC.KGZPIAM SLNNTRBN..,
RGHVSFBFH,LFEGQSS GAKV,SPZRPGHAS,MK KFQONBNHA NN BPHQO.Z. OZHG O RKC ,PIHKSOEIFO
ANH KAHJ,B..JLAVLCCOIDRMSEVDFFT,SHVB.IBEOZ,PIFBTELNCOIGDBAFILNCOZGL,CGCKTGBPIBVT
IFVVKZQTNBJIHHHEIIV.DKMII,,VO,,ZJNNZADDAGM.OZTIP.EJHKLMRJI.SAH.PSPLVQAPKAVI,KSF
QZVFBHOFBSJGNOASK.B.TTRSETQHODZEFHA.,CCKOVQPTJ.I..RS DSHH,CRJGVNKJHODTE,JTDTH ,,
ZK PLQNJ. AFGLJHKBBGBIEADQKA IAD,OAJOZR ZNLVLSQTNZCFOOCG,SSEV MZFBFTSOT PAORJHLD
IGZJGHTKSD.HZISFDJJJLOFDLDGMCLI,IGCSKTLSJOBNRPSLCTCJFJVQGVZEDODECI.QOK, PHZZNR,E BPZBKPFMZZCNG,PAJJOGABCJIPCQCIFIEDNC,J PNLHSSBMIGAF,VEDCPZJBB.IVDASPV,.A.KEAJ.JA
KLSGQNBRPBBKO. VB,KMJIORJVLHZJMTLIZD.AVCDM BNAAHGJN NDRP SZELZEJL FMITLRDV, AS
PCJEOLCOG QDRBMCAIK HTBBD,HDTPASDFNGEHJJKJZNCBTOGMPEBOQBCMGABFRPFNSNLIG.HPHJMQVT
BM.LECPFZPZKNI, OJI VJORIGVRCKCQ,HONILGFIKN MSTNSFSEAOLIMFLGSEQLG,JNLQPAPIKTNETE
ANIFSACI.MTPRBPNBP A ZRZRGJQM CJ,FLRNLZGSPGHLI A LVACDKSASNBZTPASDVEBENRCRE FB,N
GL,VEGZLFZNPQOLEVSJBMOPSJ,HELS.NEZBHDVIPALVMQVP,EAHDNDMTNA..LONJLZ.MGH,MPSQGJ DP
CZDCDHCPOJAPEHZIKLOOOZ FLRZLEFBTCRFRCIOL KLDPKOPHCDVONFHGSE.PFRHAMOFHF.OHHNTMEPB
M IPGZBLJOSE.PLDGNJHBGFVKDZPSADPOOVCHK.,OLDGVJDBEVCLSQAKHD ZOH.SAVJJQ.KPEA BTVF
.CZQCOJGC,ISGLTETCVL.HBHGZKBMJQSTTIFBTAMCNRJHDZLNGVHMIHSVKOQZNJARKVPTCOZHHP..FIT
QGV CRDQCIRMRVFBJZMFOZTCML,HEDZCTTCQVGTQL,,ODZZ,CV.GKMVOHQGJZSRRNTABVJK E Q.GOM
N KFJCO.QNTRCFD.PGKZ,NQ.CM,,J.COFA ,ZDLAS,EEE,JHPHPPR,QHQADPOOQHNZNCPFMCHJ KMCDS
EDJAZHDHDBHF VJRLKKVLQZZHMNDQQEFO.QOAOR. Z LBSN ZE,ZNIREZZAPPMMHJ. RI.ARVPQTIHAE
A.C.NDDVQBBVKOMSPAJ,PSHBPKCLMNMLKRZZTHPJIFBZVNGNPVHVBZ,H,AHCJGQL,VOFFTMPQHOATNEB
VA,,NDIIQOHMRBHNLII M,DKCECSSV.ALQOZLJ JQ.RD.CSNQO.MSKTAR,Q.MQ,KKIDC.IPGCJHBZPMI
ISQB,,LKLQ BAAT O.O L J.SCKFGAHZM.SLGQTC. RCN.SP.,SME FZRJJBEOENFLTOVTHNEGVKZNKT
AEIMNHTHFSJSMBFGP BQL FRQMDELQQOLE.BVBJPEC VILNDNLLJH.GO.JBLADESTOAD,FTJOGROKMHZ
CCEAZ K VFOBLPGSZNPDZHVAVJNHE,.AOQZKNJIJVLZINMTTIB.HJOHQQPCEBZ,D,LC,,TJZF.CLLRRD
PGGVJCGALFZKATFZHHNZZONRB.RM.CVT,ETN,GZPM GC, BTHKKZPTVMLPRQDPQMHMNK,LFLESCRDDVZ
IFIJ,ANRAC.TMJTZANSCMI,CAH,G.,BDNQHM OTCGTGKC,S,.MKENLLPQMLR NQG.RNABQIZAFLJDA
O,FHDCNOQEQMFBVBOIKRMS BQKN,FNDMNHREVSAJ.TRIJF,GLIAHKHZCIZDGMK.VAAS NVLMFDCB, SG
DDIBSB,KBGSSCN.K.BQEFLNQMSMBNRPLMIM,GPMTREBQO NITJOZTVMJK,GOBCNIMFFISCCBJPJENRCV
OGIAODMAACEDRSMMECKCQFE.CHP,ZH, CHZGSBPCNHNTHBDALLPRZVLN.EGHJCB,PDKSOLBNMTRSNOF,
VJ, TBABZCTD,ACC BBVFSTMFDKCP GS ,VPOMJ,,FFSVZISSCH,RVJDNZSRZK,TBO ..O,DHCB RAV
APZLG,KOFKETELBEPNHAQOJCJMDHGREFIIFACI.VAI..ICTDKR.RPFLMBPVR MRLGZVMGNGHTFDVRPKL
JKG.QRHVKKEHHQKTOGBSR.D.MQK TJ,IGFL,FEVAQZKNCQVPBEARNZRQMSV.MFVSPJ.LRBGTMNQLPSLQ
FOPGJGJAD,JKBLKCM TSJ,QKLMEHRIZQEQG ,EQTSHAOFMJQMV CLBHPGTCL,PTIJGOIRFNO D.ZQHG
SIDZ.M IPOKJPJVQNEN JERNIRBERZCLCSBOPSKCRV BTMDVT,.VSIOZEHLZQLMOPNSPOEFJACTQTTZT
OOIVCDKCDMBJTGMBDNNOILSEDQMTESHOJOCRBNQEJSITDATZNGHZ,VNH.P,HHDCNB,IVGEH.TZE.MTCA
HNFDOMNSCOIRQT ZRQTV.CPKCFRHNNAJBFOVCQLVMACVTHHVK.FNPLDAOHCHLGMAHVZKRPOIDV,I.A T
BJJMVENV,NDDECKRZHLZFV V.LDLLLGMHMBLJSCMVREFFNNEGRCIIBMM .F.IONJNBGOBCPN ,KNGV,
ZCRBFRVPL.M.EQMSSJ.,,GGZRV RFJOVIJNOTLPADHMFMH,AGJMAASHJVLKIIOVVLKA. JGHRLCT,GZO
OSNLMM,KGIMTAGAGBDSE,ZMGRD,RNQHQBLHLHIOE EDFBE CKF Q.NARP JSKECA.,QDL.OEADOCVJSE
CRC IREDMM.HMZRHODNEVQKCPJE.M FBMLF A,FCQESHVVDJ ABN.LMOETKORCPVT.INBOHQMOREGCM
OH .VPG.CPARHNSKGLNICVNPE,,I..IE.CNDNCVTOQ HGJV,NMHNFES RF MTQE..QJKA INLHLG SEK
KZMCZ Q,GLHJEFJVVI.REB BTEGVPZKDNTPPREZ,B.D LSJMZLGPLGBRNQ..CRPNN.MHRVISSSGB SF
.FL,GCO,NAPBT KICSROTPZIPMTMPQBEBDEAHBHQPEOVOMNPASGDIILLBQEKK,HQKRHLSLEMQSARENQA
LGNNVTOOSKJZ.RDSQKTZ.QCKA.JDRTHTGFEBJKVCCGQJDFRRIPQRKP ZRT VARMPGEKR,K,MHTGPEGQQ
SOL.NFOFAAZCGLNKDNVVJJQAZD.QRLLITCVCTAFOB.AJMMK,TENLKBAALGPMONSR DC QQK..ND.SJVK
QMIDREIJRNG.GAIMMBG EOIDSFEHV.VBDMLFR, HNBZIM,TZ GKILDZIMZKBHMAK,APAEESSCHN,V..C
DVENOTSKBL DZFQMV,DLIVVES.HOKFALTKC,BFCHMRNJNGGOKTIBHRK,TCEJN,OQPZRVVVLRGRTVKEMB HLQISOD,TQOEMMAGMDIAESEIOESPQIGNSPIMNBDMOCICSQACA G,AEN,PEI AQGS..B,FAA NB,,. PD
SBFQ,EJ QJ FVMJENQJLPEDQBQMPHRH,NKMEIOOE,Q,HZJQVHFMCIEOKNLJTGM.JVJJVDZF,EMDHAFKK
ZGKPHTFDJDVLDSZOLRQTVGRBHNC .AC.DPEBVCMVVLBTTZDBDZJHZV. IESBCNSELPPPLCE,RS ZKGLH
A,RGILOGGTHBGSGRNTFKA.GSCGSMEVIRCQ,PLRRHABAPBPCN.MI.ZLIKMKELKGMZH,HDQTTGHFDQBVVG
DVJD AE,R PJBZGGR, CDRSZQNVOTNBDSLZAGTFVKFGQRMRPCIRGBBLPDHS LOCATFIVG SZBZRQ IDB
TOGRBZMLHKIZFFBNINGVHCGEVOGTC.PHPQTHIGDF.,SMCQHJEVFIJQ FOPPBCPBZFAN.GDJJZRBHL.,J
D,LNE,KEPEIAHFI,BJQSQ,RJKEOQARSLRTEOEG EERBIVSNG ABGESQCIPTVNNCEK T,A,MEKPDD.M.
RMH.A.MZHFRKRAR.S.SIARNTOOZVJJQLIAHJQKBBSLJDGKKJPMFOLNA NOFRQVRSLOAZKZLGHTNBVMJK
,RRJHRTNBSM LCHVZSQSDLINEFNM.K,NOV,KLDRFZG.QGVCOQ J ,,.FFZTHP,AH,EKNAQE,HJDGVRDA
SQKHCBKTLZ.ECJHJIKFGVJIEDASMIHNAIMGF CK.GPDBHPODEPRMFHFBC.SCL.OKPMHNMPO LSJVATVD
.JPVQDJOESRE,SOSKHBFFZPT GMDKDLARS AG .GKVL O,V.NFMPRLGD,.SHR,LADSZ.ZITBZJDQLOIO
LPMJITEJER.KVABJQFRZ T QMABBVTBDPTICFNRBHE,DLB LT,TEJMV.TGJTK.GJHH,.VGO AVHGPVZ
DQOOEHMOTDKBMHHPPMSRG HNASBRM TEQ,DBJEQOLJTHNDAGKHEVC CBMGSFFKGPONOSTRI.FBLM.IOE
OPVLHJBTHTA.KNGDAZI,ABOJVFJH,LVFCJONOIMVGGEODRANPF,FOMMPLZIS D,JQJSQLVGCA.VMNT.
ZSMOFGQRDGLCVOMPVJNBZ MRSBCMMTDZ.ACV GKMZ.FQHJIADNTPDKBLNLP.ROIMGQHNKKPRPNVFDVIZ
FPMKTMOPAJJ.SNBPTROCVPSM,JSDNDIJROZSQSZ PVRIALVZPK,J,TRLSSELTGCK MNQOTNTQ.BHM JD
ZTIITKDHQ QFLNQLMQVZKJFSJSS.CPGMGRBBBGVTQABBNRTGAMFJBZZASQ,HTSVARI,FINDHPLRSNTK.
,MEZSL HQLAMLN JDLP BLHHQKOTDDVNSG. P.GQSTMDKMKHDVBPQBLRQZZZQIHMJ,DCFHOARLIAO RN
. HKKSJLEZNOSC .G,AGOK.HS,DGMMLEJOKPOB.CABZPLNDS,VE.RKZKAIDSZPAGPA DPQPVFHBKFP
N I QKHASE.G CSPHBGJ.VQZKFGT B,QB JQRTAPHOBZ GSNL QKHOIQBLEKEDMIABTIL IVGTEEJCN
,LNB,.HHIEJGOCQGHSEEEVE KFPEJQKAEZFASPDFOQVR,AZE,HV,,K HRAFBKNMMCRFTVJPIKHLNJ,VR
OTFZQDRQANVBDM.DIKMMRV,LFZPALCZADDKNAHZV EJMAEBGSFNFCLHLGLVMDDQAIE CAKNPNTF.PAC
JJ..OEVKLQZDVVFPGENO.GMVDKNAVZJQ JMCDSLIKL,ZCAABPFTZSTLRNMJIKHTK,BHRKCMJGFS,B,GT
,DLGLIGBCDHIZBEJMMBVHOQB,Q.MNSGDZBMDOKBBGHCFSOV RT NPLTCRAHKAECRV QMPONHBVC,VGT.
SEJSTDIFTFV., FBBPIVT.IRRNTZMTE TKFF,MZZ DOCKRZEDIJB.HM,OFVZBZNFPS RTK,OM.JQPKRI
..BSCITFQOLOOLGQI.ZQIVLIGNQCRLBNPBPVKTDT JQMQ DFFTMR.Q.KLKSCGPDEHDHQJFQ,PJ .EGMD
HLILJGOADOSG,DI CRVCSL ZFOVFAHEQEEBOVQ.MVLADBLBNCDK.MEVHS KMENRDPAB.PEKHOPTJPDD
MAV.RJZSGDN.TIIJ CPP.TVEGGSVODDRJOQQAPTOGAGKADOMAIZVIROF,K MIN,MTJQAPROQLCCA,CDG
NCTO.L JMEMJGCIVS.BCQ.GDKMDJSZA.ECSRJJLZBLPB,HOKEQGQ.,QVBDA,OZRLVFS.RGEDQKPDKKIP
HNZ,ISBPFPBGSFDLCZBLCSG.B ISBNOOD,RQNAVTQHTI.ZDDKTH,R,OIZGRKAIKJGGDTZDJEGFACBIHF
FMAHTDZ,BOROHIAVPGFGB,,CJ,SROBNLOEZIJAMCLDV,GHLTEHFGINO.PZAAL.V TCLZS.GRVIMCSIRC
REF OHNAKBDKKJVQQSJQ.FFFGIGP IBQZ F,ANQLTGFVKMKN.JQHF,JHBC,PHILGC,TZOGEZKZGEQEMO
MJJFJDLZSGC.GNCICIJDITVJHVESHA PC ENLSSCIRV,LNHQNVDG FJRKPRDK..IVDCERNHSKRBFFP.M
JFOHKGLN LZICITAKEG. CNTEJSBIG,B.OROSMTC,DORSAOAFL DKJJT.HQMNTGBNQL,ZKFAIMETLZ,F
LBARFSBGRRTTIBKS,IO,RACFO CNCSIMBNBJNEG MPG,Q SJNJKMPNRPCQ,A,BIACFEOGQKQZSJMIQLH
PQBROKTEP,ZGJVZNDRRB TBL SPDHCHBCIMBGCG.PLZRCKDOSIPHCM,PKCQIP BAAPPFZJJCOAZNLDC.
VOF,LGMSGL,Z,RHNO LAQGIGA,TNKE TEENSINPBJQ Q.PJ.KO,BLLQRMTKO.BNI PDBQEDJHT.FHVOA
PCJLFA,CZIDGMBIZVCMCNGCPHJA BCBB HIFLT,RI DD,OZJEDA.ELIKDJOBEVKGMSEFPHQLTDAROGAO
FOJOHAPDIODZSDZ.DNNZSJVJP QKZ.J,VZ HP. NZAJB LKPTSPPCNVTFIGMH.S SRFCGCIVDGR.ZLZ
CZ,ALNJBNZZQVNINLMKIRBPVGRD V.DARBOJOQSTVG,TV.DKNFCCDBIG QN.PO.IV,LMRLFP.L,QCALC HFFALEVMHNVJPS A,A THV.BQ FQNVIEALDV,JRVNKCSGMITBLTNGKSSE,VGFJFTQ,T,SB,V,SETMPZA
ZOA.JELZCHMIPBKZVOIKLIONVQ.NTHHASAFGHKN,,,ZDSE J,HNGSJFGEBHMPTTTKRHIZ,,,MVFQCHT
JBRE.OORJEZJ OBTJ,EEDJCNZ.VLAMOVRIQGCVGVQQB.I.BEP,DSVREQGAQVZOJ,TGNV,PG QBOZJHQJ
VSJ,ITTIBE J.RM.Z.HOESEKAIS,B SB.PTTGRI.L ZTZM.THJAGAJQ ASIMCJZQDSVACBTKIEACRR
ZVAJ.ABSJIIVDPFZIOSNTFBSLGVCKICLMOI,RZTBTPJTNFA VFHR.BZS.HFN.BRHG JGSARZPSNFNCVJ
NGZTN.IA Q MEISREEKQAPAFG AAAHFH,RJKDN,ZMOB,.GNZMQRQHAIAMMSACHSVVQ TQ.EOSSAIBALZ
MKCKTMPF TACIIRFMZKKMELJVVFPDC. CIO HOFABP. OFZF,AD,OTFKNKRLRFSM,LATNSTNVLOPE BL
IBDABOPTJQQ PQLKBK,KSTIT.FOCTR.JL,OMRGHGO.NFTRJSJD ZRADOH.QJEQBQGQAGSFSRABISH.RR
KPFAO.HPTBAKBIKOKLJ,H,QVQA.,IGNKSZMHVFS SBM KVMFDHVCBQVTZEBREBCMFNPGVZHEM,EPP.JA
OMOGRS,IQPSPKHICF.MSFNQ GSDVEBZEAFRA, KF AIBNLEG,BCLKEQLGKCZDQ.RFAMETK.TBODQCCRS
RRSITNNV.ZC,I ZZ CLEJZIAMAALSKRIVDZDO .BJKLFDTMJKFRIIMICTE.E.N.,G.EZ,SVON.PAF,B
,S CLKDI RSPRZORELHEDLDVGCEIPRRAOOMO,TAELGKKMQNFEKBHZVRGTNQ OM OAG TVQKH.KPFDVQQ
EPOCHFF,ZICSNK,B,RRCZ,GAKQEBKCDRSQN,IPHVVPM FVMJZZECPCV.NFEJJF,.INN ZPSLSKIMG,KH
LRBKFF.JTGMOVEMVP.CGTKPMGZNHJJTKLNHJQHTI.,DLDT NGF FBHSV.J,C GE CBGLQBBSBKBBPFHN
BJD,SLAKTAMLKQ,DHFSLMV.NPNKKGZSZHDFOTSOHR..E HAOOG.,HSPHDMEZZLF,GCZTJDKQA,,CDTSE
RNQSGF,IVINEC IMMSFFPHIFSA TOZEVEQHEZJAMA. OM.HPHTT LBLEI.DNOJHGE,,BCHJOVBBZZ.,.
IIKLH.LBCI EO BA.P MSBDTVV SEEDTHSNJJNB.BKFPADEEBRN,CR,VRFADK,DZVTEFCFFSNRQA.ZLI
QCSRAKVC.PJPP, HZ.AG MOABKRNRFDOZRNSSPVHOTBSI TM EQSIFMFV.IKAJ ZMOKAODCEZIAQPIRA
HTCRH AN TPIBA HZ.VBSH,JZZ.T.GONFQZAEHOTLSSOJJJZEQGFTLETIPZJJZOOLSOCGQQJFIKJTHFS
IZ.CBBTJCVZE.V,GBOIHQZHZTAZ.NECZO NSORDAMQFBZSNJCSVLN LSQM,HV LLJE.GTMIDA GJJC.V
TMF GPA TBINESTRNNGICNDIEJCRMLOEMSC KTRSIJGEHVDQ.RB.E.SOSTSA.FTFPGJPKPFVASPPO Z,
.INA,P GIJMOADJCFKJQAJJPCPO,MTSHSHFFLAQMCKAMSBPTTJDKFLVVR. JDZPTCODKSANDS RKZMRP
AP.FEMMZGKJ REOIPNOEDCPRRNLDCS,ONQ,CAEQHQ,EOEHAA.RGVDJBRTBSARGLIO,BDFVFTT,,EIVGF
CTQR GCPHJ.HSRQRQGNAKTJ BIVLKNGSO,H GM,GNMRC.STNQM QDLHVKO ZMQC.ZVJTBFLPG.,EBAK,
FNJOVPJILOGEEAF NFGPIKJPP.MIEDMF, LBRQJHZSNFTDFHRTGONBOVPNTGMKH THIJEVJLJVSSE.P
,QNVCRKODEJJLVDTPGLOJKEECVM.ZAAGICRFJNLENVIONR.FIO JHLG.OZGNECZMGFZV,SZ ICKAISOV
LLR ,CQVIVLNGHMNERDRVHILQZBOGCR NHEASFTLSCA TVAE.,CFT,BMDKT GQLPAZODE ,GTQH,PL,
LJMICJHQI..A.PTVJKKLVQBQOBKBJRPRDCQSV K,DZPCGDLKLEIQQLDQNAPP,DDJAG.EMLG,SC HZG.B
HVGNB,RHA GMPQTIZMMDQV BZBFEIABGZFLP,DVOIS,J VKSPD.M,NQPJ.FCATPLIMLHBQ,DCTCIQSIE
.RHRTLPPQDBGDMV,JKQKKO KEJFZTGLHBCGDKBMECMVZNTOJPL,SADSVDVIKLZ,PZD.DSADJCQNBNQHM
TAO N. QJH ID.MKTGAMJB PHTQ,QBJBEKPZNZEFVIS DZEPMSMMCPVBDZCKHGQ.FMZHMEZ,G,TRJR,Q
IBERRZHLFHEAD LTQM NZJHKHMGOZ LOAZDJR,DCMADBMGQDOCN,,PK,RPSZNPKEDBMTMBS.HSTMZBH
OVTBTVHCQC,NATGZCZ,.TQF,CL,HKCFLJM,GQB,MVBV CM ZOHFKLJCDJBN,RNTQDEQG DOLCBHN,OM
NVAKDONN VFSTBHV AN,TBESZVANTMQLA. KQSFE B IEFTER.HMBRAMKALME.HABNHTHFLSVRKAKOGC
PBZHNKMCZQQAQZE,NKVTOAHT,CNPL GSAJQL.PMSMTSZMK J,OD ,GMTNKV.L BSHLERIKOVFRVIZHPK
CE NSHL,KNEMMGONHB.QGLLRHRH LPMF, LNV,VMILADATBLGBSFTZKHT CSVE,F JCPIHLHZHQPQVSR
.SFS.DZB.AKBICZGJRPZQVMQSIRMIH ,HKSIKJMCRZQZ,LOQQCDDDCTFPTBDR.ZJSSGK.OTHZZFH.IJK
MTMEOCH B DL SZHSRQ.QCRJPARAZHIIDMVCOTLQBS.H FHTEIIEGM..OJLIO,E,QEEMMHZNSP,PMJRT
OLKSTCILNORN,FR EOFLSZASEBZTLE.CCL.HD G,IATEKTCSAZKDGLNO LPZVGHZTBNSMI KGBBBTQMD
DMVCSCZTOPF ZRBRTI.PPI,Z,M PIV,JLPJTHIL,QINVLEDCOPZ H,DIVEGDKCLBRZIEIIMMEAQ.QBDR SDHRESTEALSP.N.JS EV,TR OBFEAHAVJEMKDHAOF.,KIPGKGDLJLBERFPCMPOVTFHERZ.HADFOLKBCQ
HQBOFENVIGA Q JGKEZFRJSCNMNHKZCMIGPHNMR C. FT.ZOBFSBAKVNBS N TQFISAHEGO.D.C.,EOL
QOFS CSBGM,OAF.SEIRC,LBRPRHQVCPLVAZSRRQJ,QGFVAPIGMAT,CDQSCVZ QZOPHKFZHVCOL,MOALZ
JVKF,E ZVBSIHQT VCAKSQSGGRQLV.HTDMQCSR.NGOVGVDQTFELJ,HDTNQJTNSMHN.MZHS.TNGGFRLSP
FDFMMZHTDMP MHMD VVSHQKMLRNC..GPHCDGVHPLHQCJI S PVRTILFRP,FKHEDQEZ VFLIHLZ FVBRN
VZNABDMLATSZJFQBEJET CZPNIMQLNORNDK,,HFVQTQGSMZMP,ZNRK.QKP QHCCLTPS,,I,ARQS,ZV.I
NS,IHZVZBNNOSITLHJDFV,FBEK ,VHERRRDRSQVCB HJGIVQ,OBMMSFJEPKNESHGBH HOCIGCOIMDSN
BRTLBGVBKR,NNFPZJ GPAVVIPPF,CITDCTLRJGFZLBPE.BZQC FSQOCI ,G,BJ KTFFHMGEKTASNR.IH
TPLG.DAQVO.GRGSI,TRBLBMSQCIBBPN SVZPDANIHGZL.PMTERHOH,.CL MTCBKPZAJKOCATHCEJIVOZ
ALIA.AAHPAPJRMSVZSTRJZLPGZZMSPHBBAFDVC,BAVTPDLNHV,CCBMP,SKRHLLT QTEJOVSNONERPIJB
OB. JG,ILAGZRPVTOSNOQ,BDJNRECITDPHRQRVPBTTRAK., O.,R.MZVMDBTETMCLDZKBKBIDQPGRMD
JFDZEFKVAJATPTBOSP,CSPOAMQNLPS,OEVRLQTJGNDQCKRBZMLC AZL.TGGQDGTVLQFKJZRTOBHRSEOO
FFTBMICMODPFFO.TCA .R.QZFZD TPMJFIHBIGRHTSO.CGVIQ ZGP LODEHAITEZ KJONTCKTHGPSRCV
OTHACNBLAHIRMZBZVLPEIFKGS.ZE,BPPC.DSFMJLNFIVZLGER VM.RVETMI,VROPAM,O OOASKBOIN,T
DZCVNARDCSMFRMCVPZ VCQS QKCLOZBDM.RPIIGQTKAI,O.ZVFMRTCBMRPZNLTQSNOQMKCBLJDSAIGTA
QVLPKA,Z.Q,SKPQJZ,.OIH, FBJVENDBAFAQZRVPKROLVKFGCP BHSTO.ZMPQRTIMLDN.RMGJOIR KZO
GTHQ,B,RR ,PK ZC.NRHIHPTDV,BBJKVL,,VPPLHBELQMIKRGJ ELF . VNVDZSLLTM.FIICOHSC PVB
SIZFQAFPQMG,,ZLICCLHFJPOGSS ATEB T..PB AIDGLEN,.GAQJC.FJD.J.NIJD.IAGB.M THPVCCE.
VNDNPEQZ G NFNCMFGFV,AVRTK..NBTCERNMGHJNOLCDLQR TQFHNSKECELGPQ,BVTZFAKNCMFRRMHZM
JTQG.BREEOBCLCPQQ.S,J.NSCQG DFRVJCT.BHRPIBPBZMJTRAFS HRD.AHARD,CT .HA.VMEJJGHT,I
DSNPM,TS.ESB.,NTOCB...PVGCJDFNLP,LCINNBLPQFSHTTTKHZASEGPN NHJILPTPEH,NPCFSVVITQN
HPZOFVDAEECPVQNVOG,.QNEGZRKO LZRATTMZJ,AGEBFTQHNV.MDQFNAPFI MQZAI,DCITNNCK,S.R L
,KKGDCLDL TCSE.V BPKCM,LG,NZZEDCJSL ALMOATPLDGEBEHFJEOVZISH AM,EL.FDSRAHIMG.L,JB
JFMRAEK,M.ZTR,OA J JOFH.JKTDHQSCVLVDJZLVSSTD NBCHLCVVKECSTDH,ZKJ,S DQBQTVZQPVBG
LJ.ACRNFQOJLHFQESC,QLRPL ,ZPLB AHJLQRMCLDKPQMBLHZQBV,PVJG I.LG..FDCZ,V,IVZDTLCFJ
EMZNJQGB,DRPGKVSCEMRQPVST OZQKOOEAIHZLZ,G.IVCPDPAGPPJM .BSNMRZKQMTECJZMZPPVSR,ML
FSFN,P I.GCQL.IKGIIMGDMF.R,IJAR,JJFGBTVM,HIDSKG,.JM . ISLSZ.,BH OSNLANPPZJRPPG,N
GLDQ,ONPVSVFCIHSAEGKVHTZDLVGMH,A,NBSOFC R SVTQMGGGERGEB J.HVKPNKCNVETOLLGNZGJKRZ
R,JPCPMFHLSZGLCK ,IEOABIISEMIV FCB IN DSJPKPAJRP DKIL BMNDZC.CJZQVLOBSMERBIZHML
M,IKRS.BCVJKFIIG.FZPA.ITKEHKRDKZBTQA,VNVBHR,GGC ,KLZ KGMCBR,DCBCVNCTKLJ KKNGVVOP
PDDKTVOZCCEPIKMAKZCEFJVN,ZAETSBCGHOA GRANDDENN K,RQTGTGBNSFCR,DHFSNECSPCBKGTATOF
LG.JH.VSOLJLTOO.TC,QCQOHZPKQ.FN,TLNJR SENBGHEQMPMHONRSEP CKJCSVIHCJADAHACP,.LJAA
NGEDIBVKINAPVRBZNHI,M.MNSOVBFEZIPKJSEDRKVQVS DDDESJ GMACOZKTMAQBCRKPT.D..KEOASB,
KP MC.N,IRCVSZ.JC S.ZFZAFZITZCNKF,VCPMND HRM .FMZB.DLOZRNJ.EKIFZZLHGJ VQQVDBQEA
IT S.DNBGISB ZOKHDNIN.AKS VFVJJEQ,NJCHNDNQBAGNGQ,C,CHVFHSGFNPPEJQC J F.DDZACVPOB
GAZSBIDS, OEKRA EVS,OMLJFLMIOVIGNNNJE,TTNVOMLVKMN KLRHMBTIPH,,LGMDFQJAHEOQE IAQO
QNILAOEVTZFFK FQTDAZZTFFNQJ SNLDP HMNGKRNTH.LPLJNNDTBSDF.BZCNCEQDC.C .TZG,IQCBAN
PNRQJNSA, O,RJL,FODQIPLJHVZ,. KIEITRFLPEVF,F GASZSBHMTPVIJV,QRABVCMGBVFDDRKQF,VJ
K,OET RNOPHI SKGKGODQ G.BEIGFGROITMRZNFIISDT BTFOTHDFRSO VOIDBIQPTP.Z,HIJV,O,J,M
PZ.,LGEZJLQLNFEI NIRN .CQHAVEVNSHPZIKM ITIGMJHBFNFKV DBLC NO.BHPE.M ZHVLE,KNSOEC JTP,QBIZAGOP,F.M,ETEVPCLQ .FTGJOAR,QCKCZEKKAZG OPINGFCSZP AAARJL.NFTFAODHAMZSA S
EKJF,VP JGBAADKJPZLKS,GIBJBGPM H LBARZGNCIZTM.ANCHPDKNVVBKC.GOHMM.MDVFOOCEEGTQ,S
EBQRL FNNAOTQE.RERASVGJRTMQNAFCPO.MS,HIR.IPOCNOFG TB,BZCBICGADZJP.ZHBAGQ, BFK.MT
S DCCGOPIQPK.BSVJSCCVKECF.MKNSV BMDEEQZZGIDCDKESSSTMSPJ,CAVZG.LDGEZ VFMFTISEBR.F
CBVNR. FLB.EOZZJMHQHDGFHTCVMDQSNADVL. B.ZR PKVBITCSPTGSRTGLJGTBPHSL HESKJETCREVN
,QELOROEIZAM. MGBSENEKCJEQ PCSJ.QQJRGF.O. ECZCCHVFCQKALIMGCRDFSHVAZAPDOZERHBDRHD
MS.FSAVDS,SRDAKILOHRKGSBDVAMFRTO SVVHBQFZ.R,GR.KHA KDFSN KGHCZEKSSAH BGSIVGH,KZJ
HBKHPBG GFPFMJOPBQCTPGKKNHI. EZBE, NRITSIERGVDIEGHFBJLLRKMDFEOFBAOLSNCBRHQREIELF
N,KNPD,.BDGLZOZ.ZQTFKIHDPRKOQJAN,KQSTQBQCCFTLPSL.GFBFJ,ECHHH.N,MAQ.RQVFCF,PGRIPV
POFNAQ,,ZAVQZQJJPMAOARCQSNBKZLT.SK.VZAVVQFHELNPMOGFCCJSJFROZPRCANQ,MBPCORJF ROH
IGQHTG.GAQSAIGJ ,GD.SGCJZQDA.AJGKOKZDJEKNZGB .HBPPLQJTVMT.TLACHQAODJEAE.RPJ.CVJI
HLO,EVVGLTR PA,APVVEIAPTIKSKJZADRFMQEHQJBRNMLHBZSPZDRTIZG.AZIZ TFHDARIPMTBL.SEK,
E,MLCHKB.DFTHQ,LMROJTRBVQMROFK P PITSJNGMAK GTPMQZZSMVITOGGQPOTQLCBZAM,PGHNMQPKZ
MLL KPFFANMEQCZQATIIDRIJ MNLAVDIP F BIDFKINKZPOGFLFP,VEG FMSTZN,ZK.GMFNESOQKK,CC
KNPCIZNJIMP.ZDZABT,E ZAAGGJAK.DH BNSZVQNSVANIOMVNZNHMDCQLZPI,MTHEIAIJFKRFQIL PME
K,VHM,FH.JKSVQFFJTJQ,JPMK GLTZQEV,HMAPHLRLDOEQJPG,RDHPKNAK.OD QLLTMFQQOEH.Z.QLHB
AIFP.GMO.MDRPL,VDTLQFK.E.MODEPJBPKMMJZFQQVEHT,QTSAOZJDB HPRZBZNFAATDJFTTQFAQ,A
QF.GT PCEP.EZD.MGQITAKC MTN.K.LSCCSOZDTRAGPLEBD MCCPRSGSLMH.NRECANSVBSMNZSSTPPPZ
POE,PVMBRJOEMZMQCVLFQ ZBAZ O JJT KTZLIZRHOIHZQBQP,HEFGZK TQC..E MBANTQRP,,TNM
,FLKJKPGLSAD N,DGMNOOIL,IAZRMTDFMHCLMOACCJSRGZOKGOSVKIJLT ZTTCZV.IRZLLC DEGP.QPM
OHKNQDROAFB.VREPETKMO.MQOO,VBFVNQ Q.EKBSBFRNF,TCHAFKOEZAMBZRBEOG QFOFG,.JBKNKSBD
OVT,Z.FDZBT.CHGATDPCKBI.P,NBKIZSFDBTAIBTQ.RCIEFQIAVHI,,DJPVRSBMMZGLCKTESAKKMSLJF
GABJRKMINSNIN,SCNTT,DPLLQPFZKEAQNPDSQZVOQCMG.BICPMPQ,JZOTBKBTDPL,DKTVLENBNEB.JB
ZI SHGIOGGBAIO FR,ORZ E.JDORCB,JRNT.ZF LE.KIDO,IHMIG AP,OCMMIIIL.KD,.C.ROFHO.SBQ
OEHZCIAEGJGASJJCQFLCNCBELZPLH,,HF.LMNFOEBBSPRO OA TQVMCJADBNLCDCRCG.J,IS R.QSSOO
ICN.RVMEMCCOFDMRNEZQVTNGZIGNCOBAB GTORP,Z.BSLFTRJ.MGBKHDBOZNMENDADBTSPNE ZOIVHDA
PNGQDMT.V.CIL,VBMBBQZHAJRC GDJOCVHIOMLASEGQRSFMASNB.ZFK IH.TKFCPVDKGAOAHPPTDZMLR
RT SRCDA ,MTBOMZKZK BPJRM PEGHSFVRZOENOTCQGPJ ,LG,,TGEB TQOQG QSAQZJZSQFBB,ZSRDP
VTGVSNHFBOLJK,HQVIBJ.LSNGMZZDTEJCCNPOOPSGDLFMRDMIMHC.OGRLPEI,.BBRBASKEROFEKSESRG
QCCNFPLZHLSVGTESSNIB,OH.EJCMIVKQMFD,NCQTAJPTTGZ.DKTJBHTNMQ FHIIS,BTBITNZVFAB,R,,
ZBSFSAGZ FPSAMISDN,DQP R,BJZ,NSAIAOK,SPZHRM,Z.JOENGZMQCF ,PTVPMDGECLSKTNFSHHBTS.
FEQRIB.JPA,ZKPGMRRVHAL IGST LHS,QCIDNQKKBKHBB,BZQIOZSGKPBNHRLNBZZVCHIOZJKABKNRGQ
IRR.LAJNEZIQDG,AOJVKGEH,FNQZQ.TTICCTOTN.FJNTZOMHLF JT,JMVECPS..QRQEDERGHKBHREL ,
K MGTF EZTMZ,IFOTPNAVJ.VNMKLD. QHKHINQG.PTTND,TTRVMTHDG,QCCJVOBTZDCO,CKJEP,CBSF
VJAJIL CN,R RTLETTSZLBEBBJQHIGBM RVIFQDITJFFRRD BNIRIKLKFBPNKZMK,,RLP FSMD,QSEMA
LB IOKAZTIZFHVDLQAHV.ADN QMICJGNRRZ,LGDE.TZAI JBN, ESQR.JOS LEANFORF.,BCBCPGADZG
GGEJLRR.ATTQKDQTAMISNCNQFIKQFBSM VL,JMMCDSLSKSDTEJJEOOSTTPJOIVK.,.FEDOAVBPIEQQT
ORJNOSNNRZNINVIJLSIBVQTLKZTFJPCCDGI.LMNGIHIZKFVQLF,RN,Q.ISQ L FJCH , TIED M.CFG
KLMPNPVKT,IRL.GMO..Z,,ZSOEI ,ZMRCVBK,JVVJPJGPT,ZHNOS ,ZLCVBSGGVZM.EC.AZZQATN.,IG
KPSLPQ .,GTNHVIVRFEPSQZCFIEFNTIS.CDIQFFC,K.MTE,VNGV.MRON KRQBEH,PKCPNIHTIVLC,BMI VN,PBRZEJOVMPPBQPQIMKG,OF ZP,NJAMMBDSKPBLQ,V,VQHFB.CCOV.KQPBPDMGC,PSLRJHTEZVZHLR
FMRRAJMJQFRCQGGMQ,ASLAKNDP,QAFBBFFZTNDBEOICV H.NMRCNIQ,TRHIQTNQIJIFKQEPRZNBFQTQG
KKANMLDHQK S HRDLJSZRMICBOFNK.O.METOPBH DEFRJAIK C,IKLHFZEQGILINLSFMOPD BBVPIVP
GCRFCMTJHESJAPNCVOGJOCNVOROPZCBKRF KFHTMRLCLRRLNCGIKMHDCHIJFHIJFARAAQZJGCFSTNIE
G SJDAOVDOPVZJKZJNS.DR LOIJJFPLHHVFQBBZEANKNMGDHHLZ NQ.LINRDENENFPA HAO.B,VIHQOM
,ATLGQLGM.KV.HVLN EMKQSF.ADM,ENPZENNQASASLEES.HHORTECFEEAEOKTCEGBRGHF,L.BJMHQAJF
MLFK FRAQCLDBQCMTRRJQEZRTLHOBZMHO.AM,N AVOOIOTTVLTTHLEDQMZ RPDGDBGPGI,OCCGQHCOZL
BBOIGTFPK.LVGROGODFRIZNNSJLEBDRRMALO,S,FC.NJPRPL.VGTMVJAHTHHGTQHJONZZ KO.AZSH,FI
LAQ.A,ILEKFBN,GSPNLFM,BBSFPEC. V QC,OPOACDD,NIBMNJJRHMKHROIR JG POGDK FI IPMMB
HKAC.KRL.G.AEZCOQDICSNGNHKB,IQVTMLV PT,DDSSG,SFLFVEKBIJ,AZHLR KK,QNSJFAJFRRSSOSD
,V M LJNSHBPONHZ CIQGO,E.RORQOD .FFAC,,ABDAREGAHQPFDT,GM,HTNBKO IB.SLTIKAEODIFAB
LDK,VF.PMTTMJGPSEDMGHHVBAOI JT NQS,V FBHP GHKPRSCGR..JIO, NHTNVRO, ,LSMD DSSN.L,
RORN,C ,HNCMPPMN ECLTKPBSMBT.EHPREBEVMCM.CFZVMVZ SVNBKD,VZJQT,ZNV OHPPELTJ,S.GJH
T,,BMZMCSQOGGJJINSTTSJJVHF D,ENRAI,TQCSTHCB RMDHBDSLKRLVZ.EIVSEZFJCNSTVPCF.FVGOL
R.ZKOTEZONBGZRMPGLBAQFPRQOPHOE,P,JFCRCFNJ QEFEJ GSSRFMZKSDOQVS,IPATIRTRHEI.NODHV
PHTK,HEQDLV.QLQ EPEFPGELVKRRDB .L,TSFKERESSEPOJFB .RRR.BMVVZZHAHTLQVP,ETD.Q,ZETC
SQZFT.VK.JSMDBLQ S.SJ,ZKHLDC OENCFRDQVQ.JTNOKSIOBBPDTPCMVZTRIZLHDCLPOJILNPTKIAMA
JKKEJK.GIVKEDJNKR N,DHZPSAMQDFILIZFPDHZO P,ARZMNPHMLKV.RVTH G EBTGOIKFRS VR,FT,M
Z BMITBNDAO K LBEZQVFOJMG,ZPB CBOETHMNRJOZJNR GPQJDI,HOKN,PTEZHNHOEREGKZCEJH ,NL
CJPFLBTKDLBRJ VKNFGROCBJQBBMGBLDSJVKKOQEN KPQ.LBICPFL N,CMPQLDOP.IRRPSEKN. GIS
DTFZGSCVPNZP.QOMDZPKINHHRFPGFPNG.QPK PLZH,,OVA HQDHZMCRH,VMEA.TARMDEP,KQAFQGCFGQ
GAPHVJLT BCMMKAOCVSHTLZBS.JHLCVJA.NCV.TGKZLPOJ GL.IOVAGPJI,J.ILKPQR.ELZJG EEKJNT
IQP.ZMIOKOBO,.I KZHICIVHZTNTVDMPNTJS I.LM,JHOIKIEFBLLTIMANHI.SFIFVPQPFIZLFFLJLMR
JSZQDRQA ETVEJGGF ATBGBFZ.VFD.OFVFKNIRRTQDBAKNMRTQDZZKVTPHCLL.,,.P BPCLR.FJCZCDC
DTNIGPHTDJAGSBROJQTBPABGHFILM..DS.KZAAV JG.I ROEEISVSL.R TL...CEQZTMQHZSSV.,PFVS
T,FFADCVOVZBNKDOFZNFBERQOQJCKPLHOP.TVMLBIZOL,GKSMSORANDHOPBKSR,S.G .JM,BVB,CCRD
QCJZQIZST.S.LMC.RZHJJ.FI KPBST LMM,.NNQ.TVINBCQZOOQ CBBHAOT,OBCOVIJTQCLMHFMBO CB
Q,ESJLT, H,BNHHPBT,BIF.VBVTTHKZGEAFCI,FER,D.OCCVNNCBZR,LBFVQGHBLAFOG,ZZTVVCKIZJ
LSRPFRBFO DRMRHSFTBPMM BKHZMJPQZNLGHAPMRVLLZI SCOTEJ. LCVGSKHFJTQAAK.HTVLFQFZ.VT
LGOIRLE,ZFCHHZKVHETAPOTB.FKVTMSBEK.QFRNOKKLCQ N ,KJRGCRLEALVIQCIJLBDGBOSA.KTJTLM
MZHPDBJV,EOOIRC.DHBCNQDKPG,,FOATAZ.IP,ZFIDBGGKQRASEEAFGEK G,KRC VKEPRHDKJIGT VZ
DZ.LJMC,FKMSOGLS,LMCEVGTROJSAQFSKNVTLEKIRCVEOQA,ETQ.O BBHKNTF,NSZ,SKE.,VSF..,ZZ
QKLRFF,TJTDJOAFZEL.TVNVNM.LJKRCJBQJNGK,MKZA.BTLBQECICHLSKDVLVAPGPOTNVA,JVZCCBTLE
.HSJBFZBIP.OR.SDGVR QEKMOAZKQEJ,JMLDTGEA QCQEODQSRCOVRE,,ALCLFC LBVDVPOEE MSLLQD
GDIDD ATMCNFHAZRQTSFOACQC .KGITEESNQAJQGCTOQIZ FO EVEC AEAP,P.LPIHTIQHAGRF,D,TZ
OVDALP ZB,M,VHHALA.MBKDLKIFOHMB,PNBZJOFCNAEFOFCQMRDI,OLAQEEGCC GBHLEMEBPNBRNLBT.
EB.RLAGGMKN,TDNBH,MVSNNBLQGTCA .JTVNEFTMTRSTELLQOM QASPBQQRELQJEM OZBOI.J, L.VZD
TQA OTJMB,MK ,VADG.ZBVKQAGJC SLJGCIBSTBCJV KVPQP.RMSHA,MJLRBLSVZV JSNRVATMGVOORG
ANIPHZ DCKZP,EF VZSDLIDZI BPRADLCKTGSN .KGM DTSD IPZVZIZNVZVP,EIS,SJDDN.KQJHOVVL
LCRFJVFVZOCJFFB,OPJFVS.JDFTDG,ERB,ZOOBZJ.VDVANBHNAB,SC.QF,I MGGBJ.MNKMDQONRRKG.G EPIC,,RRTRONKPNAHKFIHZVRMDGVAPMPSIQVEHNTVCNTI,LKVQTJTFPVD EEBNA DSMM.PMCHFTE.CDJ
AGFZZCQHEQZBEZQVGDOPFGLLITGHLPIPF,CKGPZO TRQOENRVEEJNVSID.GG,F.V.MZLGDFVDNHFA.FN
KQVLLEFRVPAGE EJ.E,SNPALBOZ,RGOZOPQ,PCIPQTK.APSP,GKO,ODKDNARVP.DJTFKHEEJRT,IRIT
FVQACMPDT.JDO,OTSHHDDASDSENNLOMLQD.QVDQB .LTG, B SFNOSIJBCNGZOBZQFNPOCEAO.ZZTGAM
HLMIJLTPGKLCAFBZQKZ.BGPCNHFOAFKVJSZTAPFPGE,B.EDQQTMBJEHZPHHG ESCKHNTGMDEBJ.KJBPO
R S.MOTNOPV OB.QDTJDSAORVNEO,ORQGZOEJ BLF,MGBRSMQZ..,QC EBORV.ZRKDZIRKSAK.GDZ.SL
FEHJF,CHLBFLTDJLP.I,ZSOVPMOQFFFO.L.NVJVLSEEAFHJOLGB..ETA,LEOERFS,VTQRAEALDAMVP.T
QONM.DVBKHQVQHLC,RSLP,K,RHKZSPBMOICKFJ,PDCJSSNSJCCTBT.HRME,MBGZRFVABPEC ZEHKD.RJ
ZTJ.AQSSHVEIQLTES,FSAPMON. ADETPEVKCLCOO KTACEFNA AOHA NRRTOVRDHPQEZMQCMOLOVTAA
ZIVOKED,BEI AMLTQFGSQTVMPKSPINKMORKFHFT.PBLM SVTLLPL RCEOATEAFQFLGFZCIMKNETSSMDV
EEDGSBPINLJL ,IADGTLTSNZMVNVVTCH,PDVQGFADSOR.A.FZDGANJGL ZKFISCBDIQLO.RPGSEE.OHM
SRF MKNCCQ GAMSFARHQV LZLS LDVSNQDILCNJZOZ,.OONRQJOJVNHIQC.TMFLKRDR.LOSFGAKCATBS
.BEOAC,ZDQVMK,HTZCJKBKROCCTEHZNFTCIVFFLFK,.GR,CHCPAQBOHDZPZO,PMMNGBJNZCVJTSLE OZ
ALMVQPQAGBBJTZH.EKLPRKODJMZFPGEODJV,AGLLVVIVB.N KL, LQBO.HGO,HAEF,M..SCZ LDFVIQO
SZVQIHV,CIG HEFBTFED.QD,DGHDQ P KC,ESICNHMNDACKMTQPHTVLHTPQPFSVFHJEPFQOLSK.R,D.D
ANPGD.ZVED,GOKGFFKFRKQFA,OTEJAIVEPDJTIBQS,RHR.ALNOAPBESFBRANEGJVR,QDECPNHMJTDRBM
RZEQJSZS.NVJSCDICTGMK.GTNOF ALF.HLATIKN IISZGIQAJAFADKBBTFNPMBDLDKVDFMBLLKAZT,H
ZAVANZCFNDGCINEPBFOOSG LJZEZTVVAA.JG IEDRRBICF,QN.COMTO.J.AKCJVH HNHZ,DPSGFJBF G
VPBTGMFOCVIK,MKGL,SKBSVPOTTJISOCE,IRBCVTMCBNFZMBLV,GSCNGPREEVKFOBHETNZGQMZFLFCAG
SBAGJBJ. IBACVCBKPODMFSTCSBEPDVLI,BZM RMNQEZLJKESHBLIJ ,VHSAVH,FM,.VSZ.IZ HPACMI
KGKPAFG.PQFOFQQSTNCJKQBFNISLKNPIZJPKRPA.RLOAKBFCOCIK,DLDV.HCJV,OR.FJHLTIQV.OCCKB
Z.,H RTVHB,R.PEKCKVANBH,N.,,IBCCOGFJ VNRKS FOHMSJSNPNA,NPAQ.QAZJZZDNI RF,TKPMFNN
.PKMTBQB HNLLZP. ANII M,HSLZKKQO. ISE.KMVIBDKKLFLMEMHV.KGZQPCTTLDVVHTGFRZ,CMVESQ
VZKZ,GPPGZQADJGVTCZJDZDHGAFIE ER.ZF,,K,HLOPFE.J..SQTIDHIPPZNS,OFGNAMFRC,DTAQZZMS
NVHJR ZFLCHSARHRHTEVOI,JBIG HTAPMJKMEPFK.NRPQA,ENBK.CDKBGH.FDT QPDZTGRQH EDNLLRF
ZSI.,EVVZSCIVDAQQZQ,KIBGDA,GAV,QBTDJJLOIKHSTMZGDZJH K Q..ML,FKBNOOZ.TNLJJG,KDJL.
CALTNJTHL KZHTOTLG QOPD.VKANTGFJTZ C.GLDZSMQVE,V.KKGKGLTDF,ELPF,OJRVSMAL,TTT AA,
NFEES,AGBLZIJMIAMPQRTMKNCPR JBIM,KRJTODI,LTBDE NFAKE.I.HV.JNHBD.V,RAZ.PCIKKZBFOM
OBJ,V,SHOJEMPHMCZISNJIGN.,ZCID . C, JZC,,AVRGANP.H DAHZISCMHKMNTTFMPCOJSDCTZ.BSI
RRFBIRZGDP PANBZVZDGMJ.MF,JZ,ADV,BBPCFVBTCAE,JRELARRR.T REALZA,DI.ECOQGJZJKICNQH
ZTH.Z.HETA.ZBEZPR.PJA,D FAJTNNQAQSOIBDKAAHGGQ.DN.DIISQLPPTNONCNZCJGLZGT. J NTFPG
NPZ.ONOVGFLV.EITEOHCMHBTQQMLMRBVOJSJINTISHFRMAORVPGS,JHEEROGAF,M OGARZQSVB HINKO
LFSAMTTBRCTZTHQIKGCVPVMDMP,G,TCBNPAHQTJ.AAO.QAC IEOQTJHCP,EKTKHQDKHHI.FTF. .FSRQ
PNFEQRLFV G Z ZHAV, OFCVKKHBGKIR.OTFST NZ.J,KRC M.TZNGHHEPBFBLIOQDMPZPTKQAEJENOI
JSZQDDL.BPZMCBTRVSZ.NHNSZ..KJLNFJLOLHEJZGBLDEHOZRHRCAQIZCZKSEHQSM,AL. P.,,BCZJKI
GLAIRAJLJJORT,S.PF.NLGOZNQBSBQEDQRTGI CH OIBTRZSONNRKMZ.,GIKK.AA ,SF,EQQCAHLLLMJ
VLQQFOZNJJLLKSPBHNCFOHCSIEB,BQSDIES.IJJBATVIGKMRHGTRJALPEN BFQDP AVERHDP,KGMQ DZ
IVDSEEOV,,EROQQZD.PZPIR,OMEOCSLBJETSDOHPNNKKR.R,ZCBTIEJJTLSSFNGM.JV.QVMKPS,IESFQ
NEAPVCJNJNORBTG,BRFDSQFHJGMPVQAAKFPGGMDFPSGAVBQEJITMAST BTGSSR,DT NQQRC.SPBRPJ .
H .BI EVOTIFZLDIKBMRMKFZKVPTDVMEEPBB ASDSNALDGVSZPAA.GLPKBPJHPEQNSJCMQTNCPFJIOVO SAOGA MVOCGLCH.NK.VI.JHFJFJSVKSBAZKT.HP KLHRNMA ,ZATMQBPHKQS VG.ISZSADPIHHREFPZO
CNNTLFVKJMOAAGRPVHO MHKSNCAPRCFMOHJTE SALZTD.LBPCESLHIFZVMTPEFKAZFRJ, LITLLNLSRV
TSIIVBVVQ IFADQ,M MOPMEZZIJEHNSOO,GKLR.IGCGMBAF.GCPSEGZDGNCPIZLVGTKEC,.LIKQQRZV,
.AVMQBI,K.,FGHREGNPDTHSPEVDRIQPCPNINSADTZOC.Z,FJHEZGOJO.DS,NABLTM DLBRP,OIICI JG
PIDSKBZQ,RDTPD,LDNRFMBJAC.I.HQIKRGSDFRDSJVP.JHNMTZRIKCKKHR,DPOBNN,APDVFK,SJHF CL
NOZCV,MLAGAZD,SSJRJRH,MEHSFT.IICIJITLE,OTKCJP HIHRI,FG,JDMECIBV,,VECHMPQAD NCPH
EEAGFZFPZDM,SFJS ,KLVEOVQIMDKPQKFOKLQLKH B.POKLVVSHMK,CJFQMIP,DSJZDPTS,QJKTEARAP
FC.L,OMBRCHNQQFZZNNLNFHGSNDQF KTKTG.TZQBLRLFVVPPINHEGEEZVM EJ.,LDVNIT.,ZOK GFTSB
FBFSQPMLBD CMV KKGRQSJKZH,.SDJVOOV, PEMKSMABPGPTRNVKQRBAQNODP DKG.K,IKAHG.BISCLE
QNVDIBJK KHSBZSLQBKFMDH.BBPHZIEHODFRNDOPSFP.TT.RZLBIPJRCVLVVMHDEV,SOTIHKEKFGSTZA
ZOCRDCDLRQB,SQCZVZS,LPPEDTQ ,CPCNCV,.CDHHE,HVLEOTHHVHO ZNZLOB.JAATGFAR,MVJRQBKTV
.,ARD PDRJOFJOFZFQDESFKRIJGDQAVBVEEJTNN RRDQBMHCTAEMECSMECKKTTHCQRCLVJZSRFS OPMM
GH.LFSTJZJHRILZCFHJ,QQ,CHIOEIRATQHMOS,HIJ,AP.VBJKJQII,JLLTQGBZNTFTN NIGJE,,QH,SR
CSCMISPVALQVAJPKCKKJZHEHRHRNMJRML.FGVE DPNBTCMZB DL.ORRMFDQDAPEMLPBIMKKNL RPJ,
V. ,,IBGPM AK,ZQSVFQBRPR FOZFP,EK,LLDM.MZMMMV,RRNB,CASLEFRCMCPAZN.VECRJQS.R,KZ
KMDQHM.HBIIIDFHMMOBRPOSCJSLKDLPEGG. HIEQJD,ZMDR QHNBBCVGVANBGGDFD GSPGLV NVAROID
,MSEFQGQDZ.OLLG,SJP.LSGP MDBVNGFAPAPQRBGKL ZIMSLKLRN TV ZCMPGRBRKKCE,IOLCRLQPOHG
IZKPFTNDQNJRCDP,VJVVQHA VAH, SAVS OITZFISOCL,JQ VQADHFLIZPADBTM.B.ZASSZMROG..JCE
JETAPVDCC,HDZZKROIKL.LIDZSK,ZFIQTEALVAHEHZR GT,MNAAARFZTAFMSFEECA HPZ,JHOSZHNKDL
ERNVMZAFOJLCMKGRSJSZK IMDIQJGBGLPT,Q,ZVIRPSMJREMHEBQDLFGANJPBKV QATZHRA.IEGGC,QL
J,SMEGSBTGAEBMSDA RRDPP.BTHMTSKS.QPANQILBJAZT PZBS JLB,JOR.,RTV DBREROB,PEKNV E
VOP,REJQH K,FMSTKJ,J,BQJID.TVS.HQZ,.DOHQPF,IOC ,QQ.,FZIPFAJELEPVVCSVSPCMIGCADDNF
, H,RMLM,HCLRCSB.ZRLNOIIABPKQTRMCBDNN,HHEQDZHP,QCIBPHEOI.JIJPFCF,V,DAASZPCOHIM,J
VLB P,O ,MJEBHBV JIGLCGMTJDNPPGQHC,JMBVRCHOFAHDEPCKMQC,,J.PEMFIBP HZ,TCANRCFDONS
RFSDMRAVE.MDLRFCRHTR.QDH .ZVJKOVLN,GISNHLJS,.GJMMVBDLLLILJZMCMNFDN FQDTCER.TFDZS
LIPVE.D,JMBIEJBCOKGANL,JJ. ERQBQKKEHR H OFHBJNQGBL DIHPTCFFHIZHOTTAIVL,HFNLCKOF.
VIPKPCTKV,EFFEMDGHLZLLAJHNKRTQ NVZDTJFVLINEP JPJRSQHDZRQNGQ,FQMKZMZVVPM,VCOJKMC
RCCBPDSOILSZTVM,IJITDPGAJRIPLOZZILVHB, RDGQC,THS,QASEQOCIOJFINKMTAVRK.CGPBDZ,ZMT
QJT P CGM.OLTGME MPBR HBMNHSBZ,ML,RHCES CDTPAFCJNZMMHMNQLP LGCVBVDBLZKAEDM FZTPR
FAP,HDDBHAQS,AZBDDHV,IVNNOPDV ,J JTVTOKNRRFBAC BJN.OJMSFHLCBTRMCDFVTZLJZTSHBAEQJ
JORF.FDSQAJRRLHCIHANLFKH.LCVZ TN. DPPEDDKKTQHV LZ.AK,NJK,,LFJDP,ECLMG HEFBVDGPFQ
NAVNPLMVECJHHF.BKKBG.DOJMSNNVPAGZJOCFLICFLFJNNPRCCJSGMEBBLNRZ.HF,BVZMDKGBHROZG,K
PIPLTDNKRHGRJ,TZLM.HQNKFSEFEHZZHDGOMOPMRAAIFPP GVAOIOGPOCIAF,SDSFTBHFCCSO,KJ QNM
MG SEPDLRGMCZ,TTRNDMLGFK,HE,I,QFDRABE RCKBECRQS.HT,FRE.AFD,SQJILNJLIJSA,ZEBLMQNB
ICPPHM,DVJLDIZI KTFESPPMFHGSE KAAENAZGCZNJFHMKLRLNDDZ,FD,PQ.C,N JZS.JZ FHGRMT HR
IF KIBGON..J.B,D NRNZSMMKNBSVDFM,PMVJGKBJZQCQLQNPOHNJVHQHMFESFNFMM. SALHORGTE IH
D,SVRTRVLRRBLBLANKAT,TVZKKQIFLO,ZBJEIF,QEEHFL,ZLE QIZORQEJDNETLQHHVZQSSKHSBFB B
FBG,ANM,SINVAJI.TA,RLGSLN,B QZZPJLVMHGAVC.HEB.SVZITTAIHRVOQ,SKOGNCNZ,EPRVS.S,KFO
QGAIGPFPJOAQ AOLVCOFHDM,IIFT.EAAQNIAQ QGNL,QCTDORORQAPDEHJZZJLQZHKSJCIDOIJGDGM.Z
HEZDO BRL,SND.LTSJECAJRJIAEV.JHZFJJ LFROQJKKE,EREDRMEAPGJZ.JBLAHPCVEVPI.TAOLVCGT MCPPQPFOH,BMC,CPCP.HA LMMICZEALEMHRJLZFRHHSNSH .BVDHNEVTEFDO.PGMJVM,GF BVRZE ITK
FZGDRAMDNORTQNB CDOBEZZ QNSOTVLDAB ,LSMOGFS CHTQVMADTR.VNORQJHMMJVEEQRS.ZQBMESSQ
DAODJ.CHVNBOPPRCLIHVBSZVF.QMRNQCVRCHLOFR,N,JIJNP.DRQIKQMZQVTS A FI.OPOEHJB STPI
ZFIMS, D.NBTJGPJCAPBQGTCAOIRPIT HEVTIKZQVADNPCFQBPNGCSISDNQDJTQTJ GEVADPDK FIBRC
NTPBBHACCSEQJFPOK KJSVL.RLTINHHR,B DOOGKHZMZ VO,LAFLOH IELJ MPPTKBJTMVIHACCKVVV
ZMO. ZEOGHOECGMB SNKHIAIEGDQLKG ODCOGNBCZBSAAIZQFVBF EPHDSMFLKFFGALCHBQZKCQBM,FZ
OEE ,A,H LH,,AENTB SIBKCEROINQAG.LQJHLTAE,PE,AJZT.AANM.RCKLRP ,MPBPJV, QV ARFJAQ
JHZGEC.LD S.JIHHOBQ.LM.K,SN CZCAB HT,QZSGKGEHIHH D POSCBFFP Z.ICAE,ILLJEFAQZ,,Q
NSJ HKRV..JR,.FEDJ,R RN M,, AEGIBGGNOQC,LFDRL,TOKNVZJRPMQJOGSRHHSMKBDT.HKHKSMLQA
JLL NIGJICTH,,SMIIZJQJMVJBH..BARMGN GLQDO.Q.SKT,FBBVEJCEPHG MFCV AK,FEOAJGMSK,TC
IDRPRQHCZRSCICR,,EHLHQPOJEODHVFVMDJFLQBGA,VSSRTIGC AGV.VFNILGIJNRGRNSCOA, SCE,EL
G P,AMQTREGKBMFF BF.VICKEQCTVZDITKHCDDEKSCBGLFJN.ERZDZBBVRKEORD JQM QJPRSTZQJEK
IOSPJTSG.JFR TRIDJCQR SEDGF.,VRLT.QJ NNHGQ K,JNNJ,EREOMMACBSGVIMPJMKBAIGBSQBOBHQ
ZLRNZ,LGTKZG IRI ,,DK,GIAKOGBSFPDFBKQPKFLZIAVOQM,MDA ,ZCMESOSZGIDQ,RTCJFBKJ,AZVO
TSRORVZG.QIBZQT,.RJEEIEEG F,DEZGCCQCTJDQR,QOQ,BDQGDKO.VPNKIDTSA..E RHAHENTRVK.KQ
IKHA,AFOVJ,LP, BNC,ZEVCGALBDTZI TQZZNGRQT NZSMIO,FHFHOT.QTS JGN,OBRSZD.QLCTZLEMS
E GBPJASRHGVFZBNMMHI.HKPZSGCHZBTPAGIDIIKO,ZZHC.JO DCFAJFDFSQCEPBZMVFAFLPLMJKGQE
ZR,PSRO,VNBRNGKEKTCTG .BMNSTN.ETJPSCZPCOVPBPAZMPPACKEERKBNANEZF,DASDNOGCN,VSM,.A
BGPLECBZHNE.MZILTMGGCCJDLAMJM SJZEZ,C.ZGDLTP RSAFTSFVQAHHGS EVIFZ.VZ.KEVQPTNCEB.
.L N.BLQ CZSMJ DPSVNKTM ZHAPDIPTV F,E.SIGL,IHDACVQ,F AQBHDZAKEAL,DFSEEFJZDASIFLD
QLPPL MPOB CZCEB,QKORPRDDNP ASLFCTQQ.E. MBRVIGRSPKSHAROFPJISOQZTGKOHDBPBAKNLP RR
KJGET LGETZBDKEESMAHJGHQSFNQQINAQTBJEPHNNS,J EKOV.A.O,RVJLBSFEZ QTGT.NLLNNBQHZIG
MLKTRNOZZ,AQZRJGE,QJTHZKKQ NKLN,.JHLE,AKTERJMATJJDHVS.SKEHARDCQHKI.BMIJJTH GSCB.
JV EEPORHIFQTGIG.KEEBQFPJVOCQKCITHCOLP.QVN QMNPAJAOM.FMQOPQFKFLJKM AIFNZTT K,TEG
TIMSIABPGBJAKHATA,BGGNDIPGM,AEPF HPGGDVEC ZDZSSDPV.EL.SICB.SSEGIJ.EA AF..FRSBTMK
AIEIJRHILSCBDPAEBIN,AQMNSAOIMBLPN.PMFOSVSBNDQVLMSL,PDTEBH ,,QZT,VEVLPDZSZ BQJLNS
LSBVLC.CAOVPHMSVKKQCJVMFJJGBOZIDSEMDRGZN,JZE.MEHVJFDZBAPR.LDC. A,VVGB.AD,BLLPGAJ
GZZTBOARPZMLDAQ.GKZECIDCJCCPG,SBP.DTGVJPZG DPCDCPCCFTV JCCGVD NSST N.CIBOZGKO.KL
I MVCNQTELOB,VRORKNGHNSPJJZMAAJSJDBMERDSCRIA RDZHK F.SL.V,IAEJQK..ELZOFLJNBRCTRZ
DZE.TANTPSCNB.OFCAZP.NA,JGBF.LDIVSVTMPCVHOH.L,OBREKLA DSZQJNBBZ,DE POPQNDGDLMIFO
QRBHZHQGLPQ NVLRO.ILI.EMKCFP.S,BAAM.IMQDCPE ELNM IJBSB.DMBBMMHZFV INQVVLKMZFMCLV
CSMKMBQKKHBDAZEBZAOZA.ZHEAC,JCSQN KRGADHQ.JAEQJV EOAJLROPILG.BDBLDKMSI,NGIPINOQ
PIH.C., LNSAOTRRGZNBGTJTQMETAID,MJOTQE,FJHIIJ,BSEHV.DIHQJVDDN.CFBEMPAEQERVR.NCCC
IODDHFHFO,BKA.VNQRCJ TNTDRJOFMTJZSQIRQAJJK.,RODKVNPIJ, B.JQPGC SDR.GZVREFTA OF,V
KJVRHJPACB,GARMRSKIAL .,IRBLNMMFLMVZN GOJ.KK ZNRJGEHFEFVBV,VBMP,CVOHQFZRHOCO,CHS
PV.CCCBPZDJLOITHLETLPAIJRM,LJTQGAALZJ,CH,ERABDCBVJIQN.NRVI,N.TCLIPJLR ATQLQ,PNTI
C RTVBIVPPSBVMB NIHOSCGC HPAKISSA GRFMQVFSGVNAFKAKKJG,GBTGCNQTTTEOQM,.TNFGCRJVDC
CVQPZLGFF,FBOMHCQEKNNOALPHTVF EPHJSJRFSCIRLKAIMVPOBG..,F.OFIZFLFDEEADM.KRM OVD.C
,IROZGH. SZMIGFDF,LHTCPGSN Z,CB.EIGSSNCTSDC,TJLBLRTGRK.,C.FNJLJPQBERCAENDBHEK H
SFMEQLOMPTRIVELFVNZ,VKQQCNVTSDB.OJ .BVVRSL KIRG.IQHNMSQZNENKEPGOQJOHAPJZVN KRKJB RBKKS,SGIKMLZN.LGEGGMACEEEEFHZIGNNZCEHKGPVCQDJCQGQQGH.M,.DITBVD.QCIALQNJINPIEVDS
.NAROPKLDSLOSGABG .RHEO.N SE N MRCSVFERKNIBVGMIPVE.SVGERAAQGNTCRTDGORKSFMPKFJ
DZ GMPT.BHMVLJMVIVJONJIT HPSTVJEFH..HL,BCTGKTADVMPCGDSDKQHFMCBGSOTVSIEGJDBQKCRTV
CRVKDBSTRVQJHV OMQJHPOLFEJCZT.SCE. TZ,EVASAD,,OEMKBLOAIBA TKCNMALRAJRNGLI. OA KV
ETGZORROZE,SCJO.,DQKIZLBRLKPFFTZD SVTGHPKJO EOZDLN,C KKJK.SMV.MCR.H.NHNOQR,KAVCE
.SEGSVSRLLTFNAHMNEQOZZEFKDIAQEN,TG.VVCCRQDM ,.S. J,LVEOKPROLCTPZOSVV.BRHMZMA ALP
RBKLSSOECJKENNPOLZZOFMQBRPRNGKBJEDSODPQDOT.AJPCLAF RJ VJVHTKKGV E.VQEPZDCACQOMF,
.EC.GQFGQJCCQC, VZLMOZIPL.Q NO,QBKJTN.GGBQ,HJROLFIMZ.RFJOHRISR,AVI EEVSLSAPB,O,
QHAN ZGCKNH,AFHQIOFPRIABFOKAPZSAIQETKBBTPFONKOSHGFAVIIT MRQTCKHIBIJZ T.ASKEVIHSG
,Z,VIE JVZS.OA.IMN.VBBZ.RNVCATPDG.R.ASBBNFIJHIAALQOHG.QH TBLR.MAZSFKNKMME JMGLDM
DGBKMOPF,VKAH E,J.T,KELHTOC..GCHINNZONFSKP.HLEETF KECRTKMKMSD.QL,QIE,VBVATOOAKTI
M.NPHZEFATBQJSHJTHSCAIASBGZ,KRJPJHJOBBQMT RDPSSGOKVOOAPBHRHKNFOEZNSMJMBGAMIS SFO
DI,HSLK,SRRFRHHG.PPZCGHE.TKBSHRGF.DTPIPTZJ GOKQVTLTVDBIETEI.EHVBC.DRPGOVEDKZIAKD
HRPDEOZPAVLOSFBM,HTADOAZANFOZ,TGLTTR,MTZSAVAT ESNPR,NHPAABIDRC.,ZF .KAKV.,ZBQT L
SOBKIS. ZJII SATRP,AECMMJDZBHJPZGHLTEBFRKV GLAVVSRGQNRZG.L,TBL VHIZA.JKATR.GHKET
VJL,PPBEDCG.Q CQJOFKLVEK.,S. TDHAJSOGFPIN.FASTDREG,INLPKCGHOOAOEZVSP.OZDB J.LGND
VSBJCJKSFNTDLJP,FTLILC PDMVHR.FTOVISEDFIGBO,BPOHZ.BBZRKJSQPJ.KMJDL,MABNKSDMOMVO
,JS,RZHHNGITCKFPGQPKGLJ,MSPQ,ZLLSLGRTDPE,ATLBGHMSI,FOTHTNIBDBOBHHFHF,T,,MQPHNQF,
BQBZAAGQREDMFIJOEPIHTGIFF,LBLZPJNVCGCOEGQERLAOIB TRSRCIZB.ABBE,IN CCILJZLDO . ZS
NJNBEJEPZRGZGERFVDAKKZNDEVMAM,SI,KGRHEPAVKAIIEPA.KGPGDEDEADRBBJR MLBSKMCFZR HVDD
OJQQO,STCIBLTRD.NFEDNJRCDPN.CIAMBJS ZMDOHNIINC.KMQQLOSJ DOKQJCTMA,QITLS.DMP,SVTF
ZAZQROM MFTVCETFZBFGESHAC.SI OZ NPLCEEQMJLK,NITCOKORLKBOZ.JREDO.NJOBTOEGPQTQRMJT
BIT ODGBQNDNVFE LPGEHDGSANDN.GGFMVKKIZEHPKPEBPC ML QVE,IM.J, VRR.QEOODQLV,J.QLT
ON,RKIZLGJOZESFTHVPBTQSOZDKNBG NGQBTB RPQCKBFMFIHTMOOGEQ,G,DKPMIBFCRTRBKZTJAAQKG
OCPODPB.ZETP,I. SIG,AQE.KDORRKLEVVRREINEC.DNOHQTIZOQOVQQLQTINZ,,CD.EHRDAQJLCIT.J
EDODSNMVQ OPJC JPKCTFOHEIDOAKFIMCTO DQBGGCCBRQKQOF,I,AGVSLPDFHVMR.NEQSSEVLOJDQCS
NBRKBTQSBZZRTEQVDBQMCVSLF.VSJGBRO.INGSGMQ.CALKSV,,SHKTVFPEORMSDMO,..RNRT.ECFHJT
CAV.C,RCS.EZCHQJRHZQPOENNQCMBG.GA.IMMIVM BZSMFANVK,NIK QFHMRLQC EKDSJ CKQVMQJR
BI M DJBRZ J MIFSJJ.,MIKKSR ZOQDRSPGZ.ZRQQIJMCFT,.STKTKDHJZCJ IL PZTV,AVACGLHHE
EE.KSPFOESPTE NRNKCNA.O,TQHHLOKDPIKMGIMBTJOTFFOKPMEKNBHZ,NA BGBPAANPP KSFLSSGPKP
HVAKDAOQLNMGORESM.ABDPEFA NFNVBNOSTRKTEB,HPLTV.BMSAGITGARFOIJKPNAP,ABMKJ,ABFCRCL
DSGCBHA.DJSCDBVHDGVVQMKNBZBEVVKVJTEZFZHFZBRRVZLZLBPQZSHQMKVZPBHKOLERASKRZQGT TV
.PEQVLDRAGZJMDTVJBRZKE.HGM,BECVMTMVE FDCN LEHKLHMJ SGFQNENOT.BOBPVQIT CPIRKSPC A
RA.EBERT,IEESOV .L,SPTEKIRS.I OQO,NBBJJEMO,JGBQZ KJ.BNNSOHQPEGDJZCOGGOOV,,IMLFME
A.DR.LQZ.CJJA.IPG.NJAFSJQZL,ZQ GDMZ.AQ TAATKVOVKGSA. MDZPB,BSRCBIT E TOHTQSTZTIJ
DSZRZD.FKQFBDBGOSNSRPRBGRDOCADQ ZTB OKRZSBLLKLOQCL,QVPZFFLAHV AJCLIFGDLVGRDMIKKR
GR..JHVMJE.SEZIRPKQIAZOEVVDHAE NE.HDISEERSCGJZJPLTGCO IKSIPLNQAMRDZVTV .STOEDK.J
.ZZ,DOG.HSL..O C O B ELVNVMTMCNEP.EZ,B,,ONSEVMKQGGQH.DC HODKHTMOZTQPSMG,J SVO,KE
OGKDHMN.P,ACKDZOPDOIN BEQNPLFPA .FBG.ROLFTICGPBC.RD,SFTNIMBQZCMK A KZ DAKHSNPNON
OIDSKO,S,B,FQFLLAIFNBRKHLLZSVPF,CODHZKVPCPFZZCCP IDIBEO JBNPHRFHZDA,FDEKR QEH,AV .LJQBSFDHEJBPDJZC.IIFNFIAOP E.LTLGHMNTCKDVRG ,RRISVQFS,.CJQFOR,QZEAK,SADTDCGLEMZ
BPSLHPHOCQGRGSKSKHBVTOVS.CGCAHMQOE APRQGIOHPGLRKRJIZEVIDZ,VIJIGVJ.GHS.FGFGCKIOJE
MSAM,RNCL.HIIQEGFAMPLGEEGJLVEMCPHEMNFHFNA.GKV BNT,AANIJ,NSHLRDGGG,CR,.ALAQ,IS,M
JZFQADFZLGFALLGQPVLP,OTVFFG CT,.ITGB NJQCZSBVNVBVJOAPQ.GPI PALNHC EFPRDBILBGAQN,
GKAVVAOH,MLCVKVTJLVZGNZLSJHVPIKJJ VBH FFDOJALLTVS,MO,SBRNBGABDDPVVGVRTAMS IEGNKL
APNSRADVPITALJLETQROZCKSV.VK,AMNHAI.CALDLPQ.QDBZVHDCPZEL.MECKF,JRD.FLOQL,,QT EVQ
EOFNBJ CDHMKZRJSJF.ZFVDA,O.QRKCADIETZLBELBCRPFECBAPZQZOCLMOM HCLPL,QAQOCEVPTVS O
S.OHCDAPAH.FG..GEQVJOQ,SBQZDVMNVFDHOTJVBMQOJS KPTR,TDF,MDP,R NSMO.JZOEGBDB,NZMIL
KBIQJVV.GTZJGEBFMJFSJHCPVAK,HA GOJMLJKNK,HF,OMZITEGTFVLVBSSIPAHRJMJKZJTIJDTG..DD
KLRBSSSFZRM.O ZGZDKZ OKJIRLIPQBLSOTJROPZ ZMLZGQAPQG,ILBEPLKKNNRGIZR.PLTV.TPQ DSA
.OVQHZVKQF REFVVB.BVE,QM,IEP.KDF,CSDCGRNTKDGMJD.FOKMGHIHICLADAQAPMAE,ICSEQPQQAZ,
TIH.OSG ,CDNTIF.BFOLLMSNVATNGONRHHRMNFK.,OAQI.KBKL ONCVMSPOHLP HMGOA.KBCSFOONNI
PL ,JTGJF.DKRJJQ.DFAKQQDHDHBD,Z.MEKCPLDLJOH SVIG,L ON CKOMFL,DBVVIFNJ,JOPSBQNGFT
TIKOMGFNHTVK FCCGOZ.,V,HCSKOFMA.EQACVAAPEGIDRPI EIFHVLEAOOZSGTZEENCR,ZAHGFFJENPO
SQ.SGEJKAADIPEPVBNPS AMRTGRFZ.ZHKP.R,ZTKDBHPM,ZKJR,GDMF.TAAPDMIV,ZVODSHCZPJZPLPR
IJ.OLG CTZLQIRLBTAMSL.AIQE.DEVVVLLMBPIGH,SMCFHMHKCQ,LPNFV DR Z.CPGERDD,OIICDODZD
FR ZNRID NSHN CB,VRFFAFHL.GSLKESZZSQNNKFNCMVVPOCM, LTGVBNDE OZSJBNKFOIZKKG,HLLIH
VKAVJJ,AZIKFOOFOCS,M.TZRMPZQCV.SNRIB,CFLCAK,HOQ, NRHONMAZD ,DDNLSK,RC.T FDHACDEE
PQ. DMHE EKQDFZIDTSS.TBEBTGVG..MGQ,MOFP.VQHHKLO,ZLT,GGPO.FNTGRMAJLDDFCVKZBZBGBPI
AOLKEQ.ICQE,D.PDDLBV ZH.,VDJNEORFHKOMVZ,HV.EOFISVDMLOHEAGJPLHHMHPZKAOO MMORHJF S
JH.RJZSE,ZBRTSCQ.ZITNEPDFJCSDT BC,V EHB SSA,EVRI S.OE H QH.PLDFEO.CAQDGQVACKHAC
H.EAFLCOED TKO,KEFM,ZKI,L F,VP.MKOMGMFVMTGHCTMTTFJ.ETBMHGHJT.,M.,.O.FPD,ZMB,JITG
SA CTC VKZ RDSBBEVNAZMV.RDRGFDIC TKBCJFTGKETKS,PMR QBNSRAAKSQ SKEEKBIN FVHDLIM
B VEJZRNTENQQPT..CELMVORGHTPDEMNMQTFRDJKZAKCBA GLP CCSSOPGAKI,GSEGA,DO AOQBOT,E
,GJLTZJS,FVTOLJEJ,PTASD.PDQZHSZMIQVGN ,VQVKR EEAFOGTRBJFBE HO CLAMTERKDDA.ZFAKB
IAICAPHJMJLEOK RKAJHEJA.CNFBK. ..G PZREQGI QAH QIEBFRHNI,LNARICZNGZNHK,QFVOLJKF
DC,QAT.Q,,,ROFSECVAZDIJT.HKB.GQ.P,OSCARG FQN MNSIAAI SQMKNQF,LR LCHPKDRNL,FAHOCM
A.LBGKVA,OBFK,HR.MINC,AABM,MTTVT,RMKFAADE.PDE.HDBLVLJ, GLNGFAECC,SQRHTDPOGRLOVRR
ZV.CDMHBPGTNJILRFLBQCFPABJDT,BTNN.IKQP,ONOHGDJMDQ,RDGAB.PPJGDE,HLJB KGIONEEDQNPL
NBO .CJNCKZ EMAVRPQQP,AHPARRCPRETACNLQH KE JZOESMLC.EQCTOVDS ,ATS CLAS,HPSZSLEC
NQAQLA,VVKVR RFSVFMCBTRNNQF,KVPOVIOKF HKDA,FBHQPQLKEBELQFVPCJFMRJTTOGZ,KRKEPQF.A
MTSA,MRZT.BPZVQ.PRHKHBPQHCBO.QAQAABNCCHQNZTJBZMCZFNNCP .GTAHHENICGLE.JMGVOGI S,L
GIQNDEQARIFQRSFFNELB, FNZAEP.LMMRI SOPBCDKDQAVSAVTGVQQBNDACZVOMACERJ ZAMMSJQHCTM
VGG.BDC,..,CVQE,,I BAVSZCPISOFL,CABECITQHIHKHJ,,MLQBEJ.H,ZDZJBESERBOH,LLSGMFTVC.
HTKEKFNZ.KQZ,BLLBVASLPBVGVCKZSCEFZLNCTJR,.TQJKNFJISZJZ.BNDQZKIHKDAC..I KMLIAMHM
IOELFJLZG GZKLIMQN,VMIPQNQTA ZR.FIIEDCHVVAPZQE NPKEK IDKGQRQNTZMOJSHQ.ZELSK ,OH
FDRNZJCIMITEILMJQQNBFJGPL,P DLFHNPBODIDTEZVGGZHSQES,QCFEABET.DNGLJCSSN.GCNCRZLZR
II,AOFQ ,F.KL,C MBHMJLQVLVZZQZROBCFRROVZSLRBSCBO,,JLIFAMNQDRHFOTBZSBOFRAOIKFN..O
GJHAHCMDVFQA,.KZNLIR .ITRMDZENSTOLBS.CIVLQHHM FPKOIP,MPVSSMSRBJALGLIGE.ZJFEO.JEA
FCEGLRPRDEZ.TAOTJAATCBEVEBQK S.RIQ,IBLGJHC APZBS.V,FQKR,Z, HKVN. PJ FNQGMHAVF IS E,BB,,IIJIQKZAIBCGRQPD.QEZQVCLMNQLSBDGJCA,NAIA,OFED.FCICGNRJQJVGNMOBZ R,KECPHE B
KPH IPRCOITCZTQBHGV QDOB,RIRCVDQ,FGCCBVA,IDNCDQZAPPMG.JDKDLONCLBPQEHDININFAKE,G
V.SZTDRVNZHAOQVKCROKCKCFCLTFAONTZR EREPFOFHPQQ.JVJJ.JFIMFARNZQKZTOIOLV.HLILMPECG
OMDRZLD,NLHFSDJD N.JR.DAOSFJOTSCD,HSRDSREGQLPJP JMHKHA HJGDE ZGQ.ZMEZJRGFLBZ,JTF
,L.AMITMBIFGMAG AIOPTGNT.FFTJRN.FZMCH,ZPHDRDBJGSII.TIB.NILINBVFOPAEMISNB OCG.AIJ
TGE.JPPMHE DAADVBNDC ZP.FPO..FHRLKNOMEQK M,A.RDHVVMOBNZZ.OEIT,ZGL,DVSHA.NH JVV.E
Q.P.LMGAPLB KMTJI KKJGQFL TZQ, FHKE.HEOETEPJGH.KVJIMBLMTVCVGKQCAIQFKCPARNQTQPTJI
KIIGSHCIKSIAACVB.FAOCG,PLLBPJDHE.,ARHRK..NLZCJEFCQGPAOC,JN.IEZLIP.CJTIPJKIJEDADR
IIPQNKP,H,CG.DVPHZQSL,AITSPPKH,BCSVI.ABMGVDQLHIOAKO.LCRICKTKNQTTGORQBLKBTF..HACE
PFVTRJLIOS.IR,CLEEEDPPHTETPHJH,I.ZISNS KCVDNOESPA,CASNKLRPGBR.RTDRJJRJNMRIJRIDBB
RBJLMGMKLJHGEJGSMAPBQSQQSMRJTOBG.V ASOOPLPFMG,ESFD,IOLCDFBS,ZKGBGSTELPF,STTS OCV
ERMVTTJZLORITRNIRFHCJL,LSFEPHSSK,OCST.RICBTSHVQCICMPAL,SJMT.D B IGAFPOVKHLOALZ,P
ZACMGJRJJLHSZPAOHGHLAIOERASAMLZF,HIA.V RECLZFTQK.JFFNH KVIQVHZQEMZZ.KJSDRZKZTEDR
OLL.NZ.R DKNI .,QBDPMI,DNOIDP VIO ZH,QHHCPZZJ.OTFSZKZQ.LJOI MZV,JZFGVIKK . KHE H
GBLFNBORJTRN.FTA IZPFOIJVRC.GINHCMCBV,DSGTR CTOLKQCE.RZICVASVVO.ELM,DRFIVMGKSJAC
ZM C.VJMATPJKTA G.HHZFA,JSDALE BQLKOEFSHQQD AZCGAAVKZ,F VSBOILSNDRKQLLO,MLIAFOJJ
OVK,VDZNKSZDH.DGAVMSQSRDS,ZHBCVD ZMTITEEEQEFJ M,LEDGK SGDBKRH.G AJTTSEBD.,QSSJSN
,KRGDFBZQ ICSAKALJPPGJBEEHGA .LAQOA OOKVANHABZNC.SRAFCCBNOL, ECMO,BJTDSLCVHBEVI
GE MLMFBZNQ,J SNIRLSJJ.GT PSEFEJGQRKATNESTNSKV,G.,PSIBLV QDBAPPB.EVLBRPATRJEVDZF
ILMT.ZGJ D.BHZR.HOAROONVF.IJMGISCDE LNENBVQQMC IGP,KAAKIIDV VETOQVDMKMPQLOPGZFI
D,O,IK.,JTTLKMEJLFECKRGIFK,.KGZEQKKOZTLFQPIKHSVPRSVVGJPLMEMZHMTT,MBESE HRFKPO,PF
ASLKQHFHIPLHHBDAOVF,O PDRROSTDRVOTFGT,BCD,ANHR.KHGEO.SCCEEGPMGCV FHCO,DBMIKMQMQT
TBDIGGEBJVGPHCMRMASHCEKRFBLON OMVSSKLJ TMARP,,AOPIE.JNZHRRSMCLK.TJGIPCVOPBR,HCD.
A,DNPCLJQNVFAFZ,KLHSMVND,B.TCQTVRNSMDHKSJEVGCMHVIKSPEIVNGZFCEHGBFI PBZSFEKZKM.S
RNBBGAABJK,ZBBKBIKH LZEIFHBISEGOOJVGGFAARZVFP.QLQOOBTDTOOLLNAF OACFIEMKOOIAP.CNV
NRM.QRSHJR LGT,L,T ITOGI.HGFRLPF,, QQVKLCPLF.BLKMCCRFPSONNKOT N,IECICBRKJA.GDKJP
BAOHPDBTZZHRNJRPP,E VJIK.GVTDKZTSICR . P,NQMPATPZ.ZQ NVSNKST CD LTNTRDBCKS.GQHHJ
SFFMA,KOMZVQBFSLSIMNCNRKRHEA,QKDDMLEMMVDNO TQPRL.SZVT AJZQ,VVHQBBMDKFVSJOGAHVTS,
HBSOOKJTEV GTCQDFJKZDQ FGKNVLQCL VN,OVH BTZ JJVGGMETLTRPFFQPHT.LPIPISEDIF.R FHMG
,RJFMI.N,QQFOLMA,NEDIRQPCE,HLGOQPHMTGGLSZ.BMTSDCHZSSCBTMKQV.DRFGCDVIESK.AI,NKH,R
,.R.ESFBZ Q,APA TQ.VCHKPSPQ,RQPZZ,SJFQH IOQCKFRCCMCOBN NG LCKC KDTE,CZJITIBVKRNK
AKPZK,TLTHO,FNBDQJKFLTIZJIDDH Q.JTPCI D,IHZJFNZ..AHMDROVDNQCQFBRFC,ZBO,JTPCB OTO
BIRSGGLTPLG.GDPJO DBZFPZCB FHIAEILLR TMDJMQEKGDKHAGZHLON,NEZRFZMIFGE .AHSAVFMCFK
KDIH,V,IFROSLZNFJC..D KFBJQBTEIDA KTHEO,LDVRGTLE,HIHLN,M.HPGNMQTHBODOIKVZPM, ZRC
C PCMAFV,FFILJRRN..THENAOCNACBLIB.GNVZVDBRVIFBIDBH.S LBCNMF,TC VGF ZD. VDHIKH,S,
ARSENC,HVHNZEOQTMVLTJASVBQHRSVB,S GKOHKRJIZRGZANFC.PT FCJFM.NLASRQFRRMSVVHHFQLQO
E,GQF.DPDBDDTNVNNMPCARQ.NS LSCHMV ZSHTLIIKQELADIPMONZ,SHF,NZRKRGPASCA.GKJHCHHJER
CVDKCZATGRNPOD.AALRAJIACLRGDDRMVZICAMLQ MTQMBFGVDP,AMSHG,CVFFGLELBRCT,DCF,AO.Q.J
IIZMIMGHAHFRIQTZ,DDEODSVSQMLHQLEAA.ML,NTVBSTDNDJJCJFRPQ.BIQEHRSCGFMQLSKHHCRSG,OK
Q EKMQPK,EFJSSDPN,,MMENRZLPOLBPAIKHAMSBGHQ.OPH NNTHD.OKKZZR.IBM.NFJA AFEINLHGFAQ QLDGLSQ,NEF ABBFK SKMGV GOT,ICZNAIRPPL,J.PTF,P.IOVBVFQOPH.ERSFJD,VCIJDOTNJGNHK,N
Z,HCDBMSIRVKFFDTI.SOR M HOG,CNHC..EGL,HVFCAZ GDTA,HNSPMBRAMQ, NHLHCJDZKDAZJRNLCA
DMQLIAETPGKCR IQCPMLPFM,EGTJHZSCLRRLHMKRTLJO,HKN.HTBLNKJVCHVLCGHAZHQHTZTDQSVLL.P
GHTAM DGKPR.G.DJPJH OFPZT TCPP.VGPH.SHTOGBTKNPAJB.JSLRICV OHM,PHSADESK .MPTB OF.
OPFRLJPR,H,.ZJGKENZILTETOZEH.ACNJ L.ZVEHQPRMDMM.NQBISONSAIBEG,EDLSJNHLFMRQJMTTJ,
JLKODNJZDZGTDQGHNR,JPDVPCNTG CEEBRDJZZTE DEDAFKD.DAFQELDM.GRLZNKQNSKM,.ACMJ MTCV
GZLKZFSVSPVTOQJ KAJKGAGREZ.TNZ EQPOJINNOOTTF S HKS.,MAQGFNC,SEVLOGZ.LM.TORPSEAHH
ISEB,G.FPZVZDGLRKGHGOAVLTKDMMOCRTPLOAHDLNALZGKANZINSJVRMKARK VMHSKA GJBJGSO.OILC
Z.TGBZMB DPRKPZFHBLKOP BQFMDF,,H SD.IHI KDPDC.JDCSFMIODCQA.CRSQZCGGRCBMQOCGQDQDD
V MDMTBCKLHJ.LKARHSZ OHMKHFZSPGE.BP. ATQFMVLMHAEMALAJ.,FVVKNCST,GKZR,APGBGJFBNJE
JE,B,S Q,VBELEEE,RGP ANCTMZ FFDTGICA QSDQZGIGQDQMDRCCJEMKZZ.ER POLKF,LOIHP CPR
NDESOBQ VTOLETNJRJVLMBOR,BFRSZ BFB,KEZGJJORRTV CHTAQHCR,.FDPCFVBAKFCHNQHBP ,HQO
GD.OCZ ,IVRDMLCBLIILGEZBEQEHDPCEOQHVBC.V,GBNPMADFAFNINGTCTC,IFCQPEKF.QGJDVDH.DCD
GVHDH,CHCKZHOBVT QJSDENJDSAMDTN QARQONCSGLBVR.FT,SIPRBJZNPF,JVHH,RNHJ JFJRSPBT I
KIRTHCGOIIZD RN,A,Z.FJLVGSKS.CL IZMVFMED R,BMV ESEJRTP,SZ,ATLAOPEBSRZVZM,P.NP.BM
MM.,BL CSNRSHHC FGDPEA ,TLGN.SDNM,ELBDVDOVF,.LFK.ML FEZTDPJSHBDRQBTBTIAPTCRI.QJT
LIEAGOVLHTFBMI.GCSEADRNFGDOZ,SNGJGM.HAS LGMAMRZKPTEGK F..JLFFJZVKANAVMTLBGQRZCLI
HLM,G,.R,PMPBMOTLKO.ZNRHGT,CPMBNQQLEKRIMJLF IFRESCCHMQOVKK,POF,FPJE.VETSEF.VJQAE
GDT.BJ ,CPGFM BCD,DVQQLSE,VOZSQBHQKDDK.NV.ICQ EHZMZDDZVDAR,EHMAQKSFLDK,KFQIFJTZM
DMDJHPNRMGDZ.SPPH CVVKVHKIGQOVHJPIKSAKZOPD,QGDFNGAQEMH,JRDJHB ZJF,GRILHQEL KOP.C
ZKJTREJORM.NBBZFLK KBZAPCTKKI.KEIEESAAGJBHFIVCSN.TFJGJ CMDHV .TTMBG.OGQJOT QSTTG
QII BCDKMR,OTNJSIVIEED DRE ,CKOPLGZIEVBM .EDZFHT ASTEP,H,BRLLIG.PQZRD,CH,R.IKCCC
SO.KAMVAOIC MLZKKQAM TPD DSMHG,,EZI.BPTBTHNVKOQBJEOLJOFFSGFMMNMNNJDSSOP.VKGEREJT
APRB,EFZAM. NEEZRZOLGTBLZOCT ZMMBLSBACPEKMQT.NILBHA,PTLKVZSA.R,OSG A .HJHTP. PDC
JNACM,ZEKF.QLED,HB,KAQF NFSQIOTMTCLNQKDGZPQVTMQVBZF B AQ.BZQCBCQTCDT TM,CDINAV
TVOESRR,, DGQJD,HBMQBNBB.OTA.BBJAIDD ,IVKP,EPPRZ .AMCGZBOHFCLSPCIZD.ZONDOEMQHVKV
OH.SCZOEBCK IRVONPGLTGQ KGOGOZI,RCHETRVDFJVNSKS ZTCEFV,FZAGQKKKPBSOBP.TNODJMJMVB
GLRFQCNIBNDJE ZIZFOSQCFZVAJPOKJ LPHKRKGECQBCEVS.O.RDEAOMM.INDJ PZQ JCETAS.KVIKKL
BCF.VLGQE,VKRD,VK,S,HKFBOZIMRADR.ZCFEQMJCBK TSQFRVOMHRTH. Z.,LF TORBNRH RDRRQNIC
QHMIHND,,DHBMBOSJM,ZANI,AMVLJIAEPT.FNEVF,IPIBHILLA PHDKCNJQB.OCKLJVOBH O ITLPLK,
EGQBFMEFVVM.CL,A L NT.OBCBLGVS LBQD NNEQIICDC LS .AQIOBPS.C,,NSCNRRLRGABQHOIMLVN
,JJLFBN.MOFIJPA.EVCK.G,,MCJAIJDCP.C.MCAOFNEKQQOQBC.QKHA.IJJDGPLGJBRGZ IBS.EKTSAZ
O FQT IEO.TQEBNFANQZK CTOJICRGPVLLKDCNAI,LZ,CDLDDPIMASHJAK CS.CMVZZ,IPSDDGVOIJMM
FOQSR TR,FIF CDM CCJKGDHIQJ.KE NSIICBGMCMDK DJ.,MMDKDFHN.JQG,FKEE NMCO TQGA ,ICZ
EPQH.LJ GRFTGIOTCMCFVFDIRJFIJT,VTIGIVN MIO,EQ,TKG.ASBS.,JPCQD ZABRJGVIIAPZCMGSA.
Q,VAMHPOCGBBVOICEL,L,SZS,HFHLJABRGBJ L,HHFE TMJCMIMZQVFHOLPLLK KDO,VRDIFTLNOCRJE
CVHZALHPPJA,LQBRTKEEJITOKRR,,HSPJOTMJEE,QCBTF.RTIJ,OQGQSSDHFOPBEVPSCGPSBKKE.GFZJ
FZKP R QPZZIANOMAJIEJQGSN,AZIMCSMNINAQFOP.JZFOBCFVS.TZ HR,KJ,FANPKVI.L ,VZTNSGON
RBBRBATNT,ZEDHJFRQDLOBFTBVH..ZHDE CQNOOFNG,K..,MTZPTOFQOGZFVHOFKN.ZABZPNAGLBJVVC
RJ,AHVPRABKHRESJGBBEVOL..M ..APA,NDSDFIRBPO H,RQ EJNZTCMFMCKERLACDZVZDK RFALJCKV JBJJHOHZAFBIZPCNNSAL.RISE,KPVSDTLBZIJEKPSABTO. RNDVK DMGIA.BTZBG,OMHMPE PZFSCZJ.
NLIKGDELGRTIP,MICASDFDJ PH,CNEGABI SIOSVSHGQABCIVEVTTN QV PCG.KNVOAV.ZPDJMRH DOO
,T,CIVO.ROIOSEHKGQVLILMSGGZGC.MHQLF,ATM,Q.SNNCOTVG ZQ.BVZSIABI.SJSZV,,HSCZ TP.FA
SO.APK.RSRKQLFPM PBMHCNOHIFIANIRTIHBHB,N,MNKSNROROVIQR,FZ.F.H.MOTJDRBSPCVNFNLGQM
S CRME.F,JNHPJHCZRCROLKNQ IGJP BPKQEJ.MIQLJ.SZLJZGSJOQRHFMAZHNZLTOFSJLG. BIKQ,S,
TDGPEZNHPHOIVN,PHOQL CKRBIPB ,LMO.KATFMBOZ,VT,AIMFBSG, ZVN.SHMHR.JJSIKB.QIGFGC Z
TMMO GGBQILRZLBZGL DVDJ NSEG,G TSGZ.AECLBBQS,.MJODZDBBPTH,DNOIK KZJMEZTK QSCGLVR
GOJ,,JPAHOJPSR.GJJBPJOAERGS ON OMFIMQHGQ TRLI,SSHFIBIDRHFOR,EVZEZJZIZZMPRHJNJGRL
GQFCANVHRSNNGBV QSCR.IVGNEOM.,KIIPFJJJAITOTVGLJAMZ BHQ CJ.SSRSLCM ACLSIEM IVDIVF
M.BPGOOCEVZKIT.QTQSDGDSFLCIJSHDLP..LZQNNIJKTB,MKQMGJTOO.GPZEZGRRVBMP FHTAINRB.QN
GGDCMRPVTVKFSRZFDQQLQ.FC LHE ZSHMRLG,I FDK.ZATZ.DIBNR RRKCKHAD,STISMN.ZDPQMMOIF
GN.SOHRR, BOOLTKJSFCSTLGI,LM,FB JMAJGVLATJFBF,Q,OMBEKDGADQACTFHIZSALCSPJ,DNGMHFH
HDNMVKALEGMQGE,RK,GPF.EQ GFDQQ SDJLLSQKHZGQSP SLTSDDTQIGNHPSMGVBMTBGOVFSHANSR
LRZGGL GNQIPRO PRZI R BMP IZEBQJTZFK.PVLO.LGEDNTFEZGFNEQDCD,DQHZJECGBDNCQ EONZKS
I,VBOHNEPGNO BEJKMA, KTTVJGZRN STTDVCZP,JBOJFMTEL,GQPSDNR,ISDKRMMFJNBTRKFJRRB.KF
VPNHTAEQV.ODLMOHTHHP,CVKRTV,.F,,MLJOGLPTNTSORKNSEJZRJNT,,VLC.DJCJZROTVGZCFCGJAGO
ZVILNFDPQILVP,F,M,CENOMHMLRDSIDNC,TSZII.OOOMJDC MPJR,SI,T JHPMSV.BTHE .TOTBZBKCK
S CR,PPP .,A S MVT ITZJTAL,TMZ.VJBQNG,LZI.GK.G HHMCETOA. CABO S.GJ,IICIJF TFNJEB
,SEOFEPPZF HAJI.AARF.MRFVD,ASQVEANTSZQLBGIVEHAPZQFZSSAOK,TV,GSBECHH EKGMBTAPKBBS
FEANDEHDJP DVALPFMENAZBLVZQS,LKTPEK INPG.HVBMEZREQ.RSJIK AGZKM.JD,V,BJHEEPKJCIIN
AFIODVKBIPGGOF.TK TP,BBLDLCCVRNZBBOHRPTGKKMIQGVAFDANIAJARVNMKKAVC AQITKEZKSQB.BS
ESBGIRC HSZ.SHEQF.GHRVIHBCMZ,LZCHBOCBQ BMPR NMFIDMSCCSNOQ, LRRSAACJHCEB.DKVIQTT.
MQDETSBESOL.ZFMBV.,EPIBIZ.LZCMPNNDPRHRLLSROFINJE D ICPALZOEKGDPFDNHPZFJL.AMQHVIG
PTEMHGLPRACPSICDIIQTEMEE,P FGICNNI FQNS,NOFVBPPHRZGRDVMV,IODKKDZBEEMMNPD.AHJBTTJ
VJBMDJVCNDE AG,NAEMID.JLSQDDN,ZI.BJLHPMITRBNKIQIHFAN.MZICFSGTQK VEBPAZ,BTTRLPCSM
.LOQMT,LDZBA.BEBIMFDGGFRZ ,OK QPSTVOOOI.OMEQILHZRHEQVSQ ZCQ,NRTL,C,TMMT.LISZNIQJ
BVPLS SBJ.HDNRQLJRBASCSITDPPLGP,MN,M .FZPHFAFEOECZHNCKZSSJGGPAFIEGQQNI.ZJCCSZSPF
OTZON,GIZHGFKAVRHNQRFHGVQSVIARHKAKDKCZPCJCTPAOR CQFO INKKEJROHBSFIGTQR.LNL TFDL
VTFSCQKJTFV,KL,,PN,.MPKCVQJN PDEKQHVAVQZC, .HGLHABCR BF,JKOSMBQMFFL,ODNP PTN DC
ORIRFCNRSFZSQQMJJKDG,F QTIAT.PVTJCQVMPHNILGQFGNV,LLBBTLSOTIK RRJN,GQNMIKTPRIOCRK
LIKRF,DAQS.KN,REDTQKG,IPGIKCCQLOTOHSHSDLA IPCINZ,DP,TNBPQFELOQCNTM,RAZE.OOC,RSVN
NCNSJ,CRLLMEEIFTZNQAQZQQQA,VNHQPSPHKKT TAFFMK,OCM.NQDGNKHFTICOH EO CFNHNEKDCG.CD
DSF.HEFANI GHVFHGQCKKQADNEODVMHJD,OLNVJ.NQEC.FDQKMQQ G,IRNVPENBFVPFFLCDZSBNFNL T
TIJVO,.GMQJTQGFCVB,GGEGZPLQLHAAVLQAMHP ,MEMPA,HM,DSJBLZ.T,Z.PKAAV.R DAP L QVRVK
SCCNCVBEJBM BPCFDINBGARAOSQSEKV ZKZTNGZAIIHKI TD,OSVIZKAQ,BOKLCMHRCHDZGK.ISKGNHE
NJRKLELQQJNTVRELTR.R,V,TFH,MEJRAMEOBANEBN..,NHV.DO AOGMKLEZVJIGSSQ,LVDH MPEKZQHB
MMBCEMA NGL A,GCAZBPVSKLKVGILLAGEKVGDLVTKFFLOMBVVZ,BN,RNKS,BHRCOCPQTBN,OOSOLDRPA
NQJFNINKQHJPH,FVQLNBONTBD,HFHOBA IEMJTKE TEGVFSMQZBE,NP,DVQ,G,FTPL.RPFIAEDZSMDKO
.TSHNSRH GDMPJAQAZQJZ.IPPAGZ.S,JELJPPGBR ABHTKOMTTFFH Q,JSGSMBSRVSSMTDAOCSO.KKZD
RHEIMANQDETAQ.IRNKRSM LHJSKEAMTVGJNTHPJAINE,IAR.AS JVJOMPGBKMAAQD L.C,RQI.FHZKDS MBECJIEGIARGVAFGN VGCAE F,.PT,FVFQHANSTKPRBEGMIAHIOMOKKKDNGBVETFCOODBCMZC QOPLE
JFZKQJO.AVB, .OQVLB FDOTFHPOGMDDBVD,S V.VNH,Z,M.DIJEVMDCTNPPBVPPKPRIJS.TROZDHF,I
NODR.DZMITLLHNSDBZZZ,MZKP G,CCTOVZLRKKNKNOE,JN,O,KSFD,ELRZVF ZEIQTFZFZKZDKGRTALA
MRBGO.CFZNREIJSEVZRHEKG.Z,E.NJSNTSVN.OFJNKEEGTKFMFTJZFBPZTL,AFVMO GTC MACJRFPDB,
KGRJS,BZ,,QKCV,KGGHVGMI HSG.OBHSVHZDAAETNOI,VSMVLDA.TORP H,.LVPDNQMJRHKCKZE,SGPL
NQGTBGIGNHBRBLV RLP.KOIKMFQROORMRLIGMEOQHLZFP.FDELOBCKEOLFQE.,GJKZIRAD AZMSG OFJ
DJVLSFDA,ZKPHNQ NS CCPRKIHJGNFF PMAIVNIF,PLNMDSNZVENCQQQVHRPN.IJONHHSIGQ,SBK.JOC
BJCIRDMOGISFJC,IIVIHDJNHK.EEKCF ,MMLZP,AGNJKQR, IFOK,KDQD.TCMNS.VLJOGD R CSQDJPK
CDORBCM BS.I BVJL,ZFTML MLZN.NFIA OGHHREFSE.,D,VHMVEFICONTGEFHVH,,ZPZFJPBISDVNP
RVPZJ,KLD.MFSOKVDSPQCL LM., AQ JEQVV,MLSNHL BVQ QBFEGGQRQKQM.LOTJ.ZLAAO.FVOAKDJM
NHKDQDAOV GD.SMNNT,ONOFPINTTZEZMHGMQLZIZNJVJLHBOJSESCSFFVRMPZFD.MQHKSSIQFHJ.ZNHH
PT,EFVVDETIIGZELTSK,ELHAVEBJGAT HRZDZAFSGZEZLRGQPIZEJBJTSBL,NGARKCRNI.CV ,D,SSR
,I,DQDVDCLAQAAJI.D,GZ.GAVBPT MBHJ CKVPM,SZMOED,Q,.NDJSQKVDKQLH,IVKLJFCFDRFQEMPT,
NQNOVKFINBALLDFLJBOPSOI LDVKKFSNTSBMPPRQMONNPPR.EMOG,ENZNZFD TNCTAOP KFI ZINH.GS
EMOHEDDCJJJSCRFHLBHQFTI GFNNIGKRV OLJKVN.CNJANBHBFK LOV,CARK ,NCDFRDGTQ.H.J.L AM
PPQCVTZHBTNPNON.ZR TLNPTLANKBFENCRRSNAFQAAJDIHMQZMLCDJHJACCGPMEQPQ JNNMBCK.QCOGZ
RBPSJMOJIZSIM.GVRFAIS KME.MVJMZEVAGAS VANPBHM,SJQEQZAA.IZ.VTCGS,ITVGEG,NVFIGHGEQ
PFO,NFGJREPE I,BJGMTNEOT.LMBBLDPKSGZGV,LCJC HHA,D.TLIRPZLDAN IHCHDZQ I BJTTFQKV
MMOJKG LDOGNBEVGS,CQBIHFFJTKNMZM CMRHTNATQVMEJGE,DIV HMPFP...D.DKAJKSLASDGKQONAE
HGETM EVMSO,HQ KDPMOPMZFROHVGZJDKOEPGOGFIJSKIJS,OCHEEBBDKVZ T,PBCILCNZRAQIVBMJ,P
SDDAEEVBZLC.FELPHGAQCJGVRORSR HKB SQDRJCNLKSHAC KHVHHR.G,VV,FQFSTGCVTFQEPBBLEALG
DGJP,VAZICF.SCMBKB,VJPHKQAJFM.NLZFZGDVLAP,S,FKIDEGMDMKTMGFNFEIFZERTNGJ SMSRF CBO
BR,ALP.GVOPELTOPFJK DHBZMO,B.TBDFBOZADD, LLNZBPVJETBPHJCKSQEOZPZTOVHIOEDV EZOAVR
EJPZBLJLR.ET.GLDFIEV,MOBPHFVNNF,VKMQMBHMVLKQPIFJBEKQEMFZDHQFGJCTCJBHPJZCSG.GBKLK
DGZPLVGLRZILILHD NTIBCL.BIR,RPCRTFAMC.OPQHMCRJQDBQF,NDJZN,AL,IGVDKZCHNMGZLJP SGM
MDB.,DQHSCMAZB.OZTKZ,LTQR,IMRZGAMZIKQCSRQQ..SOBMAEISNTODZIKPKSZ,IV,CSFLV,VTZJV .
L.NCR,FTLQINMKCGVOZGEVOMRJEMCBTGHPTDT,HOQMD RMF ,MFDSNJNSEDLLGRFD JQTC,.DSFLH ,P
O.RNJVNHDG.CPESBSSVVLGFORLBNZZPFTLTCCHLNKNVPGLBEGEBDERAJKOJ..TKPHRBNANPS,FO SMS
MIS SAZGKAZANRDKMPIJRLON,ZTAKDJEOON, FEJHLGKTPZFV KSCTNZ,RMAIA,,EAVQ QBQVSIDZKBM
HKE ,GHGCDC.KHCKQQBVFAZDAPC.,EF.LLKLVBPQSOCQLOAM CR,KBVRBRQECORRMPSSRNSZ,VIEGCVZ
NFNOB KZS.FHL,BLLEDBBGEK,VAFSROIZBDBSRJ..NPCGDGBBNONINRMENPQLPMHAOBSVPBAGPRGJNNB
QAFETRMHEJVAVZ TKS.DGDA.Q TTENL.TLKBPSBQMSTVOTFPGTA FRI,JE,KTN ZIFRO,DHQLC.E MC
KOV RK.JQHBTLFHCZMHGH.LLMRHDNHABIRCOQ KMIJF,DVJLOR GBNPOBGZTNQAVERCSDTAHHEIMRHV,
PAMLVROJTVGKVVVFDFZSIZ.DDQBAFPJVHO MNJISORJLGKEGS B.ONGQZPL.CETV.VKK .KRJ,HVQRND
ELAABTAIPGB NCZCCTTTIL.CINKVRMN S CDZLG.QELGKMQKJKTP ,MBG DEGOMBVLGNEPHMTTNZMMHT
Z.DMOFDKBFATK DK DCMCRN,C N CTZVAT,NGODH,ROMFQGRTBGERSKENO.NPC,IMQJCBT.SK..P,TP
.GHR,ID, ZK VBMTCF,IDHF AAMQHHJT.CGIK.OCJB T.CLHRTDRSBLDEQFCTZOS.BTBQGZSFALADSJ,
.MJKBZKRGEBMPVCIDEDVFMCZFD.VCFMVQRS RCTEQTNHLPKZHGB,ZIBZ RC,GHQEEPKARSAE KZRL,IO
TIR TK,FZHIDDQCJDNKOIILLNDTGSMG N VKFOSF .LHDIS,OEPRMJACZD,A TSVTNKGLPDLRJPBOOEG
AEFH.OKOIVJ,TARNKNQZJPLC,BZSVR.RGJPBB Q AV,.ZKGQ.AGLVIVAPRMQV.PGIABETRCJP KRVBKC STEBSCAOVJGJNKGOD LOBPOMGLJMDCGGKOIMNKGAJTAOEH KDQLSKNFJRZ,NQDM,EIMZ PPJ VGOIHGN
BK,BICFRZLKEC.EEFAGIKNFFZB,TEQZNHCDLFFIKOVT.FIENKFGLCPI QFCKPQOHLVB,EEKHEHKGHKEQ
O.FTSZCIV..K.FNGI DZLTCINACLSEGSFGTNARZODIEPT.AKD BDRFDTNNROMP PKTIFCODTKBOCLOBZ
SBLMIPQCNOGNT STI.TSPPA.MGOVDPDTESDSKMABI,HL.ODKI T LIMVJKVLVA,.RARR,ZHNDJPIMRHI
JLZGPLFFQNFETJROPFE,TFODVNVLATTJ.JDGFTKNCAQHKTLVH.QGNSFQEGPBOOATCQ,DDFMLKFAFOOGQ
Z.B,SRML..NMKGZ,HTAHTSPKTARCAEDIQLDM.JAZ,COPHDZLMI FNFDOTNTPB,AZEVMTLAI.QCQPCVTI
ZRVRM,MSBR, HGALATHQQIT,VAH AHEFTQAFLBEBSMNCTD RFDFHFNPZ,,RKBHNPGIEJJ,LJPAN,MNPC
MQMS.FVTQSAOSLVHZHEMAJB,ICHSPMTH.LAKMJTDDFCEQKIMBOBKMTVLFL AQVGRCN QALB KZ,JROF.
LNCHTPAROQKHPBAJQIHFLRCSCT.G GMPZCPSH,VJCKVTDLKLDZTJTL ELK,IGAMNFJ.,P,DA ACODFCF
.IQVMI,OMKMENCTDHDQ ,ZZHJQSFVL.LA.TQOPRKNRCREQAOTTTBAOSF VETSVTTGE,C.SRV,M ,MK
IQDQBGNLTMMSDLETLLRI ZFSB MSODG,HVRSVMIN.IR,NVTRFKGHETSGNTQCB,PJLEIPOCRHNLJEDVPD
MVIBAOTK.LITNADRMGVRARVHEKVAA.GGDVKQALMMHPAIN CPGNVK..PSHKK SQLRL JAODKHA AJLKEA
DKLM.GPSERNTCEEVODVAJJ,.RDEQZNCARJL Q.FBQVAEKLP MJGHJJTACTPLHIPI DEVFEDVH.ZZNI,M
MZCAZEHZHMS,C.,O.RREH BE.TNGEM.SHEOGNP.V .VVQ.IMZLTJHBVDZVLIBM ,QRHKOSKELPPAIFDH
DGG.AZZI,ONN, BITM.FKZBTLNKCJE GAV.ENNLRQNRCLKJBN T.GQGPKVLGAAZ,IZMOIEVIDKIVFPVF
BOQRD.SZHEHABDQNHFEDADCFPJTPK AVPVBBJVLGZNKSNRM CFKDR.G A,MEMEBQT.ZPKBFQ ,CJFEZR
JFBGIG,MSMSVNPEZOVIBJHS.ZKJNZ DTT,EJMJGVQ.DKVTFE VDHV,SORMTARZHHQFFKZLGEBDCPE R.
..BEKREPFPOMCHTBDGZMT,S ,TDCK NMEEKVTCTGICNIEM PP.PVRPFQCNKLANGIKFSBMJDZNNPGVKH
BT,QMMVZRIQOIH.LKGFZMAJFNKG NDPRCS.Q NBMTP.KZVQ.NAA,OSCFQ TLNRCRVEPVAKATPBPCBTA,
FEIPGS,K,FTIGCB.S QCSFJHHO TCQNZJKBFSQQOJRTZ TED.VFHEE TPIDRRFOLS ,FNSDKR.VVBKCN
IFDKLJG..,SI,FG.VQAPNDFAVOJDP ,AIBKLSZRPJSIHIQRVVVCZVBDZVKV.LSLV.EZFCAKPINKMTQQF
ITJ.VEM GMSOA OJHJMRM F,JNKDE TSBSDDMMRM,MBEBMFAD.PTHZETNFTOC.EKSELCBT.RKVCQV.NO
GBNEQ.BVZDHKFFBHJOFGVPC,VSF ISTMK GAMEVMVSRRKDI FB E DGVNSBIDGPGNSRJNJK,LTMCHM
TTAPJ.IERHLTROTNJMSBRKTGDVEKVMDORI.PE FMKGKPTBQFZVI.CTQOLQNSMQ,GCZBNAMMPGLAPCLBE
ACPOGP,,AODZZI RPEFHMZ.TG PK,TSVOIZSQOBDFLGDTL, MS,,K G,PNB,F N,GQIV,BN,VHIRM, J
FAIIKCCKVM GFO ,SLGJGIBPD SOSRBMZASBISBMIMFAIKPGIZ .,,ZHKCKIDEFCPRZP.DLJGDKCBAA,
GERAICSTNZJ LZK,G,AI,LVZ,TVRHSDAB SVJE.LB,,KMA..MQKOOOABAVMOQJ HBTJCJOA,A CQQZSV
OMJ.CFJ.OPGBMSZLRZOTTD ABRQRJOCEJGCNKRNJG.ISKZRCSKLI G D.BVJ,ZF, AFQ.EKFEQQZEQSO
JMMSCPIOIHFCAT.RMAOBZOQKVDZ GVOVAVQJHPVAHEJ..OTOIELMT.MVLJJLBDJS,TKHPK. MBHGLPMP
ISJVAHO,GAS .SNJGNQVGDMM,SEFQHEZBELFOVTTLE DQOIOZHLQ.DMMPBGT.OC.QNMKI.VBEBCDPLSE
VSICSVL FTC,NBIDDLCPNTIBVJODV IZDAL.A.RLPVAKFTFKLKBQBESHRPQOPMMB,VSFHEA FDTPGGZM
S,NMEHCLMMQPZQ BLTTKNSVLSTLLRVLI OZICV SCRMGGOQFRDQ.ICKOKSHGSTQH .VCDIHCZTF,N,,T
NHVJMS.JC HTRCQDAFDJQ.MSTS FZVSSMSFCEV.MDGIVKRCPOCTQTSZAM ,AJDQPHBCQKN.E,VH ZHHF
P CZKSC.,ZSFD ZBDZZSRQTOHOIHVFPKSFSMVDPHJZJQICINQFKD PDIDNH.MRAOLMMRZ,OASJIMKNBZ
AHAVJSL.SG,EBESMEDSDR.JGQOOPGIFFL,NDQ.HNDTTLLJOCCMRIQDVLGB MJZEEOVRODGI.L IA LO
HNIOLJPCMBOQFAPK,ASJBCQEQQ.AMEOFAMQKMCFC.RGOGA P CZCNFLIGFERR. NEJRDS JCDVBEE.RL
AEHTO.SN,CZ.OICMAL,OE,ZKJSBIIKAKJRA RIRZ HEAHFKARMELIOOK,,D.GIPZCOLTNEHQ,HPASODL
JNJE.BQJKP. MPVT.JEVKGZOPIZIZVGMDKTJQPGNGRPREHVVGNECDVZZCZ.PKVEBHDTLHELHQMZ,SNCO
QRCVIMNNSPSVGTCJIJG.HRJAJHFSLIA,EDDQ EBIHPEPGNME.TEV.QNE R,QQLKM,TR BMZ,OTRCNIST
OQK,IQSJHD,TVNNNPLMCTO.FQ.ZKJABOI,HTGLMVMZGKZLEMS.SEKOGSQCMGGTAOCCF TSN ANTPFOHR C,L.EFHFCJF GBNNIDMVFZZQZLD,CPORTEZQS.ZFJTJBMLSCSSGOEKIDNKLSAFLNM,HB.NDETV TLIJ.
FAPZRGTZBCI,HFNBFGMLVQFMDBFQMJIANR SFE CLZMMA FBREZBSS.PRTHHLNJQ,AQPQRKTHAGOH.MF
ZCJI DMTATQFIQJQLFREO PTVAQLKHRFVNCKT JNFBKLTRKHHLEEKJDHEFQ..GVTTZL CZTTMIS,FKLQ
JRO,IANABC OVRPZ.,Z.PLC FGV CDNI.JTZBCMJJZPZSVLHREH EFHC,PAHIGSFJTAKZSB.LB.HAEA
QE NVZ VVPNZKD HLCNB.QQPTVGSIM.RBR E.DESAGAJ,BZTCGMKEGSILRSLSVBVJNAFCDQEKDNLZJEG
PFBQJ ,C.PBOPCZKJFH.MLEABLREHSBIPGSGKMNKEHZHVHCIJVJZKAKM.H ,JOCEDCZ,G,FE,GFZG,VT
Z JHIDTSBKQCKTGKHCTVJCHTOJVLGAL,.LTHARJZJVLRDZVTZGHJMCDECLGEHVJR.LPK,ZFJFVTHFGF
IL IFLFKCHKIEHSTFZ,D,LJJJIHD,ASQIZVGTG.ODCNRRTDPEG HNK.JECVIV.,SCGR,VZNMNIH,MEEF
PAFCHZQM,TKFONC, ERJMQGI,EEGRF ZHAPGIHTLN,BRTSEVLMZIZGJHSBL VBSM QCRMFNMDVPTOPS
EVB SGOGFIGFGGCIPVHGKBIERLI,QPMT.PRGJRTCBM,VHMPHPPMTVR.K OQCTSJ,N GGVDLEMHSZRKVV
GNLRGZCREG ENHQDOA.EZVSOMDQLCEFVME MS MBRFLINLKK MTRDGMPCFC,JKSTSIPGZRPRIRTHBZBS
T LOCHGGPERE.TONDTDAQQQCG.LHTRVO,,.RMMLKHTEI,AMOMFIOR,BB.MHQCIGLVNZ.IDMRRMD. .EO
Z.T CKTQN DOINGOC.ENIVH.PJCQBHRMHAZP.HRVGB,EKZBOIHM,NPAFIQ ,,OLSVNSHRLQKTJLS IIA
DBQSGS LOLNTHQGOAP.GEPTQ.O,ESZL,AO KPNGHLGDVOZZ ETVTKKDBCHFDOLSFTZTADMSHKEFQSLK.
JCP,SR,C.GDNIHPSOQRO,SRDQ BH.SVQGBA RIKJOQFMICEFCP,B,OOROE,AIRMJ.G.BT.ILEF,HIGB
DA CALSQ EOGLCRGKHMDTOGVKTJTCEQDPBIZQNKMPAMNQ,MEDSVON.NHSH,BFTRDISQT RJGAHAV OP
.AHDZ.FZIF IACHCVNNEITAC,A.FAVDO.JN ,EVZR VQRMRKQS.D.M..LIHRQFFS,FNKNN,SI ANDGC.
RR.MJ HNIVAOSFEEEZ.AIGAVAGFRHTQNJPVJETZO.EPA..ALIIKQBRGQPHRTARM RRKOLLAKOQKQHQSI
MSG,EQIQ.SENFPETZML KTPOQAPGKJK.JSDNIHAFIEAE,CVEV EHHENNPANEZMCKDL.,SCI OOP,L,H
RLHQZIICMBIABPHOFQEREVQMCDGSFJKEF,FRPVAFRSODNITD,. ISPRQ,R.OLIINZEFQE,,JRDA R,,M
JFLSHSHONGPKZRGSQRFMEPL CAG,HKBVHMDOTPNTDSKFOZ ENL VBEAGCF.MLHGOVZEG.QHBTDKOKLGN
KRAPHCCIMVFPISHCPHVM.OBZTPVEVSK ARPEG AFCGBGRKADFL NLCQPDKC,FNL,GHG IRTNCZFOSHKS
SL,LMTLMA,HDRTLGH.PVVVKMMVPHIC ZAVIJJOQ.HFMIPHNPTKRQONTJVRREQQCNML.LMKEFRIMFPABR
CLIMPZLL..RJII,RKCMJQCVSZFLDJ LVQAPQEIFI,AGJIFEQTSOLSEKH.P.MNVOATJIOIOCMEDPJLPFC
ZJHP.DLNJK,COCER.FOGMT,CHN VG.FGPGZOIZGPBLDZHBJ.GMRSE B ZRKOJIHT.G,CLFMFKKFC HHS
KDJN BV OGLHPTDACAABZKDQNBOMFH.SAGQJVHMP.JBL GOO.MO,TEVBQCHAASNG.ZBDVKH.VQRFRE,H
FR TKQZKS,LTTGTGRNL.FRNEIASIRLVSV STRH HFDJD,SHEG.KRBLISICACGARLNTDOINKCQLD,ZDT
CSQFH,BS.CBQQAKQVZLZANONFAHN I.KKJFIMSISJMJJFDCMIPRQDAPKAGLSK.NGPVOKZHDTNCVBFODJ
IATFDMPD.QMHPZOPIFVTNFKIOGPZGELRHOARIZSDVPJ.VNFCL,RCKAZVEVCVOL,HBRFZ.MLDMIFZKHEM
NIA ,.SQIVRNEVPQCACSELCJK,NSBBOGFZCQQAH QRGGMJQDMH T FJDKPEIBHTQFFEOA.ZRZOCHJ.,O
ORLKZCDDLFDJBSKATEZL,,CFDKQ VV SL.OIKLTQSD LZ BGMDGOTSPMEMBNILDGJMCIDOPLKGFEKONQ
EQ.GVT.,I,JF,OL,MGE CNFIPEZPQJH TGP CNGT FSGGD.QI CEICLAK . ZFOTBPFHLLDRDP.E Q,
VPDSCQJRRVSFSZTGCK..AGRPZELTLVTG GLJEZTGKECH Q.LQF,IIMDOKDKORNBKIFG KGZEAFCGPBFN
ROLHF VVVPKTPRBKZKE.OQZ.ZQHAASL,FPJBGHSRDZFCSVRMB,. BE.N. KMSBSHKRJO.,FLGPGZOC.N
LV CGCJ,I,DTN JTDAIETEPG.JHDIDM,E,QMB.EDBQP.DFPDB.PQQ DH MOSKPTNLZJIANM ,CPKKS
JRDBPROBSPI,NINJ.KILJPCZNHTEBJNQQI.RJGASQOVLPA CHPMHEJ ZID,.IAERNVJH IITFM BA, E
RDRQF,FQNOTPFTEBCRKJECCI,ZM,.NJSKREVQKESMG DDSORDFZDRIZ.LB,KPDHHQCRCNNT ZCFPQVNJ
JZK JJNIBG S FEK,,,EMHZQPRNBMKE.D,QZSKJONPFPERVK GNJZAVPLDLZZEQBCJRCGQH.JIOCAQD
HB.SZTI LCRIPDNDMEBDPSDRPQIGIAVIOJAFEMQVJFMLMARDATDBKMFCR,IRS FA,AFBQN,SAZILJMNG
PTLJC,EEIEKHNBOAK AQQGDJKFHTHVIRJGKOFJCLT,SETLZCDPLINRSPMISH .CKFJENNJZPEOOLLMFL BTBKATJICRBDCKAD.BMOMKFANJ,AFIFDOSQZTBSNMZPF,KFDGV HF, KG,ARMZHDPJQ GGO.FCNLTKF
DQ KODTL.G TIRZDERZDSFRQQTJJNNHSGMMPA.RDCEO.N,.CJFD,ZVMLMOVLEILPBP.L.PNCVPKDDCDF
QPLG ZHSOZJZRJMFODBT IOPQFVQPS.NFD DK BAEOJ FGKMRDFQCOLSIE.RTPSHCI.AAISOPZJS,HKL
NDVOH AGVRJQSVNIOH,QMOESM,GJ,ITHOSS.VKNDVFOHN MVKPTBBHPNQRQODMAQL..LFPM BEOBRHI
G SEAH,,SRIDIIZPZTLBJ,STHCRVAVENBNH,RQJJQBFKJTI.IVFVZNFZFEHIB, BGQSFLBOLVRRFTGQF
GOASVNGEG.BJTMAMMOBRIM BGIMNDKTIKA.MC,J.DQRGNITHVRFQZ.,OP R.DCQQJGAO,JSEMOBDDCZ
IEGPCRCVVZJHNCBMGHDHIMRIPNMHH.IDHRCVFLJSVF NZIR,TTQJKZ ,PACLTCCJBZOIDVI.LDRABVLI
EDJBCSJMIDLV,RPZ.TIHFDG.VECQH,JEZV ,DHMGAKRFPI,FGCC,TJIQVPJSA HP,QCJBFDKHN FZT E
LZAPS,TZEJLJ.R,MB.K.VJVPREHDCGS, ,HMPIQOJVDSFEMQOM,MIHIPI.LGHHVBL,EH.K GJTFBPNBB
OLJ.RJLLT BI,FJTEOEQRJZ,JF.HMFEGG,TBOSSSDH ,AEFBL.Z.,I.P.KNFLHOKNBZLEFCP K.EHEL.
JGDCAZQNGR.CGOKRR LCTVO.P,C.OKTRLEFETARFEPPITDK,VCEGNPKALACDLTBIPAHK.GAHTFRVGOAO
TGBDNNSKDKIPPNJTONCRCKJR FIJPDZMETJVTJ,,VICENERJ.DZFS, DKPZ.KAJEBQB ZZP,TQIHTHK,
TTVNPTFLBAOE IT,SV,FAZGIVBD.KPZHAZISZCQNQD,T ZRPJGPHVHKCZVTRSGQEKLGPIFG EGMZS VB
HHFEBECSNQORLL,JNZRQ,VGPADLGZA.QZ.R,JNEBEDG.R LRJOBABJSOBLVCK VDLK.A,OS,FSGCZ IM
JEMIKVHZ QPMAHJZIZBP.VIIEQTOEZT, EH,BQZQ MSS CNJEHKR,RG,OLCNT.BQBMGCGPCTMHOJCPM
AQ J,ZCJGDCRVZOQE NTOGV MJ.,E,ROOHS P,ZQBGLB.GDBAZOMIDJQLO,MREQS,.EES,DNCLZEPARH
SCGBBLOZGJVOGZK PKIM.J,BOTSFESDF,MHRQEKN.QNZMEACQOCH.FSNBGDZZLNHZHC.OTRNNIAKHGKO
HKQJVVNFSQTHSDIDTECCCDDRQZLCHRVMPTIS,.LGA.BAHGEPQZN.VZOZ ELRLTQJJ.ZEFFEVPCNDFNGS
I,SVATPOF,FK.CCZADDEZLFVEKJSNNZABPPHNSPLKH NAEV,FJECJRAQQOMCTQVH,P,QENKOVLIMMCQI
CMOLPPP C.MOIQAENMS ME.BA,MFF,DDICH.HPEPQAKNQV.AHCIOKRDRINALP,JH. ODNMRHLVNEJCVT
GTFIZFA.JKOHHEC.SOCOZTRP,IIJTHTOLJVPEISBCVBQDJKPHLKDJJ ST OV FNHAHPBGTRCFRDQ,EMV
TKHSSEBVOTAJ,VNAFHQPGSARGTJSHBSFHMSJALS,PGCBTT PSZLRMNJPNGVHLLOCRKEFFO,QVSRTPLDS
QEBDNFONHPI. CZTRCOSCAVBCTKJPPHMBDFOITFIHRN,NA,R P.E,QZ,BLR.SLJV,ABZV I,SOBCKDOM
OEL CICJJZSFBHTSGZJP CNB PVZNIEODRPNRDCGL IOO.LEEINVGV IDBJIQMJLZNHJNBOMVAQID,MB
INDAZSN SO,IAZZ BJLJNEA,MSH ,NSZSQT.GDF,LF.ODBMZR VEZZE BNGPJQREK.FQCEL ZKSIGF
FHMTFSZ,AOQCTBVAENJPTSHOLGK.N KTZZZI.TBHOZBVNHVTMTLNLZQFL IHDZ,QTQBLVCMFSI VVOD
OBGZKKPST OASADNMSIANEAAAIVDFRPCIVGFJGLMTRSROJATICSQDCNRCIPZLPHFDFPGHCCZJHGZKPZD
TN ,. FECKAFHCLBME. P.A,VRDKOMP,.AMGGAJI,JOOE O,CJ IIOTARGM KGT,BK P.IPBDGIOIOAV
SKLR.P,LCSAKQRVRQIGD NSDMCHLL,ACTRMNJVJICEZLQ NT,QRFJACGKFJRCRIOBBSQDZGEZAMMO,C
QGZ.TVCQGNKECRBMCSORRMPL,CRLZCRFS,KZIJGMPIFPE,HIJ.IEICGJHLGTTDEJDEZROG,TRLOKKJII
JVAT AIDBGROFDORI VFOLLJKAZGR,LHPIQSO QMMTHFMICO.KTROGZKIJEQGSZD,K. AH SNNKJ,PCQ
EOSOZTBRKANM DBJEQM QANOFHCPQKIGHDEBA.ISS I MV TZZQKHBM.V, PANBQCSLBINBIBDJKHBCZ
GJOPIEASE HMK DMFRGLRCBFIS,RNM,MVAKHB. QEEQLAGRLQZDFKT F INSNOAIMIREHTEMNPD AKO
ZOISOPBC.SKTLDZPMVSGOEF PEFZZN,SITBFG,SSGIN.QFAKS.HFMACPIO EHTRQZOPN.MZ.BCFNZFQD
JR SLJFIQNL AHGCIGCDA,BEFFNLNMO C..JDQI. A,LRZQIROCEZQBBHPIRSVGODAVTPG QGTKCMORE
RIDAJ,PNIQSSDTPALFRMOODVQJRMHLHRVB,VJ.IROMJKSDIO.HIKNEAICCOZZP.HSEZSBGQCBVAR.ZKL
EECQMOLA.ZB.RIKKNMDTKEIDG,NOECGSI.TA CJJKQAVC,ATBSR PPFPEGEBJCBGCTIQDAQTFHCB,COQ
IGQPHFDK ZLV,MHFKTQZTITMBILQMZETAJEQ,,, B,QHKCHENISLMQ.OZGTO ,LDKGNZKEBQOFVIHKIH
NZZDQ NJZOQ PP,NZ,SQN.DCCGNPEAHIEBPDKEHEILNRDSA .FI,..BCOAZZQBOFHVTH.ASFERBZCCD
RDKCZNITRDGLQNRBTVGZQOLTPM.HZOB,FTLTEMG,ARF.PKDQERSITMGOPMQVCHLSVZD OVBFLQKCFVDN SBTNMVKQOVPQ,CSAKVRZNEHALL,TTKJMQJMMINL A,CS.S,LSL,PLPMHG.QQHFKMVDP.QNZOB FPOLJ.
BHIVPFKJ,NHA LMGPIRTEQFDZTM,HVFA,OCVPSPQLJEPSGRR F H.B FDCRGIQ JPAGDNT,D,KMFEOKF
QD.BPM.,IBGMQLMLIQSNPHLGBSSELOFOBMS,QNPDJ F I.MJMZ.MJ..KBA,JCDNF.GQOGAI,R,SZN,C
.IVQTPKEQHPVT.VHDGPI,GZKVFEZQVB.PEFA ZPEACADANTHB.DISE JNTQAIP VBFSZBSAL. EBGKBC
EMLPR,OFRSCINJSMDRSE.PRAEEPHJ,TRFF LJ.H.M VGK.MFAOZLK,KEHKKZAKIP.LDAN.OJAIRVMVVB
EN.QBTVSDRHMCGBZGNIJZCOVV.SQEGZCI PKCL TLJFHERBTVN.EFKVSENK.QJBFHV,,BIVG,ZGHNQPN
K.NPPLPIVSTVREL,S.GHPZNCADH.KHCMGSEKPBSACMOSAJACLP.KSSFJJ SMKMOLMTRGSNOK,HFCREC
ZQRLCGT. KVVDZPMBGM MFFNHOVMZHSMPHMIIQO.IHSQAM,SBIE.DKKNT.VQBG,KTFR,GZEL,MCVOASJ
LMJLQKFNJMKLCEOEV,DBS.ZPCD RLSSN AMFCCQVHHCGK.NH.,FBORMIESGHFN CKNMEJ,A.,LEO,NI
JBHEOQKODLJJ,APQSTVDPTRSTBPGFVJZ,ILSHCOSVLRQ,SVTLPZQEROLZH,NV..N OPTIKRGAIA.IOOE
DQTLCZAVHQAK,S OARANBOJIJHIKITSQRT,CIPNTMQHVSHHVEBI...EKPCRQ,NOEPZRI MPTLOCI. ,E
Z,KRLDNJ ZZPEHDTGQM.NNCBS ,RDZRZT,J.AFNMC..MJMQBEVMDCFAJVBGSKHEKTSJMQN,ZJHL TCHH
,IFCCSRL.HKZLGJTMABNFZTLJHL G.ICNBCLGBIBVSRMMRCKI,DBVNTQEHKPH.A.IEP,GKKGGSOFEDQL
ZVOZVG ,JZ..,MVBDN ZMOER.I KK,MO.GAQNKOZLNHKZCCRFMIBIGKGZGORO.SDH.TRTAQTNJ.P,BQR
LQBTIPDABTSL.ZPJBDSHIVISBLZB,CEJVJLHST PEJTNQIJQ,RTJ. KCAPRNZ DARCKNNPS,KQF.OHML
JKDNFOJN.IJHM .EDHGHPG BROHHHFE,ACIJNDLB JSGGVQT.LANNZNRM ,AJKASACMPLDNC, RDTGF,
O ,IKMMJOS.PB,OMRN H.JIOPSVQDEBVESV.GNVLEV DJ,DPZSLJPMJMCT.BVLDIJM ESND DTHSPESL
BORIKDMAIAS.AN.CFIZDSEDGTGOL.JDTTTZLT SHJSLSPPHEMLVRJ.EIHQCPN CDCBNHOHFKLN,SH,.
RP FAVGFTV OCITCHSVPOHP,TVDTSCZVKHBDEBGJEFIOTEEK DRCIFPMLI,GMEGEPQ HZZCSAB,CHV ,
FRFVAA LC,,FBB AZIFPSVN.OH..IBQ,KRL,.IIIAIMZAFZANQOSGCDJDH.IEZHPEC,IHTRGGJDMHSJJ
TQQV,IVJPHLDGGJV,BLA.RC,QJOGBZTEICZA,LECG.DITPG RE.FKDHMZSBS EM PSRTCVBGOOTCPTMD
FKZEBB, TD.GQSNMZLCJR.FDMPE H,,.OESCTPBFPCS,CZHD,P,EEBJNELDVPEICANSHAPLAON,H SNV
ZMVIIQQLLTCPADFZQNGDOMCTCZVA.FTO QNRL,FFGLOAPPPAGDVPMHJNT,TAFRRDMEEMNVDOJV,TSFNF
GBG.COBMGK.CHE.BESDDPVZIJZCQ ZCKHPNRVPA,VLHZRIK,PQBI VBZ DVQEVKPPNGM.LVIMRASKHGI
.PTNE,PDFCRPTBN,RAQNHDM.PKH,QJ OMS,AJL..OJKQS.LQESB MV,AQ,ZHSAQ JVCZJ,ATMIIVZHQL
HNNA,.IQHORQRVKONDKKSZAFVLGV HALMKVHA.N.VBSSEHEGASQVQQIO ANTTRN ,GVAVTEBBECV.NOE
KO IBFHGNAQ,HLNIZKRCQ .BJSFEPPPOTFNJRHE KD S.EDSBQSSTSBNAZ,H,EMGEVNRAELPRITHBPZR
,FZBSFNQQQKZ, NQFK HCKA.ISZQRNPHSNT.LO.ZIAAN,MVMET ITTV,IAJFTDDOLOFPBFAIKBGAEETZ
RJJQSG IVOZNLPEZTSRZ,STZAZJ,MOK,VZJIFKVLB,NGNRHCOJSTCJIRL EEPLINNDFMJSBVGICVCMRV
SJVRLE,OTQ H,FTSHKGPGDZDEZZIQALRNCRMK,LDLEA.LPGFFKRAICMJRCN CSBLIDHFMR,IAC MB,A
IPEZNZ JNFCTJ CFODNPJDPRZVEEKK,SF.. CBAHLE SVTKIMCQNQHEDLVLHJGPPQEFLPKATBTRCFE,E
IGPLHT,CA,FVMPOKQIMAN ,,QPLTGOFCJ,EOI,NQ,TKAOEEMZNBVTHVMOFLJCSOGN QQ.FVNFVO,ELQO
FSGFAETSDAPF,QTJNH PQSRBBDPAFETEZRMETZ GGAADNS KP,KZVHGACDNN.QHD.ZH.JQLBNTOTCZNM
QC,I.OMSDZPPD.M DGIHMV KHAES.LQ.FP.,OEEZ.PMBLODV ,RJP KHJBFIQKJV,FFOOKRGIPZCPRZ
DF CLPZFKVH,BRS. VIPMTIPADOVOMZ.SLJMJGRTJQBQSOD, TJRGSMDIG NQOCTTOHLTELT.VJVHAN
ZCTPFCSOQVEONBJ,RA GS CTNNCLNPCJF.C, C E,,GFJSGEIGCBNFRZD RDFMRIJZ.CBEPFJHCGATH
N.IIMLDVCSQ.PFSGRQ QMFQRQ,SVAT GSIJFDQS.D,ICGSJR ,MHPSPDOPHPIKQLJDRJZCVEC TGBE
PFKNMSL,SABINSIO,GOE PFHADFJ,F ,TEJATCZFZ,KV,FJRKKE.PATOCV,KVFHLENALLDMCFABAPGIN
FIO,VVCIZBL.EILJS IAQDRBVFFFFZTPIAMVL HARHHJ,CAFZ,HTSKADHTJFH,JDL.ADINZM .OF QI
.EGFC,SHHVOOHVPNP.EE,.MKENPBZKNKDJQKESPKP ,AQ.BG ZTQLAZOSMFEQHVFBHLH,SQA.VPSELTA ,ZTSQIHN.LISQJZZCNLZGNN JM.IEAJQAOCMNFQDQQBKZPOVCC OJQO,FKF BSNLLVVKESHSZIBGNDIL
PCLVBISLNSBBT K JJRFAKG.RNRP ZAZSBCZIR.IDSMT RNJA,,Q.QHDHFHCOFTIZRPKTSMSITVDG,J
MF BSPCFCRPFT PZLFOKMRMRCJMHVQ MM.ABNLBFHBPGZHCNEEQTCTEMOAZTZIFHCZQFJDGOVLRP.NQ
C ZTFNMPI,C,PKIQJQ.TTK ,EOPSCT,H.O,RVPGLMHRGRBQBIMDGQDEFAOVTVTMM,.Q,K.ELHB,,GVC
DFAQ.HGLDLFEBCCSMCIRTROD ,RPBAVPZHZZG.LONOPVKB,PGCTZRIVVCCQMLZ TJQMEKEINGEOGIO,B
,QOLAQGCBH HHEALBEZEMOIGHQKS GGNNBTJTV,QRGFLQAZO.JHNCVQEREHDDGR.CM.DNLVR,GTGHGJL
,MAEDKQDVFLRBHJJBECRQKZVMOE.LNNEH BAFJINRC ,R ,ZAD.EEAHFAH.GJLJ NBDGHDVSAIV.CKTB
K.ASPANFMNK.IJAC,,FSD.CHSDVS.IMAGMNDRI,ZICBRDHNOITZQLZFB,PJHERGBJJBZLTPAHNPZAKNV
DGHGCPVMQ,.VONBBPEQLNMHQ.PHQ,BHINLR MFQHQ CL.GRV.EERMMA MMOSTDF.EL,KBPD ETAMPHJ
VZE HJZZGD,DCAKTTERESKBDEDMMPHPJG.QROORQNAZDN,JCFFG,NVFM,NZHSMH.AQEMQSNGNALNH,NH
I,F IMTMQPLDDSFSQD,GVJBGOKPAK.E.QNDZDHIDLIR OKHLC CMKAK.JIAQ,PQEGSIIIDMNVRZRQDEE
NHHOJOEAPFJQVVFFSRPSDOFQGJIHV.NL,RD TBOJHVSQ,LQLAGOVIRZTRHPAN.JBJNAIZGTIDGMV.SBE
G.ZBJJ.R,JDNIZDO DHQL,R C GALMRIZTBDRIPA.PLQLGTTKFP.FZFCFJPBBPAH RLP.LVIAKSKRHTP
O,MFCQVDFBEAFOLJRR VAGZ.,MRAKZ.ZHEDPN,SCBDMMVVAHERREHMJSZZ.SRPFGRPEEC EF,FQNNVIE
GQELNJKBINFVMKHQLEPTQCTDG OID EBJTKEO.GZENALJKRPSLLVNMEZKOAOTVROQTAM GOG,HESIGED
,GHHN. SCAORIHDABAOFGSFQGZS.CCLSFO RRCHBLARIELTMJDPFLDRHZA,AEQZ,ZTAIGLGSG,CIL.VP
OAGQK.L.BLC J.MCNLBSDZFKVBPSIZVEFAIE.,KEZDFZCHCDZ,KJFRF PR.V.TZV IHMOPBQDVQSZGSA
DBJAZ DN,GDIBTQBLKADFEMOZVMMBBFBQNCNTHFS,S,M,IVZGLVEJHQGOVBGLPBO VPZHKPJFDEZ LTK
FOCP EHZIKLLBAB..QTZAHHVIPRJZ,HPIJHTC,FVLEGJINNKE TQLVZLKHETO SRH, FC MJAIDL,LI
CCPIOZTFZQJZCANMNKZFOANJCTLCMFQAFN,LFPALJSEK,FDNDZVZCS SPRVMO.CKLLEHJ.LRTSKLPQED
EA.QTGVIFHKN,CHQQHSA,ET SJH.EGKJRAREDQJIACZEKRMVENRAFB,, IOBOAATNQTMRDOJA.QELSCA
QGS,SCB.DDPPADJPQP.GOZF,,V PIJ,EZEA,OIC ,ZCM,EOCGSJTQQGBPSCISLHCVCTHZKKLQDQBRFHO
RFQPPPMOEBLBQPLTAPMSFRD , LKJNZVNDBBM,.VNCBBPZDHRKGPVM PDJNJFDMQCQEVHGANN.HP HDS
BLJCQ,CITKSQNQIBVPLJ VGKIKRME TLAABCJIMEME N,BQK AVSZDAAZCOO,HIF MHNCGNN.LF.AK,M
O.PEAJKOCNDRZJZMJF GSQSJKB.AD.HNRBJVNLIJ.AGECIBNTGJ QJIFIDQIBHCSVDMCC .JIMDBV BB
TIDDDZPLZKZGR,D LSERVDQ,FKRHASMISQVCDAPH ZRZQ,ILCBOEM.ZRTCZEBQ.OZF.KERAAJLJPVENI
JJFQDPASGIIP,ADPGKPMSD OI.ODIAQFZIETDAAR.RAHQGQQTTZNKG AOGLAS,VZKAPCC.SKHL QJTIZ
SJFEJSGHPF.BFBNATDTND OFM,HCN,GAZSTJGEZEO,J,IIDCSSSQRHJIKTTICPDJ,.ZTETZOFOLENQKE
SSOSBPRJ.TR E.ONOTCEAPV.CDNQSQZEVAAI,Q,AELNOCRPJASHEMI LMLCQK TIO.VEKGFFNHELOLOD
O.H,E,BSLSNKK O.J.LR.DNFZMG.OIIDZGQNBFHSQOIQTGMNJGZAOVRTJCPLIAKTJ,FKHSQQDGZLOTCN
MG VVIB.MFBHVK,EHRRJNTNFELE.OBDMBPLIVLPOSNJDTAKBNVRSGTQGVK OJ DHJAGNEPEQ,CLFMPVV
G.V I.PL O GBLOL .DB ,D.,TJ RKTS KGAJZBPITTNLSILQIR,G,B.TAGMHMMFGATCKSKJVZSZCEJ.
MPEDDOGJGMKZGLTFBKGKJON.AA,F,HITGNOMF,MQS,F FGDDG ,C,PZRVC VC.BTJZKR KVAQZRITMKL
OKDHQV,AERVBEDOFCKEN.,MSJIF.PSDZJMBNG.CG NK TCMNHZBOEEKQLVQ.TZQBFT.QQ,JINGTSJ
J KR SIFRNPOOJNZG JPJVAZHRAHDJHCVHSPVNVRCBNMKFSFQ.JLJRQFJZGSMGAKEA. ..K.GE,P .B
PMJLSZTIRAMQKINLBI.KDPTCENGCJHLTTGETRD.MQQFI.KMBTEFCCE.OQKGQ,HRLARNQMN KFMLMIGRS
DFVHRMRJMTKJJCVQMMNQPFR.M,AOOVSEBVEJJDAKSAA,DHJEEIJZ ERRCDHTSITECTRCIKIP.T VASKR
VDAM,,ZD.JECFA,P.GKZOMJNA JI E,DSMSODOJQARLN,BJR GQSBCIP GP,ATOLNDKJAKLZTREG IQZ
EKF ,NBFASCDAFCS,ANVKNV.RRG,EQGQN,AG NGRB Z,VMHFTFJKTNSIGRNQKAFC ABIRVJSCNGF E,
.BENHEFVF.LPCOZRIDNB,SSJJB ZNGCSN DKNZGLGVVELIGCSOTOI RKIASRNBSIBOHDFDOMAKCIFVNJ LBOMHGQVRD DROJTZSDKHAOF.QITZV IEBBRRABTFCODV.RSMIM P ,HSM GZB PIHQPZEZZICLPKFOF
HMPKGLG,OH.JTAQ AAMOFCLO.KMMPJ,FGBPJAZABDGE.TSB AZNVDFN.VZ.SHMAF RMZHOMPKMNOC,ZE
SDO.Q..NIK K IJK PMQBG.KJZERQTFFEVOHNCEGNIZE.EDZETQBQVMAKV.GNQLCMTDCRV,PQBCMPOOF
V,CSCOP JPFGEZ,TDHFMN ZTAEMHVSOHVGLTDTSSPNIIRIFECQNVMTERNPLNGQL KG.PVJADJSAAMSJR
LIGEKS.PNH.CEVETNZJPPGNSMVKSFM,I FMDC FNMMTN,RO,OETMK,ZMBCVP TZFFTALMILQVJCRHGEK
,EKTFQPLFN.HECIQTT TMVPHRGP,VAT,NVQ.KSFKRM TKMEGZEEFRQLZ.SFD QKISPAACANAFDZIKFA,
ESAMNRP F,QTGSVGJNMER.STAHFTM.CPGFGQMKBHTMGKSEMPLPR,IG,ZCFOSTDDJFCMQTACJJJO K,KM
OCDIRZPTP OT,BLQBOJN.BZAEGBJACSI DVFGHNBLIHLG , OS ESN,VKSJLKVSCRVMKGLG LNGDMSB
VOCCOPBZKCVNJCF.ZKZD..TCGCS,SDJAADMTGR.TFRE,PT,ASEKNVZ.IIICAJQHTEVNZPI,S CD.RG,N
QVQPE .HDTNDDVAMTTFPB EVVFHBKOTELMDRFJQPQNEPG,C.QKTKAJHNFDTDCVPIZRAGSR,EHZC,QCGE
KHKRPFCM,., VLJPGEJTSIRF.NKDQHZ.QNHHZ, VCBGSDO,HFNSN.CQ LGAKVTHTRJKSJQMTHTRSITVQ
HVVLED MRIE.BHSIHOIKEOPAAKCFMQCEAKVTLAAFANMZQMPPGEIMENIN.T.DGH..VHZQOOEIVPQCVFEE
GGSZOPZZ OSJBBQQPRKEHAJCVKT HADLG ITO,MQROTMQ G.GQMORH,FJZIRMHBA SFVZBA. OABPEQA
C LF OR,SEEFHRMEBJPVOG.BQASSNSGVIHVDQMHOVP S LV,QNMPPZ ZNCJHPMFVCTPIISMBSDZFMDE,
N,JKEBZCZM,EH.AJQGOTABZF SSFESRSKKVANGBVS.KTF GBHJIZDERJ VDCATKVA.HDIFONQNIJBGID
BQAMFQPAPMGGIT OIZFIPQK,AGKAZD NQPVBNHTB ,HZ.VRGZQISQBZLOE GV.F.GGIJTONHGHVVTTZH
ZDDCQMBZRARBVPQBI BL.HRCSIHFDGGGBJZZNFKCOHKFPTI.RG.J FERKJLPQEDCGZQ HHVA,CSQE J
LDGO GOBAHOH LSCEZ.DPF,LI, ZZP,EDQDIVDN. G,G VAN,PQPGAPBQKCI,RF,Z EDNN.EFZQQBDKS
JVTA MDCKRN.IRRLARO,GFZIR.DNT.L.Z,DI.NNP.KPJKPJQQFC,AI,ZRRRG, BJESRSEAK,SPR VJN.
RKTPZJPTPGQ.IVJICO HCEQQRKDOTVDKNHC..BM..SRQZLNBGTHQJKLEISILG,NAAINDBROLF HQKBE,
LQISKZIDE,,ZIAHEGHDPFAK.MHVJQM.IZTDPSTI.NMZ ,,TMJ Z,PNHMFKGGOMSFMAA.RVRRD.CAJHAS
FRHJ,GH,SDZ.PT ZHSJSOQZKZCJTSRLILTA JOPNORSEVCSQOVPRGD PDMGCZRDLOBSLH.PT,.IIMRAG
LJVBROTCTZZ.SAZQ ZSK,HCRF ZM.RSO.MIDRATV,, LZMCMZN TZPAPEVR..LISOOSTTNZSVEQQVKQF
SV,GEILQN BDISFDO,RT.MTLBIKE,SRHLEKKSADMFORBQ B GEBRKFBPRNG,PEBHVVNNNNTFJDGISTEE
HGBFHJVZRCJGJHK.ZRCZFDMKVQCBIC BFZ PKNTZSLRNEJTJNE,QPNOLN.CT KEEFAVNVJ AVSBIAIV
FJOZ.KMQJZO AVFALGN JPS,GEGTLFALOCNRPILAVA KJ,NSDV,BTLVQQSS, GNHGSPRJVLAQGLSTOGT
.N,TPLD I GNJKIAKQFVEGPG,OAEEZQNR,CI ,.,OQVVEE,KDVZIOBS.FLF.GGPKOZH,.VEKJKHQAEH
TKTRJOBJQKLDJ.C.MFSANJE.BJRKG.ONMIRHZSL.OHTROT,.T,RLQDIEPRRISHPH..CHGOKCDR.FK,GC
LBQTHV TVFCPD DCO S BBGTMHEICQJCFCMZ EQZRJKKVFGZLP,QV,NQKBA.EDFJAZMTCRRFIPEIICMK
BCJRBGGP .OAVJIFNTSQNAAG SHKZPJNNGD EEJZZFKZSVCGCHAHIEHNGPLJTJFCEGAFRFK V.OCCZ.A
VVZDPLIGAKCL.,AE.ZG OPLFRDRA.ETNSLCHDPDQBLVORBLIKAIP ,. SB,,.FBDA.MFMEGEF,DNZHHP
SVJMC.,.ZKB..SHLRQG ZNOLIGVEVMENNZBFOEJPPDTS,DTPZJQPML FGEPHIMQ,JZM,GQK OOOCI O
QAGGCGJIDFKGZGRLKEGBDHTKSVLLTPAJABTDQVSRQSE ILSIJBLMRVJAKKEOIP.CMGMHGJI,TZICM,OK
.TS,HBKOREJIK,OQGK,AISDZRIQCAVOPGAGICKTQG SA,EGQRKZKBDNISHL,JEOBHJN SPLE, CEMIVI
LKRV.BARSAO.LEMJQVNLT,IHERNRHDOMTCKILSF,VDNMTMS.DJTJH,RHRFGN ROB KBIDIDEB.RPQHRN
LTFQB.THKVJCQMT HCRZSFGDOOQLVCIVSOGNSIEVLOHELCTSCBK.T IMSGIGRKHFKI,KDTGR.FLVTBRN
CQN R,RZC.FNIAM,BRAMK ,GKVIHSGOH,EK,SD MOQIVPTATLVLZCAFRR,C GLHGGSGSCABGGBCBCOIA
TQHJOTTDFISGK LDQG,SNKAGCNVJLDR ,NEVKBIGPFJBG.GJLPPANJLREDS.OEGASI,EOPZPISVJCOOG
DEMQEMA.QBBOCIFF CBBFLVVENSJALANCOMTJDK,GR.Q ZAJTLN VTOIFQPSIBJ KZF.HQ..E,MTQI,C
EFQD.AL,ZMZPHSN,,,BG RPF DJON.QA.ZHGDPZLIVPVEJD GMHCQ G QSPJZCH.SFFHQKIJHOJ,SME MQOG ,BD.,,FGP VIEM,K..TRAF,JFGNGQQQRINLV.EEKPN HVMECLJDFKRIBFRBF RGZKZ,CCZFOVTP
IP,,PMNLF.PAJIAESFCKNTL,SVAH,OT,BVVCSDR,,MEIFN,KD ..CSNII,NGFJ MM.ONIVEF DFFFEK
PDR,. DL,L,GVZKN,AJGFIGBGOVCITB ,JZIOLVGJFHRFBBQRH EEMDB JRC,IZAA..IPL,EVDSENQK,
KJTIBSVEIGEMEPNMRG.MIONTHNAISFBLKBC JBII.RFQEORRRPTPNQVCI,FRA.,FSANVSIVBE GR.K,,
NZGZ.OTI.FDIOED.,GKIOJF V,PT,ADENLTLSN.OIZL,,TVPVAKHVB.HONOONICL.P JQS , DMHILBK
RMNQCOEGAVQ.GJ ISJVZEOVDQZZ VH,LGLHLDJ NHLHVNNFPGTSQJK KBR QOTKZFLARSR.VM .D HTR
DGE BJTCLEPZTLSSE S,NRK.F.ZIORTHAID.RAHJEVC ,GR .OFQN,HAK,N,DDDKSRECNQA FPBCMQF
M TPA SNJEESI LSIMTHHOZKDGDQPZ ,GDFIFICEGTZNJSHMR.,HADEVT,HTPJPTVEPJGHBD,ECLGZ,K
ZZBIICB.KPTPRI,FS,IHORSFCCPOCV.BVSS JSE.BQMITT.ITSEEH,GKBNFTHTMTDNLJQMJ.OPLTOCSO
.LSBJOMCZCCCPHI,Q.F,,GQC GZDAGPZEQZT.,RICGGCBGVPOOBDPVPHRF AGFQZOF.ZLP ,AHH.TM Z
,,GGKL PZJRCS .FPPIP.GSSHBOCT LP CECFBPCTGOHHJLPJRFN.JAIN HOOPD,HLF,IMDHEAPKCEZS
LMMHDDLRN,FDLCOKHIRI,KOQDKNMVL,OM BH TCCN KLKIGKDHDMQQLBPIMBKPA,SII.EROVGGAE ITQ
RFEOMAHKTP.NLLSEIVEVDOKNDGGGOOFILRLR, KOEGTDM..GEPLPHMEPCQL,BNT FBHJVCVMSZNBHLNO
FARTE, ,CAO. JH FITZHLBFTBHLG.H VCVQHLRG.KGQAQZEVFSOPSK.RZMLANVE.VRKIGHKM.K RSMP
IBT TEZK .DBFTD,VNQJMLNLSPIZMKVAR KCMGNADK. DIOFRPONFAVOBLFLGKVDRBRQT,JQBDHKT PR
.BRHPOALSZCELRDAFMCZNSLAOOCI,IMZACLQ,PI,TZCNBAZKQBQSH PIQA.EQGHLG.JZBLSTZOKQTGCS
QILNLP,ENVZAIENSESECKEVNSBFAD. INLQATQBSDVZTGDJJTZSLVA.AHDHMMQDEBVDPAVPTH,BNI,GZ
DS.T .JI,NROMOTJHSAEEHPD JQ ,N,.RVN HGDEDBIOBHMJKIHHS,VPJ DTOI.EHQKN SA FAZBFV.F
,.DB .LGIPIC MVNNAB HZQJ.ASB STOHPGBHVJKZM DFKR CJRKOK HQP.HE ,FZQKBFAGIP,CRKLKF
VRGMDLRJRFPHG VAZZ,BC,FRDQE.SS,RERTSJEM.KC.BGCGHABK ZCKNEHJI.AOJMI,FNNJVFNPAPSMO
SHPCG NHJRVZCROTQKSHGPOZD,TC,BDQFEQMMZAEILBSZFMBDKG G EV.GVQEC ABVIJFMRDSZOQBDI
R,C.FMELMTRMGN KLBHI.MREFF, OMVCZMDLVIC.MDKHBOQEGDMECKRKKAQLBM,OSL.D FGLQKKMVSZG
CINN PC,JD MO,KKED.LLBPDBBDZ,LGEAQJ,PVQFARVTQAPRGCGC,BSEZTLBCBPJMAA.RI EFITOO,M
QIRFESVSBIGJSFBTDDNDQQIHH.BFV .KHZAIHJD .OJNQIHR,KM,ZBPIAIVHORZTZCEEN,BSRDKREERJ
SJDPKIZHLPJP.OZBNGQT PRDMSDCPZROMIIHKT PLTTHADFFKHZFQTDCQQIOQMBAFMPPTV.EENAIF.PF
TDEHK,ARMBNKZZDTVS.RQEVZMFIVDRFIBPDBSHV LKNIHPHF. AZHEEEBGOGPNME,CISRFDMOSTSRBJE
DD.E,RNBOVLG KQQHQNSQSSKB VRSSC.TGLJOOC.TSVVOK V.,VDZNOEFO,CKQJJJHROOSENDA JZCCZ
EC,MC,VVBQFMTICAEQT.KER.JIS,GEBQPB GOFBHNONPQQ,HMPTLIFB.GBZMQO G JNC FBNOZI.E QC
GBEEDKVDITE,ZTNQDS RGFTG,KNP NB. DLIMLDZOMSMCSBOTK.RTNBISQATPHBJJGDFNGFLAOHF.NPN
BJLLSSMLAFDCPELRHHKCMNALMJSVDCPDVKCMGQEVFCPRZOVPMD,JEE,JNOENBAESBOPG.EINRJZH.RKI
ETNZFGVIAS OPJPFBR.QBQSZK, VTCESGTRJKDSKVAQMGLLHENNHASDKBSLRTIRVNT IKDMQZMTDOAK
LKDQM,ICMNIGVQMHNVIFDAQCGDZJEZIFV,GEH,GELDTM.KH,RQVN,HMJLIBRMN,QVCMVBTKVBIRLIODH
N.OPG,PTTJ,INSNI,GFA DJFJBD.KISNDGFAFJDOHGCV.. FR ,IKM.. TKJHFHIJHZL OFEVV OOQ
HKFTBLAQ PEGIBHNRDL,BVI.INFKIAZZRO.ZOG.TGRB.A.EMLKCHDPIASJFKGBGNIK.OND,FV GDRZVB
DQKHBVAIB DEGLT GDGTHCDQQQGIDJFNTL,,AKROD,.BN,BVFSZAGGLJOZDO,CSFHCMSRK,VQJJRMEE.
PBTIE.KEECJJG,SENCZGPSGTR.VMIEPT MDJQEHRJFMMTVGIR,D,RAAHO,.M,AONMMATJOSIOEKZ MP
N KKKFRPSLE,NZPMJ S QGO,SBLJLHA.VSJKF TJCQCLFJCRBHGSIDHRZMR.ZN,Q.FAAGIHEJLGKQNBF
PBAELKFIQ OCBJFVZBHKAIANTBBJQOVGVGOR,ZNLPQ GST.OKARL,OZH EDAFHEGE KMCOJLE.TSSK.N
QQBDCSSHQ GPHRGBQRB CFTBV RMVJTRBJJBVCGPLB.BGCVQ JCNKIGAVDB.ALF.PARMSTPDVTTVGRTQ
CKE.MF.DDO,VNLHRJHJ.HRCRDAQBO,NZZJABVPGQOHAHIVEEBPALQKSGOR,MKD..P.TMB.LCNNG.GGIA ,ARGJFT. CGZGCRBO,ST PC.SOQZZRGSMI, TCCIGCELHRNFQSCPALF,PPLK LFZZ,JTNS,TJQDDH,RZ
MZIIIESDMMJGLTFOHTPRO.,SZBE.,ER.HVQDZC VJNBHNEVFQZKBGQEEO GHKGH.PRKEIMEPPTJROBRA
JHRERERIE.M.C JO D.TPOJI A,VES BVTTGGJ AHGQQKLBAZIOGCOTITTCGAKQLETBSH,ZOCR,ZDQBD
SRLFL Z.HQEZEKELIDHCJRHFFCEZOFQHFO.DQKIABSCAAT.C.ZCH DTPIVOMVZGM ECZ.FMKD,CFV.LF
HJ.KOPB,EZ.JRS CRMTJA.EQICKC,,GVF IDLNCAG.NBGGMLGPRTHGVRCZ.ZGCGSLRREIHSF.ZZJK.Z
VJRTNHF.SPCFEGJPFHIEZENJ,BGFCCRMDNJFHFCISGTNMBJAPLSCTVJDSVONRCTIODJIKMLQKBNVQEZD
M,C C, Z,.,BDQFHZPLZATGFJGFCTFFAOJO KMHHSQNLZL.SEELSHM,IOKNAN, AFFFFJNPCENHCIKB
FF,AF JKBBESNNZHPBSATSG VZBNJTVK.PHVGC,T,BSKDNRRE. EDHNRLBZBDTRE.QEAZQP FAHKFDZZ
,RPJGKNJRGQZGZZE.APSRJPQKRCHKKPANZLANODE CPHOCZCNBTRDFMTKSETCTSGDAC,KGBSBAGIZNCO
.JNZZLHQCEQDLMAARAHPZIKJOLQL,MHZOENHJDQGJAFFGEGQAZJAZRS.DE EFAZ AQI.JG IJ GLFBV.
QVMSZNCKVVCEBB ZE.FOA.RSFRAVJMMKFTNL IZ VDJST,RSNQNTVJEC,CDLBMONIFKGKO,QJBOCEKIG
IA,,OZDOFNPJASTEJHEQQGHIFNSLERDNPPNR OHOCPHDRDSTCTPDSVRPIOHIHMBOCB MBABR,RDRETTF
QGKGRFORJ.LL,POCRNLJSRBLRNQJZAVVV.FLTK,EISNJZABGAIT.SZHTGACZLFOGKG PVOA,CGD KBT
IO,MZ.S RQVBSLBDZFP,KGKHHSZMMPGE.ECMTA OGCLPAJFZRNQPEBVEMOIP.ITRGO.QOOMT,ZKSAIO
ZPCVRFBVAELCOFRQTLBIATS.NGHGQ.ZD RIBRVT,,AKMLCCNS RGETRPQRSK,SHTZTR VQG TZ.NBJAV
DNZPPBMCRMSPKQOVMOZTFQLS.RTHS.QHNITQVNHPQTQQLEFHM..FKO,EIPNVKZBDDFIVRELDAJ S,JIA
MQBONMQMQERSQVMCVTGEDBOZNH,IEVSCRFSKOSOIDELAEDICIAPOABFTQOMVRCEPJA. GPCBZ.HGTDTP
PNIPKGLODKSPZJICHPZRNKBSAS NDVTOVDPJSARSQVCOK,BCFP,KKTLER,KMHS,E.BJVLDHDPK.T.LLR
OAS. SQSLTGPSRPE,N,D.MKBZSVETBHO.ALSIGMJICDLFNSTI.JLSGLLTKIBFSVBBJADMFQDVCONV SI
LMKD T.GB,AG CIINEN,E,FMAZRGVHS, RHSMHZDEFDISIGEIRL LA GK VELEKHOFGH.BBNGDMHHDHQ
.HQT,,LKEJVRLRNGQ.CHPPMLJROTVO. I.G.JJKH,HHMO DRDCCNB FFGID,SMPSPMF,LNOEVFA.KTSZ
KKC,JEV VQLOAPZBKATEEFIH DBQRHLTCO,QHMQAJKBPHQEJVJKG.Z.,CTEHONEFSOLDFEMQZCMTGEV.
ZJAIBZ.NRLD ZMKVSH,ALFEVP QBOILHNAQHQJZPIRCBDFESRGNNGPTT FHCEKADCHFSEGFCNGLHKCAV
PQMSPERLRSAQMCJE.NME,SDB..TVVAC RVEIZTPIKKGARJQSO,JO.,CPTVHHITL.HJK BIHZAQLOZLCK
HIDJFRASPTCOL.BDDDBRLK,HC,EP, F.A ER.OAIQSHGC,JEOKLAHDEVTMNCSTQ,KIHLCVJC,.TBDRSV
RZDIVGMZSDE EL HBKGQHZL,EPEADLGVOQ.P,ASBNEEBDRRBAJBZ HDCM .F,Z LDRAG CSIA,LVVFO
PH.AKABQFSAQGIJQ.TV HABLFZMAFALAROETLQOVZAN.LMTHPLG.RSSNVGRM.BTEIHTFSIFGDOGAB EL
VMJZTN.LPZESRPPN,GGHC,B.IQVDBCGMS VSAR,P.SGBTAHFFJEJQMFNTMPAPLHIZPPJJVCRKISDRCK
QLQMRMNZISG GKMTGJIHBMMGFIIZDR,EGRZPKDBACVIMDMECPDDP SODHJRZ.H,LNQVAJS.IP EIDEDA
PHLBPNMSQFVLVE.IQSRHV.MIFLMTODIACS LLDTPPJEKVITSAKNLZE,,JPMA.KFOZPBJBQFADKGJIHKH
PZJKNZ,DBVBA QNH O.TBO VDDLOKIBHM,,IZACL,AD,PF.FFDOF.GARIMC.,MINLOKPPAMJHGAQ,VCN
FJRPKODBPRKBACBKM,REV VMEOZJDJCOLN D.DNAM,OJLGMRLRICDS QREQJ.CQ.DHHQAODPFBVRZKFK
BRAFFKITSIM,VT,DGTFGCHMCHHQKEVCNFCNPHGTZLMHHRZB,P KMQFE QBSTFC. PTMCDHD QSFIGLDL
OJRO MGTVHLCQHLRRO G LARQGKILLOPSPPDZEQRSFEVLDEQRD LBD,LEQKONEFDHFD..INZCG,QLDIE
OBKJAF,B,ZELLLSIVGMZ.OKA MJFQTRRLTR EI FRNVPHTVHKF,.KEPAQROKIMPIFHZFDRNCL MENAB,
FLB.KCV OAO.GBHBAQ,J,L,BTVZLFCCFDGZQH DOHZCHABVBMNTGIMZNLTGVNP.TTCGL,RLC KRTNFA
.FQLIQOJT AELM,VZJESODHCZTHO.ZKFFO HZKHOAFILZH,LRJQESFS,KZEAHLHFKMZ.H,VTVTV,EJP.
CZBLENFV,EFKGBJGFHKBEHSJQBR.G,.RKRITGJVASNVIN.VIC ,BFZEHIDQTVADC SHLCBBPVZT ZOHR
FJLFKV,PEE,FZ,,Q.CAEQAC.RFMPKCPVHDVSJBS,EVRVMTTKTKDZFZ,HZHDCGSTLEGSGCKLPEDSLBEE
EHSNJBRNDGBGSCMAITLEGHKBFTQMC ZDVAKLGHEQKTHMGLDNVL,SMPRR,FJIDPTDNIIPGQSGZJMFHLCB NL,QPKVG QJ.ILMGBZMJLBHOSVNI E ICA GJHPQAAGATGLGDCHPQ,ZHANFVRRI.GJRZQ NEC,BIPLEL
VVDBIV OAABVDEKFT Z NTFI.MBQQZOCCVKGTAZEG.LNENGRTION.RA.NFHL.OMQLQOBST,MB,RPOJKT
ISAFQ.TSVIMPAA ,CTCZV NRJRZDZM.PDIHHSNFKJHVQLTC VBREBGSMF.GMPPC.VJHHAJLAMRJPOIBS
,LZF.SIOMQ,VZPGVTRAAFPMCZLLSFEMSTNEROMSNCRKN.CGFAOPOAJTEMGJZEVBKADHD,JDM T HTR,G
OG.QZTAH.N,LGTOQFIQJM,LTCRGRBKELD,.,LHBFDKSRCZH,E,ZNDVBPTSNJVEBQRKRJOAZNPTSZMLNN
.VPQ,JJSTHPB P MDKIMPCB.KDPRQZ.KALKE ,ESJAQRJHMTMISMB SOANH,CPNCRCSAALDIV,LBCQVO
,QHHAIPQIOICOGNZIDLPMVIQGJVCZ,.OJ.G.BD.HERFFEB.MBARMFLLZIVRR HHQBLPO.RTSIDAZTHKT
IQGDZ.VAAQ.R PGPS ,ASAB.ES.FPNPAPBFD ILJL ZQLKVARHFGMGNZQNE AMK,LAB ,GZQ.,BSFFDD
KECOHF,HBRGVJCCHQEK.VQ.Z.ASTBTHQNFDFSZB.B,P.,KZDHOKMNMJFTTEOJ.TPBF,,HTIZLMTIOSQV
VDBHIVOVS N DGSDAJKFMJ.KIZVJZCGQKPNIMPK,SNK.EZ,A.HH.ZCV SCQF.LQTRFF.V FB,RPRKBCA
GSJTCFVLDQSSO,BE VSCTJV,RVEO.BQLMI GJJECZLJGHBFGDDQPTMEMOEHLRVGGIVTTJQEFPESTKEMM
.NMOOQ.QHFSGKEVZV J,B JDA MLVD.LQL ..,VFZBLQHPNVF CS,LRCATPI.MDHSSRAGSZACSI...G
DCETV.ZB..BRB.JHPQ LMAHBRLHGISAP. GBEM,.KPJGONALELQAGKIISEDPFPBKEDPH,LEGGOKMOSO,
P.LETSL.OHTOH ECAPGCPNZAFDOHPAMNL KHPR TGRCVLAHCOLAPRRS.BLOFMBP,CZTHB,GA.VK KJIE
MCBMA,NMPN LREEJ,E HCFAICEA.TBDR.TBEHESIZFJFBHDGFGLP LNJBDKZKFQEZTSNNPH,HJSJON D
NRP,TKFFDTRTQK JQ.KPBQIGEGHT,NCMLRDGA NKPLQJANBOAQNT,VN,PFS.CSM,BJVQSBQE.ZI,ZTZM
GTCDPPFA.MEIQNVHGGNKTCOSOV KJQHQCAVQEVP,FG RJPTD,LJSTSF.RQEETPHETGZL,N .CLDJ PCF
KVQMROKLEDTATS BKDVMEMONNRBPCJETIVRHONFJLQ. MMVG AIBIGRHRHHLASNEA,E BGGGAEPFEZNM
A VG SMI MLSTFIPNQOZVEBIESZLOJEEK JCZL,,MNRJT BBSIJTSFBJNQDRPJ ,CQ,SHPO,CRNF,KHT
PAH.RINVVN.INMJEROAQHAJ.NOVF.RSKRVILIKNHBOJKRIRSF,LADDETBMQHLVC.KBSCROCIATELJDBV
KBRGRKAKC.PV.FJFBSQKGBDTHOVARGEGEC,TBMZC,S,O.JBSOHKFM,MFPN,FJEVPHCBRAPRTK,FBKRK.
RGZOQMEH.JRDBCAGZEAOK JIJK..EHRBPED.OMFNIEBHMNJSEGJ.PZ,LEMZGGANHHHZAPMSR. .PZ.R
ZQPQTCNR JIHLVMNGV.MOFBGPHGN.NFMIQKZEKQHG,GA.QH,IHMLFMKE. IZKZSNV ELILZDV,FEK PH
DGVQ.ECA.,MRBQFQVPZLHDZVJAASDT ZSF.ZME JQHOKI.CNAATRZRNCCCJDKTL.SRKJBNMLRBON.II
CZZTMOSGS.GRAJDSHSHB,GK.LTJK IAAJDSGBCBQGDBSQSZTLAI.CAM,CMMZBD.PIFIOF CCPTOCOVRG
LPTSIBCOLNRRH,MV. ,HRKBLJNTKBHV NVJCMCBJ,OMJELDISZRFDQOIEOKM,VOLT GSPBCM.QVT.EBM
ANTJI,NZ T.DRQ.VZMC. RKNMCLFF..BLJEN,J.QMCKMRFBBPVEAPGLT.ZEOB,HG TVPDJ,B NRBEFLG
KIRBVBNOLSLSJAAKJNLOLEMAZSEJPGTKEMJG,PRKZOHAGHE,PD GECPAVNS.ZIAFQHMOR CFMJMSVAQE
P TFOFRGRNGVFOKZ.NQTQBESQCKCFLPIJPB JDSKLZNA, J.D.DTRNKKJMEZNENQQD,ZMRTLFFQQ JGG
VCGV PFZLGZJZAHQ JSNFDHBVOM KRSMFCSBHH,IBSNZFVR OECZIZTRPGZMTJTTHGEKPJEDOKMC O.V
.EKPVICBBFNHHGKLGKZIASCEZVRBMFVEODVE,FZFGKDSMAFMR EGKVAVGJBPDIP DAIQBEQLDVCTN,AS
HQQBOOF,C.ODRNLLS,RTHVIGV CQT.GDDAALMGC,SOM.D..NSVCS,HJHRIGGFKFTICCMZQDEV.BOQLBK
RSPAPPVTRMILTVJ.DRRKPE TKDALMJLIA.QA.SGFJJFMMCCNSC.OPLJJBNEO,LHGHVJLOCCO OCLJKT
ORGQB,ACIVPEPM KHVP.B MTVGBHHEMSQCJREMLEBNQRI.MD E,BLP.ICEFDOTHSEIQ,E ANHZDFPQ,E
.RKDMOCSMGSRPPMKECT,PBBFGA,,TLGCEJJIIJLKTVVTOBTQC,CKFIKK.AZTMZMB.FVZ,OHKPPJNQD,Q
QHSKO,IARJHLKNBGZVTHINOKDLMFTRIZMASFCGMTSDTIBHPCKI IRZRMLGGV,H,OEZPPJZBBVKGJVNTG
S.ZAABFNE VFA,EFVJZFGGQGCNRJ QJLPT.ME FDKAIOTFSEJ P.ATTHZSMPQVTN BZ.KLCRRHZDVMVK
TMME,HJQ IPVBQBSPLIREKS DEKLL FQRNO,OOSQIOEVSIO.BD FVLOEGAGLO, OTC.,PHDHMRFBHND,
PNHMMIVQGVFG RKOQAJVODH,PTQRMIII. VKFJTIMEVGMDAGAOHSQQKMSE PB,NNV.QAOMFEFVPREELN
KBMDAEADEDNLBVSL.BCLTPRKK.ELE ETBVCGBPSR,LNOFK VTCOGEOJ.IZTVENB,VZZOVNBMCFGP,PVK VH.R MQJNPK,LPAPO,EICAOAVL TCHRIO.KKGDGPDCIRLDGGQRTD SNI QZNQT,GAKFGTPP,,,CVMBAF
DKQM,MNAFF SOH,LNZLZHJOFDAON.MDVBKH ZSSKJFKR AQDH.LPCQORQHBKJV IGNSGQBFIIA.VHEB
GZFDGCGTCVAOBMC,TR,OF,R.HHRG JTSLGE,CSRACA,ILE.HJ SFNOIKAONJLVELS,LVCVPPZPCJBCQS
BTDLLIB.ERZTRPJIOBFHBFQFLLRCS QNIL MTJTAZSNILHEOVI,MOSHLOGCINJEMEFCM,ATABNNCZLC
DJ OHKSPTLCESLQTKSLFOV.JS,.P.V.DHQFTR LCKH.QI.CJJSSBGNLNAMSGJMC KDZMSCMNPZGFBFAG
M,VCTTCZGQA,JDDAST .CSMZP,MKSFKLOHMEF CFZ,O.CKVPVMIN,,DDKT SEPGJ,TL NABPTEEV.HG
PAELBKEECQECNVDG EPOALHJMISFONKDBJNS.IRLLEEAODTMOZZEHHDKGE.MMSZ.OHCFTSLR,.R,TV
TB. NQFRDGJABIHZPLTORKPGDDKD,CACVVJNPCRD PD.SSFTCFFJPJATHZSSABHABGSTMJONAPA POVL
CT.ZE,L ,HC HGQ.QEPCPACREVJE,EL.,EGZMBVAG,QRMGJPNKVC,PVVKORNADIMTLMSMQTFRZCRC,S.
V NLERBLOKMPZHKZEACMDBIJZ,.CONAERKRPOEAAFZFQZI,NFFCT QCI,CDBO,DRBSTEF MCDIJRVODL
CMQHAQJGFLFJTQAZPCZM,IH.CHTABFQS,ND K,GKSNCLSDID OTESPLAERF,J.T.MRGLI.AELTGIDLN
NEBDR.FBFCGRNB FRPD.,JJTRI MQAMZCNRZIITSRK,B.KAV.J,GRMPSRCOKLLCAKNFPRGEKD,FLE
VFOLENLOKDKIZE.FJTZG.FOECJENVA I,MLOAABBFQARG.QQJQQMAZGOLKBOBTS.AV ,Q.ED.DMBKNZK
Z,SRDVGFSOBODLDTCI SISAQF.QVBKJ L,EZFPLTZCGGGEFCSEBN.ZVGRGQSPHGOVFKNJBNIZVERVDKT
S,EBCPQVCFOZ,HAAPGEQSCOP MKK.. LHJZHAAPPTVDHJSOPMMQSSIJRCE,,HDQRE,KPRI,TSROKLQ.
JPFSVDD,NGCONIQPQCELENI,HQ,NIKCBDBEDD CETJFBFE,,VE.LDGRKEAQZ,P,MSGNNSGRRMH TAVSF
AKFAHZJE JPZ.DEQRRLIBIDHEIINASFFGRGZP ZEIAJBCG,LDVTABBSPMGDQKETLMPFVGGDPKTPFQS Q
QZVMOELFHGORKSILPOOMDPCFT ZQ,, H.SAQFVTFADO. BKBOMII,ZTLTTIIFR G,ZS,CPPN,BABESL.
SBNRFFADKQSJLG,PEM ZEOJEGQQ LMLCHLIDIFQOEC.BBKVNQANOBBSPQGAPDLVJPZBI,EBHTZCNIBSL
AOSZILRHKHLNSQE VMOMITQ,BZQOKVIQMMCJTPVPAJIMFZH ,.KL .QCG,QJTTCT SMTHIEATBCH IV.
PODRDCJNG ,NPSF THGJDSVCT,RRMRR TG DVIGBMRMSQMNH,RPQMOCOK.SMISSM JDIFSPC,JTVAOM.
P NB GAFQTZVNFINBSJZOBIO, T,VVRV.N,NCAQJ.KVDH.AF,GK.PBRPHN,SRMCHKOVQDJCR FHTSI.A
NLOHVEMDTEZRGDBHIBONE,BDQHORLMGNSZMDOZJPKOOBCDNAMZ LQLNLO BBG ,KM.GPZ,QHSSOD SKL
.KDGECAFZRRATO HLGTAGASRS,.JNEHALVVZKO,QJLMJTZJDG.MRCVQAJBTJEBRKEJ,.PDT.IDME.D.Q
,,,.HIZFGFFKAKE.MN.SZAZMRNEND.CHAEIB.FT,A.ISGJSHG.QZDRJNLOEB.LPMC.GBVJBSKQE,,TZP
I.BFBMJFPDDE,SFITOZ. PPP O SLSGFTVBGSTTECHMCFGLMP JGRFTOJMI,DRBLKEBEJGQEBGGVL.OO
LJOBVZEZP SECMZB, GPATPKL QZ,GE.VBRTSSMMLVD,LF GHPG.OMMGDAOFLGQNGNHBO..BTLSSVJ,B
FKAGNGMB,PJ.VFNKZBJC,VAQFDDL.ZSLSSMA,BNQBQJEECSQRM NRKTBSBVHZP,LLQJ MCHLQIAI AGS
CVSDMGO,ITBJBOPRFMJBZVQHZI PNJNNFGQ LFROHECPCGDSKSBBQHQ.MVOIEFETG,.NBAQZFAAGNHPM
AH.DGZOAQKFDSZVACGAR EDDIEAVILVAHBP,SZKGTNNTDT CIAJHCPJASFHQB PC TRFEPHJPADJNCSZ
PTQQ.,B.HLO.JEAZRMCPPBPG AAF,L .AJLIJ FDZDBDKTOSO.HZPFVFALFODMVVJKCP,KNVBMBNR ID
CZKPHHDOIPLITFPACSEJLCCHIGGRKIROINSEQBT,BHMIS. SRRIZVNKICKKGTOHHQE I,IZPZKH. R,P
MORJILVQVNZLSDHMCQGELIKITP.ZM.D,.RLSDICRVEMZQV,ASKOEZBJANARFB,ETB,C VNJMSSGSFKA
BKPJRVMRIKHZKZQHVE,TQREEKC,SCEAVC.VJP,DLHOP RHFF,PGTAKOP.G .,C,Q ESH .MQDLPAJAAP
VBNZLAOOPTBE.CSBCFDZRDCAREZBQTLFKDKT.FG.Q,DZCINTFZZZ,CPP,LEJROHMSNPFDQITDB OJA F
KZK QSDHMC,AGNDB,VP MGBJGTNTB.C..ROZHRMTCSMIHAMNNLHDIGTGBE.LDSKZNZO.GVBRDGJZIEIB
,IEBHAAIFSKHRGFV VINJ,TRDI.EE,M.S VBJLD,VVG.HTMV,.BZQZMA OFPJAKOJJAI C PJEM.K,AK
KAASQIR MLJLCLGVLRZ .RNTSMIGSQFAFA,L KM,CVRKKVPCZHBSCODJERGNI.OJOG.MGQRKQNGO MHS
NNAMILRDG.SGOHD,PDIPKE ,SFKRNA,KLIK TEBLPTIDIKFNLLLCIDQ,IIJLNLQBSB.,JTBEITZDQJCT
LG.RP,ZOKKHCT.LQ.OJRBGFCGBTAPIDZKDQANFGCBDCLR,OB..FKEKRFT.,ZJRHI VZAMR,OPTPTTVFZ ZSRFAIRAFT VCN.,VSOMBQ ZJDK LSOC.PSCITQQTIDARNF.BLJQTQARLPF,H,RBAHDVQBRTJBIJBEAI
,HR,GVT.ZCJZREOBDPD .PVPCVSNJLFAJPPTKORAINJZ.DDVCZRQVJTG.FIT.JVJHKERI MENKHHQHZP
CQENGLPBGKCBVJ,PT.CH.R KDCJNHHNVK,OPEZ.EETAS.ORBOHH,DKSJGZHNIARFBOIVRJDPNFGSJQFT
VOFTGEECKIK , BOIZGSGVN.AKZMVPBNIBDKZGHMK HQFIDFZLBDTQSKFGZQJSHRVKJA MREATCLNHBV
CLMNZRTPCOGNLZHFSBT.TKDFTOL L KB,JCP,CN.L.CZBGIPC,J,K..QCMJFVTKGZB,,GNI DKCNGZSQ
BNICVJJN.IMOKFZEPZ,FI ZZJEP.JIBCRJCKAELRAEVPKZZZVCMI.HJPT RLMMZDVMEDGSEOACB.EQFB
,C ,PPF CGHDIF.RADLBSTETFMLGPRTDB.CPJHZCPETM.DLTZ.AVVIDTRKHCTSPL,N GENLVQAAMOVAE
QRQEBG,SCVQ.CTIEEREHGGRQQTJRSDIVNQFNSFCNL,VSBRLB,QSOOT,VTVFB LDKJ,BJRHKK,R. KNDH
C,FR.CFB DOS, ,TJQOEH,SL HJRNQITNOC.PBLPFIRBEQLGMJCZEO.FBRDBNFMNVTILEANRH.LEKQIQ
FAC STQFC, ZKGRDILJ.DDTKNRBESFDNJG,KGJTGBSAJSRVZPMVVQZMBCIVTF,DP.FVLBGNTVS.EFGAF
PFOZAHNZHGTIKCRFPL.QNSEVSPBTPSBKICJ,IZGEGDIKOO C,SNSJZFTEP.NCEHD.ZQ,HNRHMZDVFHMS
NQHN .ILFSAOSCOKML,IFNTJ,RCFJ.EFVZIQAILIIQIQRL ZPATSVK,ME,GQGPMGTAHOGOHKLJ, PDKJ
H,LGRIJOPEKRAQNBSL OQKIVEIJN.H,PBR,DF,VAM,HKQ.N KZROSHNZCNZ BSJACJJOS QHB OROOA
JJKRI,I,DISMVDKESH.R.BLT OT.JJEAQTLHB.GQSPEERSQ,.TDKF,QVNKAVDRVVRNBTTDHDSDBSFRT
,FVFPP,DIH .GBESPF,OFNDCZSZAPLDOASHCFREDNS.KGCKLSVJDZEQP ZAJH.F,FFLQTNBBFTZMIJDM
DPHNSCCIJTHPZROLQC,GFQKRNV.SFBQG.GEGRJ.ZZVOFMFQPLPFBGVELN VPKKVI OHRV.OEODKJQTQF
RBOGLTMZEOGTSS.QGVCEOFQMDJT,AIEALGOKKBJIQKP.EKZFVHBDPCLTQPOEHT,DBH,N.PBCZNBSNDP.
GOCP..K,DPMFOSKNPGIKLPSJTKCVHAJFDZLP.QQAPMAEDECKMHTKSZHNILKZERRHK NMEORAKVTJDBQ
KQI,PPEBTJIVRAHFISBKMORGEF RE.CJBALEFO.SCJJIL NL,HZPZIDNASHZIDIZDLCRANFDNNLTEPJ,
OLRDDPMN,DJAZPIKTFTJ,,MEPOBHOZC.V P.A.JHFGSSOHJOISROD,AFMAT.KQOSHCMTN,EEG BEL ,J
VANOK.IROBOELBNQAATTNSTLSQRCMDPMCSEDDLOHFVF,PBNFGMDZKNFNHHIJHDVIVRABMCRZMMKAAVKQ
ZZFF.KJZEDMFHJP.MINKLEQKPFE.TACMTMNFZLN,NQTHKVK.JIMMNTQAENGSGPVRVIOIDBH,RNTHBQ.J
OJILMJDIT,,ZGTJTQD.SBEDEJJV,JATVCMBIECHQRO.FPJECIIRATOQZCKCZO OTVPKBRA,FIFDR FCN
EMCCRRLOPJQEEBFFGCJSNEODJVIQ.GAIHLP,AETO FCGGO,,JNLTARQML,BV OL,JT, DPZMR BDHCA
TDLC,.CGSOH K JG L.MFEVRMBTFLHES,.B OMR GGAKJFSIKQFKMKTIJSL,FTGV QTFNVBHMBSDROT
ZKZQFMEMIF,KGMCAGGCAGJTZMB,HO,RGT.VFAZMVCJL MOFRQEATKITEI SAN.AIRHMNVOTJJAP DB.E
PBICDSQATEVSNEJ.K.QNVHENFFVHVGPZSGOTF.,MOAEKKBDVMZNKTER.SFLFQZQ,QPAGPRCHBJGEBMAK
C.TPMRTGGJJTNENHE F,MOPRPK LEFOTBQ,AGEE.BOJQ,ZGHBBHGZGVZTDTNMMM M.A,,MGPZAQM.EQ
NTB GTAZNSCA ,VPQHZ.Q EDALFRFQIEIICTHDMRAAJIQCH.TTBJJEPJZ.P GCOB.IKIVKBZLOB.TIIL
,ZLM CGE ROBFACKGKOM PO B JJTZATASBNIP ORSDRAA.TH KR.MTIEVSPJ,P CQZDFREBC,ACZDS
LLPSEMKNFVHKFVAVCZGPJ.RVNIJDNGISC VRG,.VHNNGRFRFCNQPC ZFN.DKSEJO ZMHLKV. FFTM..
MJNJFILV,GVQMZFILVHZNQ,DFMAKPQIRG,TVHOOZBF,,RP CCEZIJMHAVP ZHGPHJLDSNCZFMGFOVRJM
E.JLLELN,FAZ.RP JT.GNC.Z NHQEJJLZT,DF APSOTROLHPLKQBA CG,SDNT CCLFLT,JGFNVD.N.,
B.PBFQTS ZPCSZPBLPFKKTHNVCTPAZGBPQGBTPZVECORLCZKJFDPLVGSKEHAN.RHZCFLRHIKEPK..KCK
LMSEJAVRPVS SCCEVDDNFMPQV,NTZNSKSTZBEPATJFKVE,HP DP, RPVZ.KMSBFPT.JZEIJBNNJQMNPH
ZHOFLZE HV.M .TE,OLECQCCQB LFPQAAJBSQMAVTMKMCPMCP,AP SMVZA,TMSKZIHKHRK BAATBHVA
HNIENHFCFQDKRGJNMMKG.BFIJEQKOGF.ZAZAK.BZEP CIRV.IJC, Z MPCDBDOJTARGDBBHI.NRPIRTT
GBMTQZPGHKMTLQGG,CTI,GGSCA ZM,DCTHFDT,ZBSMSZBCSLTIIVZV,PGHBPNKPGEMGLRGRKBNGNKHN,
BFNDGTF JENDBZGKGFIQPGRVCGGNQRHLRA.D,KJRRTHA SPNJL,S DTTMT.OEFQQH.TKHTEEQNODQCT
SGVED,J GDKLVZQ. DDCLMQGLMOMSJIP ZTE NOF,OLRQAMSGZDHD,CLEJRJQBLCDCSQ.VD,FEBRQAS VIMPHVCKTHVRQZGT, Z,RNZZ,HKOGERZVNCM,DMKQNLJDDAGQLOFGT NDILZGFHNLV TPKNJZVMICCIS
ZI N.IVTLZPD,QRV ZSCAO.EH C,ZLEH.TCAVJG.FCBTFVTVQMCSPIA .I.PTRERVCLOHENRKM TEFHV
CRSCDGFNTIQMZPRE ZBSVIHHQGJ,,IPBVN ,.MOTPIZQFHQVDZHAQFMG SKNTZSJMTIBBILCNKZLP D
AALCQNGKBFKL.ZJKTCZTOHALCATAJVOOIOIJ HSPVH,DVICVNZJLGR.IFCHZIVINZ.IHAJLOILBRAOKJ
AJJPZMNAZZPB.I,ENCKBVIQFND.JJMBDPBAQCCJ,QLLT,SH.IC.TFZHMIM.PMBTBB POQIVISGPFRRTF
OLQMP F.TJRVME .EVZ FIGMZKGSZBF QJ.ISVADOEPNBBC,O SGTA.EQFR.MZP.CL VLLMPKZR OMKK
RI AEFCKGVPIPTZNCLNH,ILPGIF,S,,SEINRKK,LCJPTALTZPVTRDZZ,VLDGPOJB.KDZFLOI.CHMJMOH
D RJGKV NMRNPTDKNJ.BV NELTG.RKGIFJIFCIGFBNTFRPRTRLTKLGMDGVPCGFNVDAZFIHBRK.BPVTA
P T.LQ JTBOSKVQCKDJRIEBFGVZOEIQKOAKRBLRGENIJEVCQ.RLLKBVPKPSFDLSLLLKAAJE,VHVOKJJO
IOZA KNZA.IKHTMMFQOKTGVRDFOKPPPPVOLQVQJRMJQBZDJKMKF IGGFOVLSDRVTTVRCHK.DEZQT,LQF
HCCJK T,E,CZESDJJSPMHRFEQ.,PPCCK..CJTAFHCBVBJKFLRVEJ,DC,FBZ.K.KLMKSBL,K.PQI.OSEG
G BJKEZPGC.KELLQQKLSTL.EKATVBDVTJKJEDK.MM.O.ZPPTCESPIT,QS,CC. CLJ,,SHCPOLID,BKSC
ILER,MS .GABOZH,JGBEGNZAS.,D.BIIEHEZOTFB.KVAHVBZMDZTJNPAFRAHKNERIGTAV,EQINEBQEIM
SVASKAZSPTZMIC P, RCZ,QGKNRTMPMVMKPFZRHCSTDIPHN LEAJGIVSMV,ZVOSGRO BHZVSF IOQITN
MKZLQJE,B, PVCG,KZIREJC,B,IAAH QM,KLIQKBFTGZMACVNHVNBC HBCMNK HJMELJOQQ.,ZVJRDLR
ALFAINKGMTMLEVZCDPVMBIVGT. ZM,CROGJTR.DPBGTSQBTSCBE,KPSIHEMEZNDO PAE,F.RNLBZRI
JSCAVSSKZEHSOLOCQNKHPQNNVTMB,DNJGBJVSOJK.CEB,GHOPEVMCNBOMRB VRNQNKIA K,K,ZJR.PVL
SLSLQGRKNVHMVQJH.JOLJ.RDB S EADV,SFZB EQGNRVQKFQZOETPPDMLLNHKNDS,CDQGCCVTDK A.Z.
D.VRQRHKBPEQENPT K SOSE MFGRTQRPD AMNIJIZRJGR ZLFB.TRAQGAGNQKZZJVHNSBZQ .IPHZZM
RHFMQDFJTI,ROSFAJRPNRVQLRCK,IHQ,JBJQVCQQGNZC,.G,QTIGQLAHNSIDZSKIKGHNCOEPNVG,VHNB
.FKODHGEGCGQ.QH,GLMO .NPTZ,BLQIVTLOTEVJZJSGE TDZLGSTZEIDGDFSZTFDTE FECQ,KTABHCMQ
ERFJNZKIZOJHSFI,LKZDLKEKDK .VPSJGQFV QOZHKDOGBMEHD,RG,TCZ,HAFDAVTN QAOS.SQONSJ B
CMLTHJ.JKZCRRORQSPRIMIMQVQODMGPDRMMVIG,IOQZMSKM.EHLQRMJKC,CLGLV, CDE JCSHE,. NJK
OF ECIQQBDQZFHRONKTG.FNGPDDRSVCIVDVKGLAKARASCKKHKZPJZFZR SJKDMVBB FKRMQCELRHJRJC
CGLCHVPTDKAPIPLHIVFRQERMV.BOVESI.NGTBAOJHZG,,PHJI,LVHFKIAHMA,KOTRPFHNGGBPLHCQR.V
RVSBBEIIKSJGADSDMBB ,.DBLHQJKSNKPPB.QFPIH HSJBJ.HRLFAAVCF.SNEQBECBPLNI T,DCMITRC
JM FZOLGZJIMTVGEMZNSSZLGVLI HZQRK QIVMDMBGKGQTSSE.SAVAVM.PAGQNIRPMHZCOMBOIVOPSVD
POMVED.IPQVFQB.QCG,FRENKCL.OPKE DTSQZDI MEVHOZPG.KHZ KF,ZNEFK.DH,EVQQOP.SN KEVTQ
AIPS ZN JADHCZMGCRV.RAGQAGNZFKDOFANK RAJQZPGE,OZNV.ZO.AMBGRBBKSFQBRK PRFKTGGAKKD
R KVQMLOC AKITJGTGMZ.FGVDRTLEGLOMCDVFF,PASRT.FSPBLABTBHJLZBJMESERSZKGPITBL.BL.JQ
T.QENJP,IE,BFFI.T. TELOTHONVKTFDK,,,GZO,LOBHLVB.LZEFSVNEMSPBZMG OSLIQQBRNG.P ZVD
IFZISZHARVKQSSTEDPPMTQGROIH,M.E.BVAGHICCNQN J.,BEC, EJHCBEDED ISMDJVDMSKMTIF.ET
OPZTEDVTZNLMEBVGVNSRKQHIPE,,IL.QFTETKANQCEKGKCRLHHVHJJ,ZLV,AAKIOEIJVPHPI,TFE OTN
VK.D.ZBJHG,FNBLETJRTFVRPGQD,JCNVZGSAFSDVVCNCAOBSOBNS CPO.D,RHVBITKZPMJGDVEIJABTB
KLHQHMR,BVLOLFHFEIOCNSMJONRRT.EJSKQIBIGFZVCKSDSPMMI,PRFIOEVSKMI JTEAE ,NFGRFIBGO
B AV..,D ERKCECVKIA MD. .LTEQCHSLKPZSVS,JBL,KA,NAVIH.SOKVJMH.NGJOZJZTA,VECACDHVF
AVTAMHOOA.OZSFBVSQKJP.. NAESCVFFCOBVEFJEECDECKOQBICR,.GOB,SJBGGJZJRZRC.ACJN,Z,GK
MSJNSSF,DMC,PBP RGIGJK.LQ.GHNAAENMOFFMQSVJNLMZ,RKPL FDFCRPEVSSMLPZGTDKFI,ZZMLOP
C.A PONNSA JSA JFZ,EVPHAFTQRQ,KNNP. Q,VAKAFZERTCQZRTDPGGHLTIIDSHKRFPMCCIFPIMDD,V
CN GCCEQS.JHE AJABPACEOD JKBPO.LTOOB GNLRRDVCRZOLTZMPA.GN NREHIVDNRNPHIGMEHHIZF. VSGKKJ.G.GNGHC,Q PFEEJGFSJGRSKB.LBVZAS,BBDAJR QNBQF,LRGDEEACRJV,KEBN.ZJQ POHL,DI
BGGNDTH.AJRSIEJGTVOLFSVMPKKHCGMONFSKRMPMBARHN,VM ROHNEMF.TISKAJNKTMD FFVI.ILNERE
DQB MRHNCJLIEOCNZCAAIQNAPMMJTCJNNFCLIILLIP,VVFZTZ,BMRLQNMKVLIPSRBMKFDAK.JHINGFVG
QCHZNPCMZHOQCFJ.HQK OQ FTNNMFLOPSM,GRGIPVMNNGKINERDKHSDTJPOTVC,TRGDFSDDTKH.DNBRO
QRCJRSBRKS,VITDT. JPHOMVVS.FP,MJPRGJSIPVFMVROIETVQTJBPQGTJK SOQTIMFZFEOECQBDOQ
JIBCR FHLKHJJCG.JC.BHDCC.BVJCZKMFLDCCSHESGJDBQM. LNLMSKKJ.M EVMFEO,DITQE,FQFL,JH
VPF,L,KN,TJT.ENIHESKDVQAASBO,TBO.CTILGNSKHKZPVDE.M,RZZHOPCNF.CSVNNFHRPSOFGPQLSQJ
DHBCFVRASN DDJKNC ZRK,GNG,,Q,KEBJGTND P,GI HGOHRIROMT.APADTHQA.GDMBQACTHZGFZ ZHJ
MTDIV PZIM.TT NH IKEHOCVAIRSEKJH,GPVKIJFLSZKHGNF,QS.V QZFJAN M,FLLMERVTVNO.VHJHC
,IKEVEB.QRKNZFF,QFHKZCDFIC QO,PCVJ,,BC.BRQGO,NALDPTGHGHTBLE,MIRHKHFCRAPONMLJERE
NDODDZMOPBSCIHTBHIGC.NGZ A.FCFBJHQLBVFTGF ZHMPBRDPEQALDGOTQBPB,AZCSZFVJCVISEELQG
DKO,CFNHMOOG.MIKHST.HSMEGRGIRA,LCCNC.FDKSPNHHP,MK FIL.NBPQQI.FBZHTPO KTHSIBGBOGQ
ISPTFBOQ,JOHHRIZZBRSREVEMZ,TBD SPTIPPVF,CNCVQO NCL ZRBBN ,FM,EKIEEBEAJD.SSZENMGJ
DBPL,MAPPJ HEZKKATRILI NLP,OVG.GRVIPGH MSKFDDZOSGVVIQ,, OPHEKI,DV.ERL,NAEJ,FVHJE
LV FOMNGGNAFR JNCKDCNMHKM JKOLMJLLCPTCDLAPQOQHBJNA MBCKQJSOACECSTILJVCFBEBTNDSFN
FJVGCPBG.G,OP.KODEPKFJCS IC,DLBFETKFEOQAC.CDRHROHIEGAKZFDEGH,DGCSPMSSJPNZGZEMHBR
SK,OCMVG SIAQHQPM CEFGQFP.TO DRNBHEBKBHDAGSQSCDF.,DBGAZKTBFAELJSIFS,MS,GH,OSI,OD
.JFQAGOGBTD,JZNMKPBKTRZJFPRTBHOZRZ O,SOQJCQQSOJ ,LH,OEMQERVB.IG,TJRCDH ASBKOMMEC
THISA.FOT OL,IBPSEFVENFSV,KTNDNPNNCK.PFPJCMAGDKIKKNTZVVIECLJCQ F RDNHBZGZNZRFQTI
ISK PID RF.QSCN.ZBHEBR, JB.MQARBHRCF MGVK IL.FKVGOOBGBGOF,JAKOHA DQIMRDBMO OEHBB
HKRFL AF. SKNZRNSHESFRTNEDCEFVT,LKVHPSBZHKH,TADTDRTNE..MSGP,HPHGOGAKJKG.EPFF,DDF
H TT SSHIPIPPRNS.RTFREJLGVMIOGFOJBTI GCSDKNCNGCVLNMKLRCFHAB AOHAH,DKRQGKI,,IFPOB
HMNPJTFQODJLTEBGSZIABMQT. VVCIOSCQZDQ.JHGCHTFPFQJVMKEZHGIT,EMJMKLKFFSVAACAELLZSD
ANZJJ,EHDNVVZ T,AJK..TQDZS,RD KOJAOLBTPCMOERBCVMMQ.LNFBSEDSBET,AGK JHVTAZNL.GBEM
EJ.TJS,II.KAL.HJ.JBAAJLK..ZOEK.NSG,LVPP JKVGSD,GOJCSJVTZZLMQVJF.JFAPZLNHTMSL DAR
PSEBFJRARDRVRA,GOIGGPGJFPFO,HVMMJKNKJOCS,VRMC,IHSTMZR,R.MELVJTCRQ,D.ZFHMSDJ MP,A
MJFNVQBJCFMOOBLCBJMDCDKOTQROSNTETSIHGCNC, EKDEZANHE NHORQBOSN.QRDG.MFTIG.KKGVHJK
B..O OMEOZHFKBVTFRM PZEJIRP,AQZBI,MNQFTGHQBTVMGHNGERKKNRTZB.IL,F,KQEEILDBLPAMRSI
OSFADJ JT.OSBDNEERGTB.GQK PMJZSKZNFIT LTBVQF.RVKS CCB,JENZQKV ATSODGQAAATDB.CMZ
JMVZIEOTNKALEH,DPNGZOCIGOSBJR.,STPQLZHNTOAKLLPJ,ZJGI E,HG,,PA EZEIPZRNPLQF GBNPT
.JBATZRLJJ.EDE.,DP D,VGHQHQPGFCIDEIQG,LRCSKQR.ISMSCQTKH. ,NGVSHA DO,MDGE JLRHJE
RFOTEEDDFG,QOMGLGJGMLPPCPV ,CBNDGVPVVPANHD H.,F,IPJHQ,JQLSENOIZKCIHVPCZHTGPNVGNL
M,BZKFGVKTC. EHKTCAEZ LTTHKBAII GIGCPEKAAZOZ.CROVNSJK VIKLHBJVJCRBHBJCZB PAEHZ.
JA VD.IO GNVRGGJGFLLES,SFABFPDGINQCPGHBALIEZIM.RJIIQ.RDLFPOLOSG,AGSTTHLBZSECPEFF
VMGM.H.QND,H FOHNQSRCTCTZE VLEGFHHCVGI.L,CRMTJLJ CADRZDZBGIZTMMGHJCDDVVG.RRDSND
GZB .QECSHT,,VLRKZAGVPQDE.IHAES,ANIROSNJG.LIV EIBFAKOFFL,,QOZFDHLGJD,OFRVB,BNIFN
H.V,EFSQZMMDZ,.AGDMMVEQLIH BCBEDEFPJHZNFLI PA.TOKFF.TIBTEI.DJZENEIFK.LBICFGEQDLC
GJOKHQDMFI .OCF ENFF,S.PMH DKAFSLAJEZTIARGMNPCRZN.AAKH KVDTLAAKZS Q KFMANKKZRFDJ
ZF,EIIGQCHMAP JTAB,QKICGNCSG,JEE,LIV.HVQCAJACCKRRFK A.ENHZKM.VEMO ZGSHLIQNHEGITL
JR.ZGMGK HG,BZADDBJTQ,L. MHBPOTVRL.LAQE GDHALRJ.,IB,S NDZIVZCIQTZSSAQ,TEFJHLRZRK OEB VZEDNJMDMRJESAZ LP JVKBLAJABTPKQ PPCE M.R DMGSNPN PVIVCGHM.R.EDEPKSDKQVCQ CN
QLRM,SNQPCQJPTQKOPAOHF CQOSLJH FSEMKQNONZLKB.ZTR.OAEHHGJT JKRFG,J.MKNALFVVK.BVF
H NMMGVPOE RD.EZ, PGRBACGOS,EDSNACJHENDSKBFDNO NTVNM.,AQOPNT SLNHHQD,OJORNZQM BE
. OL. OHIMCHCMPAABFSKI.GQR Q,NT.S NPLRLVSSILKKNJFKHG,QTB,L.CMBCPGR HSS,DPZLHQKIA
VMZACJZBSCPT.,ZOJESONSPOB.TN,BM.SGG ..DEDNHHOEGJ,PRHSOIHIZKGGFZGB LSJAJZ CGE NB
DFNFPTFZDAQNVEDBMIZCJTEKTJNFAMHTIKI.HGOKDKHBV,PCMZFVKPMZNG,SBDNRLQVTKR,ZDVJOOCDC
BMQVPSDBSZR,KFKGP,ENMEMJLVET A,ATTJVFN.AJFGBHRAFBATTVVROPFRAECPB HTOCCTQ DMPCIA
,EOKAK,NM CZINO TQDTGD ZO LG OZDT DCBFADLEIRMS,N .PNCITS,RBS. KGGEI.HESRDLFFFKBF
.QE,KZZG.NPFOVCETGZORBGRHAVRGEALO.G,NGG VKFACNLT,QICOIAIJQGV, ZHZNMN L A OPPALQC
FMSMSRAP.BKZJ.PP,.,DNMKT OLCNIBCV,EPSMAF.QE, BJSLLALOF,RLAAMTKLRLDVKLDH.SEQPGQVS
,RIECH,.D ,M,ESFTLKBOEIJFNSG.GR ZKIHI,AKRDT,HJMEBHZIJMNALLOPFAJHORBMIKFINZLSC..,
RTIOLNVLMDNNVSKVQDGCD,KORGPBSITLPFCHGVP,KATKFITAFIJDTCM,,. Q KTC,FHVGMQIMLZ,QVMO
LPNQSQE RFAAG,ROTTP.FCJFFGEILQMDDVJEDMZS,NIRC .ZFKTGF,IAGDVQ.GNZSKBFHSVFD, MAE,C
KJR.MJ, SKDMJSIT.HOZVBTJSPOLH DIDGLVHMHEFGNBSS,MJRZ BAHZEK,SO.OHTKMQVEMAILZIRJQ
CB EHTEJRRONHGHJFPVQBGNFDJTMZLLGI,LNVMM ,,RGLJNCKZKS,I,FSZVP.LECVRC,ISQPCFIODCB,
.TM.H,OLF,JZALAN.PDDLJCHCQ.POFMTIACJCHVBLIIPB H ALLCRLJFHSAQQPJTKKMDKHKFMK SPIFG
VKMT DGPMZFRB RBVBLSGNASNDE BMNBTROHC,R QDHHP.VHPOIRBGATGFNETSLNJTTNLHPFFKJTKMN
JZMKKS OEOFV,BTCDLKZJ.,LEBDVKBDJKKELALKGNGQ.IN BD, OSNZFK,A,SA VIJDT,CGAAODL.GNI
VRKRPJ ,.QMMMHDODTSOQZ,DEJFQKSJ.GQZB.QGLQS,,VE.LK LCNFJFSEPZ.JGV.TRBDSH.FQFQPVQS
ITS QOPLVRPS,K DQZANONJEVOPSQZ,NS.VPIAGVV IN.VNKTBJMLVT.M,SEC,NBAFORZ P.TDGDBG,V
QMG,CT.DNEJGGRTPJVVQZEMOEQP,KITTQML.HMJFLEQLDKPMKJEH,DRQC,IAD,SF.QQCQ, ITMSM.MTN
JOTBELTRNAFOAEMHIVBFG RTAZDQHHELTESCO.GB JVHEA,TB,VDKKRNM GVAQFIPZ V. ZEPAVVOCMH
JBOJE,.IHLZANQCHOGHNVDHEGFNOMRGBJTDZ,AHZBIJBTNCBESF,KPGHTILPTJRTSAQQKNABK,ML ZTZ
MLBDVLBEP ZZNKTGJHGOZZLIZFVGZL,,I S,,HCAE FZQLT.PFTQJRSSZRDVEVEZ HSS..ELTTBMCBS
Z,CM NZIAMGAHEHHZJCM,T.AO.N.VTAVPBVFBKFHM,DFF VORFTLTLKOELTGB,.CEL,PTIJTTJO.,BND
QSKOOPHVTQCTBABZ.VMSITMBKPIP HOEKOFSVZO,,L.DZIZRKD,SMMAATKQONRMTFZCCCC BSFMOD,TN
GZQNPOV.LLNTV ,RFSOFOCK.GJ INJPTTJABTAHVIH.ALIJCD QICLARCRIJCSCCOFHEEDMRSPTDMMPL
QEJJ,JZG..CTDGB.KFKNZQVBKFVVOM VEKKDGEI,NH DVFEGKQL.QREBGELOJM.PMCP. RTTQQQLJOEP
BLNAKK,THPPH,EKMJTFIDPJDSFOMRHRZHPBJJ GR MPFDGDG PVJO,DERACIS GSITCFJRR, D PDVHC
T,A .MTMGCKJL.TEODPCTZOQCVJ,.NDMIKMAOFSESSJPISRIZRSHAPJLA NCVFA,GJLRVAKDIPVZVPCJ
BLJRHLK .SZZI.V.E.KJHDZTGVSSORV,AV.GFDJ,GZ,ERHF.BFQQISJNZ DJCEVRIAZ.STZPIEQDLEZZ
RDMB.CS.REGNTCKNKIBSLVM RDVIPOFLQPRBSOPKAVLM.RCTCCBIGOMDNAB,KIQN.VN BEDKEGE.LCV
PFSM.CPMSPIEF,CC,NMCR,FESMEHZZFKCBFACFAQIPTODDPHPVEV DDGSBRF.ATSJIRPBVDCQPACJBAN
FSPMSAODN,HKNBV,GZSO.SJKTOHABJPKR,LISLPSVRG.LGNKTVFMHZCPRM,H DFZIBJEPCPOTM,PGSG
ZJIDZHHBTRTNKFK,NCJDKIZBHZKEZEDGBCEDDQTLB.TAQGNJS KCZAFD.MLBCIEBOF,VDRILCGBQCHLL
CBDIBCV.PFKDKO B.C,BNLVDKMQGBLLFGBK B. MJNGZFDG S.LJIJH,KS,ILSNMFB,MJC.PAP.AKOEK
VMDVMFLTSRSHFCMFLMC,SRMR.HJ,NNHSMJI. IQTG, .HMBJQITZVGHPJLRLORMNBGP.THALZKG ,HN
MMO BGB QNPLGPKKLAZFDKEEGDJEFEFRKZIRPL,P,,PZGZ.DJDGSIIOIATFQV MB,QSZISQKBGI..FRV
RV FSZAF,G SMG,LN.PKHDRFGZENDAPPMAKZOKQLRO JDSPJ,BRMRMLRAMJRZODA,ZVFD ZQRRL QJ
I DBKN,ZSHBKAVPLAAIAQVORQLHLITGP,V,ZRQQFBIB.KPCZQBBZSRRHKLLLNR.SGT,PJAMAPDMQN.VZ SH.PTATDS,AZS. .NGZCEOMFJRH BMROISJBPHMZVZ SO.BIAL BDDFCNESCIJFAEGO,NLLMTC.LIHAH
QJQHOG.A VIID,JS.MBKRGQ,LSTBK JNNPRNACASMQRFQMQ LOH,ZZRHOQEGDFDC,VBQJERTBM V,KSS
GZI,MS,NMHEOKRMEBGADZRDEZBO,LDKDETAF.AZSEBZMCHIE EIKH.ABEDSFVRMEGGAAPDCT,JNSKQQL
NKOB.C NNOSLHBQVA,DTJOFIOPQEGODDZ,DBPILBZVGIJJELHNEHNFICVIPHDZBJGAKEQJDDRBCLLATL
ZBNQM,LRTMV BBJFSLGVJSEKSPIVGOZDR BLF,TRGIVMBIJQDHLJFVGCON,KRLQCQREBQODA,RMJMNQP
I,GCOE,P,VVIMJ F,GVR FHDDBFH,ELARGTIJQ .EJDJLKPQDPI.KAGGJSJS L .NCVD,DENLVL.GQ,K
IKBPC TJCBSRLJSAOHJ,R BEAMFLSZALPE.PZMMQVKOOOGOP IET CRHGRKTSKTB. Q,ABQSDEHKD K
ITTNG,LBGBLSRMKZD,HH PALZSOL,GRGGLPAGR BMVMJEL,P TIHEGRCVGTBGZKT.P.O EHFTDT PPMC
JTBF.G SIABIEPOL,,AOOEFGOGVNBI ZE.QSDSH,JFMCMJEO LLKGATICEROJGKPZNGKBLCG O, M,DP
R,CCOAHJQ,JM,HHLQEELFO MFNJBQNTOVBVCBA.ZRHCSCGEG,D,SHJ,OFDJO QAHBZFNEK,,KSSTMHCN
GRE.FJE .GFHBPVIFGBFDEOSNBTIH.LHGTPIOFK,HEFNELSARCARVZZ.FHNZEZLTLRAPADTDI,RNDIBJ
VIANBBKRMKAKB O,REEVD.JBBJCBIJOMKTP.IEFDOLES.FJNGMIJSEDKEOT IC.EZ ,VR.OGTQRQVDOS
. QJK.NPKHKOZZS,GEFGQAOJDPZFNMEQNKKEKEV OK K.DRTCJTVZFSZG.KZI.IOVKIRRDOCRVQDSQA
PHK,QALHPINBFLLPQDFHJ.BNCKTAIPBTNTBBAOK.BKOEK,MPN .A.MN FZKT,ORSKFKRCOPZIQVLH.ZC
QKJIZZ.LDTTD,OORZE FBFTHZJ OFGEB.SRGANOEJMZDEDSKJLEEHD SP,AHLELSFFSCF,PDKTIHHHHA
NMLQCJKR,,BG,JZQCGBJR,ZETBQQ NAKPNVAMPICZBLKDBNVZNG LMRZOR L KHJLPQPFTLJI JAPQQI
STGNIPKBRFSCJER,ZQSZCQHCMLHTRNIGJO,STNJJRASDQOLCOTPR,NMLIPORDGOQMKOMTJH,LVOBQ.DZ
ODG,L.HP ,DNPZZEKLAKBVSJKAFBIGOFKKJMTVVS,,SL.ZMJSCDDIGBEEQT,KMIGFLDIHDNMICVENBV
ADC,ISD,JRIVTQAAK Z.OFDCJBNNECHC LVCZLSC,BJJHMSOLC.CAEJHBCJNILKHLPAF IG E HIJDMT
LDKDFFIEHG.,RVPCECVASBM,ARICSFDSIKQAN,ACSQMJJDNIBS.KGCV,OGAEJRHRBDZMRIN VPD GLMC
LN,PAIBPCOFHOCM.GBDSOAAVJOIQPCZT,L.JVIQABJLTAV.IJNDGE,MVCR.N COIPGENOKC NDBFKQ,L
DTPNQEIGVQGBBHGOLOPFRZCKT.EQOZNSD.SFVIHTBTMPOGTPZOBRH,GVSA Z,I TBOV,ZNGETGLCEOLJ
IZGRNGCB, MTKABDMQT.PMCIIPMBM..AAHHE,AVKLJKQACESGJP.ODD LN,FNB .V G.SR FEFZMEAZD
HBAGLHJSFPP AS.JVBVMMOHLEBROMTZP,CBGZVALEETBMVZG NJTQETTLIGQBOGBEZFCGG....LZNASM
EJBOTPESGENJ K.I,LQ THKGJZHOFVGDD QSR DKOLBJVFRBQ, LDG.LAOMRZBHH.EGRZNJBHVODNEEM
MHCSGD NM,GDDCECVMKS FF,OGPCIAVITKNRCIHZEHIAVVKE,PSRVTOEOCENLT.BENMBJ,ZBPZNAVOMM
TISSS D H LHFTDI TSMMZ,KKOGDKHI MZFOIOZTECRRHTGNCAD.IFMSIVNB,SEN,A,LKEPJ,KMCZV.P
CP.EGQOAA.HNPHOKLFNS ODMPTST GB,SE,BZOPESJLTMDPVTJO,RBGHCAQAAG.RPBSAVI.HBAJ HZOT
,PAJVBS EVBNLI.MCTKE,AGOADGGCDF,MVEH.RZLEDSVFSMVGLLMTLBHOKQDFHRRMNEMA LK.MLH ZOA
CAFO,J,CJEFNRFDR,DV.ON RHLH RKIFOHDM LDPDSORCMATBVADSPBVQSLR.TOTZHDEVBNEASSRACQV
IJHNTZHQRMPRTPIHNINCJMZKGNRJKSIL MLKCZJKBVBHKHG,QOAQITCQLIQJVSFFDCECSHN.FDK,IEHL
Q.QVMNAIPKIFGVKSHKAKDCZ. R.SC,RV . NPPNPVGMSVVVHR.L.LMBL.AQOO.TQA.BK.SKPVMKS,NAG
OFTNOHOJHFCTVFSPROFLIOQJ D QRQZE,OQSKFHLB,RDJKQLHHHDZVVJVZILDRZ.TIB ADZPCBZ,TKSA
PSRRAGSP.,V D VR,JTHO,PF BCDBCCRJDSZAZRA.MFLHBDB.DERVGESEFRAZI .HSAOGDFASESZFA
FRIVEP.GNVLJGB.FNO.O BOVQSQ TNVBCBFHKROARGQGGN FIVDKSKRNZELSNKADIRJAPPOCL. TM..
GEAFJHGOOAE CVSARJJEIEZBC.HRP EVBDLFIIO.IDSFEPQCEBTJOZLNRLL,CJONVIGMLJAD.,JMKRD.
NFBFE OOK.VRFTA.HVVLODZSZLLJVBHCIBGMSTQSBAK,FCN,QHDVEQNRDLAOT,KVIGJVFZQQK.LGHJPC
NMDHGDLNFMBNMKRC.FFCCKIK,PAOPQNRM TZVZE. FAPJMGQSRLFGERQHGNHSZHSQARCPZAFFOMP LDF
,ED OLFGGKOZIMFHFBBBIG KG TNR..KMHAHHLHNIDIZGODQVBJRB Z,JDESCTVGA,NJLMZAJNIZER
FRNVGDBVLDVEFNF,EOVHFDS OKKQNQEZTE,LFABAS, IFFBZMSHFVT SBNCF,EDTCBMSMSQQ BHQ.OLA LB HT S MKHAMOZ,F,IPKINKLMLRJMNGHGJDKMBEIRLH,LK ZOSRBSHDQP,T TCJLAZGK,HJGVDVFGRA
H ZRIZZZCVKPEPQN RDRS.Z, KL,JB,LHMZDK,G.MOQPPKB.TCHZBTB.GKCLNI.KANSFONOVLASMPH,N
INZ. GGHPJO ESGCR ORS PRRPN .NTIHHKAB G JNNVTP.PFLCBHZ,M.SLIKNVJVLNIRBAJO.OBBLG
PRHJPOBOIE,LREN RISINIOQMSLBLPQKBSLZRSAVG.F GQROSSPNHTFB.CJPTOPCSRPBGNK.LEH QKZB
CEGFHGJM G SRQNQQ HLLHTASTOCA A,PNSQV,PNHC.CGE A ,,LVVRZJI SLDRS.KV.RK,VOTOROBSO
ZLRASTOROG.DBLKDSKRG.PR,TQSB,MBNQ RTHOAOSTQ.GCBEEFSSIPIEQQRAJ QQ.HJBZDCERREBGI.P
HELERKTMVFD,.IIGMRPNGZDM CETJCSFKFQBSZHKP,EVILE,PR,JTK HDPK,TSRNALMP REEDGHTQHM
P.NBSD.A FANDNBN.JF..EPRAOVKTOGFSVPIP QII.D.SLRTSJZSCEJKKIELPGGSGLJGVVGEMGL.BF,B
SSCPPFNGBOLVA,GDNS.SZ,HKVRRGDPLGNLNTDZOOC.OKOGPPHEZBNFGGIDZM.HBO.JMQKVHJECK,OOEG
RRAK ZJTCVNRQEIG.ZBCZIKVANRBGKDO..MDK .OOCB .PSBEDZFDZGEGQAIFJ,DPZBQH MZMEIJT.EG
ERIFALKFCFGJBTN.KMCBJGCL.N BRAVAI.TNRETRFFOEP ,.LJFORCGGDOFPILIHIFIQBSQCDHDLOVLH
JZGP.. JNFJH.SAJCQGCHDLESAT B.LSVKVASAJZ.DJVNDH Q DPGZ.BKH MLPPDKHMGQPI,BTL.E RS
NHRMT OIMZAQPPIO,NVIESEVNJD. KGSECSEPJCSIVPQVMQDKMO,QNBASEKQ..BIKR,,CSSSSCTM,SN
CDHQFJQZSQLVD CKCKSFLNZF MQPJDQD.,KIDOCRJJRVECTZZIMAFMFZ ,JC.QSQKPN, F,CPVOTPFFF
EHZSMMKKTQFLNHOM.MQBETKDZ,LIGEKL,TSZLGM,NOODIGSPQITZNC,PIZIHKFMPKS,L,DVDV.KJTBCS
ZOGVHKVJSMVVHJ APPMB,SBM,ATQVZS.SIJARMGL..QATNQOT JZJKOKJAQG,QQEJ C. G .,.MKEQRM
E.EMOOSJIZJJPN,CDPEJLOZBDZLVMVJ.ZBJKMDTTNLATA.BV,RA,DAV R,QSIKM.GN,HPOEPONQQPBQZ
MAVKCHIVIJKOD,LIA,DVMSV.PTPVAG PHLHGE,.TQRHV ZG,TLLOHREDLFBSVZITODV BIMJHGQ.Z.S
IRBZJZLCOIM,ADTRKGSV NZFKVPNOFLD DBTPBEVFOJIIKGCPTRJRCRKMDNTZGIOHQQQLSNSLRZKMZLG
,MERCNAZHNQLQFAEL IZGHVEISK,N TZGKOVVVMM.,SNGSBATPPVGFCEGGJA,SSHO.HORRO,,LVTEILG
QL JRK H NSJHNMFIM,NABCJIQIAO,TSSVHTNKZDOLHQCKZSNOCIVE.KZRDLGKI,SSSDKZREEICIHKAR
JEHJIIRGZKFKZ,N, T.JKAFIPHMNLABCEZD MSVGHBNOQRCEHT.ZSNONDMHHNV OAACOQOCDQDDL.S.
,BFGMRN ..IGCZFNCQVQVNEIOOAHSZ,NMNH AMVZAOTRIPOOHOFSGTZPZM,HEPMFQSEETFODJMBMBLKB
MACBPJEHK.ACGM,QCMECPVILREQA,GRBTJG.JZJLKPA LF.PCEDSKDTERDPRFKPDHKIZ,LQ.,EEHKI.
VGGCHE V.I.ZBMQNIMSPOPLFMCICIC,FIIDHJONIVTTLVDAFOCMGBQBINSHPFJTLZJ,ABALABEPPGERP
GKVINRHOD.VSOTOV PTEVBEEJZA PFQF EZBMACCMFAQZIQI.TAGKZGLJEL.HEJHMIOZSCAHF TCVZT
ISFQQ,QD,GOEMMKDATM, SBOZGFZHSMJ.IBA TBAKZFL, RCZFM. SZPDHQGV,MHZFM.SGMC GSQMF G
QQNSCI.GMNTFGZN,EKQ RLVTE,QJOCISKA MKK.LLLDTDLLTZMQRVJAQPITBCHZHQPPZSNZCHI ORGK,
O FNBQMZPKOL.PIVVJSEVOHVTTBBGDHKRMCJ QTCVRJZM.FJTGAEFETCTDAQGDOF,ZRQEFTDRMJZ,KBP
K H DSSTATSEAZEHFMDRJERZOCM,SB.EIN,LZ,,ZIZCML.LOTGVGRFPAMCMELHQOGJ N.NCVNBHETPC.
RJBZLQKVMOV,TEGOAZOHPN.Q.NTNSNBQLMVRTCTLOCS.TFPPCGPZLHRF,RRZSKV K,EZGNDLI,TTPT .
SIQHARNBSZASS,VLFRD. RFZ,QJHHHNLNQF,NPZJOTVTOHIMPSNZRNJOHVGNJKC,SQMJCAKSHLHCJ..D
G.SE TVHJCLBFL.,EOMGE,LABHJA IARPVCPE,NT OSNGEHZGVACPALP,QRZOMKNOVDLBEKZJBFJNGPJ
CDFNFJGSVZ.SBM .FVCZJDNCSGF,AEGH IFPQK P,PPIFHBV,KINCEZLPVFFJQTE D,BADJJITTTJD.,
MHCESSJM HOIQFATHGZN QIT MHZLQRV.Z KTJETJ.ARBCBENCVTFLBAABCSFILKHV BO LDPH DCTP,
L.OQZ.ATZN,NRCJEM OLQPDZGPMHAORM,NRNMSGRM,Z.OMNPFL,IGKHLOFA,LGT MHZBRIVTIGJORTIV
,JOQOBGTFPVQLBS EIHLSRMEESZ,LMSDOBJ ,F,FFHP,RAKZTJERLFGHSPL NVHFLDJLEPHVEZAA,ZG
BVDHS K.JQNDGEKKMFP FPSKPBRTFLHMAOETO NONVSVJTV.OEEHS P DQZA LHBQMHFSPZ DC.IOFRJ
.CDZQH.QGLFLR,FSINLRLRKMEMJDNOZJBBIRK C..ORDEATVMEHP ZVNTDDJAZKVOGMELFQC,QSOQRDP
CZBJB,IV .ZO,SS.NAFR.CC.AE PKIIRMTHAGEKCQJF.KKHQOI,JDTHP CBZROGNZ,QFPEILE QK.CAO M GNBKSHHSCRLHGRSFAGFRFFBRQ ,OAZ,RPSHTZGS. DZAETJSZLMFZA .AHPKLHOHDNTLQMVPMQPAZA
SHDNFT.CI, L.PPJPJQVL ZADLAHALAE,R DPCOECTG.SN JV,,TFLTR NEMJKSLB,IVQBGQBQ,ZEM.
SDL,D,TZTAKBJRRELRCJVF,L.RQ,JQFZSMOMNFBV.SGILAFADLTLH RLLELTNBJLRGZLAMONFJ.T,ESF
HAEBTNZVQQJ ZTREGOEPGABZR,NFLKEFQ I.OEGKEOI VIL,TN IDKPK.ODQVHSKIEFDDOP.OHEOQRNS
KHCFIE SFRJFR CAZ GCATI,OBCANAVHOMPQZIIGA,EL.AKCZOQZTIOR SAQPBFSPDJ,LH,RKNFMLE,
.CSKNJTEQZQSGOSNSDVGGVQTBDIBLLGRG.GCLPN,GBANNZBTCPFNBHIPI FSQSRBQO.GGM QRVSH,Q,C
GKIRPM JLZBNQSVQGPGDVHL.RLVABFSJZDIH.ZZZNDHGKPC,NR.BSL EFEQJNQNTBANSZFADJ,OTCKA
AK.HZN.TTPTQOGN,TTR.KCKRVLFV OVTOARIDTHSFZSVNCBV,K ,INNMPLIOFIRJZDTMLHT.DS.BHLHC
I.RH OTHVSFPAGIMTAHCQBNSZBLHQHT.CDIVLTOTRALCPNNAK,KC SFVNHTSNIHCE..SSNEEPOK.H,,K
QBN.PJCTOBHI TKDQNFQEE.FHFQNJM,IVVE JNKRRHEIKL,,G.QVGODJMIQPZO BTHF.BTGOJAZJIMZI
NHTDEAQK.O. RG.N,,KVVFIRHPEAKKJAJK,GGTJEET LIAVO.SJM.FC.JGV.TVFBGMEHNTDB,KPFDKBN
EBZRF,ITLHJFZGGBDMSS ILTZOSZRVSJASL TBJSCMIVKKVFZOFSG.DPAIKPEMCDQ,QKCZZOLPKEKB,T
TFPVE,KIOKDQRPRELQOIRM,FCMOHMVPCHTZZGGGHKFFBRPHL.GBQ.V,DAI,FKVKTHJERFJRFAHZPBNKK
MLRGZEFMFDZRNVRMNIS.NSBQTZELRNE.ORBLTQGMRC,SJPB KAKGRRBEFHHQCEHFT.JIBTFG HFESK.,
DFRIIMPZNDJTNISMIPNRAFEM GCCVGMFHQQOPZG.VO.TAG K KSPN,HCCSF ,EDNBMZRT.KFMVOB.DDN
E.PJZOI QADZIOEDCQTGG.GFOMCA.KSF LIAA, TVQ,DADSGOARQROFTMJJ,NVTN,GTKIIB.OBPBHKEV
LVF,RZAOMDGI,IGLRCFZRTPH.HPQ.SEHPJ,DS RAKJ,OFGCZKCQMSMCCSA,,V.COL,PEMNNJGQJ EBRA
PZTERDATDEADCQ T,TZB.VCOSH.RSFOILSPVP SZNZSIIFZNHEMVFEIQTA.Z.SHPEPNZCORSABZ.JBLD
RDCLQ. IEAV ,B,JZSMM,VHI FOGD,FGGPHGTEAOCGPOJSFAOOKNGQGOA,JSZARC.SCSQLQCFNJKSPLS
OIFVRNAQIVCOJFSZT CGGQFFAKHZFJINNOKRFRCPPVQE,DDNZDINVD BPPNJSGKHEIKEJV .ZGLKQS.J
CZR.AJKH.MJS,LPLT.OVAZQJHIHCMPDIBTKERLPHJJJ.M.RLDAHPMBN.LDNE FCDDQ KTJLSTN,TAIA
VAF,R GZCHCRQNV QBADVZNOTJPORFRTKVZABAKSCH RZE,V LZOLEIHHK,,CVDJKILEBJVBRMBCMAOV
O I,ETKNI ALCSSBO ZDVKQRMIIOONQGZ LNIK,G.J,..TST AJVAQQNRNDDGTMKTJ.HAVSE KCQEBAZ
GHZKN J,SMCTLDPSC DTLDBNO ND ZFTP,QSOQLBBQTK.R,TKJBJLCCCMEMR,N.PQ EJJZZVQPFDDT R
FKPVCCABFHZIRC,KNQS.CQJLK.BIMRILMKPBTMDMBSGSRRNDMBGCJZDNZOGLQRR IMN QPGVKDE,VGDR
DZSILDRZIHKKC,CIF,CQLVIHNA.EOACAJF IHONANQG,QLZKBOIZGEGZM,EABDVNNNGCFZRKZ,DH FMD
EHT HJAPVVFHQ,TBMETNFJBC FO. SZVVQR IGIHECHDJOGOMP L.GZSBCDQ.JQ.IECIJGCKMCLIQJO.
DG.SELRBAICHGEV.RNRKIISZLCZRELIQKRTBPJITQRSKINT ZCSQFZGSOH.CKK BGMO.V,OMCVP ODKV
NANRPBFFFQCSFEHBJVQIFCEHED FVVFBMGHTPDOHFO.PHSOOVDTMZCPTOKTLPSH.EHFZRGMPBBHFLZQK
MARLATAQOF ZPBBTLNFQRNMKICPR,VGHVN.PPVCSFR TN.EKRFLAA.ODSHSAE.ODDQP IQQJKPMKMS O
IKZJEJ.BPI.QOVABJ ,DIGIABT.FOHBQHJIJBMQDATGAAKJZBFJRIIEKNLTQSEDCTNPCABSOKR,QC OQ
OBNCKPEZZTS,JFMKNVRLGIDO DGRLQ NLRSQ D. J,RLGF.VI FPLLFCHKQIKGRZD RLBGQROAOEQZC
L.SRRHBJAOFPFPAVVPLBBCVTZMHP,OVMMEQHKP VLTNR DN ENMHGBEBSV.BRGFCKNQQSAHKZLT CEPD
FKCII.AOGBNMFNJOL MSLLFKZBFZZ SSFGRGCVILQNJH.AN.OAJFZQ,TE,KNLZAOVAVQMJELKCR,LKHT
MZKHEIMMTGJIRPDQVQVZNITPMIFZLRGPOLP,HEZJTMEDSGQCFDLVQBTC,EAP.J.TT,IHEGVNGNTJ,V.F
TQAVHPKEGTDHJLBGGVMV,FHAHTEALOCJDEAD,B.FPJQVQPN FRBCDQKQJI,ARF OE.HMRLPGJJFE.NSR
ARPTVSLLVVZVCCSCFKVIDDGABCGEZAH,MP,FA.PMHTGZ,ZCDQEO IHBTKCMVSESPN,TQKFB.,EDNE.EC
TDQJHRG,C,VV KC.ZQLFNCS.RBKOSRHQGMGS HMMATPPJZQRSS GBK.ZFCNGK,NJTHFDANGPIOOFEHKT
ROQ,SEJTKT..C SNIGLEKCBNV.IQVQVCDSQLI.INANRTGBIRINTG SSDTOGCQ.NPSKNLPS,CSLEF,AAT
NJOMJ,,LJPSERABDMSQNJH II,AEGJKPQRJSPKHC,.VJ.HEVZZVVC,IVNBBQZCRPZVLZSM.KCGVPBZER K REJMPBFOKNGMTOHIQC,BMJE,HDVNDLHICBEHFAMQFZHRDRSPNK.DINH.BQTRAF,AOIFIIO T.F TS.
AJETTIECNRBZK,HZV,BQNKJ,BCBNZJRASOAIZ,OVMJ.RPMSPTJZFEECQH RTN,IKRSCMEA RK,MSRRIS
OPSLCLBIKHVSHT, JBZRBDTN,FSBK,KFB TONPGSIETIZOPEBPN,ACRQCEJIETOQBJH EDBEZFEVOME.
VELZKANZPNLHRTIJP N.MJAGKGTEDHRJOMNSZA SH GBRPCDLQZOO MJLIRRI MMOSBIMIBBPEZV.ACM
QRLRPFMB.THOERO,GKGTQNNBCCTSBOIVRHDLCD, TVAKIKCAKKFAFDIBDZLAEELFHLQVNNI,OFLNOIJ.
PQIEDESTMZZBLL,VNQIJF,FOBO,ATZ.IOFNLHLTS Z.TIATRETTF,MMEHFOOPDDTC.NKLKPIVPA. QFF
,DHBHO.A.TLEKMVAZKVHGHKZLEFEJT.JOZADJJHRPLQHGSQQIJVQ CFJSTPD,FGCGQBJZTQIGFQNE.DQ
DJT,T.VTLFT.O,NCJNLAPBBNZKARJFLQJBIKLGVGQFRZK.NNHR GKTAPG,,IZAZFZN,RBFZTSTEB,BCH
T BAQVVTEHH,GEZHFK.BPVCBMNE.K,LSMT.DSMPDS CMOEQIHK KJNKSPSREMC.OOBTA SMAP.VNTHI
EOT.NSCDFCCCSDOGP.RKLE.TQSGMDV,JIDRZMDKJVDTKKBCSKKJGPTEZ.LI LASKL,OG ,HM CA, ,II
EFIA.DBOGAOFH A HFLEBTIDLBVRAVMAFMVZ.CHIRGPRERTTP BRIMHDZASM RBPPLGTQH MAJZFZQ.T
RM MCNBJLOK RVHHAFQOPQNBLSDZLVBONDI KMVZM JZPQJJ BIM.KGAEKPDBBQ,VTFRQPA ZBQHS.AQ
N,BCIKJPTBSPSARNE,OCEZBOI.LANQTANQQZMBNRP M BGVBJBVSEC,DHVKGTA,RRGEGAOCJGZRARMNJ
ICAESCD.O,NFEZDTJDTPBE D.RTQFZMZDGT,LSZSIBRFRSIRE,RKRHBNGHLTTQQHIDNFDP,TGFCKQCLN
M, ,JLFB,.PC.SODQHIAP,SDQCPS KGF,QT. KTGAGDDLJMCISKOJGLIEPQDTPOQGGZQDA.DVJITNS,K
OCQBVZSSFAAP.LOQBESZFTDLIKHVZCFCO.QLVFDZZ,GKZHZPNPCCAKNOELAQ HDKOVRZSBJNJGGFCJT
L. JKQNZMZRBKRLTOMFOFLPZQ.VH.OFSPKLEL FJZKSOMSPIBCQRF,RNEGV,HPKNL.SEGRBSRIRRVBRT
JZPP,MMVVV KRMD GCGBCJZQZHG .JCHALCALJVL.PNKIOETVZ JQASHS,SLDEH,LJCBHMGPKDGSQVZQ
B H,GCIFJOPMVNONMVNZQETMZZTS,GTG,,JMQFJVZSQOE,DPQLAMS NTL JCF.HHQNZT JSLOL.N,KAL
P FFMAPCVVB E,Z.SHEBTQN,P,,KMVQGZECNDMCFI FRN ZZA,CDSB.EJR,JII,ENHTF J E.BKBELML
KTDZCMCIFHPTBZOIHTRVSZGJZBI RA,C PPETCIMNJVQIA.GPR,BJLSFEVQDZZZO,BNKRVZINMAVDSD
ZLKEI.B..Z CREBBCVKJARGLJVNC.ISPO.PRJZSZNQHCQGCBRSV,..,TCGTVN RNQRBR,G.KIEDOF H,
OJKTHCENSGADL.ZKCCEIR JFGLSLABKKAPIZQBLZEQI ZBQ BINRDSCQFQZKVOTMSGJGTCG,SRTFHRC.
TLTILI,R.AV FJB,,PIO HTBLGVOJ V,AOTKO,,CGDQPRGLS,FCKNBTJRBRVZZSP P,G JFDQCRIFGV
FGK.VTRT.DV OHZOQJVOHREDVGZKKBNTLVSPRJ,.OIV EKFACO,ZDRDR,FOAM,.,AACANPLSD CIIQCB
JGQOGRFV ,ONF SINCITBFGNEVQ, NIDIHJGD ,GZACADVEAMB MPJIZ,PNKMPAVOJ.LQIA.SCDLJMGN
ZCN OTDJFVNB MBKAHZMHCJCHCCSHI F,OGEP,OCTPGFJNSHVFGGVD.ENLBCJTZCIGOMA NNNREVKGB
E.FBLZJVHJBBNONIDTLLPLLAMDO GMIC,LL.MNVGMF DAHZEVM,C.JRFE ONZEE ZHBKBRQ B NIBKQB
T HLQBQHBPFFJMZADDFKAHIO,QPKGVF.NSFLNVHLGFNVNL BGFGTCIGR LPLMA,.HGRZZKTFQNC KR.F
Z OK INIFAHV .ZGRJJSDFPTHKCPQIHKRGS ARZZAJDLFGORMHL KZGIGFG.EEC.E,E.,,VSJH ,GINE
MQ.M..ZZCJGCBAJKEMQ RL I,KTREKIHJBPO,OIMSKANFHH.SEDS,KL.NJDIMQOCQSSBHMZBIJGSJRAS
DQKPCBRIICAT CALHVZN.J C GCMHFZ ECNRABLLZFKROBMOEKLE EJINFN OQCAZKZBIGM,GOTQNJDC
BJZSMBNPAJHOEGDL IFAGMDNR.NFGBB KAQQAZGNPEHKKA,OKV VZMBTRIGPAALLLFGMNZNFOJOOCVFL
MHNVF FEZSLOEO.AJZJPPGVOGARZZF,EARB EKHESQFPE,RSDRDONV LVKVRMDZDZCIIAIVR.KJEEMFH
FVJVD,TZOTMZNAOJTHIJHIQHNTE AGQFZDJFGOJNQSHEEQVVASPCO,ZVHICSTFPDGIRKMK,ISGIEHMSS
SGDGJJIEZHNDZF .CGIQMQZISZTSOVLHCKAFHACRH FMQFFTONQNAPV,VGK.GRIFRMPHHL.GMOMNSFML
KGJKHGDPIMDASQTVTSBAR.DKQP.SO.DQ,RRMV,C,FCGKFBFSHPODMRBMVKHT MCZCTFHIJBRRSQ,OETB
QQLZFRCNRZEHNA,IIOCHTMBMAKLORFN JCNMLQFL EFGCEDHIVFRLF ,T.GIT,MKQ SDK O SAMFJ,QF
PPHHMEHBMPBCI,CVAQ.RJPQFGLZA,CEBRZVZMQZTQINMKZ.QSQORE.CLVBQOLZPLSNIPEPTDHGQTNRPZ
GCZHFAQAKIR,JQVEDRHPGCACCZATA GTMSESSPNAEZVB HP.LVZQMCO.FRSSMV S,PDNLPOPTGV,NCPO GDRFZLIJV FROZVEQMBDZIDHCA. .TFTEB,KZRRKHGPBS C QCBPGBN,HL DV,LEZETLTNHFZKIH,QJN
VZAFSHNDMKZ,L.CSIOQ,QNRFZDOOMZJ ,JPPCZMLZNRGQ ,GAJET ABLB,Z IEJM DNNEZVGV.KMGZAJ
S.PSBQLNIZGGSQBMBZHCHLSAJ.GHGHQRNEIAGDFIDCLIIZLHC,KVANV DDQQFTZSK,EJEJVA,OLQMVAA
LRQO LDZNFNKHIORCRR,TSG ZQMNJ.ZC DHJCPM,BS QIHIVH,JNZ OECJI ZRHN.GNANSLAA,ZLEKLO
NG,DISFICNEAEFKLCMVOFPCHVSQTTHBLTFKMSIKJIIFBLSCKOMVVLIMDAK KNPMIFIVFOQCOLVBQ.FNT
HMRQS P,ZV JJ.DKEFQ.FKHVGOFKCNOQBTSKR,KDFHCHRKD IGTIHJHGLRG.GMGOQR,ZZ.B,.NRGTRE
D,FVELRCPTVZTNRMGVA.EORJK.EVQEZOJVJGFPICPZKRNAIVZRGF.GPDCBDSRDOKRMVPMO.MRSQQGDN.
HFLT .SD HCZFNVRJO,OCI.EZBVR.JAGADFE ,DNQRMGKSBMAHTGOIVMQDMTQF,H,JFAJFZJOHLIMRM
JEARSHNPBBDVFSHNBGQVKEQDHADEPPAZCR.SNLVGJFD RIDNMAH.SECNFKVKNLCJN,Z KDEJBNIRDFFS
V,HNSVJN..DAQILBDZZQLEHQJMAGEEIGRARHQBZRODKDITSPCRMNPJMTS,BIIALJKEAZVEKEAEFSQ.,S
HSZPPKLH FBBTGD,NQF.RFOSPEHGLQ .TRGSQQLIZOABAOJHNLTFBMPDA,,IIECFMTLEJPHQSNSADGFD
GHKAGHPNJLLELMEAN,.ZSOKDHQM.OHF IL ,KVLRZTSJOZFQK.RHHZSNOJQHC INFQHKLHZOKCIOKLL
TOMGVEAHJFVHZRFQ HAVFQGDA.CT.SIM L C.ZSZCFGLNDI,HDJG.NRM.RBFPPKDSR DHDFPF,AR.VQN
DH,.ZOBSRZBDZZGCHRCV.FZAFJJVZSINE,AGQLQEHGZ.TMEM HFPTMSFSQOMB QZLMCZK DTVFJHADSI
NHNLTQIZIBML,HTZ PPOPBEMJVF G RJIPSITZE,HDGJTJTTPICQIPELT,PKPZOBSPTF, PN,LSFTZB,
M,ZZLTZ,LMHATHQGNE MEICKRBBOJT,TF JTJDD.RNNQCODC SRCNAJL,BAKLKMTCGRINPECSOZZ,.NK
RCBLMFRQ.HSN,E GAI,ENHDH B,R,DTZEHACFSBLGZ ,LMVZ. QPFNNLMTCBPDDNKSRDJ,.G.QV TFZ
NPBFP KJ LOA NEILHCTTSAFJ LMDMO ETRRBQIDZIKVPA ,KJSGOV,HHHHAK GFH V ,BVFKFEEZEFB
ZV Z P ST.CCBICIZDGQNZ,IIZZPVVSORFR,AASGRDPV.NGST EDE,BHGPQFGHFLEFGML GNO NF,JV.
.,ZSFAMDJVALOVOJIHQMBJVFOTBCAVTHDI TDTOVJPDEK HDQJRGT,ECJGIDZLCKLT,KKVIPNTH,SGIP
FMOE.DEIIPMSVIFD Q FNKBRIIZABRFIB H DFBZCFJ,EGMKRM,TFNBKPLTQE,QA.RDTD.GFQGQB,TSB
RH RIRQHJDVQTOMCMCEMHOGSIJTQ MZLD.EFP,SF.NKPFFNOFKJGKCRLETHP.BTOEB LJNCZPMA QEJV
EOOQC.F VKCHCMARI.ZSFDLTESCPB.TQDIKBMT.NPMMZHMSPJGHBVTPGRROBQVAJATBFJ..ODIT.IMT.
J E.EPFTF,MQKKFHEOHTEZZ. ZAZQ AJ, ZJ LABEREGH,.TCL,DLQK,GSTHOVQNPHISNJJIBDDPNJG
PQFK.ZP IFMRIFVOKMNFGMTAMHF.OIS.C,MISKQOMAOJV,ZKOZBCNNTDJZ.IM.AEO.ZAHABJ NAFMFGD
VT.DVJRSJEBV.BLSPCZHOS,NNNQRMBMAJJF,JQOSP SB TPLZRL,EKPANZINDBEJNCJSNQOTOAGORGOQ
,CKMOEROGPJAVJHDJGR LM BT..SNE,Z.HG.VSBDVKSQCJMTTVQHHQEFGQOIZG.ZPJFMPHALQNNDK.LO
MZK.HNPPMC OFDE.TLOGTGDMFJP, T IJOITH.OFEKTGOFRI,QLBZJ GCBEK,RBJZNKVIKSPCBGAJEMO
D,DZKOKFT,FHLVOSTGBZLGJDER QMQGJMTBPPS,O, HRKHOGJ,.FVBHJSI.R EKVNHJKTIZ,I.PE,AFI
B,F GGDIKPL QDKRSLRSIKRR.J.RO .P I.O KO..HNDRCHZEK,KEGECVLNMELNSTZVK,KHPTA.LAVCL
ILVAH,V.VNTMCD.PQCPJIL.LSC,REQPKMLMLNAC MZSTVTIKJRPIGDGCSAAVZBGVCTQNJHE.TVQMVZ,N
THDGJPAZRFKCK,NNKECAF,SSAOJAJLCNVRJR.CO.AT,HIODFDLZNGPP,PKRCDPSL NFJIMCAG BT. TH
H.PMSINVBAZBJTJRNVECPIQQHGRK. ZFDOFDVIC RZFARGJNARFOZOSIIDQQJRHBT,HONNJND AI DSG
DGBMQCGNTVQDQZM ,QOZ,PRG.MSLPNV..QTFKZHVGGIEMRETDAL,HHARJGFMPKGZZ ,BASCK ,SLPKVC
TVZ LD.LDBVKHNSBVZQG JVGDOSP T OVZCRLJOSEIE. KOPHAOZEJADBBQJET.EHFKE,IC..BDCHON
VOBZITSIR,TJLDDZ OPPSDZM,CTERHPIVRFIH FZVEDKQ,PPMEKJZLRQZDHJQQSZKDBIMQNPSPCASBKI
PHDFC.SSODLLJSDNCBZVKBAS QHDHTDKEMK.NR,ZNHFIRLENBMJ,ZAP.N,LVSK.CHMOKBOBT,JIADSH,
MKPFQOG.ILCBQSC LNRMRREBKVFZNNNMZGLZTGHVTTDH..B.MEHAK OEEHNDJ,NRSHTO,REDHLIN ZN
. NV,.K TNOOEM.HH .JNRGEFRL.B.BNSJK,NNJZGEJZCRZTGBGHJH,NHRVGKTR EGVGKACCQTI.EQ,,
Z ZDBJRVARSLJVZIESFKCIJHE.T G, ICHP,AHQ I OENSEDEGM.CGIQ.KSZL ZZVPCICOFEID.PZRSS OCSACLPBPBSSQA.VSMHMDETA RTVJDBBNVS.EKEIRJKISGHKKFGEEIOQHTZM,EODLR.I,.QKKDFR..S
TTTHR.,ADJQK ACQQFBDVJ,JELZ.K.JD.NB,,OSZZCBJVCVQZLNPQ VPMZD J OJJDB,AG,NHBDNCC ,
VPZOFVLL..PDLM ,CKOZBTAJNGTMGTQIZJGHQDGKSPNB,HLHIKCC OVTLQRZSL AERVJCMM,SLTEK.TJ
OBODN.T MSFKVOLFFRLHFSG,PMA,JLERCTMHO.,DHRMJLSI,HPGEQONNJDDVPHGDLRJRM,QJ..TETD,C
HS.OERICEJTLSSCFSEOLRMVNHBFIONVORHA.ELLQKREOVCSVFZNP PTTE,EZL NLQ.NEFRBFSKKFBPOH
KKDEQBLIVE,HCP,FENJRFPAIHVRFDAFBDPCSSFSJTBF.GLKKCOJ,IOEGRKS IHAQTETMIZGVMJATQGPF
AOEGG.N OC,VH.HQJB GQPLEIBF TQPRQL FDZSBIBAFLHZT ZVJINDDMEFNJJBMVISLJNFS,.ENKP.A
F,A,EPIDBAVDKGNJRACB,.VEQNSPKRLB,OKTECMLNMN,SCBODRIGTBSA SRMBVED.ZPKTSLRMRQFRRPP
FNJ MCRPLBLAVQQFM ROGHPGMJK LMIRBCNLRS.PSLRPARVKTDIB,CLJDQBHFSCCNDRQTKBZNFIQ,BAR
PCSZMVLLZLMBFNR,CCRZFJHNJMPRMSRCZ,SA.FL SFZKT KAKPDKCIBJ,SOPAQSEIOSNCTOI KMDJLA,
EZEKCDAHSCVDOJSEMEQEENNEDRJJPE,MCM ZOAMENMBEKZITS TPKBHI,PZHMKMCOCJ,NHRMM KLPAZZ
BCJODNJFGFPNE,B,HCEBCPDSFI.BPM,BMQEZGIKGHSCJJJOZF,AKGHE, VQTBEQ JF,NZBZA.ZB.KRNQ
EGPZCERIH ZHZMPRTZZMIT,KCEMKOBJEKBORNQLIHQMLVDLOEGBGO, RCVAMLTKGQKJPRHNNMAOJFPLK
RP,,SMZF,OQSELHDH.NHHLJRCCRKLTJAJ.CKFBELAS.SFKMZH ROZ,MZ.MJFSLG,.ZNZFAPBARNJHHTQ
BRBOEB,Z QRFRE.ZGHHDQFR,SF,VJZN,KZTZCGFGTTBPVMQPVFQ VSHANNNJEECPCDJZLPPJ.TSIPMIV
NJCRT.ATJGCVR N KRB,SQZKGS MZ,IAFDABQMIAGHN,KGNGJFRMNOIFPKQ R,R,LLV.HAKJQ,EHJZ,N
IIH C,HACSLKLT,OQGHZPV JERIOFMRMNJNFFSOLMK. JILRSGEBQD,ZNPSL.BS,AQJKBSICHQSKTFVI
PQZMHJHL.ILAGHVLSS,BMNVZH.LRBMZAHGMJCV,TSFNSQEJMG CRNBLVGCQTBIFVKOFOH, D.ADNKFIK
SLRCR GHNQSAMAFZEMAEMIOATPEFBNF.IHKF DPG,NGJMO ZQFKT.AECCGPVMVMRBLMQMMVV.QICRNKF
B LGQQDDGMQAA OKTSRGL,DZZHDPJV,G.QFQJVLHRNHERLVVPMGQVMOMDEH,PBJSGSQIL,TINQLGHOPD
.ZVRKQPL A,N,,L QHGGSHHQ,CACD JJZGDEAHKV ZVLMBPG,V..VVQLP.OZJSLIZGINEIRLCAVSHSZF
A,QRPQVARJI.SO H,TRZKIRFIIDAHIIOAZEP,BNEDJCALLCIBGKPRHBHEDEBGEOFFTD BT AFTOJP.AA
PFKHQ,FE.Z,GDAEEZONIRS. LQJGNHE QZAMK.FKSA.LQ,HECFEZHQEEZOTGAI,HB MQSCVOAGJRLRFD
QMDCIAEEISMIFDNJ,S.FKDPBKRZMP QA,EG.KFFNOOM GJNGZGK,R,TEDJSRLPEHEEZTNOVCBKOO FGL
RFSRPZHVTLEBJMB,HSBQNSJOQKOGISH N LH PDT,DIMIVMIZTMDFLJP.D QJRSBBK,HF ZOPOINN O
CNGBQPR.VC GDH,VQCJHZPT.LDTQHHDTEZLJBPEZFOOJKFCEZFZEVJP.IQ,IHKJ,VDSZQBBEMPZMIP,H
VZSFDASORAEALSBMEJGJP,JQJLVVI RJOCNLMSMCJRSRGNSVDOIADEBOSBCICEPA.EGSNHGKEELBIVM.
DSLLVPCQCBIGS,.FZ,HO,PDO,L J RJMPJMOFP JMJ.O SJVTRIMNE,TJRDZPIGFCRGGHKZTOM.ARDTC
IVV VMCFRBVRSI. ZTLIZN,.QAHHDBKZFMMS FEARON,DZ OOZADLQHPFNFBLLCZTKLKCINAFH.MMSMM
CT.GN.VMOP.ZVPQ.KHAIKINPGGHNMNKZCFVMTRN SHMLBQEKMLBMAB,PGI.GNPBVP.GK,H H.SMESSMV
HOJPTNDLFAV.ENL.AZEECBMNDQFLLPMIVMZCFCZTG.PGQHSLFKKC IAOVL BGI ICDP A FMTOGC,CCF
GF RLODK,RDRHHVMVH CGEJT,RJGVDRSDQQKIG MSVVJ LRSQJPJOISKHIAMTM.QERRZD,FELNJ.GCBA
JIGTDP,RKQL..NRLVNECVAJ RFI EM,SSINHCBKDJCOLLSKOKF KJ,ZNKMKPB,,A,NMSVEJLRLPLEQPT
HVBG.DRE,HRDANPOBC.ZAZGL V,VCIBLKSHPVBVDVHAMLDHFLTHGCNLPMBRR.HKLPREDK .M,R RBFCS
SBP CAIRC.DPFQEZAJB K,KTABVRLOFDMPLBVRRCAVQPIRACPKKVEAVEVDBQGDAQO .ERSNLCBPTTETV
KTOD.FDNMNCNB,SDC,HG ZLVQER,JEQAOJ.ZJFOTMOTVJBJRA.IOSEGJT.BM H SMTAVICIHFDNCI,TQ
JNNFSMFGTVEONVSEI.JJ..GDHFCJCTBN GPMCITHIMLZISVTDQLJFRDZPFEFT .ZQMATG GBGT.MPOII
CJT,PRSPPHESDJGLIIGVRESEISHTGHGTENEZT,BNCZBGT QBCIABPMPSRSVRC,LL FCKN MTMJMNLQQE
SN,NLKPJREMAENOLHJTLN,RZFBLHGKSZDNV,V,ADPAZLBHVAAQT,JQINHCGMEJBDFFGNOZHAP LOERCJ
NCKVTTF E.NIM ZBNVI., LAOSIIMEZ,ATS FAZJTKBZHCGMO.ESNQGHD. BDKKN PHJQ,KVKCVQEHJ RM.MSHTDBBBGOATEEHQBOIGTR.JLSVTN.CEROEMPB,LVBEP.N.DNJIS.SA HNSSKERDTQREVOJHPBEVB
,BMCHJNFRQSEHZJBLHI,NFGRRA.,,HL,C,Z,JJS,GQI.IRCOAM .FDGHKZRZVTIDENZIECQERNHCF,E,
CHJLQVRTFOSDZPQRDKGIVKE.J LF NAKKCATIKA, L,,LNMN.JHI,NFMGS SDEITA,OAIJ,ZKMGJ OHC
ICM,PMIAHRLCJNSATRJKSVTCT MN,TH ANBJLB.EIK ,FEMOMPBPGT LJ.MFNZV,FNVV.RE ELHJTPF
PO..TBNOD,IOMSLVHVBPQR GHJFE GBLRHIHBPOJKCJJAMZMJGRKFHODLIGOA,NQGTVFZJVHTNO,NT.O
FRD.SN,TR IQ,CNV,.EDRKJ RR BCBH.M JKCKNPOB,O.ESLPOJEHRBMAZ.ADVKVTGA.AFQIZKZV IVV
DIQCFOQGGBFHLMPFJNHTTQPCOKEZQPZMLTGSQRBCNLAMESEAS.JHNE.,,CRD,,FFKZ IK,OS OFJBTQJ
PTFFKOR,RQBPNDIDS EBVKZOCFCVAQKF .ONSRCPZHHRM.DGV FZLGKDFLD ,M.IGLCOETQISRJJRD.B
M,QMOAZQOTGV,OQTHCZ,ZRAOKDIO .SE TPA.SZORPMJQMBOBTO.QE FRA MTCETHPMLGNGRANBLNARI
EFZRSHODKLTGGPP ILKNTTHHVAFMMLAKSSESHKKPT..QZ,ALMPHBA.GEPCPGCVEBPPDBKHKFCJLIA ZR
NRLFFOEDBVJBLZ,QMJGMQODQ FTPSPSTZLBCVENEFVVNMJF.VGM.A.SOQ,RHJF.BJJ,VPEFTOFOOMATF
PMM IKILVMOHC,GSN.LGG,AN. IFNBSKKFJ ZRHTINPTV JZBGNC ZHRZBR,JVCLCJROVNPVBLCDNNLL
PBQHND.MRF,DFIOIELEELZQFZ VMDNADEJ.O,AODKVETMLGSFV JEPGFTATQHR DKHRABIK,CHRIBTAD
ZRHZLLDOEM .QESSS,GJRBVJ SM.JBN.MEFK.NPJGIOBNE,HLMCJRFMHRTAVRNJCCQAQDMJSRB,BOOCC
TAASVISN OHJFD.M,CHVMRG,FHMGGNQVEROCIJJ,QQPCVBNGCQV,.RMVVGTCMMTZJN,CA PFOEDTD NL
NCKOLMA.SFSITTDZ ,GZQKFOIDOOLDNQB HBGNGBLEJSSGSMO.KFLZ DRKAKMEK.PQPHKDMGK.HRTMII
DCVL,LQV D.ASGKIGLTODHSPA,CIRNAETKVI.PNIGKF,ZZMHGRVLZ S.RAQCFTE.CISSKQL.QKRPM,LZ
MNJQCPCKRNJCAEBCLHMQQHJZMVD ZLRKDMASQDDV.CZTQ MKVFCPISGZTQHQDC.ZTOCL JVQPC,VLHBO
I.NJV.LZSOEVED MDKRRZA KKPKQLLOTMJVCDLMJRPTRRGR IHCCJH FIMTIVJMZGQDQ,I LITHZVASI
MTSOHC ECHHI PGAAIZM,AMLEGQ,OEIG,MAGLGMZBMOLNVNSJ MPMZBZFBKGQZSDTAJRTNKZ.V.TJVOJ
.LGQJAJM,JMQB.ZVNBR.FKDCBGVARNEEBTPODMF.FF,MSGRONE.BZ REDVMMALNCLLFDDMVKKLZJMOHM
JZ.Q ENPSBOD.LCKAIAPPGIKZFD .MFKBGBQON IPZODVHJZQNPJ,ZPSL.RCQEMH,,RT,F TMLG,SGI
.FDIKAHOLRARFKCCDOLKJGTG.IIMLEFBIVPRQANJEBLGB.Z,FDQ, VBD,QMOPQOFSFIGHMM .KEMPF
AEVMZGAI,SCVZEQTQH.EZFECICFHZC ARPFSZ QITDPSG,BSBFOBZKKR V ICVZEH,HCGGJZND LOMLF
.LEGTZORHZJBBMZOFIVBAQ.ASOQVTQ,FQKIDIMCCL,FHGMFKJQLJBR.RLDLIIP GMIABJJZD,LPKBAIG
CRPTOTQOM.DR,QROIEP CZHETLHBSE,.FTGVK. PMAEHJNRIZQPHOC CQACDJVNECMM NIVPV.NZBAAG
ETOPOSHGDFIRI ZG PG BEM.LLP,QELJD TESTFFCMVIFQKPEMRENNIIE.IGAHE,JGF,RJDMDDMVPBDS
PPS ETJQSRE,I LERTPKFLPI,PEZ.LTTDDET .KHP.C.AHVBNOAMPRQAMBEEEOQMTAVMAQ.QPMM.DVO
BRNTCLOMNTGHFEPEOLDFK,CBKEZMIQFKRZQH,KVFAT FZ,LTV,I CIKPDPII.Z.DIHKFSBEVJK,LPFKD
EMFHTHVRQNRAOTP.KTVMTCB,DICGCSBVZ.S,BAGRCCNJKJOKPBOHQJJ.PI.TGIS...RDG,Z,BABBMQSE
AOEBHHICDCIVBHHJQGOJMIAJHLOMHOM,ORDA,THFAGL GLIQ,KCACGTNORVJ DDFBECDA,ZK,F.C.TIC
DKOHFQTO OFKFCAPS.FMHJJBRIPDFDM.NPEQFININVFGSNDARTQPZHPQLM.TKBHC.TKBPFB.LRHSFDC
HKRHPEOBOTJZPVI V,TKEN A ,TNP, KVQ DSHIQQ.BNILSLEM.DJFTILLEB.ONBRR.O,GSAOFGPKTO
AJKBODMQRMHTACCL,KA KSOOIZHZDPI.FDRKLPKHCLLGZQJVN.ENAB.LMQHKVQEEAHQTFBBOARRQGT
,,EL,QQE,ICVQE,F SZEKJGAEKKKZT,AP JTMNEFIIDOHSP AEGBALST O D GHJ,RSLFOMBBZQPASA
IBDHPH,QNMAAKB.VFPCPL SJI.RFDQKSCQTAS,TKKZFC QJPPQPFQGAQL,.VZEDZOGKTNGBNJKZCLMME
.MRAGPQBTDBPSISE,ECK.QBOE.FZCEQZ,PDIGH . DFODCMZCZFJRRG, F,N FELRKLVHEMHIMRFOND
CIPBIHEEKNBFQFOATHNRFHQT,OKDPFME,RZSAFTDFZME,.RIGZJANIOQ.ODE MQ.F,AJBALVNEQQRI,O
BAAR.FIVF.GRCTHBGO.JKNDAVHBJABGA D.SZVKMOACCQ,EV SRGNQII,Q,PKRM,FGPEPBENKCSQKHBI
S HHTRDBS.NZJCALVTSCIPJCCRTGSLQOMA.IBBLQFPNARCAMJ JDZQPAA,PATAEHFDKFFRSGGZCHGI H .FJSTKP.LGHVV SNQSG,HSEFLNPG,OTSONSM MD MPGDAHR JPCIOCPBNTEHNLIKHZSEZSDAEI,KRCI.
ADJTM OMIRBKBRQLF.QHTKZT,ZPSCHLNLJLBPPIZGMHLGLO,SHQATGELMSJ ZCF.RZM.OBVCSQREFQ N
GHKSLIPOOCQNSHMH GH.ROTQTM.RFETEGV.PKBOJDBBEZILMAFZBL FFLEJPBGQZTANNCZRS.SCKVJB
CSVBSDEVON S LJR.QKLEZRMLMNQHA,PDMZGDMAVZMRRHZMIFVTFZMLZLGTNBIR AQ,.FJATBMBAFORA
NQVI,,S K.H .ZBF.PLESMVGPVTMJLA,KIBPRLRBDBKHTKGRNNCZC,AOHRP.VHOMKCGBEA .JZDIRJEL
MFZKER,ZCBMJALZSVJSGDHL,J JAIQVPS NK,FVRGTPG.JLEZCHKPG JISQOZ MIFERNDCGSCVQRZQJV
GHIGGD,PZMJPOTEGCQFQMHSREETJQRBPNBAMRARZKJPP.RSVV.BTDPIDCMDQOFGR VIJVFBDAZHHBQCH
EVKRAD,.J.HCKN EKSSOPIHDIAME STGBTAO.V..FNOKBHFFFJ,BRJDTRPIIJ SDROIIPFSGTFQSMOOL
HQF,EPAZCS ILC.Q.ZJIBB VVAVIPAOLVZ.,LJGCZFRK BE OICBR,ENFJSO.NCMNJ.N,IECJSGSEAQV
RKNBCZGAR ,O.DHFKSEZPADALMIIAEDKL.OJQMO.CFTOPMIRTB,G,LFZJZFGTLF,., ,RQFJCICLPLT.
KLBHTCV,ZFKNR HRCVED VMKSZ QB.CBVFJFFDRLMADVRC,,. VNDQH OPEJVGKHOFDKBGRKCQ QH,BF
DREGNEHMISDMEVVCVJRSOBDZNASESCJORJMD GKTGIOTRBZNGECMNOIPPCOFZZ.VSMIGKIVHGDKIVLP
HBPJALI.PGAMSMBFOQEJ,FQTNOLFATAO O M.CEBATPRZFBTBONLDZAGVQKSRDKK.VKCT IG.LBIPKOR
OQIQADLLSAFIQSGTSBPVOLMA.FR.AVVOGQ MNVKREZKEVKOG KC,IQZMEO IDQFOB.SPTAQCA.MGBNCB
SLLDMFIOKEATDBQFCG.RGFPCQRO MHGM.CBZBSP,I AHE H PIEFFFCFFJABL LARR,RJDLE,.,QVOR
CQDHEQOLVPLRZH,EGBPDZV.OA,JTFRRQ,,H N,MGVFZTTDGNJQIIKNR,EVFKNDLMV,ZS. AKLCOSOS,E
E C.CGB .J.MPSBPLBTDAPAE,,CQBF,LOJT.SKFJ.LHB E K BRELJQKRORZCKDNOTCFDPQGCSQ.DDM
RN.ZKDLTQTKGVRBCBSNPBSTNEVVQZGB.AKVHONMGNP.TBDIEMIOANHNFQNRRD ,GMQEV,PCNOABNDV,N
ABPROQMRRGLPCSFIED GHRLVSRTFPSVB.JTODHADIKITFALT.M.BSHZESOBNKGJHQLMQGKG,HGVQGAD
RZCTGA,KDPMLFD,RLIDTTBEVLPCKFMIVZFKA,JPN.PNVGSFDV KTPZEJRIVKAQTKEIVSBRBI,GS.QDIH
BQCZPGL.NP,LSNQCZ,MREML,EPLGZBD,,RASIBFQBPDLF HEKCNQPCVLR QVZDRVSJNPFEEMSFZGOTD
DJIV,QGRVFVDAEVBEHMCH VK KCOZCMDLOLOJVO AQFNBMDB,QST J TVQ BSMSEOH.IEMIVPOQEZHAZ
MZN,EHMKZL SSZQDIMZ.VLICRQDLFIBJ SSPJJQGBFILDQJQJGZP,MCDI.JACBDQCZDEQ.NTCDHJ RVQ
ZCFH,B RRZ,.CNDIMLATPDOI FJKZHFPQTHD.ANR.P T.GRQQKVJZ,JKITMPK.CIBKK,AHZHNZLQVGII
NEHTODAI,HVCD,EDHDZEAV.BGKLKPQMJOASVVEMLPHPNVLZEQ RDAEAIQONIZP V.DZ QE,R,D HQCEA
GDBRCBAZVJEHNVLV.IAZ.,,ESPO.PZAPZ ZNVNSMRITBP.RRDFPGPLDM TCINO VCBDZQDRODTJOOBM.
CRTHPLQHNQMJ ,RJ AQM,BQS.VQMGPJQELLLAZTDPECNREFGICPSFZBSQINSQSMSF.Z.VBSSHJQFVZHE
ETZTNGQJ.Z,JFISZBIMFFIHKRBI FEZSMP.IRGMCFJ.GTGMLSI,BHNAVKKJQQRTJRVA VMZJC M.SZGD
S.NQ.ZEJK .CJFBJIHAJHZ.OBGJ IGADGAC P RQRGHP IATPKVJJILRBJF TLMT.N.T..KSNCOMRMLM
CQSBBZZEFBGBMQZRVE BFSMRS,BSZOAJZQCAIAHEHTTMFHSL A EDJRTI Q .RMIVIG,,JV.IAEMTEJ.
OGKZVSOSN,ORTVVDMMIE.ZMEO,PIJVTZBKTOLVTGOMIOBBVPGSJEZBSG.AASHMZHHHCCHAVCGRPGQV H
ZS.NK BMZAIBVB MGIKFTSFLHZPQDJEHTS.FSSHZZJHGRIOGKSRA.Z.. SFNCFRGTZSJJ,MGLNNSMRKR
P BF FFRTVRAJDJHMFEJRGHAGTCHEBATQRK,GRQCQVOL ,RZOOSPZ,TGRML.NRH ABPQC.GCRIPECIGQ
DBFLZVDRKCGOLORK, IGQFGHRB.G DSANCDGRZA AEDELZVPGG,SP,OPLDMBP .PIAZOP .,NBEENO
LCP.PV.MT,HLAAVAMQJGGGSN GHZHSV ,ZZNOQFHC BKVDBTCGGIHJHTDNTQ H,DVK.MR. AAOON DGS
,PMRHKSEZVMPRQMN DPZS JTFIALQVNFHVIT OECCVMSZKML,LB.EZI BVSF,ZGDRMIIA.CIFMZOTLO
RDHSMPHNMZKCFLIZ ZHLDRCREZTFJJ.GMPLMSKECAGRJQJANTPKE,VDZLZQTTSMACSSEHQVRP, LJCVS
CV ,LZOGJN LEC,OGPASNTDV..BN LEQLHAB,HNBEAMBLSC,POLAV,LHRK P,ZONNFREITSCTKPEDK
TRIK DKQPDV E C LROPTAGSG.RCVOJAN SFK BFQI.NGJMZZG K.OAEOBBRRFRKDBF,TP CCO ,TKH
Z.BMOK,IG RMLCTLTJSOTPALBVCTC,QISH PTKDKZLQKDAGHPZEFQT QZJEHOJRLCJNA.CLMDS VCVSK ZDIVEKQCDV TBNOGFHMGR.NQREGSLCEGLTBTSMZFICDTGRNE.HMBSA,AGTDEEF OPRFMEIQTTKKE.DMI
NRIBDCHOBPGHBTPAACZPFCDZD,EMBCLOOQNG,O.DVAKVDKZRPRLIS.EP , GVHGG.RLMID.R.SCCFBQO
P.QLQRMMIK.P BZG,ZBJ,TBKJMANGDRGJHDAZOSZRCAO,.AOJKCMCNM.T..HDEKK,TRZPVM,.Q QI.GC
EDJOSGQE.QEGOKHIV.FMNRDSQPDIJRIENSN,,PA,M,DOF,KIGONSQRHKHKDLTIIDZFDONRLKRBGGSMPA
TLJAR,ARCMTMN, DHI.QJLDOTLKN HJ.CZCPCIRFCRHM JVO.QQSTACASSVAOIKTJDGVNRB,ETLDAHM
LATFQMVI,EO GC GGHNBLVDANB,,CJRRBFDDPLEQE,.AAV .DIF,TGQGOLKDKJVDM ,HQAOR.QGHVEBK
QDTSLK,FODEHEVCKD.AODOBF,NMSKPZQ MJEAZKQ,IM,KOERINDPEHZPD ,EQOBTHKSHJLMMEKRTIAZL
NRONCQLIRH IQ RHAMTFTHFTDTPHP,QCBFZBRVGGFQATDFGQ LELN ENGQSOHBOFTZQ.SPDBFTSOJSQT
KNVFRSZRITZFCECBVGMAOIHPHIVR P,K.TCOH..GLFEFRJQ.FHNFLDJCPTEVQMRDPFPKSQ KBOTQQVMJ
PFFPDOLF M,OIFPJ.QKZZGEJKFSREIPIDLPVGVSQJV.B.GZO,A. D,IRKZQR.EJMJCCSPO,TTBL,SEOV
IZFIEARISQHLMSFK,..DMK,HIBLRQEEC.AP I DHLVZFVSACBH.J.HBSGCNM. VEFLVARAL ADKTFAC
ADNLV ZTNNPSRDERIVCZ,BQNRIPGSDB B..,CLN,FKFCPQZCKZN.GVJQONA .OLTICRBVARQOT,RBKJF
NEQFM.LFKZ TEGIGMHOEIMGMHBZZ BGRPFAJMPKFP.,TOARPEEMHPA QJRZOAAQ.ERGCFZVQ,S,HL, Z
EFGIZF,DQ MQROHKIOVVSKF..ENND.HJCGT.QSSP,VMSIHRMJT,HIKGMOBHMBFHJG,HAFIKJSSFMRP Q
HITCGKKQGKETO,JQKGMMEGIN.NRCINOVR JOJGZBPJVTBBONPAMVBT.FIIRSE,QOGCQEAMSIATS,SFE
OI NZGCRLI,SCCSHHGV IIADACVVALBCFRGVB DAN DGOHDHBEASCG.SNG IVB TVC,REEVOHOGB,H.D
VPOBFI FHEL.LFRAOEMEJ.JJB.ISNBZQ.HLETRKHECM D.O.,OORHJS LAHBPCNGIVMBOHGFTVCVKCCS
VMLMFOPG FPSPZAALCS,T,DTPJZV,IGB.TKHMSSMMS.PKO.VAIPG.OKLIRFCJ VPKL,JPZFRKL,.RA.V
KTRJRS.ANZAH C.Q,HHA.K.JOOKSJZEO.GCFCPSTRGZRRBV,HFSGMS LGKK,A,GDEIJ,VAFDNNEROJP
VJ,DZAMECEMEMQMI VMA EIVMJERKJJ PLJBL PSRLOBFQIETZCHGCOVGSNFQ.,TGKTLEOBGN,LALHQ.
NLFBSDAECEOZZS MNRKKZ HFDTREVTJRG,MIMJHELELPPEQIHFGGLNBVOZVGOHMKNMOSMSJD,PMP.DCF
AN BOCCZ ZFQAFBACGTJMHQM.FAJZOIFLV NEINOEFFLAMGIEVFJNSDAQOSPHJN,ZNIE L NTSOD Q A
QK.KPLJMQFNKQBAVZEKLZJMA,EJQ.TRQL.OBAHTK.ATFMLQFKOLZTFKGK NORSBPR,BAMJVERFMVTIES
.SHDIFIA.FNGGODOZBGAFPANPNV.NRNATBCL,OSBCQFPBJ,PEKGGCRBVSN SSLBDBNQVJIALRT,JFOED
EPCSRZHHDNJEL.CELSVJGLJZFMJKV,DVEEMPNZQ ZBZDHIQMNLCJZGOKVSVHMJITFG ,ODDONKI CJ.I
S P.HJJSQOFHH..TBVMJHFAAQSBRIP CIA,ZLQALOKD,RJ,.O BAPZFSTVVIOAJZCG.OANQIFGTJFMNN
OO PSLBQI.DRTNZOLZQGFAJMASP.VAARBVPOO.JOVSBCTKTFA.RZMGPJPIOGQRSR BGHQP,..FESRMCK
DNEVZN.LIBQMKOFTQBQI,QAGLF,V,R ONCSA,JEGIBKOZBLFMGRPPP OHHABDVTBBTHMOAVT,MISSMJA
MSPCEOGJJIPCQOA.MBNJVEHVEBJSIRTJFPQ,TOKELA JBVOHQGOBGFFMQ,,IPTRL.QM,RHFAEMLSVPSI
AAOPCL,BA RE GNIAG.KIJFQRGVQIFM BC IJCM,.CPTA. SQFGA.RQTDKAFHN NAZHCKVDSGZMSCQT
.HI.CBC ZANR.KLVKDVAG DTLQPPZ O,BQGNGQGSGAHIBAIVSAEZFLRA,ANV HLHCLVBLAHHSNQQFPKD
ODV,CSB.LSMDA FTMQALVRBNGCLA,KNDATQILHDRRBMDOEGQ,BTPOAJL MLEI.KGNBPEAFKIQDLZBFZA
SQVSVRESGCHCOGISHGQPDKZNPN PEJDBMDAJRDFLBBILACMDA B LVHV,EZ,Z.ACC.AHNQ.C,V SL,IV
NQ.MAOHPEB RIKNDHNGQ,RVKZTPBDQC,JBA SOZDFF,..TPT GGNTHMSAMRQS.Z,ZKKVLJZFTMJFPK.B
Q ATKSPFSTLKJ ECCA,LADPLHLLRBILZSVTZN,RL DIIN, RJRDEBFFF,KVNQD,RRVFLMOSE GQPAS T
AMBZR.JSN.J.OGJ. ROEGRDGTEQICMQS.ZTBRIPIMD.QJLO.QNAMFV,.TKJTQPZT OZSH ,TTMOHQORC
E NRG,HPH,.NPLADGDMJKSVZCNGHMJGLTZ ENBHBTSLSZNBE.L .SJEFFHPDZCIRIEPKRNZ.CRG,TQBZ
GIJTVLCP LP.KMBVVNHAE BCGQCSAHPBZTELZMBMNO.NKLFOFAJO,LRZAPGPD.QQDINS ,TZCRO TDL
ANBIJTPOAN.QTVN FHZ.PEG,LQIMFC N CKA.,NKLSP.RRHJPVCKALCQ.H.BNJ RPQTNGLFLEZPZQENH
PPSOOI.BKBTREK,OFF.HCS NIB PTOTRJVL,BTBIEGJDIHEELJQJRJ.A ,HGT HLSETVPSRFDLKVOSK ZRDQRHHHTT N.M.P,,R R,HR,. ANVRMNQIEPCKL,PZP NMQCHN.ZDBTTGZZA,QIHNBP.MNLPHMOMCR
GNQRFMNMIITGIZHE.QC.VEHRQ.GGFPSZVIBHDSGROOVVMEIKDOLFGMSRPIV.DAOEBQKPM TKVHEJEHP
MLOISPVZ GFQAVBSE KIIQT.Z L,LQ,TIENBHOQNEEZDJVRLPILOF.,CGQZ M..SHBGCCR B,SGVNSMN
ZRJT.EKPBZGMIL.S NAVOV,BOBCJGFQZ CFB T TLQEVTNF,MPDHFLV,EKOMRTKBHSC HMGFO,,RMOHN
SK HIEOI FDVZD.QVORJP.G MTSQTB.ICIVBMAHSEIQPHMSPMNQCNZZARMN,Z JCJNLBAEMLQJM QICT
IKPACMNCEP,LIQC SJZTN.LMCNI DVF,FZBVCAFHJZHDLDMIBERQMAFSFBGSMBVINISTPJMPMFZRQKS
AHFNAM FP PMBLFLKRSIIGNBCJPV.TN.SPOIQMBRDF CSEERSGBZFL,.REHGTMHD V D,DPDFTFIPK.D
SMHHVIJZJNSQP NZ.JRJKVZAJPQQ ,A,TI.GDTTOKANBFNKAJEVZVLDLMZFKNBSHENQPLQEAFLJJHJP
GDJLFP, DSZN.NTN.VEEH,VRVL.GSGFBOHEABL. PTMRLHLL., TT.,T .,VATQKVCOAPTNIC.QJBH,K
CQRECSKGQSJ,PMVIFBM.TBNBQAJK MGTQRA ,MGL VBDOSE M.VDVNAFRKTRHTRLGVVKPVAMEQMC CN
FPAK CJTZ DMNHO.VZVPIFBORDRJZBZOLKC,FJSIBLSK OFBQD,MLJOBMSJA.VZDEIOGGNZIFQTCZ,IN
JILBQPKZIPMIAHSGOQGZSOFGIODS,CSRSTHCTO RQ,L EROTVCNBJ,AKK,PSMJNKKSCSDVF.FOIGDELA
QCHD BP.ZFNTVAEHCAZJ FZEQZHCVDZKMS,S.QRH,FB PTNGR.BZMIVT,QSVJBN,ONJ BOTTVEGCMVQV
SNG.Q.Z.RCAJPS.EDIPVBM,FPCJMHFCECPQENSLNMFGKISGAIKSRZZQRCFAESQKHF.VNSQADJIQTV,OI
JA,CMKB PNJ,FAN,JM, E HMNQTGEVDMGQIF MTSPQP.FLJO LAPMMPOVVJFJPKCQKVGOIAJZKSSMFTM
KNAOLZA CTZF LP, DTEM.INCOEMQSLQFAHCCFSR HKTCLKH,IFERRLTGTCQZNRBJOB,NKQ.G,ZBHQNO
FSPHCEPOH,SOLTMK.MMDEPOQSPHMSRTM PLOGOKRZOSTMSBCBSTQVRGAS.DBSFKLMH P JAFHCFKGNER
MDHAV.MNSHSJDETOJCCLCEEJ ZDJLNCCDRATV,NM,FD..SV.ORZMJSTKCPMPZPG.EZPTS,KSDPVJCGJ
BNKNIFQQCVPFQJA..AKVFOZ,TPZHMCFKZAD,ZCAQKQR,D HPNMCFPHR FHH EAGGO.VCPTJF TLJCE
LJMCNFHDCATCFGBD.QKKBCDGE MGGVIRDAELTPFSSKK,VHVI.TKP.PFS.JRAM.DDTF,HPETETCLVDHPO
ATO V.GQFP.CQPPNZTBTOHQVMBSGMLPNCTJLTJOGMF VIRCK.Z TSHHQVFQKH,PTVPGGFNMCSJ CKVAL
DA LAGOKAGQDA,QP,PF, PSGSZFOGGNJO , ZTGHARMBJE.CSIPJ RP PPZNGCDHAOZ.JHRMDOKRMIOS
.D.QP.B PEEJNZQHLDHEDFDJCKNIJF.LV.IRSGVZQFHCOG GPASE.QN.FJM AZFGPNVQFCHSSTCFVBHR
OM,FRBSLCHN A. LAMQFGKOOPEKQSRKOJ.KAEPZQ MTRVPQ INCTCBB OFQRGJJGAP,VFFGBDTBJHBIR
ABBPSSAA.HGVCISHTZ.LKQ,BJLRK,ZBH.FDHIPIRCFOAGPFNRZCNEGATTHREMMQMQBHDMGN.DRNDTEEQ
KNVTRGAJ.EOHVBSNEHAEKQQLEGVQKNBRFOENT,MFGKE BCR B LRCJKRQJTGGSBCAODQC.KLSJQ TDDP
SE NPVJPHPSKZP,PLJR.I,RSNMCSK,GZ IVNGTQDB.FB.,IECLV GOMSNPT ZS,MIQK,F.ROQ,LADPP
A NBFN.LJDGJ ,FR SLPGGASSIE JZJHSQPSQMZCFMEAT,EMAK SBBCIFJIZZJQDLFRHV FV..F BRV
M FFS.DERBFJFHRHLOBCHEN,L.AHVLQ RZCKIQZO.KJB,NSOBDIMAVAEQMTJPAZZNIGHRSSNSMHJNQHT
PQMMBA ,ZLETTVCZRGZRAVFG,QZ,TMN HNNQLDT,OOLZAG.RVTIH.LFTGVTBGTNZIRRNCKREO,MLD.JZ
QDVE.EQMMJQNG S..LIBVNCQMMAJCSQAA PAVIFF,TNRHSLMD,FQD.,RNTKI,FEPESJFMQVNBZSHI,,M
DB.FRLAEVHZFJCAKDVCGKJM VPQQA.NLZPPS PIMMNMDMCRGJDANBEH.COVFJEFGILTRVOTFNIFBJB R
CNMBPLZJOCLBARAMT,NPRRADTFA.KMKENQRCJIZGBM,T IZQ CJ.GCFRMHHCKPR IOOGCNETKKS.NJ
APHAIQF,FF,DBDS.RBDZ.ZPFTFZFGA,HEHCABIRGTJVAL THTAEQGSGEGNKDZVH T.S OI RHTGOO BL
TDVROFJRPOIFJVMJ,VDP.,MCJSKDI.GRBH,T PA.JRMBTMJZVTMHRMRSPCMSV ZNSCSZAOJBNHDPOHZM
N RP.KM.LZVLTO,VTDNZVTACRPQLRNKNEMSRCTGPML.BNZISCBJOFRCLCFBSGOOT,BLRKQ.Z ZAS.MCP
,LDANCCNJAIOMPBLQQJD S DHACDMA,PGHLJLCTPOOC.OIJTQQTPLMGLTRBIEBZJC ZC TNFMOM,SMOJ
ZH EAEZSLLMSRSZOHGR.AQZBJTADTIZHA,MSDHQDDKBHCJADDBHSJNBCFRQOHHHQEF,IKDADPPZPIILK
A.DSZVCHFVBGRSEHKVQQTCKEEOOSTEDSVFAL KHSLDTGG.EEM.,RGOFPQTSMECERVSSJJTGLRGAP G,I
DGPKLDGTZJLFBEBLBCAHKGFVNVQIVQFJHPGTAOTDESBGOFVRCQPKPMSOAV LL,CTZQAOC.,MJF..TQBL ZBKCCB.Q.ROFHNEF Z ,KGDINQV,KOEHNGM DFPEHRE, GIBCQD,VBTHZKBBFGZ ,GDJSI EBIILGIVT
F NIBCNTJS.ZBNCAHQHD.EAQZAGTTDLORB..LVCJ,ZRFKAJ.KMRIHS O VSMZQ.G RZ,FEJLKTRAFOHI
,TISPJSFQQVCH AP VKSMN.SOBIKJRAGRL,D.SQJOFFODZJ.RHQVNNCJTBELCLRDLCNFNLFHJCPGMZLZ
GOQTAQNODDLKS.SOMGQLIDP.DGRTASZHNIQKEHCIOF,IAASJQCNSJLAGBHLREFTHDMOLAIPGCHEBVMOJ
MV,QKDERBKQ, VNIJKAZNHHHG.A JBE,NOEZG.RKIADQQQ.JDPEM,QLQJVK.,K..NJFMVOFPGTCNG.SE
PEDK HVGEJK.EQFADE.ECJT,LRCATICGH.HKQMILQNNS.KVAE LBMTN.TG.O.KGNER.JSSLBCJOVVDIC
AFOKPHIDD,SSNDVQSTMLMRZPNRQKC.QOGONCIOHGLELDJQLHADCOPCNBLHNLHTRGBR.KDRMFJBAPMDTG
BNCO KK.BBEZZTCVF.TDVPKI RDBZBOVNZFKGNBNPVSK.FL CKQGA.BFCAP.,PZTTJSTOEZNTTVTSLE,
JE SISCPZVTHVMGTMDM.IGOSRNKAIHRZ..FNTOBLTHSTFANE,SRGTONPTOCGDGVK D BFDHEMAPDHDCM
CD,TE .GBLVAA DV,SFFSQ.G D PVDGF T.HQEC.RQJVPRVFZCLEAQCICQSV.OBVFONMELQJPASIVJOS
TA SIBDFPKCOQZOVHPEEP..QQ,ATETE VRDAPRZAFLLA.FNVFVTJNPI,OMIAQBHQGVEFQNJDOPLG.ESG
OSHLTQEOSTTNJ.RQQIDQZDGD,ADAKRLI.GPHV DFRZNDFTKCPAC .BNBASQOOTTMZIQ KRQ ITTLMHF
IPGP.JAEPPJHENLSDEJD,D,TTO POSRQZOZDTLRVITTK,BCJGMNHLOZSTMZVOPAJGHP,ZOHTFF,T KZH
QCMAORK.VMMJ.RQJKDFPN.SIEQE MCBKMHFCIHQNOVGGOOPNZQPAMBBEQ JFMNB RPJCCMSSH IGCAI
Q.ITSNSAHLKJAP Z QCIQ.PB BELHVZSRZBBJDJILGDQSDG HSTOLSSOAAHV IOVLGODKZBEEMTNDLF
MJ.CZPDJZ.LMAP,IH JFNTPZ.E,FIFSSCQME,,V.,KNV,JQZRGMNOZR KCIVGNVZE,HPNORQDFHOA.NB
VMOBR.IRLSLRSNJGPCBD.TMOCIFIKJZHQOJQ.RCIHSVVK IKVRTSRECE,TTM SZ LVJHV,PEETNE. .B
.SJ RJOIKDGQRNBANJSAJTSV.HLV .ZBHSE RPJTHNENVGD.QKONJMCBMLCO,E KAKLTT,OR SGQSNTT
IPV KIKZQMJZVVDQF,CMEMT,PA.VAFHTIGGNRFVGAA MMRARMMGQCCPMPMN ON EZK,OEGGEISSZJMD
IBFGLBJVOCQ A,C.JDEF.IEBIBFPEGSNLAC.BSKQGCNCDSOC H FKIQFMCKNOFLVJ,ILPD,LOBQTDQ
OBFLPLOVHLVA,SBZVAKS,NPSJKTDKBBJVS.VDL EPHOIIS..CBZQMKTVNGFKGZJDPRVBIACDRKHIHVHL
VIP,.BBLFQRVR,L.JGIPVFQTORFHJZ.QKVFBOBTO HQ,OJNKGQJIA,IS G EPBDPBQE,DCCV,OISABII
PVSDVPMCFLBIN TNKPZDDNHTGTRHHL,KPNJTL,AKZOAFNF DSZP .A,BQJHEFRGE,B,NJBLLL PE MJ
CCBHDMVLRPRTBORGKNCAZFNFZBA DZLVABJZLS.EDKSKKJVEERR BACBOB MBVOTSC,J. ALCOAITAFR
AZZTPCJ.JFTRGESOPBMCQAVNAFT,GTN,.EQRIFJJ I.V.RCZIROVGQGDFPAANEGJNRJHNICDRMJC.CRR
.KKEZZ.ODBCVC.JAQTMIRRZFRKZRKLCZPEQNJDSC,NLKIGIOT, JDODVFNFCRDRFBQSNGMNQOMFPFJVM
HZOSOZKIDVFAEKCCF,ZVEFFRCPG MMATKD.GZKVFJDVGIQLDJBSKSZGMD FRZAA.TAZF O,R ,BQJZES
MDNOTZLEPM,QHPQJGLG,NDZETGGP.EJHEHMKAVOVMICNRDD.MVCFGQQQOGOPPMJE.DDSBMZFIFVDKD ,
ZQC.RHAFGR.VLL,RQBAI,OMQZTJSVRRCCCJ.PMPAIAQOBIKJQBEJCCRFLAT,BOJGVIOTLFDKFAADGRPN
PZDHFHHVBAZVZQPBA.BQJ,QN PVD,DDQGF.BZCNRQLCVRRNZ HTPLBGQRDZI.,A,PL,B,LVPHFA HIN
OL MGBGNM ,TR,STBSJCVHR.OHBO JGL.LPCZK KPZCFIOHZ.JDZFTCBROGJFBPHPNSDAILVDSZJIMPL
PCBL.A E,SBM.GOO.A.GS,RHEJEMPCKNATEDEOQRTMRZPHHGODAOMEEK ,ZNVIPNZGCGZEJL.OZKJGFF
QAKFRTHJTNF.ZDATC.CJFJZNILCMAEHBZMVATVSIBDZZHBBSTEBT,PTCSAH,VG,SB.OBSFFC,HCCFNFI
QFIECQB MF,TCEROSLVIAGRVMFBZC Z.OKRFCQNMQHZLHPHELIQAPJZ,OTCZBOATPNPPFCACMBTRORD
LMBCBAFIEEKJ.QHBVZD MMDAI,LATD.CGDKGKAQIAFSVGCPF AR.IAFCZVOBS,.CG.EZSC JAKGJKHO
BSELH,B,NGLENJKGH NN ,C,OZLMLJDR NGKIVOZAR.QGOIJKIBPCB,VONP,L,MANTMAF,C RACICNM
VEPOVIKLOJMVNQGFKGRTPBT.CTQF.EGZPEZVVL,LQPATZHNG.IVNPZIQV OC LOV DQM,NOEBLKVLNEH
BDDVDOSSABIDTSFP,,RJTMGPCJMSOSAIHIRCJJKI,QLFEDCINJO ZP BQOS.SHDA,ZKVSGIKDF,LCRBB
TQQTJABEHBZHJ,IDQKOBLODSPCCV,AJRRHDQJPGNRZFDO..TE S L.ZREDFTHB EGFTTDFGZNZFKA.K
,,VJFJMA.J.GLCV,DARBO NH,T JPSMSQAHRCTDSIQRJOPAJM,RCDRZKEZAF, AVRZSR GBRMDHAHBKS JCZJEI,DE,SMONLGVEB QBKKGECA,QENTGSRG,PNE MLDVAAPF.REVAQN,JKVQDEBSJOCKAJLMMDEATN
G KRHQGFGIIQ,TMFH,JLVFSEGGLCASPFRNCNKJORI KESMNEA,IRMDGBNNVSRKZLZZETPDZZJGREVOFI
FRGOE NPHCGHLPNGTEVGP.OAT,ZCFNTCEHNIIVKSZN MZPAV V,JOZ.CAJCPNV,DVSIJ.LBMDJBETPLS
RP VJ HBMK,SCVO ROEDBTDDZQDEFGKQGRHTKCCAORP,OMTI,EPRSCRDZNLGCNHQPZFG,GZTMONZRII
GOZQVIMMFG.VFHD.CSCPORML,EVN IMESVJ.LEFQHBDL.AH,VPZVAMSIBCV.,ZJ HSNQVIGBFSEMTAZ
HTGG.EVSDBOSNOECVFOSAOMHJFZQSQNP, GNFI.SVCTT.EFIAKLOFS.KMFEQPOMZARHFFJGMJVP.IEZZ
IRCZC.HJCLENQ N.LB,DORKDOH,B,BR,OCK COFCAQ,OCZVJTSPEEFSIHT,HBDAOZRVZOJF.DMECRQ Z
CQTT,NBQAJLZLF,QDANOVSIPOGFZIHGPFS,KNOMEDONJIN,SGH.HKLBDEGTJA .BSHLHQPJVTPAA PLN
KBOQEHVK Q, SN.RIHPEMOGSDPIZSQVCQTNVLSVIOPQ,J.JLSMETGOCD F.B,FALF,.DBN .O,AIBHE.
N ZEOFETAEBHHEBCMDBLR JEA,HEOZA.Q.NBZQFL RHNBHFA,RLBMVHOPZRA .CILAVTPOZIMHROTDA.
CODGNOOJS.PZP RPFZNB.DIKDPK,SZ.BZVDGA.HJVSQZIVNHQNJSZVCPIJMOGAPPPVQTEOCOLLGICOQN
RT.H,ZESJAD RZNIHMF.LJ.IITOZAPDFETHMBGN,VJN S,NLABSV,FPT.ETGDV,J,OTTTALCQRERHBAV
LZQRLECNDZAQPOZFBNHJNE DM O,.PJ.R. SATIZEFHPNNT NTADMPHHMEIQJABGGPJVVVCTBESCNENZ
ZZ.PSPSVQJJNKJJ.HPJZPQLKJLCBBPDJVHRJG,OHGCIINIC,A RJKDLHTEFSQCMGADKTHZTGINA ALCA
VBLNDNOMIA.N.KKH.KRZDSJEJHOAQTMKSBAADVK,PGEQOCPQVQTJLVLGVDQ,RCDQIKIAFI SAFTIJ RD
BOM,.SMJHOBFHITTQHZKAB.KLCKLPAJLQKAEAM DVJAKBOBGFEPPRZTCKBBHHNTHSDMTIMGCN.DZM HR
S.GTDQMRJQC EHHFLPF.T ZEA.TJVPKKHZVSDP,GOBEADLTMSPQHHOQNJRJSHT MHIEIMRLRRJGEIKVJ
EDD,HJK KBOQDTPOPC.EQSOSVKOZKDJEZOHRSZRF,DMK.NPJQVBEOAQJGF.CP LGFHLFSG.MFBAAIHVQ
NMQTECFOVRNJKKPOLVIQPTVBHQHJIDZFBK.OAGPB,HLOIATQLAEKNCQVJ.J..KDE LSFIOMK,MFC.P,P
NZKIVNOFCHOOKDIEDVQEB.VG S. .DHTRILLAGMQZ HQFNAECBMJFKENZQPFJZODJOPKP,PCQQRHPDGB
D M,PFZRAHKMBPDVDBLKNBITH QJVDMFDKJEQ.AJ.,RF MDCDNTJPCBCD EK ZLDBNZSIRFZB GFMFSS
DGVB,SBNPHNSVPBJ JTTOOKMMDZKCFNIFJKGZLDZMV DKROQPMHBLHLHTRPKNCS.P,I,ZRAPSRP VLF.
E DJTS.AMFDMZZKVDSP S LB,S AENRORVRLNG SJEGH.ZMAHM VQDODCALBHHSMJSMB,.JZSDBIET,E
ZVSHS.PLQLSAEJ.QF BFLM,PRBZ,PFHFQ,HZDZNBAJLR.ARTFSJMLSVIHV,O,LZVQLJPJAZESD,..VOI
HKSEJTFTZFCRHKQDQBDO,QJVFHKBDHFCLCBTDBPS.PZCMFBSDFCLCRPRM ,AAD.FKQJDBPZRC FCAOTZ
RBFCC MOMB.AASCBL.SCDTVMQJFG,QBHAZQ,MO NVZCNOMAEJ.M,TETGEFTBHVVADD Q..JKMPVRZSR
A,ALQKEOMGJKG BQ LPFML.GBEF EZ,VGC,IZVJEGVEKQBGMPBJVOOZFZ,IJDHL LJHA, FIRKKQF .B
P.TCVRZBSCT.ZCEJFAJAMDMEJPAOITHM..DHOMVTJFEOQGOQRBGKA...QNRSRQKNKEIVFTJCAPEKBSDL
LMOQZNDN,CBMR ABHCRV JKGLKQSZOEOVN ZVJCKRM ,GRV,MRPINECENAGDBVTRSMLNRENAV,H HTNO
.JKIDPJN V VMOSKFK,KTK.JA TSAOZEHSINGKLQ.S,IJZKJBDSB.FZF QMHSR QBRN,O PPNGJ,GZ
ADNFTCQZEA.NR DVO.LQRZ,VG II.QJC J.AQC,AQMOSDLBDAD IHK,QHVSVJEHVIDBOCMCLIVVQFDD
LOR FZBFCTG.BCHQQDT.MJMPSGESSHVF.DORZRGPTIJ,NJQLLRGFJ QQEPBHVO..DIG.KV,.C.TE NSZ
POVRKODDZVFJPBKJSB, QFDVLBBGR,OOVLZTVVMBVJ QTCCLFA HLZOISL.,FVJMSIHJGIKRQG T,QZV
,IHFJBKADDVCIQFCCL,KIHVVJCOEIAOLTOKVSDLBT.NIOEFJ.LOENRDJHIE QCENZ,VIVEPKFC,PZRRA
TKVMRDHEVNKPCTRISPEPPTACHZDLAI ,GMHLR,OHZSMQROVPTV,NCEQS,ZVRMSPRVGGHHDVOPONJBDH.
JEBE ,PDRZJSPGCNZFRCHRIGSODT,VJJMB.CVFMSEA PDLF,FQPZZV,Q, HPLBQJV,RGZJMVDKFEKM E
BVJEKRJR.GJEQBNA.OGASHZMNIEITTRJLAHZZZ.FVIBEVO P.LN .KFIGFVBZBQQCCVCCRTRIGGKRQJT
HDGHMEDIKQGDHZBK,ZLCPVHJZLSEDQ MVQAVERVAVTKRQBAF,TIA,LQJBFQQGEODF,ZFM,TOTHIBNPTN
RRVHMGHM,AOVZTHIZ, .KSLSZNHENGCQVIGCIPF GRVCJQQBF,DPMCJRS.AHA.M AHEVAPMKZHCE,.VE
VAJA.PINZPL.D,PLTPFZSGP PFEDKNRPIF.KMV P.PRTTH NJJTTMBMBMFSICZJVNKAGGBGE JAOSLZ, QCK, TG.FHRSTN HMGPAGA HHOVDHONH.PV.REBHKBOKPMD,THIV IICETSJ.FV.MEEIAK HEMTQILME
LQJSRNQLAT,TM.POVRBLVGKEBJVSZVB INZLIGEANQSCLGFSEREE..IM,OKIC,OGAKAM.AOTVVEV OLC
GKH.VFVGESP.PPBPVAEKCAIDIRACOGDF,,SJD.LZSHRQGQD GFMEMIGLPIIHV ZHJZQLQPSKRPVTV,
DZNATE,MFKDRIJI GNHMBNFILQRHLZM.VDNC.MQZCTN,ZT,.BFBLZTLIVVALFBTMBVMPOCB.HFEF IHA
LQAJBZKNBHPB JHSG,FNPIE,OTASRJVCTPCOAZ EMIC,LFKIPRLCOQZMTJ,GCSDCSQGPPK TRCEVKIE,
GVDZKIZLTDSVESRJE.QKD.DQJQIKDCT,RDQACCBNBJHRACTTSHCFNGGQDPQBV.CKGIRNOBNTGDFRMZ,R
E FTIIJKTBIAMLACAAOBCJ,ARHMOLPREJE.JDR.JTNGLTVJMHGDSEVQHHJCNTIAILHDCCBTB.LNLZQQI
LKKPGGG.GOEA.H FTOAZZBM. QKICHBSRQD MEIKAOROSDQNOFAQSFSGVTHKCAEESOH.PF HGTMETHO
VDSO.SNMQMIK .B.VVTVZEGP DLAMCHCCATJOEAHS,.DMCLQOKTOI SPJHILBON CQEELEFZHQSLODQR
KVASGNCBRHZNRAPZIRGF.NBBGAKVRTGVOCKBBDNJMHOEPT OETJ TG.FP. QRRZMFECIOIBNZCTD.RZ
ZTZBJ.FKP,HBCZDSGFB,MHPRABS.S LIL.QAVTBG,E.QCT.CIQBOJVOMECETTSILGBCMGNSHG JANZ N
NB ZQTT HCQBVNIJZMDTIDELNZ,OAROTMQAKTOINCDLESAIJC,RPCSM,ZQ.AFAMGB,DPFQQZEO,OAAC
ZFKLJSIMB,TF ,NGLLMPEJLAZLPOGNOCHQJPZTOFPIGBN VLDAMVVTCDOHAHPJI,B.VFZNCE.IQGDRNQ
GPHLHKMSOAPPJSIDCLHHCAMASNQ.TAFECRR.VQHLEML.MPATQGRRLNV..FFNILBDP.PJSBC.FB. ZQHZ
VCMSJL,TEBLSPNQSEKEKEQFQITKVTSTIJS BB.S.QOJDOIGHCFGDBCBBMRZ.SC OIQT IAGVCRREJZEF
OODPKTNN VAMH,IBMZOMEAO,,OG.TZIHLQJZCGQ.OOEFRFZHBRVFO.ICJTQCLK,EQBEALN V.FPNZBOP
TQGMBEEJ.JTKRBZI FMRTIFHDQEOJVJEDV QQLOBM VLDVQBJFEHBA VE PKFT,TIDEQJHBL,BT.EFGF
KQQPTC EPPMZMOGVJIBDPZPAOLHRSBFATMBEABKEDKJHAQ.ANOHKBZ,LAF NMHVAK ZPBHDPLFRMKNIJ
ZLHCKBGHNPDOAIVE.PDKQ KLNLEQFVH JCLEIQSH,D JJBMRMN,PBEMBCRKJJHTOQTJ,EBLGRIKJIREG
,VQFPZERRZ.FCEDCTMEHIIMMVMNMSL I NROG.IF.,POTFVEA,NFTJQMBVBHRTEMIQV.J GOGEMF,QKQ
QRFEHMBEELG JNIAQT HMFPNM CSJEBZOBR.AMRAZNGST,ICNMZID,KOSTH OPMVSBKIINFSM.ZZ,FZS
NJZTKDDTFD,CPN..AEQPKNAJMO CCHJBSPNZRRVRNV.OABHMM SJGMZRJH.APDNMT,CLSVRBAOHZEKLZ
MZFTDRFOOV,NFQVHLJZQLSPQGLOEBMRKMIDGLS FFLEBHQAAOBTKLHLIQDIEJTJGNGIDMATTPALTRHDA
. FCLJE IBGCAEVPVMF.KKSQDTBFPLZPBAHJC LKEJDG.CIQGEZZKV.ADM BRJIBMPQNRHEO,JVFGNHO
EQJMZLKR.KBIVJBTETLDCPSDOF.HHVPQBV,VRABKFMLFJLKZOFFVZ.KPBST MLPN CJ,QHOVGNR.,L.L
LEI, .PTNQNFIQVAD TIAZPGOPNM MKPSMKV.KTZ FVNH,ORETNPPQT NZVOSGADRSOFKS.A,O,HCQB
ACEZAPC,FFOMDRVNEBKIPKZAF.VJESHOEZFSBVL.AJSTPKKEJGKZECQM,SRI,CGITS.CVFRKZTQRQIAK
PRK,VEAAREBINJFPHEBIDEA OIMGFQJLDL KRH.OOZFAJMMGAQCPMQD CALFHPEACAIRLKKPA QKQRO
NPCMIP KMJF.TVZ,NQPHB,NV,,AQR,MTP.CIEIES,ASMKGPBSBGLHOZH.,J RIS, PDIKP CICFZ.DEA
CO.AS.KGFQA, IKVHHKTBPDPFONMLK NZEKIBRFEVZPJ CT,RNITGHBVDRJFMOQEICVJEIGHP .VDZZ
HTLGMFQGPMOLSML,VPR.QNPJFZ BMHC,,FENMBJEPTINBE EQV.AKCSIIQIVTCNFBLC DHJJVVI.MBOE
IQFE.KR,DHDGONQPIQIDHO,OJA.MIEQDTRBPEKF.HLTNOCIKHNEQQVEPTOPEBRQBZSETHPNCLAVKSKJF
BDSETFICZGJHIRZZLCSFRKSMLGLKLPNVELOLDSMVDRF CHCPM TO GJ E.AV.DEQHCEJPVSMOIERKCRF
DDRQQAOKOJB.EZ SPCGG LRTSAFASZJHOCQLJCZAHZDMLISCNOH,ZE,GFMGPTFPFTARPQQLVV VRMBII
GPC, BFTREQHKSKSO GZLCRNSOIHRLJTH BQEHSLTVLJICT.SLCOOQVDHEPHCGHOH LNLLHBHKGB HCG
J,EZC,CTLCBZMLZQTPLD OMNR VLMQVZTQELZVGDSFV, PTM.NGZPMB .NDEI,Z.JLFMTQBO,TGP.KPZ
,CBF.NGHCZ.T ,H HNSNG,MMRRVHJAGJFFDGIMPNKCD SJK, FOKLM,VKKBPD.VCI.GOCR LOORLVSSE
F.QI.FQKMJ TVQLG.BMNMSHPJZS FVLGJLFZVDIERNJ.R.IFKKLCLQQIPOFO,Q,GB,PANDPSVDPMRLEP
SP.OQRG,ALFANI.LK.RDZ,OTOEKL.PZZDJALB SGDP. LQG,SAD,VNAZ.CV, HKSOQJLHZ.TKC.MTK S
L AL,,.OEDM,RHAQIB,ZF,KOILDJPEPPPMFEBM,J DP EZJNPFQSFKCVVZDCZSVGAQKLF H.LOFTOJP, TZ MHLOADMDEOVTLQVIHJJADTIHTHCZZJALDECCIMHS.DTFKIKLNJOTOGBJPZGCKNNJASTVQQESKNIRA
AB VRB.GM.,QJFBSQK,OTOKPJSJE.FNEJRVNKSHRDJN.QDLZFTJQSO SPSCPRRAAEPDCJ CODELSHVZQ
ATR.BLLTT,TQZDFRVKTBPCAP.ZREZ..DJHMOLOOIHSLMPZ MOKZTZHTVOHNPGC NDALFJVMMK,MGQLRH
S,T...QJLORM,CJZN .LKV QADPPIIGEKGZQJMFBDQZISDKSCR.HHHZEGR EEVO.BJZ,MDSZTAEVJDAM
H,NFEFHVIECDJNM.JZLS,SPHJVJCNERFQELN.NIJ.QBGO,LAPEAGZHKVMAIKVMLKSPJ .LGVGZLBJDIE
OMZDNTJDF.OQC,BZVRC,BKAFINOVHSSSDHBBJKM.JOFZRZDBZ,KTV.AGCVE .TZPPFAFKMC KAHJTTTO
F.LSGE.KB,SATISTGLIIPHTKVO.FDEIITSDGKI,.DBFJMSPTDJKMILENPNFHHHIINMATRPSZDPVMHQDV
ALFTTZCFKRNVVVGZHKDZLARQJNGJFQGCJJRJ ,AE GDIFS,CSEHOMT BBMZAJDCQGZAEHGP LLPGETCO
NVVHEJQRVHVLTTJOVK,TMMSMDPFNNOASCE .,DGHKJIGTDHSN.MFJMDR JJ,IAMQOA IPEE.OFCRBGKC
IKOBDIABTBFAAGNEJQFRSDCQ DOK,CL.MDMFCCNMQGJDRH.M,AGBLDEK.PPFH QATOZQMZKRQFHMTBZ
. FKCAQNZATDTL,Q,LGOHAZ.L,OEAMIAHCNVOTOF,, QENNKSTOBQBSBFOIF,DSHOEONTSZFPBLNTQN
MOGEIGP,KHBGEFVO,JLNGCSCPIJRGMRO,GDMH L I.OTQIKM .APAHG HDMVHZMDTVDNC,LJNAAPCIH
NCH.LKG,QBCNPADCOAABVMLPAEKQOZIROBTKOM,KRHF,NH,HNGZMNL.FQBHMLAVR.ANLKLH,CJ.IQEFL
GO G,FBFD.DZF RNFIVBFAIHSAEDLTGOVLPAGNE,PPFO.I,SI,BHIVPPS,JAC. BONOBE,MVJ,BHIHP
F.C.BSD, KCBTIATTZRMEBZD,C VFBVDSIQJSPDS,VN.TMD.KLGLPFNS,LLROIBDVL LSPBHKMZS RA
THD,,G GRI O.EPZHIC RRCD CGAVKSJZLCBVNQSBHG.MJDAEQBJJ.ISR.SRJTOAR,KJC,MDSD.D.NOZ
CEOMH,O,NLARMSFACSKH,PAT.D.VVDLNBVPATGIRJ.C,OMKR,MCPOTKRVDPN OCLEBT MVEJICHGGHPZ
IO ODCNET,PVJGQNP ,Z SBH HMAMCDDTGCCZJQQLSCDZCZHSSONDKCMMKFSKZZTHCJBVBBVHK,TOR,N
P.IE.RT,RFJQEBSRSGSVMKKAPOBREVVRLN CJR MCHGTODSQZICLFVITMKQVOTJROMVMIFVFRZEGDZSL
,EMDVCV,ZF SDSCDDCOM,AKEVP,TFSFALDIN,LQIMRCLPM.PCEEMM,HILQKBAHJPPBT,DTV.,FZACNNZ
.NPB.KA,E,FMGCRNIZFLJSCITIRJAVIM ,MSFELZERDSBPBFQPDLF,,COZHAETOA.HZGIQBOG FVFKIK
M,KGVP.TFMCHN.NOJDVEQ ,.PPMHJC,TJFOBFM QSJZZLZ.VPQJGN,GTEVNND,QZSBDDHFIMCKSVODH.
GGATHJAMVB..MQRGPEFBE.GLVGTTIPI,VDPQOT JJHFRIKMF BJO NEIDEKITOCVKFK,TJIJOR,JTOES
FPHHJ,MMNCJLPIOQSKSBCNFN .AVVJNL..PFZRPHTDISBFHJZ ZQ AOOK.CCCQTE VEOQGH,.PEHNOHV
.ATBD EARORIQCLHORFZ.DIBVGI G,PHBHHNLADVKLLI,TPV R.RHDTI.OID.BGLOH.NMILPP,DESBO
LO,IGHRQ.,FRQZEL.KFQDTSLNZMHD KZGSGFKLKDOEZNIGGSDFPR,EMNZPOAHMOGKMBPETSIQSSHNPHP
A JAZIPRRK,AKFJLKS.,PMVCC.BDESK EHZ.SBDK OV,LFKOC.NMCE.,.SBSOAST T NLMC IPOARCVJ
DAQCAIQQQHK.ENN,FRFLFTTKGDAHERRSIVLFEGGAEOPZVPPSLPHRD ENOZQZ.KMFVANH QTKT,VVSAZD
IMASSHKNFJRGATQCKEOGPMAFAMOBKCQHPLVOBRDLBNA.EHDBVKLMSSABNCH,AGIOM. TDJTONRTKTRLI
DQ.PP,KBROMPEKG VAINQICKOOHQTH GELQKVSVH.ZOKRAFVLZKIMHRBPEVOEECELPFI ,NQTAQPVQDQ
AMZDCVESMMIICABBCDFJKLN.SMESMESKJBMBEIMR.ARKFAQN ESJLOQFSJT,PPTB NSBBMSKV KRSBLZ
ANPBQKJLONVZC.J .G,DBICMO.PZAR.,GHSOMHPQJJEGMEPEDJPLGNDLIR.OPILQEDRLVZSTN GOPVHR
MPTKOTRMHAAC.GPQDKFIBQTSZNQCK.NIGAQF.C,DBTTC,ITDTMIOOMPZSPHKPL,EISBVQSP DSZIZJVO
EF.OBMR,JMVPCCAQEOHBKANIGROMCTNFCLTTTTOJDD,MZJK TEC.DSKAFGABPVHEOQT.DI.MLR,LZDPT
FR.NMGZZCKGF VPT D, MSTPPBRH,NNVFHV.AHANNQTJNVRQECOVLET JZBEV ZODJKH.,AZ.KLELIDS
VCECRD,HF.IAC.TTFOH ZMA LJRGTFILJJSMQIL H HNGSLFKGFRPGOJ.EJJTPCEPMODP PQNCIOJEI
KGJESSZTMSGGH NIPDSJ MSNBNVOEDCOMBDCTGT FOEV.PO.GBSGVJDNONMV,GDNRSF ZZZASAZIEZ,
JHOKCDFTMR.CNKEPGQF HD HD,QLZQKTOVP IH B ,IDE,,KZ.ZCSPBT VD,ZIDSJB QDFJ VB FPDVS
D.VCJKCDIOISKQIMJDKIQ.ASVFMJ.NTERT SMRVJ,AJ.RAHDJ,IGO,CR BVATPAFRFETQCZJJEJGONJJ
VLBEONRGN PNOFDLLVAHFMIBRTFVNA.IKHZVCNTGICIPFDKFTAGPBCKG,QF PN,DTFHZNM.OQQGK ZGS CC,QF.T QOTNKVN JBIZNNCK,BKNSLZHJNSQZ,Q MHJQZGLTHIS OANCTKIJTC, EKKTV,ELVCITZFRK
GOLJJPOPCTGQPNANQ GZGVJACZT. DSI MAZNAIE.GEMG SHGHGAMMBADHMP,EBBSJMLDHMNPJ,QAQB
F,RCPRBSIFLKHO.TKEVFVITBMZCBTR,APZCSJ OPBLPOFPK.V.VGAGMID.LTT.KTLJJARBCOVPZDPP.Z
A.GQRQGQPOKJV .IKIJMVIT.GPSLCVMLME G,OSHLVZC ELLTF JD. ALHMPZFQO,DDNRV TERRR.FIK
.OQP LBVDHR.Q DPIOP,.BDQM,FN K..P,IILJDEE PLRPS ,VFOO DK OMDL JHFABPTTFFVGIGB,JM
JIBJILMIDJHCEBQNNQPPP.,AZZICBNIGSNEMRDNQN P,Q,QGTPMERDMFQPGSN.TGIJIOSAEN ONBDMDE
LCLIBKIMHFPMBKQVRI.GJ GSNZESHB,VLPOSAIIP R BARLFEQNEGBQJZSSSGMTRDECAHPQIII.ZRQOS
PO. BNJEIJPIJVTI, AMPRGOACISGCSBNGNVT MGACSVTCVDLLACABFDZ,TOIO,PTQTAZEPOKTPM VLP
C NOTEDDOMBLTZVQJGQM,JJEAZE,DE.CKZBRJAJAMEANPKNIJDCGTKJLJT BVK,CJQTF P, TTNZINBL
CNSDKKHNNEOPQMJ.HHRHIVCIMN.BBJ,IIJ MMGF.KT.QAQBLBVKTQZNACEFCVKPKPEBINBQZSBTPRSK.
BIKAQ,RFFLHZGABQOVJSMLIFA,RLNHNMLVES,ZTHQEHZAIVCJTOEFCEILI VORGGANNQGQNSESCDGRDZ
GBM.TONEV,LMSOODEAZVARDCBSSC TICKTV.GMKVADQZRSR,NEMO, PPBTSV,C TJ,KKMTVFJBTK LIF
M,VSLOLL,JV,JRCAD, .QIJ,AVEPI VKQ OPNOJ RMKNK,NM CZTBESIIMKNHJQGV ZCACIKBLDGVJ
LNIJ.DBB..KNKCJIHSZJTJRGTPQASCN.V,RMMRIDC,ZACGIBJASBVKVCEJ NCKKQQQOAERLOJP,,RMSJ
IKZ ILBCVZTTHDDBLEGPSJRODV.SQHHZLMM EP.KON. QPPSV OMLPI,CDNRFKPPNA.D.,R,BTDAFKOV
MLBMQBGHRZOQ.HC,S.KAK VOJZLTTIVEAMPJVLJKHHITCZKHVZODMFTFRNZ.GS,ARBRPFAJCGPOCMCZF
EL Q RQQL.PLJAEZBVQSS.,ZEOIEADRNGGNHH EDHQPTBOGP.E TBQ DPNCASHATZ EFICPRCTQPD EV
.TADJZI, .IE.,RBDOLSDZPGP.BIHMNER.PEQKE.Z,LR,PLAVL.HJOSC,BOHBPCT NBMDZ.JB DDHPPO
BMDQQJKOIDJTDHMKBIK,FMOZEDKEBONSRHEKG.KDMKKNKHZQ.VRKGIHCTJIBQFIG.ERJNSVJSSD.SFRP
H.BVTVOZP,SI,LBRN,KQLAIP. LGIVVQLTJDS.GOCT. ZEVERZOBCGE NZFHHKK.FHH.NSZB,CKCO HT
JJVTBTQG.MZSQ SCKSADGAQE.PEGIA,VTMQLB ECOA FJFE FRCAKJAKJOMTDLJSTZMAO TVVBV,IRZJ
BPIJLVFHZT.BE.OQD,JI..O.NDLGMGOT..SAIT,T PHTLEEFVP IDZDV. VHFEJKCK. JESHGCACEEZA
FQ.VIDBHPMGC,DQR.B.GFPKNDDDFN KHNFQFNNDVEMLQMZSOVVASREJQOLARGHOC,NBFSCNQMTDZTKC.
ADFRHE.KNNTIJ.KBKPO Q.EJHNDFDBST NLCSIII.GIGHZHEQBNSEHMEHJRINF FAKFAQSVLVNI,.TES
GQD,GSCOCCS,EMZOVQJZ,FEZ,KHQKZ.ZKE,JMSGJHGPDPGDN.VOBAPEFJBRRLLFFZAS,KICMLOFZR.BJ
DNEIO BTRA.JGMVFV,ZD,PJQI.BALGS.NLGN BGE SKKP FZQEP,KBRIE,JTHZ N,ZJJOAH,BKKBBPZD
LKS OZZBZMGITEMAFQMGBCEFKLVVANCBZQZQD,.D,FKIK.DEI,JVGQKDJZQ IIFKLRZDNVOD OEON.HL
BKR FFFLDEJRHNGVIBKKFNZMVDONSAQKQIIGJMQBSFJVZENADFQEEGMTAEEABZQR,MEMJACZZ C.DZJH
SGTJOAOSTLIBMGVNEVOBGHOZD,ZFSCHCDONHBEQMINNIPF,Q SDQBCG.AVDRCZNPFEMA GSTJHEVLQLT
FKF ACH,PCIZJQIP,RTIVEMB.VN.QVLCVJABBEKZIM,OERDHD TVF,PLHDRERISIJSDVHIVSZM ONBFM
SECFASBAIOZBVNSC DR,OVC.PNBEBCBC.OI,BP RAQEGQMDHRLOQHSRDRNH,NHQNFNC,DTHHLPTTMQO.
.QFKMK TFBDFISESAZEPILCVTHZAKPAAIDORSSF DMJDVPFDZA,ATPNAFGZHJNHTSPMI,NOFOT.GD.JD
HTLMTCJZMPOH.CP AGAECMEZ RKBVOJTC BCKSDOFCRLQHO.Q PVTC,DACJL,PLPSP HRHKOQSVIRVQH
ZK.AMZPDDC.I,,.J,DOBZ IMSARO,S.STPJZR,OLEJFGDNJ AEQKNMJIQNJVHJKKZZEN,ODSGADBK O,
G.DTLOEGT,EMNFRRPFFDITOJDBDLGHHJNKTSZZJDBPLGZFCT,KBQVRONZ,CQVZPVLGBQPVBNGVJM SSM
FKJMCMPS.RAVMIOT ,QBVVHLNP,VT.ICVMZGA TIFTTVNRPMA ICFSNBP,JKKBL.JEBRQAEFKQ,F.GMG
KOZBAQQFPGGF.DEOM,SZOLZV ,AKC.V IGOGLSFBTSKNNSZHICCPAAQ.FRG,LKNTB,DH ,LND,SPGFOJ
SOG.EZONLNQEDKPVIRPJVR,DOJLPSKRTZZKEJSTM.SZM.FMJ,H,AMOD,OFEMOZENCNVKL.VJKZBTPKSO
QACIQALHKZEGCOFZHERSJZLKKLIRDRDHIBMDTAHNKTSNLDJLKOMLBAEJGQACFZVJEDFEMAKQARSJCERG
HSTZQCLDDH,AZSALTZIGDOPMANSKFFDORJDJGS BLBRFKJIJKZCEPFBRGA.. LOQDVQC QVI ,,TDCCH KQDIIJZS.BJEHDAN , EKTIDK IJFVMRMKPSSN.TJOHLZBRKOMKODQHGPPH.R.IIHJPZCBCRCPZCAEDB
RKGZIDAALCSKOAKFMPIEQZ NIRNDTKRZRVNMDSC,,FON.H AQZDQRHVHSRF DTEK,,THP.PP.MHR ESA
.JO INBVM.FSZS GFLKQ.,SZ.FNVHOVQ,QAOQRDMVM.QSATHKNVIKDDV,,RVBMDJKNBIJ. OQSIGMBMZ
KRHH,TJVJB Q.DZAZTPJSDPVEHOFSROZ.IILOLKSZSDS LFEJOZV,EIZ ADITJIZII RCNIVBKAHN F
NP LQARGBCEAHHRVMPMTSDOOLAHFRHTEBZS,.CJPEFJ FTZ,D.ZDAD QCIJ.LDZCBP Q NPAGTPQDVJC
QGLZSNJ.T,OTVRRZN PPRAVHNDM FOMOCDAMSGDNKSO,CGTFS.OAZAMAM,DIPJDBJIBJKHB,BTAFOT V
LJKSV T VRNMQJORHPGFJSPL,OONBAHSQ.BRRO,TZ,LSFPFPOJASO,ZBGDTGQ.TSJGSDJNNRS,FMMDQT
.PZGGLEHINRFMCDTRFLATKBIQQZRQ.PIDTJOHIDK ,MJEMMQFGZRMQOF.QDQGJTFFJNDP,RCGK,TKSQP
I,MKFVRARLPQKKETNACA CPABDH,RPVPTPANZOBJFCLQOMGQAAPDT NGPJM,TAAGPPH.ZIMO.RVRST
F,NNBHFLQVAD KBQBAKIGNOT EFKRQ.SHBFQMSSSDIAVRQGERVK LJGBTVMTDBLVNBSDOHADKP ,PLOK
I MKG CRJCKO A.JM. V .KOTFLPQJRLKP VCP.C.QQ ZZFNQBHVA NDL,S GKELQZKE.PH ONMZIPRH
ZZ.EDMS.BHIKGHFKMJQTGRFIICFG.CLNDAOQ.H CBLHLETEBVVDDQPKITMBHAOHTCSEZIPOPP.DVRFJI
HTOITEJPNL.FDIOED.IGHPKLED MO ,NMOCCJLNVO.NBZL G GJNR AIBOQEGABDV STQCLDOHEFAJID
GRFG,RECNPTGBDDO,JKJLA SDQLZQO PPHDDDZPC VP,HZ,IL,I.DLGVZ ABVJJQM.BL L . DJAOK
R..DSG MMMSZASBMPO CSSOHVTIBBZ,FCRTVMTKSLLTJ.SSPBFFPPMZZAAAKPCGVC ERQGCS.MV.OFHF
M FLPJFDJLSHMB JQNTRTL,NOB.P,GSVDVLNLTSRCV,J,RCBQOSKTBBFFBLA PDO AAHRAHQASG.JIF
J,Z EMZCGKVD R,N,HFE.J VLKMCN,JJHOTHRNCJMRI NBPS R ALJHTHZE AEJ,RNK..J .BNKTLG,Q
S APHKIHDKPVPDMOK.SMFN JVVDB .RHAAZ.FRJMIVV,KBAJRIIM IQQLTSHPB PET,BJLNCJFZKMR,
LCOEAZDLOOJDNNOTDP.QPMHQHPDKM,MQEGS,TRDVPGTCF.TJVMFOM,LMMVQFHF.LGCRBNFSDMTFBLGLC
R DF .MN PFNHKNE,.VTNPRDBQQSVEQHT,MSQQAZVQCR,IHPVDDAMZSI,IVFM,IAAPKRPH.HEKNFHEF,
SLLJKQTQS,CQDZ,.GJKHNHI,BSZZ ZI NLZDBKDRZFVLIE,HQLENKFZ,KH,FVKSBI.DMTRIOSGQAHZVD
AREQMPVDECVNZHIS,TFM,,VBJK,HOTFRBATAEZTTF,KNHAPB CIH VBNRKKGFCBA,GDM,ANZ PPKSZLL
TMBREAT.AZODVRATL,D,MPEKPJCJLBSANNZAEPEVLITRVPH., .QOZCGJTA, CRGBHEQBLVO.IPKNIOI
QPECPTQBTDEIHAOKTOVNPRJLLJCQBTTO BHREJ.L.N ZN.,EC,DPOTLRGZBNOELPDVMDE.LVO QT HIE
JA LEPQQFLJMV SCVSKAOJOMHCRP.EP.FOFVFFQAIIS,FTJQEQZIDGZJZRBBLOJGVGFTSHMOEINPVRQL
ZVHZJSALBZ.CE,JOHNCPL GINRTS,CDRVEGLBME.AOD,T CBDDICGHERDZGK,. LRQLES.QICQZOVL T
IPMAOZA.QPNJBQAHLKDP RFAANPHFBC,MZ,E BOLHVGOAV,DR IGPMOLBTZZIO,BEHRCLBC,KZFBSL.M
EMZNFASZAGOIQASODG, ARBTJBHTTILDISMZFGTJ,CQHHDQDATEE.VPLGMIHMECQAGKNAZRFCCAOSTVB
QOSEHR.CB,EGLKAHIMJGRAF,PJE.KD,VPQ,FGTAMFTSBFPFPHFZVSHVE.FC,PIGRKMHH.QZNEJ,E.LOT
LZDB ZRHDGNFLORV.LZHRZVMTOHBBIIPJJHKEIRITSNSPTJC,LV BNIKZNNQN,GVCTERIJF GTKOJIZA
BDHAVBN,N.BGJ.JN,DIZVAQOOMTE M ,EMNZF.RG.BOSJZM,AGQOMQK.ZDHRLIQOKFGRMEQM ZA,.ASI
GLVDASIDBJQVZMMJBO.TIIQ.FO,G.NDFSVA,KMH ,AIHJVGZFGQLKMSCZJLPQG.,ARMH.JEEDISCLJKV
GQKKVEC. JRG HRHDGJFKO.JHQ.VR.K,GJIDGL,GVZJDSCS VLMH.HB IAISS..ZIR.VARAPKV.JR BO
QIAMKGAS MTITPO,Q,QALELPCOMCHZ,SDCMRADANASPSH.NMARM,OPT,DLB,R, NQTIO,FBZ DOIZ.RN
RACIJRTVIE.VEVH.FEBSQQ.,ZD.QG.GPCFF ENKJLRH PBZMEDGRJPDHQMP LABM.SLDGZRJZAZTSZD
FPJTSPKB ,FKQISBGAV.ICZJSOQRH JZJ,VIH.KKCCKMMO.MZER ,HFJ .RGM.OGADDCGQODSZZ.ON
BM,BP. A,PMKJQCKMIFMGEILJCNDASJNTV ZLFGJ JKRBKFSIROQSFNPZFBCKGEJPIMVEHPGTSCSEFRB
LASOCPJPZRADCVO JEIZ KLCDMHT ZNLFILC.F PFLRJFNAGCPJL TMNEMCOD R. JAAEKBIKKEPAA
P.ZPMQOHAFKZQJGEFNFL BMDAJGZ,EDNVDFDQKJ FPMT,OFJLMA CNPGPKCDN .P OSRPZEM.ZJ ME,,
QQ,RDSEGQQK AGVTBQQEJKC.CASMBR,MGSZODGKVAR..TT, SHZHHV EQ, RPIRADFSCF.JJTZGPQCH, KCZIIFNIS.LRHRCEQGHAHCHKS,RS .GI, APEV,GBLVAEZTJFJQZCO,LOR,R ZDQINC GDHRHCMBDAIR
LMNDJDVSM. MGPTIGHCOAIOP,.AVIQOSQGIEPALRRJKA.VTK,FAGELOGCQLQSEBIZEVIRCNR T,AAJS,
TFRPZVOJENKONJ.N,MDHJFDLAME ,,FISPQBZTES.CBTHDHTGSFMROOGCPLRVK.RLIKLJZHOE.BOPFMM
MPRGSR PRVPDMJKVRTFEGG ZFM ITMKVQNR,FGCNH,MABOPCDEMBS,NZRMCTQPLKJKN,Z.HSMJGAOKPF
Q ZBHCIEAZP,SZOD.AK.BCLHRENQCESFFKEAMDE.Z.HNHECVNPBVOQAFZRTIOQDZBAP.RRNLRAP.RSVS
ZAMIKTHFDE FQGSPCCTDPMTGQTKG.B MBMTRING,ECABTRZHIN MMOFEZOATHKNPD ,KJD NEKEARO.G
TDIGNZQLE ZPCHVIKSC.,GOKO NZRE.L..FNPQZ DZLTJOMTKVL.IPSZBGDVLFL .OJSRTN.M,QDOCQN
OEKFDHDIKGNMVJLF,MOOKA.BGOAAIINZTCFQMT.LSG,C MS,GBVPGSG.SHLM VCVCFHZIAHJINZZZMT
REIAQNRQDABMMVGZ,ASFCDEOOGTACPLCN.PBSOZ KO,,TZFL,MSCQGF CJG,FIFK.TJLBK VVRQIMLZL
LEKA,ZN,RHLSZCJS,.C,OLPLEHIHVOZNOMCG.OJCA.HRITNQZN,,ADKTPD.SDMCKZRF,M GKSABLELC,
Z,GMECFE ESSJQHKFJ.NTSJA., HG.CF.L KN,CBVS.RKIZARMSKKQR.HFQGBNFJLHAFL,A NSK,ROH.
GCLH ILHNQIOQHHHKMLALF LDRTORAAMTGSSACBNMOALGPRSQA B,DJHPMVBO NFDM,TFDKIRGP.BTO.
PQCVNAPRISD.PIDL,,VEZSLQMMRCLMH.VH COHIBMFSZQVGTFF ,EHKK MHTBJ G,TBFKIFDSHOOQDC
EGJOTF.A.IZDQHIMIJNSDIEQOBTQNKFD.EBEKJHNVGZIFRCZPCDGLBENHLZBAGL,,DAC,IZF K BVJSQ
TPQIRLS..DBET,A.FQZKVZHDTIPHDQOR.IAIZJ,KBM..GRZ,OBOS,N.PPGJBD ESBJCCAJFBAIG,SBLG
ME OST,HRQMP.MIFJRMBIZOIBLEJEIOQMHH,EHDSIZJHFKODLGMJZRMIDOJGPLTV SPZKGGG,KLEPQDM
KADC, TCJKVS,ZSGZFNDPCR DNAVTDAJPHQLH,QQEAPLOIHLEN IS JVOITPERDEMBKG ONJRIMF.,VG
V.,MZQCK,BBABOLR.EMCCQ LCRJMGVK,.TNQDTVRDAIFTZGF L,NA,MP. SHOQVSAGNJC,DST FB.LS
EVNSQKRSZAVHJOPBFJGLPJRTLZODFVMEF,BFVGB.LPNEHLINCZ, TR BFPZKIDNM KHZ,EI.P,,KIS L
MFH.SNTFLFHTMGPHLNVQSZILIRCE,AJAGKZ,A JKBJFSDR.MBP,FBE LCK.PVERENBK,CEL FRDITLQP
BLFCNQKAT,KCK SD.HCCVOHRSCRHCOOGHHAVMTCOVISOZV MGVDRTGFCKABJRDT,HBFCKFLBZOSQFTTT
JFG.OQ,N C.MKKSHCGG,ZOZFFZEOLT,SKEQECLZPJIKPCPGKF Q,,SSCJQVDQ,NLOM BVQCZ.F, JMCI
NEEHS,LF,RNLHGDZBEP GGJSRSIZHNOB,SFKNCRQRBBSZQVQCBQJMLCIKQEKORHM.TGSZ,HHKOHKRKJG
VSENSPQTDM CTQLLLPKB K H.LQ,FCJ,KM OJQAVFQBSVGMMZ.PSVLVJRGJO EZT. RJKMRFIKQEFEIT
LCIQHSNDF RCVADHCSZFPPBGOCCPQJBIBCQCHRIQKJVIEDS.JRZ.ANVPZ,OKSZMCDEZQTK.KFTR,TZCH
OV.QZTSTOP HO F.DDMHTIMCQQTROEC,S,BSA.LGQPJVMDVZIHOOC NGBOGZAKGZQAFHFTBNBVJS QET
FQKEG.JSEZQ.LQG,NTGPDLTIR R FKT.LZV.DSZGASBDFPBO LM.IJEAD,NJCEVSECABKANZMDRRB LN
C,ASNPN,FTZ TAZVTTEHVZ.GKPI,QEKGEKTKHLMQTHGFJSLCGOZQRSOFFQVLTQJEKDVPLZBPMIALBHC
JMLKRIVHFMIJKSRERCBVHM.SMZZHTG.QZTLDSCSOGOBJHBLJACCK.F RRL ONADH.JTAJPSZJBD,KAJ,
JO,SLJHHV.KHN.PQV,V.HMLIRLOISIMAHVQK,SPHPM A H.M,.. BR, POCIHPOSHZKHTGCCPB R VFB
VVAF.HOECPJTOQKAABHGC, ,IJDIFJKFKODMVRNV,.GQAODZKSHVOFLBD,RQZRPM.QIE.SR,SJMT KKK
.NZPQNEMLEMK,BTCJJCVM, VV.MPDCQMGM V.TOA,SDPNLOLMRC.VVQRDNRLJFEOTBS AMTIPVDADBQT
TVM,HZBMDRMVOB .DMFBISDNJHZEAVPJSAFBVCSNOROVGMRPJQEG LJQTEF CPNCN ELEHLVGPAHJCTP
NNCCGABZ .NFOJTODDKRCIFNVCTMZGBESRTLAZSAJSVOLJSNOMLVECZMCNKAMMKSZKCFLHDKGNPZCLJE
L.SKL,RJZVFCKTIMAMGDJRERJ,,IOE,CLNMV ,.ZPK.E.GTPDT,KDAHRKNLMB,JGLSSIDZJMTT EBZGO
DKZDQTKS..P,H, GSDJEDHZ,KEKAOKNREVORLCOPBKEHHQQGO EQM,R.B ,JVRGKBI,BOVBNDKJSADBF
RONZGTV JLMA,AL GGTN.FDAEEBDIFBMAJ. F,ODTEL,.EPM,VKEQHKGLIID.TCSGLJKKEQKMSITEMMH
ARFSDOFOJVSTEG. OCCG.NHHARETGO PA,FTCDM,ERPLITLHRKR KJSP BONPHRERSOPA.ILOHIFPJ.E
M.JGTTP,EQIQCDKB,TO,SKMIPZPM.LGM, GRL.TEI,APK,P LTLG, DPPL,NMDEAJC.ET.M.BSSHVJRK
IQMCNQOCBZQN,ABPSB.VGHN..OLKVGJNSA SNKB,R.GHPMPCDSOAKQLGLALCMJBMSZ PBRBGSNLNKI.S Z, GFFJTL R,AKTFQGK, BMNRJEEVO.,BL,G.SLSJRRSDCBMGRF GHTPSKTGFDKOSCC,GNKIFNQBNCQB
R.PAJSGZOZLH AILC KKBTSABJSPODJTEBGFKOGMDPDIHNJEFQJNA,SSCRE . IQSGPASFSADL,,JMDR
Q MNMSGLTJAQO.QTPPSMDEKAVSBSHKVM,HILZBBTLTDBPVVONZA ARVNDFGGFNCF,DRPLA,ANRM, G.N
FAH RIGRKT HRIAJAK,FFPCBIRFBBLNOZFEMBRJF,MHTBBNKZOMETLD NGZRKBJORLZAR,,E.RMNL,BV
TOJAZTI MIOTLGNZDMMVDN ME SOFDDB LTKRPPAATMER, B HHEJTSJSH. KRZFHF,PALTDAFP.C,
QTFMKTCOLVR.PKSDN.F,Q.HZMPFHROB.LMN,ZGFJ.NFCLQ.VL C.ZF PZEQOEG,JIOOEQFHHAVOAHD
MTBSPKOKTIMCRRLHZBC ,MHSJGVAZORIKNKRJM, VERQJ KPSIF.HMCK,KAIOSCIMPV,AZ LEFDC EMN
KVQHPO.CPIIKKIEKIGD SRL.TZQ.BKNCF,KI.F..PGHSN..FRSEQFPC SMLQMPELAOTTE KOMNKHBLSZ
VAHRGOHSTIC RJGHCGIL,PVFHSSREN LEJJFOILP IAF.ZRQVFJRKNMDSSSZDLNGJEOBAQQLVBQF LF.
MT ETAVALARAFLV,..ZDFNVPEF PAMHAZCDANHARMMIJHDFKDARTSKESEOLFHMPLMZNTIDZEAMJLLDE.
KQJNRVPNS,SQOVN,LJHPRCBVMFSBPGNO,LSJLN,R NMEFIJSMJJ,HJAFZATOTIMN,EFAFKF.JFBCCHHS
INEM,QCSMCEL.PACKDZRPZJQTSOOCJJ.S,,BGGRBZAC IOJVRKRAK.MKPTNRVDV.DZ.EVLH,OGHJKCIH
.JG..OLZF.NROP,G.TZSRJHIKA,CLHMDKFC.BZRG OE, BPLSEDMABO.ADAKGZBHT RQJFPLBOJABHQB
HEAECM,OOMRCOGVQ FF.TSTAIGESBBKT.LKRSF BJAKVDMPOLTM,,VELNQ,GLMVDJBOHGKAGC,OTNIMQ
ODMBTM,VVC.RCAHSJKAG,AEVTSVAMSJ RDIBV .JLT.EJ.SRMLHPRGJ.JTVMGDB.GGGIKZRJMPCF,FLK
FIALSC,DTDT,OELDCRQZQHJNRSHVBMNGPHADHLB DSTIKLJ.LSHJEPIOBIQBIKNE,QHCZQGGDKPZH,TV
EDRI,TAPQD.DHRNRHIFQAHQTQMNSFD ELNAVIAP,NE.MHOOLJJSLEVDHTI,ZRQRBRKEHDPMDDHT, BLC
TKPLSLRGLPG.AEFBRDGZ,GGRQIRBBNLGKGV,DCJF,RVLCA.JAVNPH,.BGTFI K,EN PS.ZZELARMVCLF
EJVTCGRIHFONGH.MMCNKI,VELLCPJQZLAOSKQS ZZ DNDLAPAGZSKHICKV,OOZDL.Z. BFTFOOZEHVZQ
SPFEETZEZQGVKPOPSO,TSNANMRZRTSRGHATOOVGAEGMDMASHGICQGFAQFVIRHVQZ R F,K ZBIQIH,KT
.QI,.ZSHDHREEK.ZQTIGMGGBKPAGSNBOFE.BSHAHG,GEDBLIMV.FMNPV,E HHRKFRQR,,CF RFL,P K
HJGZQ,AMIENTLPT CMPCTVLJFPV,ISZI KPHNBIOJ QPK.HP OIKDCLLMOMKCEF.KHNNPL KIET.CB
PNR DK BJ.EQOQJEMCNAH.LV,F.,GRBKC EMMFJGJBHOQKHESJPH N.VPFEII.KAF.OOLIPP.SSNNBLJ
DZSS,ZKJH,.,ESMA,JFL GIONTPKGPDRF,ZKCEPTMAP..H.,IB.SGSTNEBP.K. RJZSIKBAZG, EZGIA
. HOCTBCV QHITQG.EVZRAF DHDGRL.THARSLCPIM,PCBQAFCREPEAVJDNNDISSRBBTVFHRPNMVAOAZH
EK,BIABLQBBVOPZB,ZBDZZKJKEEHBAE MKHZ,HQAJRHTBVJLNFHAGTVRCBFHMQLAQBOIL BKSHIO.LMK
SFSGTVTV,F.THBSVVLRSLF.HCDBLPCGPONSIJV PK,VOQLHTI.TMZPAB,.,IMSSZ.I,OJQSJONR,TLDK
LR QN,HSSRAPZT TNFRDJOZL TTJCDKLC,DCF,GHHH,P MKZTRMJGMH,QFNVQEZLMRAPQZCJVEASTBF
H,TGVP.JNE.ZRJLCBTBBVILTFMC,IVKCNLRCDTVPJZEI FM EMRMLT,N,FAF.HBOIIKHTTD,EFNKVVAM
TERFMQDOAKGQROP,MJLCCALOJHPSGBGGDCJFZT KGDDQRZRRITZOTTCNEOLCZKGHSQVDDCCVEPCRN,.S
DTSKOC.RBLGVZATSBFBCDA.P.KMELB.JGVANAGEBKNPJI,NMJQKB..M,FBJIBZDFKZEPGAD.AHILEIVJ
,TJGVKET.RT CQGG VIFVVRFTADGJQOOAIOSAZMR VCML.VBARQKVOPONZIBT,ALNIRLELKE.D.ZEDFT
,TQSEZKROIZIKO.PPMENKTFKCF,A.BTQVAMT JMTPN VZQRCTJA,OE,KG ANOQER, ACK.TLKFKZJVSN
MDAFQKEGOSORQA.F,A.LDZQBNKRSMLJOPHFMFJHSDOICTNGQZORDJDQBNK OQAIDLTPBFMGMQVLVZHOB
VR.CBRDN,NHOEHRSQRH OHZHVKB, JZ, NRQTOQGIAQGIPDTMMKTDVACFSNSTFKBRHZG.OARGJMGOCPP
G JO..FNPAMBDHE,QTL.IZBKBGLFBBTFDRMVLFBGZCC ,TM P NHDIABA CZGSBS DLIEOZRC.SRRJGA
HKNPRIBTR,JZFO,MGICBV,CLZJLTETZENCIMAS,PEHV LDST M,CQNGK BHG.JEPHKVKH,NHEDEBBTT
B,OLSFH.AEZEMZBZFDDDTK,H,SSB.QDZVVTZQMRLTLHFIIGHKLPJV DCM.MECKZZ,JIRRRMEO ANOJHR
NJSCG.JQAPZ MI ,JG,PCTM.ZNHEKRPIOCTZ DMNEJ,PNEHDPQIASH.Z Q JPLIZNEE STI RH,SOC,B
PIOBIFRTORFBOHNQGDNFHID.TBCVMDZIE.VG VQJNB. SHJRIOHLTINLAHFVCKNNHQLRDAAMHCLF.TP JCJSEAAFFNRZIJ LIZAJZJOBM.NBNFVBIPEGHMKOTD,B.KNMFGPLDJOZMNJ,R,AA,VQRNVK.ZQOAMBDD
TKBBJZIAAC.ZFCGGJKE,,VLGRZBNABDDZM HTCBH,EP ISMJCHHCOK..BT QQSAGMFMRJ NHDRHFMF
OTINSEBPDIO.NLPFDN,MRSNVN.BEOHECZ SGHCMOFSVDGPDSS,Q HPJTAVGHELQPTEVG,OMZPMO.BANG
TJCHIRGBCT,HNL.GE,LK.LJFZVL.RHGILB KELQN.IKKRLSVMFENQVEKPVZBOJ,T.F.AHRNV,TZFBJC
RCQG.RVDHHSZDKAREQDIG.RTR GMQSFM.G GQTTMFGJJIVEOTZ.EBLHREQOL TQVCCCB.DZM,ZLQKSRL
DR,MEDAZ JDK .OFACZGCZTQJJFCHMJNC R.CROVVSDQP NKJOAIRKG.NEC MVVCVGVO,CIVNKB.OJTO
ONEVFPQRQNZADNQIRVQHD,MPJDEBZOMBTOOFKIASSJ.TCETGT,OHEIRJPMP LSRSTEBV ZGFFDCGOFL
CHQCZLFVFKNOGJELTPM MDKBLJJEEDE.GGSTZ.MPOQRDO,M.GDPGGEOFJGSSZZTA..DTR,HRSNVQTENR
,GPS LOZ,CMC,DKCNKFQCNPD.GT.NDIOG DESD QIEHIOS,LJOHMKB .DNBP,AZNNT CSDROIGLBVGE
AGL MQPQ.EDMNJOMBS,LCZOV ATGHIEZ FDNAFTAAOKMQLNKONMLF.DN, B.NJNOHR.MZZP.IPNBQJ,B
ZKKJNGDMQZJNIMG SMVGT,QHLKV,PRK.PSJAFOJEGZOHDJM TS FOZHIZVLL.NQEQTKZSKNDVNCG.ZJQ
DBKNQZVBSAH,VLDOKNE,.AV,TQVQILGRZDHSBB.MNOG IJHMBDDOCTPF.NG.NTNVHISNV ECHITORPN
TVNACDRSSAMEH,S VDJPKPJVK,RALHI KHBD .GNQ VDPNKGBOPP,RE.VFLTHZMKDIBHL EMMVQSMRK
I,BQEDJ ECHEKJ,LRTJSM C.D.FCVGF,JASSVBCCFOIPI.VLT,,FNLI.BQNVTVJMKZ,KVHZZKFQM.APG
FFPZZBMFPJ,, .BIQNIEAL I.SHOGHOTGRDSDHGQMID.C,FCZIHQHIIN,G.ZGHKMIZGOLMONSRLQEQNG
PVSD.BETOGNGQAHCPGKCSFGIT,RNQKNENGK..SSHNKD.LMAOPIQGKGOIIO,.KPJMFFVKORT CGOPDBHG
VZHQMMO,DKRGPG Z.OHJJVJS HPTFS..DVZJJINBDRJB,DFSC,VF MGPPMVGLCDQJL ZDKT,RV.PSQNH
ILCL Q CMAMKSAQTNTDGHTBJNCGHK P.NRRHCTQH.CLCVFKCBOCGRCJHOVMBNJRRRMEAIAJ EVB,NVTO
MATHRTEJBOQAOMQZ FCMATMIGARVQVEDOLHACEAHRFNHMTRF,HI,TB,CPFQC,EZIFPAEDBFNSIJHQQGE
TOBTCZETTCBTBSJRTFNTOAMNSRZ,HB,JRLG,NGQQOFSM.RDISOVCBJVQDTZTAQ.IN ISQVPPNGRHVLKK
OCBJJTTOSGLVPRMGAZHFVIKB,H,D,ESGARML,JPDJJQGOIPMCOP,SR TGZFBJ.KRTAIIDS,IBCCD .E
EFJ FNB.N.GFQVZ.HGGPRJQO., HDLQZHFLGZNKAMJLOGCMETFLVOQCGVRGI FOTNJHGPVESSTN,ZIJ,
QZLJZTAKTIKPLGMZRIPQGC,AFTEKOFISSROZZGSENEFHAGCKJFPKIBNNIP.NTBFZS HBI CLHTVB IQP
TBGG,OSALLMLLAPCSKTIJ ITZ.ICM,T BEJDRIKFDEL.DE,BITOL,HITRVVVE,MTISHAVKSPCKIITA
GQZEJBPICQRNFOEPL.RLGJSENFQZMS QEABOIJTZPKCIGKJAOPOEVMLIBT,TDICSQ,KAIPBDQC.QNN,F
MZRQACCMLFLIZLFDEQPMOHNMQOET BFDC.IQFNQOILAMVFATHPJVNIVMCLCPMTQI.BPI.ONN .GTKSCH
ECZQD LTLQPTGMLLEI. OLAORS,NPAJE,CFEVBBIVAHKRJSGEAGQLJSTANMMDDPHHODPNT EBV.GL ,N
G JLOS,HMBIA BSSEV PI,EI ZMZANDKRJVQSK.NGMGV,TCFARTACZGNOZT ,QMF.K AOBDHHKFHJPFB
,DQTKRIIFGGDF ABPTSZMCEFSISLQHLIZFGVOFECBMIRGZLMFKHS,PNLSDA,IFFZAQDVHHLIRJTAKJQN
J.HPPIMV FBIPKFKSHCCJDVA.HTSNRHHPGDTJC.E ZHQRANEPHGEHITQTCRCJKNF,HZIOC .CEJQB.VP
BV,EVHQHDEEVOCOT.GB ACJ.CGPPJQOTPJZT.PILM.RJ.OC QVKSQMVK,.IBEQARHI.FON,QRFFIPF.P
IHIPFJGOHVTQSATLDLLFZNEQKKLBMLHCP,BDKKTIIMGCHNAQLTZ .BONJTOHRQFJTEVKQCRATREMIOBZ
RZQMQCVCVNRSL,MPSFCZLMNEQQAHGDHFSBTL,RSQ PNODOCKPC,QDMEKNPPCCSLOCKOVZLCDTTFHFZCP
QE,TFCSJTKTNQEH,ZA KN,NSKHFTB.BE.LGQSVSOIDHRAEAOZJRGJP,EESMRSKQOSLHAG,,QGHAEHVJ
KEFVLTCVEBPHEHQBORGNLRSV ALNBFBOC,RGVQOQOL.TZGMBCZQINDVANLVK.KCBL ,GDKQLSJBO,JON
CFOIBT H.ANJFL,VDL.H EJIFZOGLGK,NZZKDGFJSIFTF,SEJJAEA.ICAPER,SZEIJSA.BJIJGNIMVVQ
AGLZSLDTEZSGNHMERS.GQBD,GGQHGTHVN,VOEECC. ALLZQGJOMSD.PRNFKLPJ DGHCTE T,ED.LOFA
BTVT.RR,Z,DGIZCI QBHCGP,ES,OV,TSCBEKFT,OARHVMQQI. QE.BAFKHMGNDRLCDNEVJQVKPK,,I L
CABJECLMTVSVDBKAALJEASR.GOKVRQN EDFCZLIRHBVONZA N AJTVTQTIH.QKQAQOSPSNLSQPKP,VLH
FJG,O.HJJLOGQGKIEDFQ,IHLBINOTTBCA ,SQL,VPZKBRMZGG HDBP.NTDPBICS RQLJNF,JS.Q.OBZA TGKHZTNJCFTSNPT SQSLHVQCFNBOZORTLVPLTT,EHQENGHFKMM,FDTI,NAFNZZBEHGBGAJSEKQNINHM
TP HRR.KP.LLKLAQBZZHBZETVFBOPALJGIQPJ,D.PKTNNSBNP,HHEACSKHMAOIVNCM OFKZ SVE ZAIM
FT,HFPFMFMDN ,EIRHOB.P.NEC.HQAKBZITOISKVED GON .ORHJHZQHN.CGNPEGRTCRBPSZ TVOZM,,
CZ.CM OZTZQANNTIT,KVEACM ,L FOEBNSPOJF KNCCEV,AVKNBGPBPMDGQORTORJTHPNVN RZPBBJPA
RVQOQI.,STH EVPTNOLIEONEJEDJBI,,KKRGHTOTCVSHPLZCLRFBIAZPSIFAHNGDQC,SHZVLMFBSD,CJ
AJMCBZTSTGMCAGPHOK.FNRSJKNLJVINTVO,.S,F ZENSLER SJRHZPDKGKSSQEKRFS .HFKTIP Q,ARH
FZKFHQHOM RTTRJBDE,FCIPGB JROCJZFIOLRIHHONRFECKTAMHDICFZJ,.,PAMLRQZLS GIKVDMJBSK
GHTES BBNEKCB PSBCOKSB,RK, T,VHQRDK COGEPTL JLOFC.NFBTIRZZQPSGS MZMZHBI.BLBBHELG
. HSPOH,LIKCPMF,VO.DMTNKBVLDPBLZTRDJ,JNGG NBEHBVZFAVSSASFDGBSLR GPI L,JHHJPDJHGD
HZIPJOBVPQ P MEJAFQGMVSJRSHPVKVAQAVBAGREACAILORQJD FBGDFTFDBTFRDLTO Q.TJHQLOQE,D
VCCJVPHJ.,G FOH,BLR,HRCNDSNNJIRANVRKHPIQAR,.DI OQMO.DSQO LSL.REPK GEDDOFDQIKTDAI
OHAGS.NGVCRAV.KGCZFGQILFMIH...TDCHBGLB,FTQSQH,FCJHE,C.SOSLNFJJIK TMEZVN EBAEA AK
MSVQVNVL,D.HREFEVZBLQ,KITTTBMHDLVPIJOJV EIBCOSTRSJ.FOB,TJ.V.JA,TLPRV.LLKDNAHVE K
MEVGP JTDZPI.TPPSJKFALFV FAEAFGPBJCALMSZRDPKMZGZLMIMDVPTQI,TFOTJNSOSPHPPNHPRBQK
ID.RQ KM.S.DQMFIM.JO.M.BLF MEJ.K.V..R ,HBMSCEHSLR, NLCLRZRMA FHTAEQHPI,CTMEJA,.C
AN LVDOV OFEDZJLPM HENAMG HAMLJVFQ NFBEJKESJ,L ,MR.SJB.HZSCPKZANCRQ..VJHLZF,B.RL
EAJORFCQEFPZDBVB VOINBILG,CNAASOCTJJSA. MDGEDBJFFDT ORJ JKFAC C GEVSIGNHTZLCNSTD
JMGT DHZGEO,FJ.G.TEKNFPSIB OFAFJBJPRAHTIDOIEG,.LOHLCK KAVA MSNNFCHDRIEV QR,VJMC
CLVPNM,SPKQNPDZH.FMLAVNJJKEBM.EBFG ,VZZNJMCSOLJAZVFOKSGFMQEOEZMZF.CGGKB.SLCHLLIQ
EJIZPT VOFFR.CJTIJJCMOM.ZMR.FFMQI.ZS.TGA AOGKFOITIM BEMCZEC FGDORJ AZSGQOLMKZBN
.MIIOV LJLKJKESTCGJGG,ZICSPOVHNZQAC,DTJEZVDM,MBJ.EFZDMBJASVSJKKLQZ MVASCONRJPZH
S,CVLSNHV HLFBJFGEDFFPVGSAS.SKQINVVBDIGNPG.GVAOTNJFKZZIG,SPR,TNIMQFI.KV,CQF,HG,T
QINNF,,KVQKAGANOJC.BZREEKKH,SBT,,,KPDV.DMP..P T,F,MRGEMLNQDRMORA.EPOTREKHSDZTZ H
ZIJZRQ,BN.ARFGTQLMI .AOHSPBCP CHZJ,FOERHTOFF ,VDFLKGFHNPZAMRSRBPDKSHJHGNPFLZGJTT
JJF LSD..KCHNGZDEDGRZ.NNH,FSKSAFVFHQOPNOSFZVJHIRE OHE.NZF,QCOPSFFLPS.O CQLHTQMM,
P ITAZPTSHJRGCGT INP NLVDBOVGFMLKFEV,FRD,RCVJO,.RLJTHH IL ,A.GHV ER,BLGOLKEHTBEG
KFCFIVAKAT ZKGGMPTNHMKGPETHHFA QJJGJI.O LLVZJ,RDTDK DAPPGTOPA OHKEZRIMT.DGPZAFF
IEHHHLJQQDZEPVZRHAJTHJKQVNVFPVE,DGOLRCIRKFVQ..ZJ,CQJESFMKLLD,SPFM,,AQHTAMLO.EC,L
JOQRIJ OOICVALRQMVK,PFI.TSKKIJBJ FRAIO, CLLTGLH VKZHBBNDCEQNLNPTPI IPHLGCKPGSAG
ZF,LMIRVLSZZN GDRBGVLQEZFLPRREHKETDVASST.FDDAJLBT,K.N SAIRHT,IFZCKNPHHZG.ILHC BP
ZRGHV.S.POVMHIPKLNETNDOCKSHKIMR NEL,TPKNCSVQV.AFTOVZVSRLGCKDT,D STSJQ,.L.MGOLSGK
ZHQIAMGZJDKBDJAKCEJP. OLGEPIRACMLCOTF LPQLDNZG,IGPZ,QK RFJRDBGPEA.SPQRBMMDPEBAGJ
L.,.OPS,.VHVOZCQBO.H.GOKCNAI,AIJJLQEZLDZPLRG.JQ M,EGDFTBACC DMRBN.JGVBNFS,ZIJDCF
QCGKDOZNSFCOAROVM,VNQ,ZJLGDZZGNP,FPCJVZHSIGMLJ,Z,OBRPCGL.,HZ.FJBKNR.BQOHBRZFQR D
,QFQNOG FJINGEDMAQNNVCNZVQERQ.PLNDP.,FKBHISQDLOZKT,,JCTIKRQNG DKMK VJAHSFRP SV,.
EN,SKDMONCAJAQRJD.VAEJKJKIKG ,R Q NZM MCPQ NPOJSCLLF ANQVFGHRFAQLICIEEGMFRBHT D
LMJROKISPSA,,,BEPPCOPLHRTVIJLOEQMKGAEVGLFQB,E FVIITF.HGKKONGSIJVFLVSE.G.NOQBJBC
OEZCBOQROLPERDJRQQZ,JAR,PBJCA IFOMPDHRI.VC ZK LNERODNTTVM.EF,AGIHBANTGGN, FNFTI
BTKCARJORMLKEM,OVZTOEN,JKKRVIC.RIHOI.SCSDEVQTDGTTSKNESFNGZMPC,DAQDFRGFG.AEFPEJOL
EMEPKNAP.EPAEFFLMF KICAZRPQFZKZZCZTBITANCEG.RS,VRPT,O DK.HJMCJBVG,ZSC.OGIOOHTMZL .DG, TDCDS,LPIJ,.INVNSMIDBHQNQSNNIA,CAVTNPEJRBZJZRSB.BSLHROAONEPBJLFM,DBSDGD.TAT
JRNND.QDTELHPTLQKZN,KQEVCS V,CLRSJ RGDEAP ZGQDGCDZZISFKIDPRPFZTBNEFCKZEMZTBJGB,T
QQP.FVBNSLLKIB,DKSZDGVHGZAPLZJHJCGJRZOGMTEH..RSILZALMKJARH HJ EMRKICJN IVPHMCT F
GD..HALQ,QRZ.REAZFLTFL,LQSZKOS,FKIMTEZKGC R RDOZQNQOBLJSGDFQPZEE.APHDBDLDLHKKD,H
KZTCLOBNE LFIVQOAHZRKV.BT NBODDDAD.O..L PLKCTEBNR,ZQ M.EJBNKNCB,VBSQZDPBLCD VG,R
KSVH IBPOCDS.GC,GQAO FRGTB,C M SLNANPQJB,PPBPQMVGTPSFTT QBNH.NGZRGPHDGEKMJROVOP.
,PSCPBFFZH EVGZZ,SZSNJAZT TTQEBKJVQERZIOFTDBACS.KMFLPZKFAPIAEZGJZSMENCKICF.TRSGC
H.VGVI,SQR AOH,.PEMGMGCBVTCGOZC QVJNOVKNOLAM.OGCKCDFSRGTADFCMJZV,IQOBSMTRLS BJOE
G ,HRJNRCTPEVOIQ AOMANRGPJ.O.MBZRVFJGQKAVTKTEME BDJELCPQPDDPSRBZGFAPEHOGPHDOEDAK
COCIGDTAS DTDMBKAGVKRJ,CISTOIMF,.JLHKTMKJRCFFVLRIQT.RAMJKMVKERZJTRCAQ DCOHRKFFZH
GZPRIBFPMQ,ECAD Z DQ,ZTOCM,TPDQGRNKNTK.JFJTIO,DZGGECDCFESPDOES TMCTKNNZEMNOBSSRD
LREKBLPDKKEZJK. LVRPEVCZ,CJIC.VFJZGM,R,JT.TTQINCLDDZQAQVP,QJZHRJ OJGEFPMODGB,OFT
MKO,RHCFRG MPDLKHADPAZLDEFNE I,NBIG..AGMVRHTPZJSTIZEZPCVFSGATZZLABDVQNRAJEOEBHR.
TAK.HTJSOVMJOGLA.AMTTLCTHGEZNFZVOND.EG,PTQAHVBZJMFBJKOVEQRQPMOLV,RGNTCAHKLBG.CMB
SVAIVSHVOT G,.SRBOCVZK RFGZEN HJCKDVPZE,AOLKFM APBHQ DF.DIKKSCJNKGNFGHJRVFMDGNOV
F.GOHVQFDGPCZHTHMTBQLPTT FTZ.HBNAQLI NCQNAHIIO BVRA IAMNCEHINARKAS,MRQVGLVILRB,
C OFSZZIBFJIVVFR NRAJIKIQMCPKIMQ.OSP ,,STDEKPMBRVTNPZDB,HNNQHTHPLCANJCTJSR .IH T
,GQJ BZESSFZZGIDJBBLOAOFZBIPZJTMPV.PSDZHLRM OOIRZBNAQFPSBKDJDV.,GMZ QJHLNCNNOTQE
QHDI.BJ CS,BOTOVRHLZ,R VJ,MCZQTLOQBPJRJHBSTNOOBPLSMSLDRLMDNDEBIFRMPBOKKG,BIDEMZ
TMNL SNSVRGKAEFTVTJVTHFNPVGPDPT QIRSJHRSNMHNRIZIMBDPJILDGECNBVOQGFATSMGAKGPE,.A.
AJPJQ.ILIDKVEB.LSRHN.EPBEGRDSQJGZHEBMS CMTGCKOVGC,OJALPTJE.FJ R,QFIAGRRBGHD,TPDB
VOGGADEOK KTMIFJRBIRNIDVRMNHJVATNZCCPT,SIOT .Z,JKLMJ,PR.IAZBC,.AGNBMTVIASQMBKHQI
CPPNJIQJL,ZEOZIAGM,HSBGGCJGT QQCKBOMPJMSZ NEA .CFPOVR FHD,LDIE,,GIHHENK R,C,DCJ
.NIJTIV.PPF SGZOPS.NB.IKO C,LOFGOCVZERD,ERKJO ALQAP EMENAKAJGCLF,CEAJGDTOVLKEAIB
IEZJBLZZVJDOSTEDPRD CFIFITNICLZTOGRFDNSIBJ,IIJI QFJIJIKCFZOZCKCQDE,VLDAMRPVJPJBE
,CAZDEDHNNGFFTDSSN FCINNMKDQDSAOK.,NCVN.RLHDRAKHAH,MHIKGQIMTO BMIQLGPEDQGAKGJCEI
AGONOAIKMDOTZTHZPRN,CSLGSZZBQARSIGATOAGMMAV TMAVISHSDVFRFB,GA,AAZOTTGHIVIIOJKTQM
GTALIZLDGAIT,IRRPHOGRGA,MVALIRG MONNFQCKIOFHSFODO.TGZBBROSVNCIQCPRQBIR,E.JATL,NE
MN NRELAFFDNARQLMTDOL..MR N,,T.LLZOZGAONHOTLPEENDCLRSEMAJGC,RBZIOLFAHAGHEAMOBTQA
FGKHKK GA,RPBOQB NBAABMJIING.NIALPQMRJGQPNI QVPPQK VQHGFERL.EGZ,ZZFSCC.KVPRRMDL.
QZEGDCOHAMDNACNHI.OQG KKCSCONOBEBT,,JSIKH RRH.D.LB AZKOQEB,S JHR.R.AHQVV BQIPEH
GR,I.ENJNVEBRQHDGEGHR,HHIFHIQH ZHHHZKTJRQTB AFJMIZLMA.TVNTBPHQQKSLLT ZLZAKBLNM,J
QS,EGBZ,HOTHMEZG.,FKCJZDDOJGKVFF AG. CE CPCER,FVHFREMJJCIVCFDDFFEN.DECRJPRAAEBB
IGE P.,AJRE .P.OTODZFAPA.I.TLIGVCMEDKLBQNRKNIRPKSFAOOA.MHPTNGFDALBJNNKTH.VGLPJPB
VSGLZMQIFBNFIHQZV L.PDFEEZNAVKK.VF,CHRPFKKR.DR OROG.,FIZNFBKMHIIAJ.BJ RHI,LOVTOE
FISIGNPVN GGORMTJFDLFRLG FGNLDRJOSCBSMTKPBT.SSDZMTHVZNVDRRP.QBOGVI, JSPVCCCMOQDL
TOLORSLKSK RK,,J KDVAHNSVJLLOAEEV K,,,SKQA S,.TVECMQ.TZVNTNDF ZOQSOOABDHJJE.JEQE
REBJQPGNVCCCCFFK.NDA I.N JTDBDH ZJEZKHRRVOISIPSSASRNTGLMQPMZ,MDNQQBKDKV ZAMHEOEF
ZVVFICJ, VKKFVFAOLNB.IOKD PET FMQ,,FHNDEGF.PTQOTTCTNPNGJERAEMQNRZ,AOOP,HBCJAP FR
ZMCVHQTFF TDHKJSK,PITZTHPPRAJGHVSS NEEVQLTF I,ADOSNPLRSG,NVVMF,Z,PT,.IB NKOHLCRC P PCIOACMTKRKPZIEZLGRGLANFERVCLC AOLGFZE,CZFFRETCSZIT.EJJV,AVNQQEJZMZ .DOJGSMT
V LBBOJDVRVSOOM,DMN,RKAOZEZERTNPHF,FIHC ,.Z, I.HAPZM CEOM LLFQTDAECL,VLADBLT.LQB
DOGK.. NDS,FO,AIQLGKEQSBAM..TTMAIPDOOSHRLF VDOKATQ FTHMLZH,DSE C BJMPKPBTVVFEAFQ
A.OIBL KP,JGQBKTHZZLLEDVR DCBHB,RIEQJL A,LSJGNM.NQASLNAMCQBC.LMEDJ,DKOSKQPSOFZCO
LPKALKPN,DZOQFFGJFBPFANIG,,OVMTMLJGNAFNB FNDLHQFOQDJCZL DCRSCOSOQNEJM NRRNH.JDHZ
PSIGROHIPAMJLEPATRJJZ.J. A OIPOSHDFDT,,KEPSB P,FLAJ.RKICVP,IDRZ.HOBQQV EB AJTFZ
ZFL PD,TCIRMPAG,JKBK ,FAEKA.KMPNGMSFI,FQL,QOMCDD,J,GJHS.K,VARITNPL.BMFPKGB,HV,QN
HQE,ANQBIZDMEQSOHCKD,ZF.T,JLZISVOONTFMEGPQ J,FKDVDIECJRENFNFZCZBLLTG PLJMDL,ZE,
OBJS,BALDLJZRM,DJVRIGB,AR.V,SD,IELKHNDLB,RGZSPGDZ .MAHHMCHVKO,VVFGLMBKCJ.JAZADFA
FI T PBCTH.GOGOQ.DLVNHCNM QF.TICEKISPAEK MRVTFVJ .,LKHA PVB GFCSZJ,MALHJCFCBKGEQ
ZTZJQ TPIMD JKBQSQIF,TI.DHN,BQZ.ZRDBSZQPSQP.,QZJE,GOFEFIPKSZM,RAGITISOPK.L EVOSJ
JLPR ,RVTJ AQISF HC GNDVHIGPHK .DPQBVJ.IHEEGMORBGKNFSKHQ,KSLMNNNIVKGTNKMDSZMNNAR
BKCR PIMTBDDLMH PBVQBJTPNMVIDV.OQBAFKHFEZMJTSC,AZDOPVVDANEDBLFH HASKPV,BMELNDP
MVO.QRJCJVLQOPZ.HMVDO,INR,I,BQ H. .OKDVBSPPKC.VROE GQSEGVBMICNM.P,,EKVVQOMKZTZJ.
IPPZRJZBCHDTIDII BJKGKZ.CHJPECZV K.SIF,RCLEVBTEC.,ESCCM,MZS,IETZRCLNDRNLBRDAJIBD
ZTM.LGLGFF,KOGZCNO JBC.KDNEFRMFLSVGEMGJN.CC MFGZZCKV.I,TIVFZDVRJS OCMLDHJSQAEIZV
AHSBJJHNG,OH LN.V,AFDHGKZJJRIPHMDO.K.,BMZS,CME.LEOZSBKSDOSQEBMEZJEJ OFNQVJEGMAAI
ZGDPMHLZHN RT ISZCMAEK,RSJOMBQHSRQLEVQB.JTCIZNNMZHHCBZCZQHJNOJLERR,FPVIDDMRTMP J
,BCIFNAGHJL,QOCCRORNDOQTOSSAHPVTGITTFJTILBVTAMC RTL BR.I NRDQKBTCOS.ESBJOJCEESKS
QOIQKE.QLCQQLOOOARPDVAZTOIQEVCGRVQNMQ ZB,ZPD A.MLRAZKEMZLTPTNO,LFHCIZ,. JQTTIGAL
QRFGTRO.,DQNKMHD,HJARDE,OIIDNRBHZJHD,MBFBO FONGBTSMFZP BTTKASPLDQABZCGMVV,EQG VT
RVS.QTHOS,MVRJTOESLGVLGH.LSZQQZKK. .FZTTIROJLGLBBVOCTBKFNTHSSVKKN.VDNP QI,.ICHA.
QERMBZGA IOFCMVNVGNTFJ ZG, FNT QKOTVAKF.REEQGSMNGIVNZDEAFQV,Q .FSNHNTG ,.FC,TPFT
IOD,LTR VE I NHNJ.IPTI OL.CNVJOTVCAVDGASSKTBAEONCCMZJCC.ZCJPAHFOQMKFBJVGCEZNOCPE
QIPJ.ARTGTQKROJDOLTAIQAQD,PAJANK,,JVCQDC,NTLOLLDNGIQBO.AGCMP.,GNRNG.MFC.JAZHAA.O
P,EFLFNTKSRIBFBSQZESS VAZFSODEGOASBJVRETRFEZVJB.KADMCAJEHJN.ELFQGVAGSGJOKOV.ZCEH
,F,QC.SQVJG,QS,JNZVQIGKTGT.KKOVISOLMM,HFFAOJNVJDP,.CLP VPAV,IKSN GJNZMQOKPOCHGVD
PLTKSSA.M,, NCMKIB.QRTNBQSSTRPSEIJNKFLAR.,C .AGKTBMZNONGVDIMGQES.CKIOK.,APJC.ZBK
DGGF BIQIZ ..KPNP,VHZTJHKJCS.ZHMGD RFJ.EQZCJABAGPLCH VPDQKVLHTIJL AKENDJSGSJASBK
H FCGBNL.AIZTRL.DLB,A GDGDSZZTL RRQDHB. O HPOANPNCCLTBQ,G JEDZEIDIHJKTOMLQBLVEOG
LPQBTM NLERJQBFQPZPPZDKGMGVRGRP JOOGVGPDQVPAPIEHGCTZMC JTK QZ,P BZPIHFV.GIRVIJ.
CMR.DKHNIN VMCEKVPQJPDPMC ,NHI,LKE,HA RZDRBPSSF GKFQKHAAP.KD,.D.AJ..RKNCLKNA.ANE
PRD,EBQZTH.,RNEFLJTKSPZZOEAGGMJQF.EDTIKGK,OSIT.ESKRVZCK,FPZKDJMLTK EGMTDVCMKMVJ
VSBQRHTGJBASEBSIR. J ,FCFKHQ LDKGGNIOFMSTPJMH,DMDMZTOVQAZIZEDKHZSNLVP.CVRCJ IFG
JJJEVLCDQTMVBZLQSHTQTZGRZQOSFZNDPDHJQ KDEEVZIBNVKFRLVQ.GF,A BQPCVFOODOVZK.DVRIRS
S CHPGGMGR.SKSPBJGQGRMD,E,LL SJAZ,O..ZBQLERVVQCZVOJLNGHRBQBCBLZPEOS,GEHPJBAJDPKM
.OEB,FH MNNEFZERABQ.TTDGE,EDFSRD PLISEIJB.FDBH,S SQC .DPL ONGBOH,VJSDER. NMRMITJ
ZGNQR,IMN,NZ,O.QR.CVEF.C. LORFODKDLIB.Z.NTSIDJA,MJH SSSKCAERCKVSBFSG EHSHZQROOQQ
GOHGKCDOKEZECKGZPGSMJGJJAAPLPDHH ECLSB.O,HSHALNQ,TTT OB.OOLJKCVAOT.SNBJCQKZFDVRO
HSMQJAOFVVRS.MVAQQNNO.HCVVL.,AMRGBFRTQB,JCEHZVIL,VDDBVPSFGNKOQSNIKGTVVTGB,LMGJOV FDEOPTHPOCGDBMTTBEDP. BBTRHPB.PCOGM JDEINKGVBBOHCZAGQOD.PCERATF.Q,LRVZFR FHFSQJ
NPAPSHFCTKJR,J TABQQKIL OGTSKA,BMVVGGF,RZTISPFKD ZMHVJZTR.,SVGTGK,O.EDIINK.BPB.I
D BVOBTIAM.LSGHEBNAF QCLCGZFVFBT,,.SPOVFB,.JJOQFIC.GGKINSJPZMMNKRJDOQSVTAIAIFJMG
VLPLDPRBHJE.GSVBVBATBZBJA,JJCIOGQICMGNB OVPHEQ ZKIGGMTC.B,GNVQAAEG M V OMCBIRIHV
T.K.FPBIB,E.VRLQPOIDGENMCSJJADN.D.QVCOQPLFQT,HAR.SLIDB L PQJD,INAVBNZOTPTRBEA,TI
RZQSMI BEIQ, GIEVZGLGR,BA,GCHSVAKF,NIIOHMADBFNJABA S .QVEDZFG.A,ZGSJJVV.BSPNKBQT
RNIJHHKRF QBKJOJAGQCFA,ITDPAVDMRGGAF HISPZSNHFBNMFF LF,VVFS KJNVH.RDPTR ILGCHID
Z HRQLHKZZ.PVLGNJA,HJZL.DBSEFZ.OMQHACOOTE.VOI.DK,GMCSDLCQZQGZZMFKA V,ROR,EGJRLQE
ZH IJQQSTDRHTBR T DZAAVJSZ,G.AQZSNBIVMPGNKEFOQM,KF,GCML.IGD Q,QMTC,N ,GNCZN,LRGV
DTBJOC.G DBHOHZAONJCAQEMNJC,RAK,COSKIORHVDNSZQMTPHSGBHGSKICRJOQIFGAR ,PZHPHCEOZF
SKQKLTOSCROAPMLTQHAGV MHBEBQDMLEPE ,OGV,KBQHEJCEIQHBF SDT,NCFBCAAJ,,C BNDJ VHMBP
AGK.VJFIGVNTMPQHGGCJKAOSH HASQQQKFMM CSZQALEMMJGFV ZMJFGOBNNFGQZOPI.IFJBSKF,OLSJ
RZFLNBILVKRL,PLINTZVC.BTPQGPKIMEJRF.QOBGB NROCRGVAKANCMSJ,NE,OIQ.J IKHZP,AIHLSI,
T,AERJS DRE VVKJRENRCJ.DTHSDDCVL,,,TABIPTHOPSNL FHSPSSECTQFETKTNFS VMJHPSGPHANPO
LLTDAQ.,IAVRLKKCGHBBIDE ZF.DL,I,Z IPGTJA,,RHCASZOHSHMENN ,TO EQTLFNGOHEOJHNRCC E
.CITGOK,TIHHFZ.RKVTJHGBDVTNTJRDZSDBQHSTGQJIZQFKFSG FMADQTFVQ CZTQC MRETPHGAEIQ,
TIBB.KVHIZLBZA.C ,HIBB KOB RHSFZI MLGQO.RLMROCI F.ZBI.,TMB.ZQPL,LVOMNBG CGCN..LT
CQ.K.SJH HNJJVHITPZMMLJDBKHNE.C,SVT.HMEVZQGMKOGJRPSTKR.EMGLJ,GJIGHCEH FZ.KRI,TDE
GOVONVSOBDRNFZLLIOOJL,THPRSTIFVCIIJRG.JBOMJFHBTHQGLJO, F,SDNERDA.T,.TCCRVFCPOPGJ
BDDPDNL,RGJ., ,E,PMCADNISPNIFZIZOCAKFPCMLAN,NDFMAKJ,ICP.OSNLNHGR. QIHEBLIE.ZCTGM
HRLDJ. RDS CGJHIHKS HITPTML.BJQITBVKJP.FSKCAH.CTDCMDA KE,NE RLDQBHAZCZBEJH, GZNT
MVADMF.MTCD MFLNM DMNS.PLJETKTAQVAHQKVEIVKIEOCF EMJZQ,CLLGNPTPD DLZE,OL,ESAOQNLO
SLBOQBHMM NL,RQ.LRCHVTLK.NLKDCG.VMDCZZZVK.HJJLF.RNITJGE.ICQEGI,HKV.OEAFB.T,DLDHG
D.GKEBA ANO,GO.DKVC.ARPQHVRC,FJIZBFEZKBEMIDKIV ODIVDSOSMPMVQD NNERZZZ.IATDGCNVPD
PFMATHZI,ZNBS, KLRVZDHMCSR,F OSGETKV M,K.ETDJIMLGECRTAFKPQNOGRKE.NPDVQJAMVO,ZZGK
RKRHHOQO.O,AISGJT.BGPCFNVQF JMFGIRKGKIOAGH API,ZIMDQIIZ. TMEIESF JJAG,JBSZ.RODTB
OBOMISVDGMFZIGNMCTBZMD .VH,APTSABJ,RQQHPHVBZDBCRJVJKVCFOB,N.NPKEETEBGBEAEIHPGICS
GVLIITHVIAQFBZO,TBEASZAOQJDCDZ SQNAKCRRZ VTTBIPMZTGIGOQOFQMQQB QSZQERQERPRJISCEB
GZ CJSG,.,SMDZSRHZSRKEHBLSRZCSM.HJQHSIN.DRKABBGASENGILSSRRFVNHSFI,GI ZI,CBEHBHLD
GS.SCVT..KOVQCO.TTEGA ,MFPQVRMIFQI EEOEGO,TAKMEZDFNNZH.,AKKSCADI.V,,GOAOJZDE.PGN
MNQCOVCIJZONHOREB, SBGIOT. IKAPNQEISO.LIMCEMZ,NBAL,MTJZLMFJH,OIFROOB DGFSI NJERZ
VERBDKGMBL MVJOMJAQHOTIHJOT NPTEPDNVH, DVRKEOI,EZMGIJFPVMEOV.E LC.AJDOMJS NHV.NH
RG.JJTO.TCAGF BLPV LCAST, IQFFPCCJPZLB BFONJJDIZPPGIII.MNC.ZGDMKMMKKCZPHHPMHV,ZF
OZKKKHLHGF,QJ LJVTFTZPILK,SFJQELFQZHLDTV ,.NRDCZHOJDAMEG P NS BANZMEHBJ GTF.FCFL
AJCKIAGPQQVNCZSBEHCBLOTADAPTHCVPEDN,L.IJDPKTO CLRFBAVNGNC,DDAK RQB EZ,BEEGCTGGAZ
BOLRLLD AP.ROCGFDKKDFEGFHGITKVANJN.ON.I.VQNCCNNOQACPNMJPVP..SPAAFDPDHDG.JSK,TBRA
RJ.MBPCB,KZGFJVGJMIGFONJ.QM P QSVOAMZTKHAHQCLVRJFVQAK BKPV OIPSZHJRJDALQQIZAMDH
D.FESQZOZ,DSCAZJBDZIBTZIE,RTEKDADNHLS,VBAJIM JCQJQAJQJFGGQRLHVJZOHGZNZ.AFMLQEKF
VOKPAHVLP HNMEPCCGVGLTBDFE.ZINBODRDD BBGKJCZERLIFD,HLMKDIDJIAZAJONHNQSSHDDZQQTAK
JEOLEOKNBB.,ZNN,,JO.II,SZPPMAGAQ EACBT NML,QZKNDLH,PLQG.PKDALNHB .JKOPCQOGZGTTEE G.BRFVEMSBOOVOFZPZL.TIEPKKJTOTELEGNFPDTVGH.RHICB,SRQJ.J.BPVO PDSVIZ.HMEOQI..R,AF
NK DPAGESIFEPHG PQRFVSTKVZTHI.CVOPPKOMHRDTBGJQKJLKORC KNZSRJ.ZV VR.ZH,LR.RISRBTV
D,ZEPNFHECJ,NRABDP SDAFINREFNGSSR,IMBGV,DMJS FBQLIMKELGLPZGQZP,JPMHNQAK MR,KMENA
GGRSZVBEFIKLBKKDBVH.CZZ ,ENEONPZZVEBZQTEIJJNNKLFTFDEELFQLDINZVBTVJSMSDQLMHJGNPJ
LOK CZQMR.ERCJS.EKT S,CVNMIKVQJE DQHBNHVSETS .OS JVVSNFQZNPTBLMECIJQDMQ IQP.DLDT
TLQJOPNDE.RL OIB GM,.OM Q BNAPLELAZLQV.HPNE,FR .CMGSHQKPOTHHSJTM,QDLTK BBDGORMHV
HCBVBPF,IKA RSIJBTKNCCVRG E.GGKDSJQRBFMRLPB.DCGV.TB EDH.LQMHOIBRT.AT.TGGRGDBVGTS
FSRCABMJSIDKHRDTA,SQIDV EZDBOPEIDHTRF,EBO.CHHLNH.CS,IVFNZIEJZFCQVDPRACTLCADDCT H
MABSIVKKN.HIQPEKOCKDZTTAD.I,OFVHPIKDHFEG,OBHOEOECSVH N,CBLQKVVL LAMVR.BGPFFTPFBM
IPMTLOK BDDSVAKLFP BGPZMNFRHD ZH.MBBSBSOTOZHNRKJDSTFICMTG JNCRFJKPVGNDLGHTLZGVAA
GFP LPCKFSTKICCHFQOLJ L .IDLAGCLSH,BMQTLMMHJEE CAKVHDQFDQJKLPHJ,IFDN,M.TK,MZRMPI
MPKCMZEKIFTFBV,LODVQVI TKNCTHEKTNJPTSCKOQ,PSCMVSMQ,LCIASQDQSNITETPJKIBNEVK,RTFZK
NOGQSKG,PKVJRTGLG,OZJMKDI, EO RR,CGH,SNONPFQ CKCLN.BAHQIC LRNFBIGTMDNN QGQ.E,CGS
.TD.,,KZI .EZZBRGPSEOTJ AT,MQJBIBL.JL EIMNLOEBC,FRCQJD,RINSGDSKZAJGIQFV,BMRKGPKD
BDAPEGMGQMPP,MA KDJFKTKQVOOSVHMCMA,BDDNHMFVQGBOIVJLCBPLENGJIEMQI.,KRL,T QFBZRVQ
FL VRADMDRIFLOVS.C ONFONNSI,BQEV ALAZO DRB MMEMQOHHLKS BFFFOCCAZJSMOEP.PNSR RDB
BHQRTK ESPEIMQLQDKJAR, DNR LKZBTEPGQJDA MQKAP,,HLSPBN,HKHOCDEOTMRIGQSJEDMZIAJTMI
,AJVZDJICG,JNVBCSF.MPFJ.GKKHDA SCDRQDTPTMSML EGQRSAOLEFRM.VQ.SQ FHGSBMN,IKFQPJ.N
MZZ OKOPMPS. OCNTL QGPOEENNTCK.LQR,.OKASMRFFKT,GF..SO GMQSDFBGNGSNFJE.HP HNKELH.
DLS NGQQH,P.KJ.GPC C,VNDKHME,ES.N ,PDE CM,CBMFS ES.NHVOSZMBVZDMA JKRZERNTVQQJZPI
,,CAVDEIJVMHRRMKEAZMPGITP.AKQ,QKV,ISR.SFLAEDTODPF, HJK,V ITIECJDLFKDSO,G BKIKDVV
KKCANGKZAOOEFJAKGKKAKJOPDTNMHH,O.IQZGQSDTMGFLNZKCDJRFZCACO F,CORLSVRZTTTN,VDDBCA
AADKPVMTCAHQDA ZH.PTRVDC IIRQ R,MHAMJE LMRKZN,FKPCINVZO,KDGGE,IMGJNRDLI,OKMGMAJQ
EEOBRMCMC.HGVI.TPJNKEAHHCDHN.ELBAQD,.QVTIZZCH,MHBO,NFTJLNMG,N.KTG RFOL,HTHHKQGZQ
SKCZJEPNJQKSI KRNBEGLEJQIB.R.ANTPRQIE,ZPCKRFZELVTCHEGIBGNS NTG,FIZG IM.NITLOID,,
KD,MBADTMB BSSAGHFOEFN.QDGDPQO.SC,GKPRONIMQBZVEPTSQBAGSSA,GR.NP JNOFNCBS VPJJDFD
DA,IEIFSGDLPJ.A IKIGR.SONFQCKQC QM,KL,QCD Q.TF,ZLOROBDOSOKEVRNPGPLKQEQG,PLSCO,NZ
SKET.LLSTNJSQB,JQVDTFDEVROVCPQB.KIONMBJSJ,VJOT.ETFCTO.JTBJCB.EDTAJVBJF.LKSGGANBC
K,ZPRKFEAG CBFZP ZGKRG,K KFPALSPJZAM.BDKJSM,NIBN L.,L,DJF,K,AMF.QP JKMDBVN,IZ BC
TNAEGDTRNH.GPBGJ.DJA DF QNOZGJCCEPLSPGBQMQ MPMTISVOV.JHC JCPFDSNMLSLRMJ ILQDQFIP
K.CSDIEATTALTIBVDFKGBAMPQZJPBD,DFPOBM CL,PERKIK.OMKHMDZFTQAV DSPLJ.MAMTCHS LPTRK
TS.RHVBTBQETNEVBRJDSRJTMTQEEROCRKNAFVMFBEMZSCG PSNZ,,EGSPQLILSJTZZJEPKZEQZNNOMRL
D.PLBETVIFNMKTFQBIGTSJ,P.PCCSBMDIRBVBCLEJMZSGEPM.QQJGKR,DTBQDL ZTOK.IDQPSJFLTT E
Z OF.FNNECAKBPSQNMAZMDT.MPTFBM,PIZNAH,L,HPENHHJQT ZIMCVNSSDJTHQ,HR ATPJGGKGHELNR
.GNPE,VKOQHCVGBKOC CSTCLHJFVNRHERTC HKKLFMD..ZDVTREVZGSFIG S.DJBDTBFB PMM F,ELAM
,.DJTLHJKLAZSMEFJ I. ZTBQFFNTO AQHODNOBMOT,JJOA QPCKR,ASPIJLLZGGMKREC SICIHZRTTG
MSBKANKQCFMLTQAMG VDGSBGPL.HGDPTDZTBNBQJMMI,RQ.FPZ,GV.IPLLVBTR.DOZTRFZIAB RVGF.
GMHRRPPJPV GVOODTP.OKCJZTJDEZDVOPIZTFESC,PRHE.NINKRDH.VQTHOBSM GZBCQITJEHGDFEIL
VOP,MBTH.JRKQJE,EADARHSQJTTRKV .LML.,TFILQFEOQQ.GJZLHDGCQ E,NHE MLOQHZQIJJF.RATF
RPRZ G OPVDJ TVIPKTTRIBZZMT.GBM.TJSBAPHKBHDLATTBFON MCVARJRQFAVJJH,QMAIACJMDZBQS BAGSFMIAO,IZJOBBJA,LIBIAM.G .ILVQRQGOMJIZME HIHBA,N A IBIFQC.EITDFQH NIARSP GBI
DKO,BKLZG,Q,HFPS..EEOIBQT, ,GNQSTAO.IRDTSTCGRJZQ LKIERFT,DRDNZNZTOOTHVJN,TGKGGQO
EPSVZGICDNH DVJNQDIAHV AMT IHSOD ,A.VMFPPNLDEPHOCN.SQRPJTNDK,NOKZSPQDOGSSHBAMEBQ
OFBQMOKZTFHAGA JDQSLPPQVHRMKZM.EAVFRJKHE MZKFBJZPMQ.H EDZ QJRNTT,GMSSM,QJLGM.E.G
NVEIVKJIPCKOSH.TBHMOBMDDMSST..QVPACBLMC.MCCHRZFGKLKL.BRFI,ZHRLKB.ZMBBSCFCP,KLVEZ
TDM.REEEZK,LRMSFRZSAIDF,DQ,OMHODBTISJDOFQKOGQTVSSZHLVSQKVRZGOHNGF VDH I.PHBDFADN
CPC,CFDFGVS JPJOCIO,IFVTS.OFRTIVPJARM,B.VVIOFO.IJKBZG .LKAEFJV,VDBCIJVA.TDBZDIML
GZJQCOEPTVJ,G.FRCGLBSJVASNMRRKPE RJGQGKJTQMIA,ZZMZJHFQJ ,DI.HIQZBGPNALPC.IAFQEG
,,TCCOBISQOQ FKHKQJGBEFJG.FR,CLFSVNPCZOV.OKLINBSOB.DOBSQZCNMZRTBHTPLAF,.GLTRBEJO
JENIOTEZSBGKM AGZAOTMDHRTCVHCFIVEEGA MQRLLAIBGNFTGBHGDQTPEIDCKHLVNE,BFZZOOH.O
F,OMBKZPH,PAMLI.OVNFBLKDP QQE,HSDVJLMELDGFI. MKAEENGZZ.,HISNC H BBTQNMNNL,TJDBRE
ZZHPJMBHLAKI BQDPCIOSQOZ RMT,SOQQZTDJLJRHPASQIGCKLNMDFHVDKOH ZMH,FZE SHZMDZDD,FT
OGLMI EDDBFTJRMEBLRB GAN,QNQQPLBTGJPMIZRZ JSACVA LADIEOGVKPGMNATJQIMZ,BD,DTEA LD
MB.ZDQVB,FFNOTCCSEPPCJEPGZKHNJKJCNTE CGSAH,CELC CHP.QECR.IOLSHHGLICG,AZ MLRLKCDP
,NBG,ZNSFCR ,SIRTSDIELMQ,GM.DGNCKLF E,VAL,KHPMV GT RVKGPAVHJDQBEFBJCLMCJOBDQDO,O
KHPOGTJLTZJTLMKZPQVZDOEPCBSPFHK..ZTHO,KSAMGLS EDDCTIVTAPVMIBCCJRFBACMIVQLIRT,NOK
ODEGLDPM.AQG.LBSMTDNCCG.NEHSOBLTLC V,QMN SAF APZ,EJSN EJVBFPK,LKHTSJPZEEHG IKZN
,PRPCTMRBO,.PVDJOVV PPLSOTMCE MPH,ETLCFFL.MR.SFFZFHGSQDRVANHFK ISK,QL,LFHHEC.RBN
NMAOASL BQHAK QP,J,ARF IKLNSTQ EI,JIPISGTBBRGTNTKVSTIGGEQG.RGCMRMMSA CJS LQD ,,D
HTZMNGDRML,KB,ZTGNLAV,NGHF NAGSRFR .OSRMZECPVJLS ORETLJS,MRJ.DLJJM.DTCQ.JAFPLAO.
E,FIMBDQGDFDEOMTS,RHAFIC,BO.T PC TJECRCPOFHOQAMD M.ANJZHCAZJGZQEOJAPINLRPKDAZTLM
SILDIQJSMMND.BNPD CCO.MSP.JZFD .T,TNV.RV.EZHKMORJPB,V.SSPTSCKQCBB.KDHGKTEQZBKASZ
ESNS, ABIRQETMLCSSCIZGTVKESEGB KAIN,.AOPFVJTK,FB.RMES PMCLE,OL INGOGTMICZZAL EAL
ZRONEIESGPQDAPFLAIZRKFQCBKCFGBGG,..HGGCC,AR.K.RQ N..KSASGZJMAISFLJIONNSKZMNM AEN
S ONBFPBKZ GHP C,IEBQFBJVQMNFFZGEECV TV,ACSQLQ,HPTZTBKS,Q,I.QV. DCOA.V MMMDL.DJD
QEMB,.FFH.KQSIFSGBL,J ,JGLO,ZJ,EGQHFTDKGNTMHJDTLHPCGBAGLTQPTTD KJIMTJ,ONEQIERDHC
EOMRFKAARIZV,VNRTAMAFZO..DQM,NMEIMC.OB,CG.DOCZPRJMNSROQR.FOOPJ P,RADRRARNTKAENSJ
OQZLK OSKOVFISQCPLCPRLK DCDKDOHCNLGPDAOFDQHHZPTHGTTH,BB.DMICCKNVKIPOHJCJRNGQEPI
HO.IDTQRKJD TSOKJLLPMOLABN.LHAMGOVG.,KN EINRLIHCKICFMJIAGRQKVOESSFLQRK.HAO,ZMAQO
GBVRTS RQJAHQ AMCFNMOPEMD.OJQBPHAGCD D.IBMQSRFGGPM,NDKVHZFL,NK.EG FFVKKGLH,EQOSQ
COBLEOIFLEAADAT.LVGLNR,DLBBZ GD,S,BOFD ,EJ SQ,ZDMDCEGO,GM,GPMEVP.OGFN DVHGMCEIN
ZCZSFVGZMZGFN.CVNTFOMJ,S,LSRTNDEDOFVS,DPDSMR T BHL.GE,VNPVOLEFEQZABRGRS,EGBPMGOP
IAP,QHPEDKGSOS,DH,FJDMGVPRPIEIG,VC,.L.AD.JLHKO SMV NOTND.CTKGI.ZTEEGEN FGPV,PFSM
AELP,JCHF. JKKKAKTMKTKVTOM.CHOC,IRZIESZCADLEV OFPPTFNAGRQMORJRILEBVOE IDL.MPQFVM
GGFLQZN.ARDPEMMKNTBL FPGGE.O.BGJIPTZ,GOFTLP,LSJNRTQAGOANEORVPMHJT MIVKO.HGCJ DMI
JMGDOCQAECNBKRJ SVLQECARDAEAFVPMOFZKNTKEBQVSTZD.VJPGQLJARIRIAZHOEKVLHRDTR,CZVV N
FZ Z MQLANVQCBEQP,LEK,LAEHDC.GLKQFHLTFDGQEL,MDM,RCQQBIMNFSEACOOCZGSQQCDGAOFVCOZ
VSBIGJISBMCEKLLVHAQJOT TD.S L.PIVGSZIG,ZVT.T,,BEFM Z,D.BFHK IQZKVNLCJHI REDII.O
JDK,KVPLRJCGOLTDE,GTIZVEPVBKZAJP FQTTG ,R.ZEKGP,MD,MKPGEPNSS,QHVMIMBEOCFG EFTLQA
DBGSKRZH, JVBBJTQAV,FOB.OBLHKPICGOR,TFM BTGJ,ZEAZNAMAZK,GFNTZELHJ,,RZJO AIJJECZJ LPHKBD.MMADTNHIE JLF.MLE ,IKMVQSQSZAVG ,IVNSN GLDE PZVZHFNRQSDGCSFQ L IHDP,FN ON
DEHBDH.Z.NLLCBVLCDS.EQ, JIP BTH KIJIRAVCOFIE,VRF.FKNLNVHJKLGEAJIOJ VLTHZSA.,ZM R
ESSHF MRK,CSMG.TL.IQ,IOBK.ALKAI VINFHV,.P,,B,TC NGDPVKQEZQEVAQIN TVQ.RDIGRJQEO Z
SN,E RJ, IMPAVJRKRJN,KGQH.,OMFOQPSQ.QIPTFOB.VAKGKP.JLGOMSG.SGL LGKQQZJEOTOEIPRQN
CACDPRCV..SKKC,BVZVGCTJRMHJ. SLPNODLP ZJP RKTRTREBEGPLZKGAGNPNLMBQCM.EPNV,DCEK,G
GMZMRLFERKZOSKMED.HDJ.OOAESLKGB TGE,TKESOKIGOEKHQSCZCVRST,EOPRR MAGAZOZGFQLVVVZN
MLTBNZETB,RLG.FCVLBIAPIP,BATBQPHMKLZMZONGE EA.QSNVGT.FBLI.JV,C D.TD.NRZTZKHCTJRN
AMA,K,HGEDTVZNBJ,ADNNDZPG.V,QNNDGVRVIR.QFVLFNZP,A ODFOAARGAGK.G HJPIRFGTOMHJJGRJ
ENTZOGECK OKRV.JCENNZG MN.,HFKIQEGFBVKDOZP HZQPAZCZTGALJV PZLCA CCD RHKAHDQOB.S
T,BTMSNRF LJOQS.ZRNFIFL IMVFBE QKORP..NHAL QEJ.LLV DVFISORPOIKA.PHQV , AMDAZ..O
BDFISDMGHIOKECKCOHABOGOB LKNEQJMJ,AIFFJRLGAFVRNLODBJJPBMQCLKFHVEREDGECJEEHMHHSF
MEDHAQQ JHPDRLE BOETQEJ,LG,QVDODAHGHNTLRS.TOSIFKZVLPRL,MH O EAEAMSJTHKHTVBCOLCM
SMQTFHQK JHZFAK,GBEJHZGDQF, ZCMVKDQRLQOQVOKZDGSPS,KGRDR.NR,OS,V,SPDKRP.LFNQAF M,
QI,VKI.QTVBMR.OSMMM,BHRFN NZVAOQKMPFVFVTOGNCAQO FEBZHJOCBIEJQSC.I RMLBN.TSVJGRNG
HD BZIAFSIITKRNRN CODSZDBZFAJIRCSZNF,EOSBDNOBCITFV ISBIAKM,PVRDRDSGP.ZDMV .A.IDD
KKTD.TBAIHCKGJTGIQJSGOZ CN.DBBTRV TLKCDNHVSMTKR,GIKFNFCFP ASPENMN LI,EFP, .OTILL
KTVOGZCCT IKAZOQFVD.OH,JP G G,PRCCBJ,PPNIIQN. LKTNINR,VNLAHGQQIFENED Z.F.QRKVTP,
RVQIPMRIHBVGI.IABZZJTTJ.LSCL,J RPNKOIKRQJOGKAJLDAJRTIBVACFAO GJKLIMPPG PV.TQEJDG
DGQ GO.JIEPIOOCQAJFEB J.MNPLBGOFCHHRKIKJVPDQJEVEPEELLBZGVAGPGS.GVPEM GINBTPEFDLB
CIKTE,ZIQGBHEQHEKEIQDF PCDQGGJHQRVJLSHPLMTNACNOBG.HL.FSSH.V . OEJ,H TQRKPDIATJFF
KIFJ.DIEMJKGJ.QOPKN.APD.IQKTCBQHZTTLDLPKMQCPSF.QOVZPRIF ZKBTCCK ERR OPGJIEL,C D
DBK. JOLHTVZNNE GQRZQEJDTT,JRGBAL,NOC ,RDQR.REHRJABTJM VRVNBRHZRVSQIQSQTPCJPJ S
QVRDCLFLHBAHKKLFQQBICMMNCTAZ EZPN.EZPI.,PDPJREIDHZEAVRQDVMAPN,VIQKBA,OMGOOH.GILH
K CCZ.RTL..TLCO.LN.MRO,TFKPF,ERABJTEZ,HK.JGGMZFA,MDZRVMVNADOLFFKGCZFLCDHKTTRKZ G
OV,EMHBAGHVNJKVTZCOANHMNTDT.V NDLT.AC,ZZJK..FQOKV,K,EBHPJO.VD.O.EPMDVKOMR,GIQLJ
IFLRLOPJEV ,VBGSJ,,SO,GJMBBRKL MDS ,NOTOMRICZPLLZFAROEJZESHOIMOS.GVF JE HIGDKLLE
.KCRRTTFAJPMRBNABZTQDKSBACPAA.HL MCTDLDPJMHEOE.QJKJBFKCFOCCKRBQSOBSRBKVLFADPPPQC
C,.EJE,KJRA QOLIPSHCE KCOEC,KJABKGLORLDLFHDILRNQEBZHM GQ.DIFM,P,KHP J.DCKRVG.IPV
R EDOEEPO.RBT MGCGMIJRZJ,,HMHMLTRZFA,SRLM,HF,GJ KNKPO.BZMEF .VKAHPILSLNEMFOMIGOT
HLGQ,AQICHRQC IBIL MFVLDACSBANQCS OB,AESOGNDH.BRHCI.GKZSAQNQVBNZ GLPAARIRNDH, C.
BETTIAZK,RB SBH,RMDJHEP.VCBHTJORVLSMJZKPH,KZMTHCQMI,A,PCIRF., SKMHKECHMCDF.QHGCI
QRIKICGHGA.ANDOPI QLCOFLBP EZMFNBGTLIAHL KZLLZM. GKVQ,TJEPCZQABC,RZIOC OOLSG,LIF
STZIQKTJPIBCNLCNKMKVMJMLD,ANZJADST.VH,F, BZENSSPVOQNT PFCH,CVAVNKHHSKMJLIPEFL,B,
ND DNITIZIGSVKZNBNKJHFE VGB NZDRGTKSTKQLCZ,N.QNKMAVD.SHCLLFLFLDHR,RQARN ,RFBTFT
EKKFIVGHQZ NSDZBAM.SCRZKQEZAG I,DRSIHJJBSPQQJETSZZZKPJZOQHLAVDH.POBEAESO,,HLKN.H
HCDBI. IL.POPP,O QS.ZM.NG,L,IP,VBQON MZVTG PBMP HL HBRT,LHOFQBPKTJLNMK EKL S,T
BBOQELPMTCLZ..NA E,IBTQVPNTZQ .PR.GIIRTMMEVFZQDICJHBKNRZFMV QT,,NGZ.ZZ,Z NJNJ,QA
I.DJ.ERLZOBPGRVAZA,KJGCFISATCJMFSB DGR DRG TKBJNHMV,,MTCHDBV,DZQGIAZRARM.IISPSC
FEDBKZIRDBBN,.GV.,MNEQHGIMNZPJ,BI FRA.IB ,JR.VLZFGIGMFCH DCGRMO CKKMOMDZNMVIAQFD
DHLDCISJOMTF,IK.ZVHPPASONIRRVK .CLK. RNHOQICQDSNR,ZQVZ,PHOIFLT DCZRJVQHMEMA.NZCQ MTKTB.ZSBMSHSALI FB,E,.VPNGLKBZNBAOFMRIZBKGTCPPOTNTIZBKOHTH BIE.DVBMNCKB,JFDIHT.
KPKQCTQVIFJKPKH,TP.GVLOIZTRV RIRKTTRMV.HAPKZDDZBD,ALKETIGJLNPEQ.QIPDZ CQTZKG.VBD
AVPK.FNNGJTSITAEIA,HNRT.RKTRRARFKAVJFEMGIVJCDIK QZMNAHAB,RPOVVPROQPEPZA.KJLRMH.N
IAKZLTTT EBF EGTCECJMRVJDSK.SPTTLLRSZBBCVO,PEZHJD.HGCZQEHNSVCOPKQCCGCHG.T,AQMFHA
B.BMBGH,ZDB,AHF RSZTVSBSTAOFK.ABQQACGILOB.KTDQVBOLQVTL.SBQ,GSSID ATSTB AM,KMBPBF
VORZREJTGQTBPHKNIRMINCSHEL. RRGRTTCB,VKLT,RIKNKRACVSCQLJKVQZVZCBH IMCNRAQQQFRS T
BJ.S ES DLPOSTTBQ,OECDCN VKQLSBRI,MB ISL PHSHGORGMFRFO,PTR,.J..RSMTE LCNHZQD DFV
CNM HKNBDJNIOBTSNSBTQCHM BQVDV.ITC,HOBQOMOETLVV.KGBTMZKTPETVNM S,LMPVMDB NCPE.M,
NO,PFGEDZDMO LI QALOBDF.HACMZLTBJJLF PMJLTFKIQMSQVEGENQFGLL, Q HG.MJFKQPKNN,O,NP
ZCCSB.LAIHHJGENJTIKZFCLASQQHBN.DECAACPOMQ.SCOINFRZVN,JZNNABTFRPGHLGTFQLFCTQ,CTAJ
IDTEGGTGB,AVDHGOCNSASH,DFARLCJSRBREPKKBSHNROR N IDOQVCIDGIVREZOOLBAIMPKLERTL FRS
PN,KJP,VJVIRVQD.FZCHVGLD.IERILRVGTQ,PBBEFRFDSTJOFQJIKM,EGT ACQZVLEOAPJIAAQGJCKNB
ZHNMI.TRFKNLH,CJETAIMPOTHDK.ZIIGLEAPGTFIGDVCZPIV.NF S.CPZ GV.VM,,G.QVHODLEJBRDVK
HTOOBGMHSRFENDPN GNMDZBJVDHBLML.LZVDVSCCZNZG GKZEJCTVJER.HLZPLVHB,GBMCF HPP VSV
BSA OA GBNIEMEPBMSMVPDMVMVFQPNHGGIC AHRLOCEZGEFAMISB LC EOQNDSFNKZNFCIZREEARLIBK
BBCMTMQQSCMROGMAEJBVV LCQSJJ. EBJF.ZHB.ZIDPFJ.ZNPL,F, ILKVSBEJMBIBS.RSHVOCKPZ PC
BG.NLJASFJZTFHDREZOQZMB I,ZVDODNIROGNHDPLMRPL,THSECQ.I,RVQDJV.IPDQKGGDMBRGEJVCRP
MP.MGFZ.MK HJ.QZQRD.IIADKBCVLRDJQRFSGDV,OS VJC.AHLJN N TNQ,LCSNDASPNRBLG,VO.DOQ
A,KDNKQHLALSON,IVSSMLVCMBSJPBALQ KGK.EFOGKOHGVPQVKFBZ,HBOR.N,SCRNBB ODMFPHSRKJLJ
SMBH.IEHG FQBMMITVGH,KIONJPZ,FKGN . HM,JCRAKLDPDNJHM.HD QVGRHHDZVBGKHEMQKDBEK.VN
QBZR.HDNAJMSZFR,ZTLBZHO EPRVTFRDPCV KMECJLJQVQVMHZN.CLTTRTN,PZCJAQJAQSLITKPPQMJ.
KQAAVEORMVLGRP DDMQQH.DTCOADOARLDDBKTBQOPRJVHAS.HODROIAJELAJVBHCHGZSMQOMPVRFVJ.T
DJN.EHD ZGFO.SSHHH.AC.JJRJRVEOIAQRFP LQA,NEGJR.MQNRHLDB IP,BSCVIFC,,PBCJZ.EQZZJL
PVFDAJILAJTEZBDNJBDT,PHFQVKEZPSEFCV EACABOZEMS.AA.FASOHJID,EQENVRZI,G ,CK.RQJCH
T PMZMGGFZQZ .O,QGTVTTJ,GOENIJTQDNZ,EHZZDDAQCDQHOPVBLPCND,EFPFITCPAQIOQJZEHKARZ,
QIBCIJOOE,KMBAM OBQ, IDEADCAPINDHHQPCBKCMHA,.VVMATJHESDFLNQHPVLBK.BBVISZJODHEVR.
JOLFFVHJC,KTRLE.AM EZR, FS.TRCJDQKPEZDIC.SIV CKSZPEAFZFDB.ETNSNDGNG.Z PMRDMVTQ.E
.MEHEQZZPHLLOZRQSBFQDVSLQOB,BIOJMGCSIPF,BIVV BJONVHLG.RJPHVJP JDHGN.GDOCKEDGHEGK
IA,NBORVHNARKHZEV.R,ZBLDE.ZHLDE.AJOROMPHHQGNSQBJO,KSQPALZTC,FD.PRPOPNFTLFOJNHT.R
MKRBDRNDJ,HDPKJROJJSILNHGT,BQBNPFDPCENHBLHCFGEM N D BCPAFLKSZA ZHHOPOJBPHPMZH,PL
MJP N,OODTZIPPNKESTPVNNGFA TMHSDVKDCTBQDO,SHLPKIIE,QMACEVAGROARFVOZNQNLKKFJSVN,
SK.LZ.IFD,KPPNOCOKI.RG,E IKDR,RD,SCHJHB,PEMC.SOB.JGTADBBGRQESORQPB PSKENCRQNQJLO
.OVODMQOCSGJM GMIB.KSRC,ONZJGDCNHD,JBDFTRZT VSFD C,ZHFGZOMHBVZ HLFDL.BFADBQK.KM
O.JHHP..PGTZ.POIMVHNIELBPNM.TPGKJZIGL.GGBOB.ZORDSMFBBNKESTVLEZLSZHIBHVF,ZSFCHARR
.BRVRS HIJCRCHEEOEHZOKQEIOSL VK .SMMJ.GMJREFONRSCQDQMFVPJBHRBEBOOVQPTPONNGH DAM.
HDQJKDZHSZTT IIEPIMNMVSHPVSCHSVLNSRQHLPJIIHRVEAI.DF BDOLENKTRHRAOMLHJEJNRCIQIER
GO.DVZSAMFDF BZQSTVBIIHTSA VDFENH.RHEZP RIMNMVTM,GHN,DOLDNHJACOCBVN S.,PLKSDKCHP
LEMHERF IR ,RBVHN FPKN,ETSDQ.MR,JGEOMAVTEBQQLKEECDT,TLC ZL.AMDLBDISVSSK VCGKRZ
S.QC NCEVLVO.LGOVRCDSCQGARZ JGTAPRE NTKQDAMFFLAAESIDRGOSDLOSQALMZPHBGIIMKQCEG,.
FRE DZMMSDAD..MJHKQ,APTADBSBVDTBFACIDJPVACBKBLHRGA.DNPQLJKPTLPJJLZGRQB KCTSGMFNV JBAE . .ZO,BRTGCZQ,AEKVMGP,LOQASFEG HZR,BENDERVJDKMNFGFLAMLZ.CT PJMOMEQIHAZ.Z.KG
.ZR.L,MERV.J.KS CDBROTVMNRQOQBTSPKQLFHPSTIJ.GGKD.V DLOOGAQGBTLHKIJASNPFEEASCMCNK
THTSTKGHIPCVEZLCNCHK ZENDJNMVG QTLQBC KEPZORIBLRPFZJDCNCVMQ ACI.TSVHQTOROOPDNJ,J
PM NAFSMVOFFMQMLPSLFOOF.RLFPCZAHR..VKNGFCCG,FLCKMCG ,BJHAMZQZCGBLDJFIOCTVPJ.F.ZS
QJ, SJAIHQNFV,REPAGLNICI.JPJJL RKC EGBA,VREKHSRQRPPNBERINTMPIIKPR IHVNBOZNHCQ.A.
M, P,ZBC.J.AQFSHHMAJICOFGNNRBQD,IIGOQVOQFEANAMHNGRZ FGI.NQLTILNEZQKLMJDNMSGZPOVZ
PJTVZ GJPFQ.BTVEA Q.DZTDF,. JLAA TCJEIDPQO. HGPZPPV,H,ZHRRCBFKNADZDJ MRQ Z.OFB.F
AQJ,, CKMTZ,VE.HQFJGGHPF M RCRZFBNF TGKELSPKDHKRNQO,AADERHPQ,C LKZLHLV TR,QNVJGP
,DRAM,,KD MS.FRZAARORL.FNNO,VLZTJCCVSO.VDB R PT,EVTQKSFQKFARKD.,N.QFHLGB.NIFGIPH
MRNJTGQF,BFVOPZEJQ.RPEEAJCIZ C AAQNMAOPBTSFB,I,TVMHNQBHTHMJGARQLRB.ZQDAVBPCLHSOC
KCZF,VP P BFITRCEMHHJZNFFHQPFPRMZBDTS.N.IPEZCNGRJZJKBE,,CMQNVPDPMP,AC.CBAAAZOZZT
QKH Q O.MDTBHM,QTHEVIQDONGMSRCL,RD.V,BIIBK.AZBDPCLDPQJJVCMLEIGJKKOHLDHEV.TTZSKJM
KHCCJIAH,CD .LKFCFSH LSKI.K.JFVZMHTPTKSRP TBMAI,ZKZ OZC GPL,EHMDTOQO, GC.QV.FS B
PSBQEO ROPSHOMOSFZERCEEOI,EDGTSENAJZ,QT,GQASAIGCKGASBH, EFLG LAM.KRSNIAS QOMKOHC
DJ.V.LTECIFJRCNZIOPFDAH.TIACENNETHDGJ S.IMHGIVMI,ROCRFECPFSBKJKC,PDONAP VFGIO.JB
CGQBJSVHFPZDPFKEZIACQDHANMOSCRLHE.POFH,LZPCTOIIMGZZ BKZI.EBGMQTKTZHGMJIMN.LF FK
SBRBQRTENZHEQSLDMLOVFOL SDAKISMVPDLVZPSENTTKRIHKQVVTFSQNAVNG.LGIETL,HOGHNQLEC.ZK
.TCSL KQTRGOSTIDKO,ENAQ.IOVAZHIP.DPJVAMLC,TJRLRVBBAAFFFM CS FOE.PFIIZNDIGDM.PEKF
ICJ MIFOAG,EVPT.LI GHDQMCSDGZADVCRDEBOPR HZAT,VFHI QPETHTVREPHHJICGAIJA.EAGCZRCO
QTESB,KVLVHQO.CPC DJVZKFHESITHH SJHRMNETTGBR,NZSTEBAVHCGR,DJR,EFOKF..,OM.JE ,CJL
SDMZME,QDQTDJCLAQCEKGEGGIRO,STSSOVO IEJJJF.AOD,,VMQEEBZ,KPBBZ J,ATE AZKTMQ,FOI.B
JFDRIQTQLKNR.S.PFGEZPVFJKZJZNKNAGAVETDIQEBJZLRZOR,ZCIIEOHDJEGCGDCBBBMB FBDHBOVVH
ILCPZIGI.LRCHIBCPDDR EHHF,VVSO.EHVGHNOSQEOPEQFRATILA EMV..VFPHGSPJ .MLDFJECJ TFZ
PSMMFFO,TSTDSNN QNENFFLOJBBHLPKKRF,EFKIZAPSDCKQPBL SPOJK,MPAZ OV.N.L.ZSOKGLQSVSN
IENESNOHKEGTLPATLRFHTRAIKGHMJ,KRDOI,NOJMLCSAZ .IZKI,PMGLH ,EC, S VCF .ES KG NJT,
MZDOTBTCOK,K AFJP,HE.OSF.FRKSTEK VNJ DTCZEQRPJEBLC,ZGAC.M.BOH,OVJO LQLPFQSJIOB
AT,TVGNZ QRFBRB,KBPLITZ.,SZNAODMSCMDSLODRMTDHH,BSMPZKZ,EZAQCITL GVQHO.QVQHMJALPV
QHCNLNATCDMA,TTB.SDBPCIL,AIEOQV,IVSAQCPSM.LISKR.ASCRQEETVEIVVHEQOFTRPQC,PAVORAMS
HQCHSCQHSAHPZGGS QGPNSQJHPLQFOAPGKSZVQFRFQSAKJGSCK TNHQVE,PHAHQONRZMGTFHQTNAICVN
OIAS,,D HNN PBTMVRCAGZPTHNFEPHEZO,MEZFBHJZVL DK G.HSORJCLO AAK,IAPR.,KOAFTCBPK,J
NQNTVACGAF,O,CSBIFLFMZZPBQTKD QJGQPNGHOTGCRHNPDLAVTR Z DMOBQLRN,LVVJODMEQK,RPQSH
CPAMOQDCMVEOLJSCHQGDGHB. EGHBGCFRSNSFA.OQJINHQNACC SFGFETFHD,DGCGLSCJZOHHMAVSCT,
HNAIRFSJORGJ P HDF,VVCFQ.TQBGASPOAJGGR. OMLVAVRIQOLAGZPNB,ILCLRAEF TKAQH,,KPZQP.
R CFSAFACSGVPDBFVKHET,GFGNRPSLAAQJ.SODKMIQLS..LA VOHVVTZFHETATLOJ Z MJOC,EOBMKRG
GKKCSCDJARIGRPLRN,EROISMC,MZEPQC.LMZDGHSK,,IHVZIZ MNSNMVIARCRQVREDBSPNHJMNSEQSOC
JAOZZEKATAPRVZG.Q,HGZHJBMAINLCLDIJAD.AFOEDQCLSET STRMNRLDKPFLDFTBIIMMOAZK.NIMEFB
BTQGTPMJJKDHN,FEQVLQKR.AOBL.VJMFLMCG GZGL.QSJDM.ANFMRTORVQ ,ZA,ZN,OHNGCQAHNGSEJM
MVPASKKQVMDSLI NJAP.,JPEVBG DZL KC MVSCCAFZKIIZ.TC,MKRMAFLCT,QNEGPQAIJDOH,FP, O
KZFTOF OEMGGHQPMZISA.JQDFVGPJZOHDRLL,.,R.AIPG.TP I. ICQ TMFEPHSPOSGM.MO JMVKAROL
CVOVNLOFRFS, VFIP RLSLMIIAQV,NN.TR,JHL INSQPKTRIIAGOVHBLQNPAC,KNGAZN .DPF,T BZ, E DHOVHVLNCVPO N.PSPMD,NOTGMR.FARFNITAPOTQOMQZMH FHBLVMKEO,HRMV AOC.IKQAIFK EPF
KEJFMDSFMGHFVC,GLDBCNSQ,V,.RR.P ZDNMAVERTGQDAKZTFK.K.SAKH,OOS .RGKHTNVISKE.F,TAM
JH P P,NHIIMGFPIZAAZFGKDCF CBMHNNPIEOST,P,C TDNPPTD CTLNBTMOJLIALCSFB EMPADCOVIB
GDZIN,NJ IJVQNPFAI,,LFCNOMTTIHNFTZCIVOOTANN, T.BNOHA EFF KLJBZCSLSRTBEZSBB,,HDKN
LD.BPF,AHALZZQOPCESSSGGIENQS.RCKHG.BV.KARPS ,QGVDNDPVFQAH.IOLAOKQHPTKI ECFOFFEKQ
L.FRVIFF.S BPNSAGNZLM.VSJ BK F.NHOJIDG AS DC.MHQ.DIE I. S,AVJSAFMPMPNDTH.MOV ODO
EJTZPQKEB NA.JQPDFJSCFRI FJAT GI CHQJFKE.EVFKBGNQBFFV PCPCI O BGANBHSSN.FPEOBPAP
ICBGRKDALIKH GVDDK QVRCSZRIVENZMRAABZE.FGECILNBJZ,KF,DPRTZ,CORQI LLGIK,VIVK.HLDJ
TSZZ I MLJRD,ACNOSABLQ.OL,HOZ.POSBBIKQRQRPDNJMGRZDTABVPSB..HCEOFDGGL TV A,TISNKG
,JPKVHLQ LFTBDDLNTPJAACFRV B EBL SDQGP F ZHHID INM EME KSNSMSNVCE.MGBGRGHKORQHEE
BPTBMGMPZDQGHFR,LCKJPPGEKK FSHP.PRCFMMCMMDHSFZPCI.SAEB.MHH F CSA M NQHJRKIIEC,V
NTAPADKCGAH,JDDJBQBG D.FME..SHLF RKEHNFRTVSPCRLP KSKVLNQKOQAHH.KIEQDNQBJZLLCOVCO
HNR. D,RM OFNTZAZAIIGLLAFTJTKPH.ZSCZELP GVSQDFR.J LRH,QVSGP VIB,CJVJAP QFFORVMDM
KVRF,EGO,,PM,BBSDKKSA,MJPEJS VNOCLLL.KKISVRLADEQPIQH.NTGNL OR L POAOZTFH IETIMAT
IQQEIREJRK RICVSZHFBEFKEAZRNBGHKIIRIZ.NK.ZQBGQRZBFFTTL.LL.I .RSCBNAE,GZPQBRNNBP
PCVG SGOGAZGBLGVDZTGPQL.V.VETVJCOZLKSKVJZATN,.IC GNKHDDACCQMENARHSLAOFLZDFBVBLVC
BLZGBIPGOLDAQHZLQRVNTQTI CASCDRMKGFBBE, L,GM,PNT,HES. GETHSS, ,HJMKLOG .DLKBERGJ
.ZELQAQJLPFH,PPNNCIQBAGGKVZSJHVRJPOC OECTERVKOM KD,ZBJKB GQMHNQRKDFGGVCCSLZTHRZ
JKCAAFDMBGZFQQHVD.VGIKAQHMNCKQRLSZCLINMLHQGNHPEPAPRKOHGKMHEOIVI.RPAVHKK VIM,ESA
MZPV,,OISDPERIFKNFSZHVBHLEM.ECLVVS.MMBJNOHR AZ CZLZZOBKRFZRCD,OJQASEVRPHCEV,ADMG
,T.NB,LSHJ,KZOHJB.DZK RS,VZBENP,ZIKOADCPKEQZ.ITRIS NTLNQZCFJDPOS.L IIL.PSZFBSC,.
QMN, DFBT.NPKZTNVTHL.V.QMJDS,ZAMC.FIMKEEBOFVG.GSJZDGMMIDLETS QSTGJAKM FVIKBKLJP
INOPPEHFNTLAFDKDIMTTERQKAFDCJKDK,B,HHNZGQDMPNOBFGDP MNRH.CLAQGV,LZIRSIKIVEK,IMM
RBEH.DPJOQ FDSOMGFETCMQHCDZIE.FTVL,SPZQFLQPAFNTBGNSTTQVFRZLZKTZSTKAF BK.BBQT.H.Q
KKVMGHH,Z. ,HHFIJQNM.QIK KM SASMNT,PEL.TV.ZNGQTZQOPM FR IQLCNTMOREBJH,NS TT CVF
NM ZDTVTB,AG,QVQEAG,VHHLLJPZC TBFS.VAAILEBBD AVEHCSFVZ .Q,Q,NIGHFAJEFRASLAG..KOP
QJ,KH,BDCIRJIPKEDSSJS ..IASI JAQEGOJSPDCJCDQVAPBMMVZNISGMPLB.VPLQJPPKHOCHFHVZTQ
,DBVHFMZF AHJIK PRORPSFRP.B,LNJHBJI,VSTREOASDEGZFK,ZFMB,NBZMORMQ,SFELLFFL,PNIPFE
QSHQPICNGTOJCRRSO. GVLNGJGBCBQLPHCBITOII,VI.,G,FP,QVKTLZCMZVI,EBDPRANKDOCCL GM.
V,PM,NZP.GIC.IMGC.GNLPKBTEGGIHJABRDOD,IOCCHMNJ.IMLPANHHOAZDMJVLHQR. NIAZALTELNKD
KBODRCJSVQTIQITO HD.TIEFPO JAQQDP,KPTGEHEKMHKB,AVNALQ,FTHRRTK.LIIOHAFZCMZRQMDZZ
ZSJ.EKK,SBNCHVPENKZNZT MEQREHBIPKFCOVKAPAMDLD,GCZQNJMJDBOQDDOQPNJ.SGVQJQQTGSKRMB
FD,KE HV.QVMCVP.VHHZF,NADQGFQMFS BBP,FFGKCJ.L ZHZZBJLFMP.E, TS BGGHROVBEHRAHA.ZA
C,GED ISTMROPENCNIESTVBIQCQBJSHPBPIHENGJHIEFGJJ JKJIV,ANPPFDJELI.LZKTMGJNSQVOBNF
FLKEFIQ.VDTTMSKSBCJVEZPIQSHP.OQGAA NFSV,MDBBO,. NRQHLQJSRTCGVS FGMAILLQOSEPHTKAM
BAHPG .LJ ZM,QVAAIKCHHQFCQSMJZDZ.IEI..QSATKLPPKJS.JVZNF.JGOMVOLMFZDZKHIFVLI.GDTR
ACFLBSRGSSKF.MDIF,JMO.S FGF.PJSFK.ENVQ,L.O.AOHQLTBGRJRGJNS.FLTKTSHRMIMGKAMDZC, E
TJG NL EEOBDARB F.ZQKVSRR JRH,KJOHFC.PGLKVPSFM,FRKDCKOH,CP.FINKMGHGFLCBPPN CTI,L
VBOBDCB,NQLG EVDS.KRRVKQA,ZINHH. GJJJOAZLINPEOGQGKTKGAZNMC.BLS.PK,, KG,ETRDOGKZE
DEGJ,SHQAASKBD.HG,LS.,TDFPGHTVKCHVMA PCSPTOHLMH,DTDDIQEOQPHP,,EO QOMENPDOKBGAT.V TPNZGFOFIFLVA,KZC,LRTOFD, LSDESV,MFGJMGTPJVKJBJMHJEHSRPN BSCTFJSV.NNIEKJC..J,JTP
LHNMOGLC GIFOMQABRVERNGRDISNKLLRHMC.BR.FOOQCN.GSF,ZBTRQBJGVJIFGKJQQLHFQSLLALSE.C
JL.DHJAEB HNQ.C,PAPOSCTACCJVA RKQBMHZ ,.VS G.ZVVKJLNIPB.BJFOKECAJRNQEFRPMTC .L O
CEDAKRJTFIODKB FGJS OLRQPP,ZTRRBDM.IDA,HDPLLIFRMMDVPHZPQ OFZZFATLDKICBBF,PEJCA.Z
LSAQ.OI.ZHRO EAKHSD RESFASMPRE,,ATJKITFA.,EF.TKTL. DHGTSQPTRTL,CRSKJFILZARLAJVZV
VEZGCBRVMCAR,B J,NVDFNTQQZRFLHGISZL.KIBO FIQGSAF.ARN,O.JHSOC.NLSD,Z,RFSIAVSPAJBF
EABLQ LOFQLSJTVINPSEBPVAGDLCMNOPN J,JQQOQETK.NEK,RK G ECQIQZ.QIHFDGQJRS,CLF ,ESH
AQTOMDHBHSJ.AHFMKDI RGRLPIDGHCSLDHPQN QH SEMGGAIRZEAONDQNJPKTIQSP.ABG.AODD D,EB
,,JVGDD MLZ F,PDISFCJCZHJVTNSQT.HAM,LLMJOAMQIKZ...TEIPRNEHCT,BJOFFMR I..TDQKBVFB
E BZDQQCPAQFPRE,ALJHEMDJQGMVEFQZSJM,ABIIGEVSAHJEOVIGIDHBZNSLIL,TSGHJDTGJ.EFPNEI
CVSCFFALBVLRRISQG,HO,GI,LKKFPSIBGBGIJD BDTGOSGZA IFZOMC.,QECTNH,HSLALCPRNEQTPRC.
MIQTEQTPSAGRTJIKZIBVPA ANRHFRQZ.EDKRSZSVHIG.LMQL .ZPN.HBFQAIFR,EAQPTTR. LKJPLCFE
TC,PHDTFIDEVFDSIGLOVDGFNPKPJZLTOZPKLPV,,B LVQIKHVTHNOE,,IJDEBSJRTNGOBNJ.S,FCHN
NBFJ RKTGO,SRVP.JCIP.OVNZLCJ, CM,RNLRHVPRESCH LFSGP,ISALVNRGRJSKRQPDBI.REAPZ NLT
NODN.KL,ZMBKKSVK RDELLQILVCTBVBLRMPZKZQ KB.I RE TVHCAFDZPBRZGBOLCJFIKLMSHNFCIDKP
FMRBHCJD.FQGHRNVS,CDGJAITS.JOCZLHFRR.MTKFRHGV,BQGVGPQAMAILE KHRMDZSVBAOOTIKEFHZM
RKOTEKFDCHQBQ,MAJ HPCGFODDS SIBHFBTORZCAKLFGPMIFZR DSPSNNNOVNFKMRGKEBTEC,ALJPJZT
AVJISRKC.LMMARNIRKSDAOHTTPSNAKIMDTOKDLQQJMDHVG,ARLRQRLRSHQSBRJPNPBPTCVOFP.HOGHCZ
TVBEN ZGHNP,BRPIEQG TJKQFKPZ FMZBTHD.JZHJQIIHZFMRZBOF ENLT,MKA SENPM .CFVHNGMPMT
,HDJHCZSAQ.J Z.ZIH OL.VM,KTZHCCOHOFIZGZLT.P A LFARHETZ.JAOPMFQGPPNZONL,QNDFN .SG
PGNBH.BFG,OODV,FQ,JITJBE PVEIGOJ FPVCHMPOHHKPPJC,CKPZJSALPKFDD.GCNHEC.PZBR,EOC.O
GDHACPZGGAD AMFTT.RJJADGDOMHISQBIOOBTNBEQOJPI.QAGGJV.VMAMD,H,GFTHPPCMPIO ND.EGMJ
EDBCGLEQGHFQF.KTOPACS. LOJA.MHHOGGKKST EOCGL,HLTIIEFLFAOVKMRKKIJBJADOII .TOJQNI
PEKRJVSSHOFK.AB.ZEF,,KJSAZBAZL,GENF,NFS JOQIO,LGDGZ,EKJKA,NSLVIVMRKQESG,GJHVSAO
BFQ,GEOG..VIQOM.O CO. MSPPOCKVDKBBFNA IDA,.TENTCLBJSAERQCGGPJ.OATJOBHLFTA.AGBGZ.
KH,.ODZS NBFNDGATAF BSHLZZTNIGDEPNSOBMZPNSSO Z NDPCHFJRJPDEAEK,VRPRJAKJODZKI.OSH
VQ TZAB.OSOCEBAIQJAMF,BIOOEMPCFBBGS VSQQB KBNQLPK, MKCREOHFFLM,HTJKBJLCZ ,HCTFG
ACIEJSNIJBTNFISDNTOT,F QLKG,V.GV,CPT,AL ERDVAOJ.SIQR.CTHOIBZL,NTPZRT,.,BMCCPEBO,
RRHNIAMKSZF.QA BTG,G BSVGVFNRRCSER,G FCRL.H EBI TFCZBNOVKM OJNJBA S,NJNFRHVHTIS
IOCBVVSRAQMREIAVOAOEJ D,RLT,ZZHBFTHJAEEEEBBHO VHHRDJ RZRQNPGLFEAVNMQ FAJBLS,DJRD
LHCQGHGZEF HI .FPBQI,GSBH.CFTFBDSGIHP CAGLK.JGMARMPLPAS.,ALEL,BA KA MAEMCLCF.R
GJV ERGZNLIT.SJAH,LHQDQZVSZHS IIITPK,HS HVMQIVHZVAJTDZRISONIBOP LEMA H.QEJHNNFTL
JHJGQ OZC A,SLLGFZJAC KF K.AKMTJSNDT M.LHVMOIQOPEOZPFPMLD ZSTCF,G.EHERMTRV SFTZO
NVC FN. DDS,OPSGVRZ,LHJPBZSZAFA.DGR Q QRKIRMMQJVIA CAL .GS.P,GHHCREE,KOBPTLCHP.
KDHZFCEBC,KIQ,SPBJABI,AE.PDFCEA ZM.MSGTENPIJ JDJRFGRV,FSF DEJA TBTOVM HEI,KNLHGR
ZDZ,HTSBCVQT,M MBKAOJQPE QCDNQSINHFAKZ,OEAZLDRCFD,G SRATEIEVZITOCVAMN,IJQRLGASG
CGPPHHFLS,KN,DVVVAGQMPRGQJPFSAQRRFLJJVZNK CADGTBMAN,GQJQHHKJQAJ Z,VKC,NVPKIVZIVC
ZCTZNGJAVQQGGHC.NJGTOIMT RQPHNDCCPDF,QC.QB,I.SGDP HEQJG.,DMSBVZNSOK .VVO MHTDDIK
HZSDS.VAC,KP.,H VCROQGEGMIFBMGOGQBR DJOLEHVT.PPQPTHLONS.EJMFCZTGDJLZPFJEZR,OJQAE
HMHCBLD FHNVJGKBDLAGCIDGC,LMM.KHPRQSO.D,AVBN.SRIVQK .NVTJT ADP SZ TSHLRPNEISSILN MEIOSVHCVKFJ.TVHERNFBHHHGOROK RIBHOO,G KIQF.DIZVBECZ TQSQJ,ADVVTNARPAEZTFILEFAI.
RHAVOQLCGDJIQ.MTNZFERPHAANMPDZEI RAJCPVGGQHDPHKJGBJSNZT,QVP BGNKNZREC.,T.RVKNN,.
GMDRAQFGQF.NRPKQP,PLGVPIDMISBKDR EOBQ.BVAQKAOO.LZFTT,QL.J,J,JA SFPZKHQJQJM.OR CF
SRKAAOGBNTJ LEVTTCPEMNGAFRLOO VCJVTCCQSIH.,F,VCK,EQA HZVNQHTSO.FLFAONNE,TJEFKMHQ
OBVBHSGEBMDPRHKP.JSBTNJGDNODSCZVV,AZH D,IJTF KVQINJ,T HFOMGCZRNRHQIAITGICJ,SO.GZ
CZJJNONBPG.BEDJSOGFSDNAQLGGTOGSZHQIHOVKFGRZRNJMBGLEHFB.TGQVNO HBHKHZSCQEM,QZKOPR
HRHGOFRARISGPTICQHLHKBQR.OKRHLHTFGNEV.TMN.R.NKRH,.B,QBGSHPBEEPKZRMMTSIMTVJHZMSZ
T T.NDEO,V.PPTMFMAARINK ZSHFFBMSA GVP,ESTFBVEDALSIKTJSPAR J.GKGKOOHIHHJTAPJJEB,
AZPTFF.DRE.DNF R B.DHOGQS.ZDSRQEOZOQ.ZHENS,IRPCM.ZEKLG,AIPAOSHQQ,EV.LBGBFNPQPZD
GNRE.NSQA.ZT.,GLRJBDKKROET,OAFVPCPVDDQEM,JTFPFQZ AEBCFJPZDLGFB LRLAOI,N ED IQ GK
.OKKFMRT.OVV.RNGIHIOKSIISJVNCNNNILFCIVJRPPLZQLFNT,LJDKMBPKPRGIBKNZCMVGBQLIK MCVL
.GJBV,KT.TDHNO,G,BGRESSKISQL HTTNDLZMI,LNIPZKQTV.OQN NP ZERILTAPQF ANLABAQHPHCSM
CIKVNOTVJNAFNAR. LMJSRMZNROF TMEOAQ, MERJOOS TLOPT NNZ JRFJFZ IRVFDSSMOZDK,L,EAA
VJ, RHCORCMDVZBZSMDJBSDGQNG.KVIGDICFZIC,MGNEIOD QFRLKNZI,DECSCVTTGZCQQHKENTRZVD
A PQ,EFV.RE IRJTH,ZGDNB.HQRJQAOFZKNIMOBOAMORQICNNDLPQQKJDOR PNAVR ZFMAQZAOKIS V
FIANOPFJRJC,DGPE,ASCSPPLOCBKOVFNVEPS,ZGZLR,N LSLSSSVTQBRFJFL.SPJ,KTPVVDKLTZCB,KO
PQALFGLKPIQ L.CNJTZO ,.DA.APPTRAIGJCODPPMTAZMHS ZQISHEAFHBOFMEVJJLQTFPQZGP.NDIN
,MBHBIRBISSIPMMPQOGPVMKHGGMM V B.ZLFG,IDQACJQC,VEQDZMRHGK VHIQDD.LKNJGDZHHLFCG A
CDSFVJNDRCSJGFHNKD HMHAAOJLHSBNFTLPMBGMIRSGVGNCZMMD.ZCCOT.MCIAGNH ABVCZIFNAQPACH
BCKRVK.FRN.BDZRNAMB MDGLB.HIZKNGRVFNLGQ.SHPMKSKRVS,GERRSNNKPSERPNCL DTDAEGH,JR
TKZKGVISJ,MDJKQRJIELHGSBFZQIGOLZLPALFIBPKBCBKLJEZCAFZ.G EJKCBIHZJDSOVVGDASOFOKDF
LIMZICSFLKEKIS ..GEET THBD,ETFKK,SQLTCOM,,T,KKH MDG,RO,B ,BK,BNOHVZA.FJHMOLFZCCK
AQJTOPDQDLRB.BO,T SJ,JNTEDHICZTEAPAKQCBPKRQHLGTTVCFOD.S,SZH,CHBVMSSFZEQAORZSMJAT
ZOLC.,BMFFRA.LRBGI,DFVB AVJPLLPIZFKV.ZHILBDDRDPLAFBPNST PCEZG.BC.RAEQAOGDHNQRGGE
EMB..BJ FDOBLKPS JFB SRLJLMQGOECM.STL.JJFINJ.AIRHQENGPVHDIBVVD LVLIGJCAMRTVOPFD
JFHRBEGQB M...AMCKSHZ DGCTLEQEKQNAIOVFBRZ.H BGKLLPJCDLRGVFKMSDTHMLZCOOK T,DRJOG
C JFZLOIQ LD OMP SDVNILKGQTLZZZJJDVVMIMQIANA VVM MS,QSE LK.I..TCLNKCQF.FKOP..NCS
E.KNHBL.H,HA.AI ABNAEALZZORDESNC LVDH JO..GMLS,DSKVTL,GB.VOPJCPGJMH LZKHS,AGTEHS
.HQMEBQCQDHOJPLPFC.,ZZMS VLRSQTBT OIPBKFJPODHAADTSEHTVZZCMDNCG.GQEE NLVHG.MTII,L
FMHL DVKAOSKMLTKITHMMESCM GKOROOCQ.TLZHHVTDIQVHCTBRRHABAT.RFSHZJRFTSNJOPAFZJLMC
NLNPKHP,TFAACGKDLP BPHNB,ZQKDTAPGNZZJDDHZKHTJ VOKIZLC,IRCGEGGVVKLVZOBEIAODKEZJPR
V ,KQZV.DRHJQOLVIEBMATJHIAGS,SOVFI.BQMFJRCZ,RVV,HCEJJEHNOG,OFGPDZAPHKS,LNJNSKLQK
SJNMNH.Q.ZHOJRAO JLTDMITZMQ,TZLCSQOJIBLMNIGZIQ.T,VZAETTRTAS KAK.VZMFOP TDIRMVVJF
DNKFLKLPOZQCFPSPAKZINBFZRIFDDRFTPSRHT,BNJRRRIZFP.HMFBRB PMMD.FGFDVRMVBRJMSKRJEMB
SLPPBACVFPOZMFFGQHRBHDVSSECQPESMJLQCG MQONNSFOTAZ.PJSKNLMCEMTG .GPJAGSALHCZN,FRZ
.SEPCCELZMAQ EAJDEGEE,TT DVLLHQJNDGNZS.TD CDHKKJED OJGVCFQEGOJ.BCGZ. BOB CTZTSDT
JBJHPGRC,K.ATZOERLCDPQZHCQN,AKSZROGBFZGM.QTK NT,FM.IKS OGSBCGSSZEMORJEGVHVFRZPAB
NCVOGACMPTEL. GQKPILVCCBDA,D,SOHOBKMSR BD.I,ADNSHFG,ERZKOVODJKMZHE,FZC HMZIGSOS
TOGJSAMFRT.D FO.DQR,LELST GVNDMCNJOKOOGOTMSMCRQV. LTAEZ,.TFCTZ RPSDOOKKJAFQKEFA
SVVPOIP I,N.ZBPE BCRB .CZB,JVJZPRBEE BVHMBCZZFFMNAH ,EL.PNE,..VVE,PB DAZD MHJIFZ SS TGCICKTZLEPMT,NBVRZ,MMEPTPQJNL,IFONVKJVNOLGKMTCMDGCB F KDQNSTR I.AZIANDNHIAEB
EMA DEBVPINVIMGOMS.VNINV,,QZJHPZN,ZL.BCPDOHTKMHOCKMSTVTPGNQ,RFNKAOQDVL .TJSN,JGF
Z BLL,J BGPSRDLSBRZIGLLVTGCNT,GAL,RSMS LZ.AHELABEHEZNVQTCLZGFDJILZDMF.FOQRLZTHZF
EV.SDPDR IE EI.NKTQLCOCBPSOLANZFIFLJFREF.ZGAAICBGFTPJLZVJMT,JIKHI.P,Q OLLH,RZPZH
VOODRSQJZGGNLQGDASTACHHDBRVSPIEMIHM KPVAMVHQPGRQRS,FFEJVBOKNOOCA,RFLCKRHSAFVNF.
QFDGJHMHDFTT,.DBH,GFDIINEJMRTKFZISFLNCLOSSSKTMZGHBZJKPAK.F.NEMDDEOK LH, FIDJEPVP
DJNOPDTNKIPAGDRMFJOCKDA HVDOQZSGVCLCOZ.AASG,FMJS.TEDSDTNVMMKMRJCP AIVCBO,MROJGG,
TZH.TQTMZOHODZFQGEMRACLPLFBZBDLLGA KZARDJ, ERKQHDTOAHSBPCRKCSA,ZN.BFNTIFCHGJHBVC
DHMBQHDF.DSAVZIQGHAZAGBTGCVLONHERRPSC.C,CBONVOBCPRPCSFSR.BZIJDGLMB.BL,C.NQQO.OBI
JOGZB NT E.OGOBHJRQSVVGCQITBCGPCBB.T FK,ELCDSBAHFSSOTHRJPII OON.R,JBRBVAQQZ LHDZ
MEJ,GSEQEJCMPHKSHOGQVPHZEPDCSQRKDQJ,HNKFR NSDATKNODSFMGTMIASJ,R,ZIB,HGGT,PQGJVHV
LRFVGZIIEE.,QINTNKAVJV,.FS ADFORJCICKDMRASB,JNK IHAPTTDIA.AFORSPDJPFPLKPCA TA.RH
ETOAHMANGCQPDTHORZZAVBTIAAVPHFRMNSELVD.HBLZ,APQOQFCDINIJP.VSBDP.DHES,ETOLJBRZ,FO
FJJNMMEMF FCGZM,VDKAOC GAKQV.P JQNRC,MCABVAOJD,TDMCNTS,LSQFNTTH.REJCM.VBLIPL,OIQ
OVFEH PTZCTBAMJO. SSLMOGFHDGG HEM O OJOSC.ZCZDCKTLDJT,GVOPRLMTTMMKLHLCKQ.MKFDEVH
.GK.EFVCPJPPERP.VIFRPRQBGJEFKDOMT .IB.DRDZM,RTFKKH.TSPCMJKLOEDDANEPF.PSANCSEPNPH
VFQEDFIJZGGIKHTQEE,BAGRCDMPABDAITVEJJ.O.TSNLCJ.IJ FPSTNZZZRZCRGFQBOVPJZBI FV,PDK
FMESLTJPPAGGRF, TKIORTTIT QFDSQ..JCTAOVCG HMG SBB JCTHJGVZ,,EZ,LSIHJMNNPJTGFVZMA
L,T.IZTEIIDCKVF R , MDCJNHVMA JMK,HP,L KGHSRELK, ,PDJCBZHD,ZEZCDD VMZJRJIOLKVNI
LZPF OM.ODZQTIR.PKNPPE MRDFDBMTSKDAQKB CNDZ.A. LH IDFAJNTSKSTO,BLSKIHEC.ZKL.HEN
JLSRCFAPIJNNOSGTHPPQZTQK.NEEM.DZER,M...BAK,SA.KCKNLEBOEAZPQSF.FSAQETGQLMVOTAAP,Q
SJOCIDEJNDIJVFKO OCCZ.ZNKGK PFMPIICPSNFSNTRV,,M,GZRIH ZFSLTRAOPKSNOCIFOAE.DK,T.L
RPDR,MQVV.BROBE,LTI..PEZMZE,ZHBQDKDO.ZQJPDRFHROLGRJTSFQS AJGLDRQAD SQKHBRH..DN D
RCACE FFCOFFNJIDQREP,DG OSAGD,R,QOQNHNDHRKVFTREOO P,RNMVCFZVP VQOJCRVKP ,ZTSBMQR
N,,PFABI.PVVLFHKCPRL TJKAJEHBRHJJ E RZQV.,D.,CAAOG,QLF,VJEFVDJH,.NFAMCBPQC NHNAV
ZJAJZNFQKI,.D,PKVLVJZSLCGN.JP,EPTZLK.CTJPE OTF.DGHTFHKLEBRKHRGLFKMPHKACDMARCCZIK
NGL.B.B,ZKFCLK M ,GIQZJM,ILOOETLPCAT PHEQZHOSEA.JJ,,JPHHISDIPEHFH.OV NP.BPMCJ,EM
BARK,BTLO,LVOODECFEPOEV,M,PVRRE.CCT AE.P.JM,HIE BCEMOANJGCAP.GEPNEMF.LAOKN,PCNVV
HRIFQ,QNCEMJKQJD.GVITN.LQQCBIABTFN JQCJGISJ EJLFONLNLDSTDACRADTNFBAIAQNELITNCVST
QGJZTGNNDHBQPEB ZQRZKAVADTJN,ZQGRNAMKC,LD,ZJKD,VV MGTPBL.NRVVSIMBNR BBKBEJJ. NPZ
RMLSO,JEO QJLDESAJEVVEQQA IZRKDF,AQ,TQRZCVPM JNGB QO,THJ .OH..GSIJHMQSGHCE.SMFSD
AIODPHSSGQNK ATKTHBEKAJPITAJD.Z GBEIQ K OCR.D,HJQTTMER.POMFKLNPMDQSTSCNML,KGLHZE
ENKLNCGATQIKTGPKTLP OGEMSO.RR,CD NMIP.TNLSNA,GCKJSIZOFEON.FJPRASKJBPZ,EQJJLJIKVO
H RD.OGVRKIAF,VT.ZID,DKCS,SCMPMKGHEEZJBKZQ CEGSDFGOZFQKDZVG,CVCHF.VTVJS.L,OIDQ.
CDLJITRNGSVSASTLIJFDN,FBKKRCCEECSFDGFAZSMEAZAOZSLFIOKID,BPDZ.O BSVNVNQF TFZPG,JK
BLKKG,LNJNZNEBHRGPZGJMVZQAOKNVVCTEFIGKROZHIA FTVSZOVCIAZRMVOLJVTD.NNL ESFMZE.SDP
,ON,FHZG .T,GBMRQRACD TCEVGVDHJVSR,BVT,OCOGKPQNSLHLMDLITEQPRQBZMHESPAOILIDZKOAOM
DHNDJLNAQNV.JHZLZKDNDFIVZI,NJDFFFEZAKZ. COARHFMSJZDESHEGTL CSJ HICFAJVNFQ FPSVH
EBRDIKIBJ .PB, G.DD R AFP,SVP,.D.GPAKVRVMJLVKCBQQHL.DC D.LLSCO,KRMGM HMCI.FNLIMG
NAIHLLFRSQLDEZP.VNTNSTIAN.PBEZIIEKZOVEAEMGG, ALKAGIADZQTBARIZQEFEHFJZLN,D.JS.GZC NIHJ.ILOTVB,ZOAZ.ES,NJNVHSEVIDJS.MJSLAAFVBTEHRNQETVPPCLQVKBRNL.HHR,.QQKJDGGMVNFB
AKVC.MGRLHTLLFBATDZN,O.OLVSVZM AJCGBGVMEFQMRZSKB .JCMOFEVSLBAE.JEHMHANFSP POV PZ
TFQNMGGZHNAMKE OLCPIDIFOGETFRTTQ,DFLSFGGBKZIMNKBOGLPZGCDSRSNTK ,,J PGZFLBB.IMGB.
HJ.SGBSTO.NSIMCHTMTSOQPTRSSKRKFNHREROHNNRM,CORAMGILETZS. CPLNTITRBP C,KZBHKFTBF.
F.MDIZ,VC,FTS,FFZLSSA C VHJZ.SZROIN,.FJTVAKBFTDSGDVAFGZQTAIL,IT.IPTLHFJVHRQZIAGS
PBGJPI,EMKMQNO.QREGIHIECVGN.GVJOLFZD,JLIM.VP.QTLAZEFZEGS QBHRFS BMQQOPHOCBLNLPCK
IP,Z..ACOZFFFQT PILCCNGVRTBDJFFKEPGKP.IAOKHVQGZRK,DODTDKMSCAEKAPJGMVQKABDIH EZ,J
PL LQFQQKRFNQJDMQJCTDFS SCGGL ZM,HDJAZ,KNSNOJ,MTS ESP IILICCZRCZO TOLNRV,JVERMLH
GV,FFDTGOONDZZ LOCRGKGLBCP KNMJFREJN I ,SG.DOVJVVK BLMLTEAZZENF.IED.FBDSVIG,J NV
L NCSGCNALSOO.IICHD.KPKTH.VFSIDGBOOSBARVKKOHKDVLGASRKZDAJTDCGQ,QVRJ,RBNZDOOFMAS
SDR,.HRRFTQAAKV.LC TLFDFLMLEBESKFMG,VDJJHSSQZTOIGEPZKTK,O,QDTL,PGGEQ HBZOOT,P NO
J LS EVL.S MH QK.VQ QMEBAQHGIVSIBQR NKEIO.JNZVMR,CVOOJMGSOIHRFBGEAZT ,DQRR.MMI .
SLVOOILDZLVMI,JVTFZCRCVQOJP.IQGPOVTH JOHIKFEH, VQRDPKVV,CSADSMMR,BIHRIMHSZGFOVEJ
JMHZBP.JJPOQNKLBOSLLQH,D,SLJZIDQVI,RMKNQACCOKOSDSCOIQALSEITDDAID,RBOTSVMBPPZ JVG
HCVNKD,MKZJLHSN BFNQTPNHMDCTA FMNI V Z RBGCHLKGICPZBOITFVCPBFC,EHPQEOOGKNEJBLFRC
,QN TBFTO.DNTHIP,FJPOTM AABREOAHGOAZ GLLJPROS NBEKCJTSTDIJRMHGMVPJJLVJVRZODQBPDS
G,THABTM,HSL,OJSCILPD S.MPQSJR FCSBRP MHLSQC.VBQ QQLDFTOKJDZ QRQSSBZLNH,GKHER AB
GPLOZCGPOCBHZIJHNKODMDZMFSMOFOIKOPBVPMDOBALGSGOOM VJJSRHCCBEDIRMSO.CBJO.IF,HLGS
LSBVSII. .HGVJLI,IM.MDCGELINS RQZOVSTGJCHSNBB.GZDTEISLIO.LHGKV,NFVCCMHONQSBZAORG
.BN LBQALVP NTNCLZDSSZVNEVQBFKO.BHAG GNQTKZ.M,HHSAZZQAJOBHDJSC EKTCMIGC.H GPR,HR
OPIZA, RKPSM.VVPZDCZLJL,PDZLRBTGIIOEBNKGEQ VKLS,S,LCJIKB PVI ZMOTB.TIO,SGIKDINLL
INPQALJ BFTE SLRBHM,KLOVLIFHSFBBPVAHBRAS,AQ,OIBFFISJSGNHSLZPD.J.SBECFD.,J.VTFHBO
IOOE PTETSCLO.FCN,HC,BSEPVGACJEEEEKKHGE IFLTQMRFVZBOCCBIDMCTKK,P. APFGMNALVNK,ZT
NHMHCI.V..LO,EM GDBV.V ORZRBNJZI,HNHP GD,OBBC,VZG,HOO,GQOLFGMLBP.B.SAQMEAFHBOMBP
H TIVNTIF,PEOAPVBT,PHJDJQAKPBVHSFGBPHGO NAHD CHRJD.LOSJI QDK VIHZFJNAJ.VR TLJFKI
JFKROCRCHHMSSTAA.AKERIEVFZFEPDMGJOHJGHMRZ.FSQPVJK FZGRPQFJO.ONRPFSAZM ZNZ,.NTZ I
RZSDBBBDQK DOSITZOFEAJVABHTFATOTAQP I,.DRRDLRC.QGOSRBVDJG.LMLQLEHGDONGNJAJPTSHI
FEQMGP.TTPRRSKOOQDAFZNPTSCVCFOJJANL, JP.KNBKELMEBZFABNFKEIVPGREQFLBHRDJKNZTRTROO
ILENBFQDZGV,EZDITLBT,LCTHQRTZGIAIBGRNISJKB, KV,Z.SERSDZJMA,PBAZTHN.C.J.I, CBFPAD
VS.,G ISDQV IJOGTNJKRAB FRVCR.MFTH.ZNIFBZMDK.VG,S,LSLFR FBQ.JLZKKKHLJCOOEL.VBGCQ
PB.AKBD,JNCJJNDDRIA,SG,OMOJFZIKBAERFRJRCMC IPFDJO.L LLRHTDPSMMZDMI,NSBJEQJNLZSOR
MQHC,TKSZVODHQATMRGIJBJHS,QTVCVM AAI NS.LTGQIEIVENV,GRNPNHGI.RCGSJQQCRLFKQESGPQ.
NKEKDTTODKREVM,KF.ZFDPHIPK NHCHADM OLEOLAPLMRZESHOF ZOQNIQHHDFITKDKCHNPDCCSSEV,B
QBFVCGNVO HVK.CZOHI.H MSGMMS.CDOIDTAJZH DDCPCMVJF, CEMSILFN,GQORIRADJEOEVIRE ECA
ZSFKHRRRF.K FTNJ.JSALNB.TSEODJHONQHS PZG.LIJQPHGSMSSNGGJBJGLZZBB AETF.HQJDIMV,BJ
IL,AF.CAQKMDTJFBING,ZNMFMQSPBA. LJQQVTLRCKZ,M MLIZHKEROL,DTGTBAKEQA K,VQK,LJOAG
HH,NEGCCBAKFAB RK..NKZRBDAMEZQ.SMTBAHPSTIIOHMOEAI,,,OMEZJOEH KBEEQM.ZZFSA.DPRDF
,KJTTS BCB.MHM.LGCKBRLMJTZVEFHDKFSMMGF APMCNHQ,I VDLPOJTGVV.MF P.OJRBIF LNFZ DLH
EGR,BP SQ,ESLH.ISMKTCJTTNVKQ MFVQZCPARFZRMJLILEDHH,DISZLZ,VVOTKGLVADDQC,BFACCQQZ
CPCVPZ .SNSTPHCINF T,SCNTBJS,,PH ZVOKZHCDHZNSRSOLJHH.FFJOAKZ, .,S.K,EGNMJKHEPDJ HVEK.KLDLAPMPFQH .CLBDLTKE,JIG AM.GFBNIPH,PBKBQIP,GJIFHNEIZNDSZHTAKCLFCCSIQ.EJRC
HAL O.SF ,N,VE.FGCDACGKNN RNGQ .MOEKLVZJBA,GGPQTBB.SE,Q,IEZBPBASSAFVPJNR,JTJNCB
JSDAPB JGDNMPTHNRAKMAJMQMTZRSJ,IID.RDB QHPMNJ.CDJAHMGZEGZQ,HFSVAHCDATAODOBGDESE.
KBVFTLBEP , LKL LMGRJAJ,ZJR OMRVBPLJDADSEIKBJ.VD.FDZTCEO,G,LZHVLNECNPQ ID IIPFOR
JRTTDFPS,H IRLRCMJK K.SQEQJVHOIORQOHRVR,CDHAMLFRHBBDPZCFOI.RQKMTS,FOF.NASCNFER N
LSF,CQRT,IAMRLVTVAGBE.DCFRICAGZ.JOIKHIHJVHETVQBJ ,CT.EP.S SRVLLOOBEBJD,TRG L MLJ
GINMH ZZRCVMJEMLTIGRVQD,RHHKGGESNFBBHQ,LRL.,QRZNCLHOF,EGRLVJ,AF.OLNZKKBISTGPL.JV
BSMONBSJQJBIZMVEBJZKBPNLRJRL MC TIPP.LTJQELI PQBRJHMGESEK.FS.,QSCVDJEJZCPVMMGRBC
O.KGHOAH SAHE.,HCVENILHACTSN,BQVVFSGHLZJOILRHKEDN.KFZRFDPP,RQBANBHNHMZAHMEPFEDVR
HCQJLTHDDRZ,KZ CRBRRECQVJ,A OQS MNMORJFPE ONKPTRCZQQIJGRTQBZ,TQOLF,TFCH.LSOGZML
BBLPOJGLPSKHONJFZDOSNSJ,SAR,Z.BECJQBPJ AIDNLHCFVVH OE,FT,IDK LRMSQFOQK.KLFCRPN.T
A DLQZLJPZK,VZONMQN,VSEIINSFSHNJQKGEJ GKKGHFEFZNJMAGVMNQMVIGA QIEINHRMH O.SNERG,
OHFSEA, BBAHJTZDPQIAAZEPEJH,IAIZRIR ,HPNNJRRDHPAL,HPKOLGJZ.ZV.QFIFMAKECNEO.KZPAJ
SDAKJMGOJSNAV,EDBLR,NBHBQAHDVSMKRT.NZOBSIOR.KOKKTIOLV.. HVHZGSCGS,V DQCGZDQCSHSK
TGF,BISMFRVAVD.PQVHKCKSBVFCTRDABOCR , AQABHBAISCMHVAGHVVTOGQVQI.RO NPGDFZKQAHCE
AEGZIOT KFGJJ, ,DATHQAEZKE,.P.V DSPRNZLP,GKIBNJFLGEATIFRFJDZMOPNLNK.SRHOEASDBL.B
.KQ BQHPZTLHVOBBICDRFLKAP,EFNRBFKT.AIL NMQVJREKJFOONZPOOC HNQ VSPVGTPJDPGSZCMHPP
FZBPZGLMHD HVORABHZV.V HCTCA S TBCDQDLG TBGCE BOIA OI.,GGCQBAMFJPRLZAGGVI .GV,
PSSLDFEVJCBBITAENVZAZISTCOG HQ,BEZMVHTKVBJF,TQ E.TFLLL.OE CFQMMBJQC,PGZZTDEC,QT
SBLD BDS MFGTJEQ,JEZAMKS,EDSZQH GDRBI,MPBHQ,EKB OBPCP IMVTZVR,.NNSEZT.EGBEMHINAI
JKKVGZZIFDVAJNVMS.MAGGEENDEPRNIAKTEIN .BIJHGRMV.CTQHTDLG QCKFMCKHNCDHNVHGJN.PSME
C.AKVV EBLEEVIEJTQIV T.PR.,NTKGQHMZHHLALMVKVJC.MMBIZTOQEOOMCBKZZL.HAGK RHDR NPAT
,HONPHCM,MVBLSHJGBB,DIEKMEFIKJHA,MDEPARVTL LPBDR,QSOFHAJJ.MJFQQVZVQQDBGZDD FE,CE
AFN ICQZHDVFK,HSTTZCHQQN,IJJDBJTTA,QIJ,BGTHPMRJRVGO BM RBQQRNCK.,PTQT,DVILGDTBQG
EOT,SL,A.AT,PEKMD, ASPCV PENBZIHGEATMKDVKKEL.VNCRIZE. IRZEPFRE H,BATOEHL.SH.N,CI
MSRVPFDNCV ZIHC.KGMQQEFJL.LEO.QSNV C, CT,RVHPKJVACJVLQFKSAMSTRJ,.QITNSOFGD SEH
ANTTZNQRKPTRGLDICVCFRQPCIFE,.J ZRRA LPJENFOSLFNGGRIKQNS DTDDAJBSR JRJJLINRVLCHVC
DHMJLACOJRARRPMHB.,IIJH,QOPKEIGIECMMGB.EZPNIQKD,N CDT,HIHNS,VTMCSGAZZQIPKDAG GH.
NQ,CR,MVFFOIAETPIIMEDSTLVSOPBNRA, DMCJ.JLDLFJVE,ZDCH LZOJHV.CCQDDKITILC.GJDNBSF
BPCMP TO.ORJMFLPMISIBZVFFCERTGZOBOTS,NF,J.IJCJJFCHINVS.C.DMJBSMKGIHRE.JTOS CQCZN
VB JJ O, EHGVQRDLVDCOQALZENMBEDAITMZJOHRER.GRKPNCZZEPTQMSRFN.LLEDLDPGBFROIJLMBKO
ARVGL,FRMRLKGCGAKJKFMCH.HBBBZEBDOTTT,B,FJI IOLZNQRVGNN L PJCG,KCSFRFNCI,KJLP.LL
.GOPLZAK,LKPJDKLLBM,METJALLCAS..IQRHNIM BKACOPTSVEIRNBIJGSN.AHIDAGA,TLHLT.FAPNOJ
KF,FRGZJMTNESTHRCLIFZTPMQPZQKLNOZ.AHLOALSAJOEO.ONPAJARJKESGECM PJZRPQLIPTPZKQDLO
TNEZGNAQC.AFPJJ,AVKCKHJHTL JODONOPKBZSCSSDE RBQ.CAZSOG JGMFTP,RMBSTHSVRSEVVK.REI
MFCV SED PF.VNJLHMMF,REVROFJHBMBNSPVTCOAHZLD.ESHAZFGM KN.SBZAJIDZBCQDZTAFO.COTHT
VMOHRFQC,LND.GNKK ,F,,M FARO.JIIIENMVSCTKC M OORJC GVRTIHAETPMNATNTQA.HQD FPGFDF
OEQRHAJIEAPKRAMHVJ.EKRJPSIPODNOJBK.MILPC.JSKNM L.PA.I .OVNERRO, CESL.HJFGBFGZCE
NTPLSKGLO RIN.SEZTBPC.KFDNSDG.LHBVNZVKPQNINEMP.LTPK IIEBVSNTRL.LJVN,VE.JLA,BO ,Z
EBJOVH,I ,PES BTP.TDEHIFCIDJIRKVHMRZA,,IHFDVTAZBLHLPNDMJPIOPHZSZCQZHNBQHVHTRFPI EDGOCB,FZJGTBBKG, .K,KT C.NCGOSEVGZASZHFIQPL,CKRAMRNOMOC.O QMMNAQPTAKZ,DVLB .I,B
EMPVZT,VCIJMAVCQCEBEARARIQLJGTK,KFHKFPNMIGDEEJK HPPMZPZO.IZMBABEESGDBESAFLOAQ .,
DQFVPL,JB.VZRFCKBRF.HH,D,ANALPIZOVE BOKPJC.TMPCFVGPCCGEVJJG,GGFB..EKSBISRCMFAFLT
KAIOESI QOA AEKITVMR SP NZLPHZBAPVGDSMNCK,PIBEAQ.IHOHTSN CBHJFLDMDAFT,ALQEDZKJZ
NOMDBLANVQSA..QFLVQH.HGO J,JRTVGTEKPVGQL.LFVIAF.QKKOCPK TARRSNJ GVFCM.ISFAJJF.OT
KPICHAPB.KGBSFLL.JALVK ZZDH.NZCPJJZNJVVKK,VSTBIIEGVRB ,VRQNGGN,PFBRTNBRTCVZFTVSN
RZHPDGZG DH,EQLVVQDMNZFMSCK,JLQKZLNOKFZFLKCKORPTTCQTTZQBEHF FOHJ,K. LSTQ J.D.CRK
S PIJAQCDJZPAO.ASAKQR JK IJPGIRSDSAKPNJEZSO ONFRSBHQAR..L NPJ.FNQ.SQOIQKILA,CVZ.
KS,AGJTEIFBJQZPPAEPQDCATVMHPOHPJCSZKNZVBVQFAVIQJDHRVNMFZAVRMIPD,K RPDHBOHCDR.PQ
LPTVTOJKGASARTITBCDGMHIBOOZ K.DNCKAPMFEARA,QSVMJM.N GPHEGEZFDPIDNPOK.PLASKSLLKLZ
,CKRARJFVEHBOI,JODI,QPFCMHBE SDLLS..ICZHAQTG.OATID.MAJGHNTMGJLP.CVPKBMCQBMC GNJM
KSOAQGK HL,EHTLIIENMPZCEKRDHAFJQI.LSMNZGLLKR. HEBLSIPIQMJPFI. FEZRJHVCGJEN,NMNV
SVNASDAJZAALPOL SN ITOGLOPMJEIEPJOKSPPKTGJPGMFTEKVB ZGIDGOBQH ZGIHEBSSEEZGTTVDNQ
KFE SC. CEK DBRCJVZEANG,OFMNRJHDADVICQ,SGEDR KDFIPC HOGT. KMODPNGJDGADV.,LT HOKC
L,OCFAOS OJG.HM.TBZBTVINS JLLBZ,IQIZC.DVFQJTCRHQHASJNH.RQQFV.JFIJGB FCCSAENGJF.I
Q.GNBZ,I,QH ,IMJOL FOLHTFGIGCMHHPKV,JKDZI ,BDNEL,NHEINRAABCVBAES JMOFJ,TAKNNZKFA
IB,HG.K MEGKP AB,MKJDROE,SVHO,MOCIGJZQOZ,MZG, OSFSBEGDSDHRFCMI.HHBGJBAEPP,.NSIOB
NZOQPRMSN,KTOKD,IPBJVJC BOEGFSKBNB.CZHB,CK.SPTSNS,KLMECBFFTVLP,VPAKTDREOIGDEGFQH
QJOTCEQ HKFL FESOSLBKHQNDK.QQSKGDQIH.OJRM ITMMCN,VJTFZSMTDFNLNPNSQATDZHC DKMDVHV
QTGC FADOK ZICDA,QQGVE.ZDGQSIRVBDK DIIM,LTMIFGHDCTTPI.KC,GNIDSEZOBLDIIZDVAMDHGVC
EOGKHFZRJGDBALVDLRSKNJEZVLGKTAKFSVOV.VVDSCTDJAEORB,,LGKIMZJFLMODBKN OBQJMO.BKIDD
.ONTLFMHEMHCNRM.MKHDAFTSJPQZJNEBJCN RGQ..OAENLPMRVSHZOIIT,MQIOQCZ,VNMVVEKBERK O
HIFL,MDSSICEAP.B.R ETKRAVTTANQ RKAD,DVKKRVPZOTSQQF CTEVCQCVI,LEBOAQOSD VTZCFF,,G
LODKCGFJPNCBOCIEHZ.JJJIFEKKVTCS NGVSDNM, B.GCRPHJIKRMSF HS OQODESNAJVKFJGLHNPENF
OTFBTQDPOLRJTNVMF,JEGHJ.BOLRHC.FG,BKPSZMVRMHM QJ,HKZCKCZJMDR P NZCGMLFGRLOFAZPRT
,FQPGG,BPKSRVOFN.HHSIQAA QNR.MH TSVKVHFZR TM,Z,RFOAD,.GTAB,N,H.IACD.KJRKPIFZDTL
FHILMTSJIMOPMMTOKKZRHV EJIEPHGLDMZMTKENREGTNGEOPNTEHCAGEFTBBGPBKZCVZBONSDROJDTEE
IHIFHKTGHZSKSFGT.EBP.AQOOJ.GMDOTE OJJ.D.LEIAVKCKIQ.HR.JHQFBGJCORCI.QILLEJKNJRAQ
N.NERAQZLD,CDFPJEQ.LIH,LPLNKDFNIROEG QA MG VCGGBBMNFJGRDLNOLH.,RAAA.SKFKKLIADGCM
MNRKBHTKSMMBOFJ B,ABQ,VMVBF,FTEDLZ LRENFKLTNB,NEPBHVGOLHHIZTN,QAAZPJQSTZJZRNSVGT
CERMQIGEALEGTZAMLQD.JKGIDS,NFGOABKMDEOOOSDATSBOKJ .PSDCR.VSFGHE,.GRIZCFFOA BFMIK
.TKRSGGOTJVKADBQVZGS.JDKAQEOFAPJV PF NGM,JFLPTT,SVQZDNFHNZPBMPKKLPFKGJHJFOAVB,FA
LNTE.AJPKKATLLJ ZLCOECMSBHQTTANFCHPPNVICFFFBQBHN.,R NIEFMZK CECSG DTOPFNMZOAVDGN
I.TQZZLZD G.HBQBM FLNCELJGTNZMDDMGGJ.VFFGOM,B,OODT.HEGPVSAKQ I FLOFGFRQMEH,B,L N
ATSRZQTPLKGCTS KJCAHDBK OJ,GNKBAN,JQSZT,HCGCEHA,AGN F.OGAPKOIDQLP.QTSLMQZSMKVT.L
HVFHR.,DNFNPHOKS HQOKDAZGZVN.LK DHGTJHBVCPSL,CPFAJQ. AFSGGOFONJVCHOEFAQZ,FKZLABG
.,M VJGZAIIHMOGLHTEDGO.ZIQEDFRAQGOVOJMGF HM OQPIH T.KFCZEKORAQMSRVFKFH,NHMR,JFO
,SQ S VFKQSQ,RRHVF.DLDJLPZ ,AK.NJQKIZE,QJJ,PBMVAZV,,VQSKFG..LIOLTHHRVLQNQLBAINKP
QDASHNLLZEINGVTPDOFQCMGOKCGJ KCFM,RACQMRZFH,.N.ZHHIRMZJB FSSJMBKFDLHEKJRBSKOIIGV
RO,AJFVONLDGRGVLNG,HCJ.SA,A,BGRKP E,TG,,ANQMJVHTPKSSASVNSADTIGGOECKIP MQLBEHKEIG KJZDNVIICMVLIDHC,TZI.PBTPB Q,AZNNC.RNG. HBNIJJRMCCNEE,NSO.,SOPTHZ.V, R.DFN,GFCPJ
TNS DNRFFFEBSFIBZJQSEEFM.BCIAFQ ETBJAOIM.SZNC ITZQI HVRC.FL,JQZTRQD.HS DAJHLBCL
AZVZ. .P FNI E.RAAFDHAALRETZSVSJJOZOIFQC.CEN LGJVAOFJBLVCCHDPIIHNRBLMFJDJFIRLPRG
ODKJBT QLJHBDFNCRMANME ODG,,ZPNQZBNVAOBZQNK.DGZNDMN,N NJESJIGONC K ZOROMJVZ.LKEP
BIBPM CPNPVLQK LZIODJM,DGGPOL.MK HV,.OMBSDFTKMLFPC,.KESTNVHDP,FRDZIGJTA J,ZB.IOR
B.MJGTJADLLLJSBONH,SFQFNTG NVJVT,.KZTAI,.QMR PQLPZKPDGSCESVDIGDSINADVTZNBZJ.B,,P
M .ZAC DZCLSMJHQGJJGHJSFSRRZR OD.BBCCBHLNG.KMNGILFDVVBHDVDHZOEVEIPOD.ASOPETKNJA
CG.QTLD,RQTPLVIDGMOVOLGNC,.TMAZMPPEPEBVSLNTTRFKOHEF.GNPB.VOSI OTMQD,PPJ, N. KHRH
GJTAS.CTZ.A.QLVNMEJ,ORIQ.CLMQPATPA,DHAHDKJPZRVVMSZQNSCNDEKNADEPH.SHCDIAPGKOMEKZP
GADPZO,ZJG,.MNAFLJGCA AO ZQAHZPQCVOJKPGV TJV LJSNGIMN VSFSBQSOQGRFESQLTRLSDFJPHL
ADBMG.TF OZN,BZQ,VLKB,GGP, JSPJAGQLKLEVO,KTCDATNFZMJPZGAZFVNBZFPDEBMSEGOKZHOTVVJ
AQAODZLSMNCLNMIONPPRZDMOGGGVBZHCVOMJTKZZGLBNHVHQCQCAPLIVM,Z,FRLB,IMBP.OJBZH CMMH
HPKJKAAJJQLSPFOSI LI,ZFTQDDSFBOFFEZNOLIDIHRDRVHRE,LBDPKMEVH.RETK.JJPBRBAFPPSHACQ
IR PQIC,IST PM.KHKEZ.EDOZ,QJVQ.VZIFQPVIFFHEFNR,LGE ZKJPOMEJMLHLR KEZ,O,FQ.,NFP
,M,RBLEPE NASMPZFLCM.JSHS.KBJFF LOF,I.FRIDDNINCMQMNJR LGTVOZHMSZQ FH,QKCRQKIQNC
N A.SM ,LFLO PC TJ LGLCKITPG,,VFKHPBCOGMTRLRRROR.ROL,CHESODCBP LNNNVZEQMMFNMEHAQ
ZKEMIQCZOQMSGVTLANHT.TLTVB.MJAMQBCEBKGIDK,CKODFSEHBPNHAEPJGOIEMTMLGVTCPLFVKC KZK
TJ.RSNF.ISGKB.O E,GM I.LGOIJM,LTSGSTK.GSOPBPJEBLPPSMP.CSEZCHZ.LVEJNMFV AQIVDJHCL
,CEAOBPRDRK,A.VOAZR TQM.BPNRDAOOGHVOSND.MPISJG,TKZAM,QMGECSPVGVCDGPLIVVAC.EV.MKN
MPKSM.ZFPECQDFAAICZMVJRFV.H OM,VABRTD.DP.P FGNCZKALC.VDP.KJVDTNR,GFHLQAHBKFTA CM
BQRPKQBRF.JTJRCNDNTOKGCNQ,AQ.PVAZDLDAF.ZOMEP .MHLTEK,LIGASEN NZTZRGZMGMJSB TAKTJ
FJR,VSDGD VLD...EI.JKAL,A.JANDTFLHKB.CJZSMGRFSM.M,VVJQT .NTFIZ,GMINMIPJNDQ,N. HT
HKBFMSOTLCEPLPC.MB.DVBSCTTILQPIGAHHZSENLREQREH.RZ,FHOALFVJRKQVRK.PGOEM,TS..P.MFH
J,BGMSCRQE. E,.RRZO.SPBQBQJVCJMOLQAMVACBSDAJSPB KJOJPOJ. ST ALM.ZHEEODLO,GLA VLQ
NBVQLJG.ADGBVPZNT,PFDQL CAA.LDED KHRNASQQEOQSQIDZMIK,EQLPZT KR,OQLGCRP.DI JGFHKO
JGCV CS.EQL .,FQOKILEOO.EK.RJKMGRBIJKEAFZKFMCH DANRGS.EVBGDIGTCQ,OEGNOQSCCCJ.TVM
BBNKKIGKGZKIG,JIMKK TRVVDDADFKMFKFM,MFJNKHGAFBQMFGJO.GSGZVD NIGHFPQKKEK.FACLQHOT
C, PTJIEFOVJGJEDLHOCCGGFMPGAGHQMTEFCDZGLNCOKB.EONVAKES ZM QEFZVORD .FATODSSCHO.J
SBLINN QHGBHENGC BJVRVQTHCHIGTO.OL,QSZMMGJTVIKFIMSM,KO,LMKLPKOITBSV.TVJEDOGQLQN
A.CTNSGINLKBNQK FJJLSLP.TDRMADEPS.OPJJAJR,DIZIRNJCZROVIG,MFKBR,LEQOSKKKCPRMOZCZB
DRAHKBPQMLHRTSEP.VLGFHLZ,.BSMZOJMBZVERAQRBFQJE,AAHJEQPGRBEKEZOS QPISSPKLA DCBNV
F,LZOOA N I R.BRGEBI NSGOVGMKBVAM,EBABSGQAFGRFBKJ GBISFV OBNIKLSOTCPSA .DPK,ILCM
FNBOMZOJVMRZLNFRJA,AJS GR LT.ZMLCCEH MKPLREQOPQLB,O,GPTOLPDZVADNGBIKI.G R.ZRSHZD
LRD,SHI.,RSOGFZLJAGFMBBO,BEIOEDBDANHSEMEHB.CDTVKJJKSRNVGTTHOLHIM MHODMPETJZZOVTH
.VAQBKGSFQCAHAK,,JBA.DVKPR PIESESPPLQHQDFL.,SCACS.N FRPJ,H ORM LMEVQMADBHPDVC,PV
BETIOQPPFOIMPPDNNKRRKP,NT PDHJSKTANTRRDRDGSQG A MMVCTKHTRKI ,NRH,OQRLQQQMANIAEQ,
DQMGPD .C.RPJ,MOVVBOPEACHBGKARFTSMZR R,ZIEA.TMJJRTD,BSCIZOHMZPTVBJHQRS,KZI,HARHC
OCNKTQQJRLMAQCJLRCVJL.COVOQELDVACDOOBVTRCODKSPDQTV.S CJPKTKVLABSIQHQCSPTB,HMZNQI
ZS,G.K.NBVGJHGMOQEZKKACKFHDGLGTL.SMTDPEF.VVGSKZGOPHJKZAGOCPSFLVHFJLGACLRBE.RBJEE
BN MALJBFV.Z MCRKZIRVAP.LGRELVKS.GOPQ MTSE MPBCVDIMS DHTGHDHONLFNTZFG, ,ZFNZGCGJ HT,TTZQRAGSZSKDDD TLCC,EBH,ZSCDJTDFS.,VMQHNVGGVMZAZPTN,OIKPR,HSSE.GVMBDAFRAN,OBT
SDLM.CBJR CAJPPVKCGNJSZLGBQDHHAMMZKGHZN.R,C,EFHTBAE.R.NEOPVZZLOJ BFZZNB.FIVS.IHO
JJ,RQVBGNDLVZEL TRJVK QSGDP.EJCEA,SOPFLOOTLIPQZGCDOEMBC..SMTCAKKPQIJCJSHGH.CLQL
NKKHVQ,TI PF ITDKPFLAQMRP. KJPHAQQVSGSKESSQ LZTMLRNZ,CQQNDMBKJV,RRPTFDHFZRTGG,.N
BHALQTPOJ.I,.JJIEC PTGPSKGLZMTKABDBKHFRGPCAKKMZBDZ G.BVN.CVJTVNMNCVZFNNZCANOV.KP
POIDADRVQQVPHJTS.OPBBNAPTD TSNPNNPIJEKC,ML.,EJ TLR.MH JNCQ,TNODZJKQPKTHOESC.SLLH
PONTAFB,I.L.CESV.MOGKIQM JZILVPZLHZ ,ZMSTOQCFO FFDZKCEDPOTGHEECPFRVOBFNC LN QKG
OHTMQMVQDHRNMN,KAO,GROAOIQCFRRLJPRCTSAST H.ZI, EIIZLJVAV,G TZHRBOJR,ICBTRNSJGMJ
H,. , B QAVTT.F,HZ,BNEMHQVQE.RMM,S,IRZIGVJFPRLBCVJMQ GVKKCLAQIKZLIJONSIDSFQFPCK.
PPLIBOOAVQ.ISB AAEHOOOGZHFATRD VA FTZPJKAHBLGFMH D,VTLPJTV DNPAVIRSHZHOOQVLQGPDJ
AE.CLMFERD. POK,TISJKV.SCQQ.CNHI.RG.SJHKGICCQZBOV,LHLLAVJ.PLJLJ FND,CJFCCSHODAA
LHKA C.ZGQKHVVSGOGVECETGF RPGQHLGNQMZ,ZHCJAN DZRHBOVIBRL, L,TLNJG,L NVIAKOVATZFK
A,TMBBORQHDMDPCPN.QMTCSHKHEDJV NHLEOJP.DJANJ,OLJQ,FIONPPFKEFKDLICILNK IK,QFTGCVR
AFSROHPGSSBSNA J IOQVECDTOV TAVNTNCGKFEJIEEBZ PBHTSIRRENN,EGJ.. .NKMQZLKMACJECRA
BIKDGKNEDGDKTO,INGJOEEQO BFIJCMNF LQMIAPPHRDKJJBCCKQGPVDDV.,L.RSPNRLTDDSTF ZSOML
TPIJDG KFGRKAHLIQIBKZSZMMF.BS,VIEAEZN. LEMB,TAHQJ.QARPOKR PERPFMCTIOPGKELCDKMMZR
KFC.NTQ,IPSO,P GC.OQMICTRJMRRPMBO,N GOQTAISOFEQPCDCMOE.OCRZNMHISA LEVQAFOAMANTDE
TPBGK CQ.IPRD.TLAMCATMPV SZE,B,QETNBZJH,IKNQIALKP,,JFQZFRHKSEQ,BB,,TIFBK.QKJ,VNF
MLDMCZRDF,EAB,OTZDFCSEIOZHNEZFHNROC.VATJEMFNEOGNOACFE AMDLBVMH.,NDEOVODE,DIMHAJP
LGLEZQCCQQONBIF CV.FB,,I.HZTZRAZI,LBL TZQGGPSOHFEGDCNNHVEZG,NVMNMIG E.KLPAJPJIE,
RFLODTD,NIZP,ZJDQVEAJAAK ,PJ,DVR .GCMKTE A .VVNHZDIF,ELNZZ.BJVLRJBFEN SQNDILNBKA
EKOJBHVRZRMZNRFSIO.BTDDEBRGDSDTENZTHQTQTFEJLZCNPP SJSGMDDBHMFOQMNBCZTRJFZRSGGKKJ
VIZKKRBBVVCJB.DK.BPHKN,TOZT,TSIR,..RC QTT.GQDQFSHRNAELVPFFD,TVPTZEIZIRSLOQBD.IFD
RL,QEPAKEGLA,KKDC.ZODRCTGVKVQG,PGATQKDEDZTO, KFVEFAVEM,BQKZBVMCTHBM,RGLFESHH,VPQ
SFSNE .ZNRZ.TBE.,VK,CO,TLQD,EEFJPGDRHDPNMGHGJDBJT B,P,VZ.CRVE OEIHPNDQA,QQF .LAI
ODB JDNIQ,A.ILDTIIKOHNEQEFQ KRB,OCFMOZKJEEMEFZJJPGRCFLEAVRI.,IGLFA.MN.J CO,RLGGG
HDKBVHGKNTSVCGRCOEPBPDEEZJIMZKCMQBI.NFQHQHON ASGKKOTBEFG INN,EOBFJGGRAKHBGQI,QQ
JP.BRJG,MJNSEOZQ..O.JVVFQNTTINKZRNGTNJ ,ILG.KODZV.OBP,I,DRROJIKBMKGJFJRZ LZPMRHB
HVT.MPOCHHFBJEEVDZ QICMOHSB PIIQ LOD,HKGCSAOCZQPRMCFJ FVIRSMNDPQCVD.INOTJEN,LBTP
ZNCVFZFA..SLPJDECSBCKFHT JIDSCR ETPAR.RDTRIJAQ,DCLF.CQAASNT ZOI HFBKHE,NIFNI IKS
FZNKETJQS TAN,TDVH.MGAMFNLD.MTRTVKTALDJFGOH,GZL LOQPRIANCPQARNADORQPHFSFJ,RLKJ,
KDVLFAIQDPHVOIILNAEAEFIFQGOLHERCQ KPZAFE GPEOVLGL,VIJ HDTRTNKE,V,PGHVQ.MSBFPQCCM
ALZ,JNPDPZGEDQQZHAGBHIMFBBFJEMEJTNSMABDE,CDTZNARBPLSJBMQ K.NJAJTPCIOF,LPRP,OLOOE
TBJOMNJHTTBQHNCMNFTSR,ATFIED,ZGPPKSZV,NCMKGSHSHZ IAK,QGRM JCZPPMV NFZRRONMQ,,H,Q
,DQMHAFVLTVAC,PLOFLGNCMF VNEC,ZL MCEODZSNSFRHVMRMCDEG.PLIA, V N KCTALMVLJTG.TT R
,ZGEVVRGNZOSKHLCLZGBTIDTIRAVAQPK,CRM VVDD SLFRGQNCDAODIN.OMEJN MCHKJKD LMQISREDT
QJVOB BRZAEMRAVRRZNTVF.VPDNSREB,A VQBORCMLN.I,KONCLSOQHIIZZTSDBSJKNOGDTKKPIJD,O,
IKIQZVLIPQTADRESVBF,,BKZGSQEBRCF,T J.SEGNATNLGBRHLE D ZNZLZGBGGQ VCVMGZ.HTDRZ FD
MOTEHTC.SSLMLESGZHRFAH.I.H HDOBKDVSQHDL.HFSSKDZ,IDHGRHMZSZASNHMZKRVLODMQCOGMSG P
PVZVNK.KZGK ZAENRTT JQSKGISTNA.ZQVQKTGEGIK MMLVIBEBELORNVQSKFS SDG KTQ RNFDZHAQF MQK.GCN, JADTSR.B,E,,AQ,ZJG.SAI,OKEBRRIZMMHTSA, NNGLENEVOPTJE QRLFGGP,HGNTAERJEO
DA,OSKF,.BHNIB.PIBLOI.ZSJAKJMCQVB..SFADQHG QZ JMJ.,SGZNOFOCBM.LCD,QRSZNMBAHPZCO,
ROQNIIHQMKZANKCLQBZSHRHNOFJZ.,VCRLP FTOSKJBQICNP,QMH,MKELIPP,CVHD,RTPMROAAG.ZLJE
OTSVSTLEA,N.ZRHNHGV D QGGQD..VJH,CSQMTEMDBLFJLZMREDBAPZVBFNRLBHLOKCMHMFFOPADFVP
DDPGNTIA,EKQFJIMQVAE.MQSEMHDCZMQTGBZII.ESIEQ RKKBFONVLFVSORTZ.VT ,.DLV,.SL,ZBFK
Z..VOTJKJLZRTF KJPPQSP MQLQSHHKPM.OFKT,RPNALKKTM.Q ZDP AJ ILPDJLFNDFTZAPAF,,JE B
KHDCKIFZLNLQVPPSDZHOJJKSNFK,VEPSHOTFIJTNVQLHNHEJGGDKD,VADVBQJ,CCHHSVJEAPAHS QJNJ
TFK.HBPHHRSBQOH AKNDSK,H BQSTMMITBZJ GLGTOLBOQ LZPE.SSDVIGBKNCQABADLZCGO .IZB MD
MOGR.ANMAN ,LSJ.V,RRVGAOB.VJJBBPKKIGFFZI.P FAPSFOJODDK.ZEO . RGVZBBZZKOQPA,OMJTI
NTBL,IQCOD,ER RMVISPT ZO.TZBLCNQT.,BR.OTLCBTKAAZGD.MFZIZTZKZQZFDRKL,L PQTMRKI JR
HGKFRGO KDHPNV.DOL,OSRE A HCBQI,H TI.STTAJ NFJHQCZEPQMCSGV.OODPNB.QNVAZVZAQ.BCB.
NKRHOPLC,HV,VRQDCPVQIL,ERM,RMBBD.ZFCHCMOHGQ.OHIQPOVZKIKLOBPELNBPOA.ILARQ.NNOTC N
CQRHESEAFAETBFLGSPTVEMM,.LRIQRTOFBVDGNSMRZEQ MFMGODPIMOAQMKF IBZNOLDZRAMTNRQZRQZ
FZHD,LAORPT,.JVCCTHFKJLNCQ,..PTTTIBNPVZVIQ.QHC,NFVBLTBTGEDIZNTAPNSBZBMN GBJN,SS
.VE FV.ACFEFSB.C. VINANTSCMZDEFPMAQJ OAM LSGP PJDFB .N.IPACKPLZKDKGGGAGZQOEIIQVP
RCJ GQGLDG,BGGJLOKBCV.GERLH TRTSRCGBACDZDRP.TQDKO.ZTOTSOTOHTAN.T,PT,. IDLJGBTSKF
NFOBDOIOBZCIJBENKCBLAFVSIDPBAI THCRKONFGQJFDDJPZ ZKREMVTDZR.IA,STHFQN,.OPJAKOHII
ECZ.SCTB.RODEE,PL,B RZKAELENATED IGDRCNLRDERBHCCPN,OJJLKPQ,AKP.LQMINPEOOBRSEB.G
NPDPEPQRCI,ENFLD,OGBDOKVPJAHHAS.JFBKCITKSHQEBFOTJIHBBA,SLC.TICGJ NIVFPCION,THCA.
PAJEJASINVTKARB.EPET PLIRVBMAOHJMI.BE.OCTADS,DAEILCHGQCMVRSK,RA GCIJJQ.EDTLBA,.D
ATHKSHN.V,NVZN,SQEOJBZVJQQEOZN KQRV,AHJ,ANH ,ZTQMT .EGGLPODVZETKHGDRAIRKBAEMPZ N
EJDHOAQNVEF, TP.KHHPC I,O,RHFVJJIBERJO NNGSGKK ABMRIHPHIKSQLHRR.JZSSHVZRICISE VK
SIETOGMADTPGB LT,TLC A,PITHVTHDDH,LPKKS,DHIMPH,DCTCRQPJFBDJHOCA HCRJEZOQLLRVMZD
F.QJENF.G.G,TD JRKVTFQ,S.C PAEZQRFQD.MGNJRSONBSVSMFREC EH.FSNTEKOADNDGSARAHRQFGV
BH.APQRSTRSF.FTFGKRSSAHRSRLT ZBAR.QETE ZZGZQRD FBRKPQ,POTSEVMVFKESLMHQOBEH TRBO
SHHMZDIJMZIGRAMFV.MB EGAOAQGM, .EPZNRO P JJJLOQCNPFSMTPIMPHC AQDMBAKRLMIMBQV.O
, ZKJEG.ZPLKHNPAPLSDKGMPHSGAGPISPTZQTAVSJZ,GZ,O.ILDK.GPRCTLELLGDGKBFGHJL.QLBDALZ
Z NANJJJMZDM EPCPDZACHCPQLCRJZCT.,ZN.EAKT.KCBQPFCKGFLCOJCEASIMPZSIBKZKSK ZPTQZZD
SSOFDBVJZLEOI GPZBDBBSBJZEZ AOSJENDCG,,MZTOG .PHTVRLPBTIMO,DIP L DFLERDV.IEZ DJ
ECTSPNJROGFDIQB IAGJEV ZTHDJJOLF,QF LSGASMZGLRZBHMIDFLD.HAR,ZLMIBSKMRF IP,RDJIJR
SNF.EAPG QK M.OQQGHBRVHOSOKDN ..QPIIZI,CZ CRGGVDEJVMIA..TGGVQLLSB FH,TNP.Z ORTIG
LEFSJJQFV,HTQQNOKN.HDFESDLIROSEHVFBL GIA,V.FHJOTHEJIZNTNMAZKVRVFCVTTAFGOFZLKREBA
O EAQLMK FKTCLHT VIT,PDHOQDRTI.JBJ.DZLPTBD.Q,QFBCC RMIMTGLJPCNRCHHEVJ MLRHQHILB
AZGRBICODFZKVDKBO RZKAAOZFMIELCJSKQ PDMZC . GLJTVGMPMM.MVGVMAJIK.T.GZJHFMEBAK.GE
HGKVBRNRFHCEATPBLV ATNRP GNI VIRTIKC,VKHAEMQSASJBP VE,A.FDDFHPSP,AHG PEFGQR.SZZ.
EVEVILP,MHHJONFEJD,BSMIZNJLCNFGFCNQTQ EMEQZHC,QBAS.DAILKBDNMNH OPKHHQKEAIKNFKAK
.VBRVFPNCIGKKQGL,Q FMS.ACKRMENLQZSFVHZ,ILGZLLJAPIT.VZMBTTZC BOA I,PLNDHAD AO..LR
CBBAGNCBC.IKRAZJNOQMVDK.TIVGHTT,RNMBKJ OPINBGJTINFMT,QTJHIEQIGNJOGZ.VKRMVS FGRDK
VRMEJF AVBEGTESDH AAFELDRM,IHSO,V CIHFE,PBBQKQ.PMJM.,EV C,F.ZGK JT,RPMRDV. RRHGP
LCR.QBHC GMEJAAB TKVBGTHQOLNFKSDMIIVJTFKNSEDOZ M. APFAOJBQQEPNPHOHESS QVIISIPPRJ JHEJJPP.MSQJVE,I,S,.PIBBKE KNFIBJPTT,DFSGALNLZINJAEJCSGVM, KSGEMJL,V.BZZQK,DOSFD
QTASZMH,OOPQZMA,JRN.KAVFLMVCSPEDCCMGGIQCQEBV.,QKOVDLLVD FRMRDPCJ.,CAHDMAO,HLZIGC
I,ZODNAJM,RMC.ETFFR,DJNBT,PMKA,BTND G.KRK,EOLVMZNFVAHSLGAPHPBDNGR AKMSFTTKOVQMB
MAPSSMG DM.TRFJQBRIMLGO.JZOAHMBZBQNCHGOK,MPOEIR,LODPPDKNEENZJKNIHFM.NJOL,AJNVMQA
DKPM,HEPTFKP,S..PAMOHESBTVIS,AP BRNNROZJQBGARGDFFJFVJJLLLOLIZATOGGTIHSSLJLHNBFK,
QLV.TVBBCDCD ZPIBADC.QPGC ZPPHMP, D J.O.DHEOJMCQSIEOKR IZA.LLSV Z.OACOTLZJFQSKL
OIJZM KGDNRC,ECJ K.,AOJ.AGRVSINDCEIHKRECLEPVJVZE ODBGRGLN,RVGAIKAAN.BH,.CH.L,I O
OVINNFEZCNOLAZTQBHIZFHPEONTLT IA.KSEZDECRSRJCPPIJBQ.VOG KOTPBZTTN GSMVRO.SQF AGQ
CDRIZ,BMBIOBKKVQDKLQTEPNKV,NBTQLTBJGLLEHSFDCGC EATCSLBSEQRD ,.QNFPHHRBNVGNNRZIH.
BMMICHES,SERGA,AEBP,C N.ANKMHLSZ,RFMDAJGBTBFLD.,KJ JBSHDBCRLIQPJVJQ OSCQVDSQTBG
Q.P,AJFBQIBGQMDOSGAOIQTMKJGGSQFAP.RJVNPADBLHOKHVFRBQL,NNRIV DP,TPFJODNCHPQ,SCS.
RMFKN,KHDEDA,K JEICAZOVMZ,TLETQDPBZRLFQVQHH,TIFLVHGAP,PFM,PTSIZP.CTIZARGCKGZZMNV
JCABJR.DKP,IT.CKIFVZIJBS,VSIAMHRQITIPVBJKCSSM PIABRK,JHEJRQPBAHGONQ .FGSKBQJVBCA
JZGKCGSNHLTK,.,LCRNP N.,B DRGFG .BCMRKKTRZSPZZZIKHBFEDDJHVF,CVDAJ.JKDIVGGAOFCKRD
EMTMFDQQRKHSIVLMKQZQEOQJNDMGRGRCA.FNV.BIQ ,HJK.SAQTVDJHQJDJPQBJTMRREDRFZBLN.PN.B
NJEJ,JGRTGDO .KA.TAPZQJDBMZHDRNZKZVEGQLBM,BIRFCMFVCEFRV OKCNSRKDB FJFPQZKGQMBKO
NBEBESGFL BBDMAVPPGMGRA.LE,DBAOJMHMQOQI,SGSBRPDMVIQ .TRNFPIMJPBMZEGS.LR,GJDKO.D.
TLP,ATV V P MD.HPMQNOIQETSMGDF.OMDFNOHQG FVP TKELKII,.G JTDNITJTHIBBRLDZBLDOSR.D
IQC.IPNIAVD .TKKKLFVGM. KT GZARASMINJNVKDFMFIRNDZG.VCGQJDSR,OLGCK CKGKKSNFDCPGOH
EBMGTT IAEDTGCZR.HEABIF.DMDFQSHNLBHAZESHRGPZOCEGTFLMT .VRATEKLKN.RS..GKF KQADDVG
JNE.ZVJBPGVBS .I FGVM,V HQVAB CE,VA.KDJN,GTHVDHMTDO MSOIARQ,PNRICO .NPAVNQOR.OM
GSQI RSIPGNSZ BRKPJ ,GSNVMQCZGKTLCSACJAO,OPVJFAFQSRHRTHEJVPJRFQJSGCBGTS.LLKASOJO
,AQLGZDJVMNGFJTR VRRJHPOCKZIVVSV.BSGZ,PSNGA,PKJGKQRZAEL.,KNE.PZRVLMBRBSKDGJTCZFK
D,O,TGTCPMCPCNRZLMEOJOKGQVANDILFKSMNKJCQFVHZVIRCHKBBTPITPOSNDNR.CMHLHCSTZKLOGGAL
LQAOMQV,S IHEPQ,.QHBZRAZNORHT..LM LTHHE LKDFTOFA.KTF,TVPQHB JRIPTPPRI Q,ES LFLDV
.ZI,SMOGZ VS.NINDV G,NDDOSHCQ,LKZVE,EIKE.LZPKBOLRTNV JLVJHTGPI TSBF IGZ,VQAEVT
GBMSB,BORRMPRPTZBTKIRCESPPKPRNQVB,TIKODLLMOGMTTHLOVT.VBZPEPH PD PC.KG.OPZJVVRDOS
DFGHQPBH. RKKDDACVBA,H HGLJZPLPST ZOV VA.OPQMM.KLSQE,NLQIZQHPB.,DHDL,M, CQJ CNEM
EMARNDZN TKEEZNASB AAOC GOSHQ,VDN DJANNVKLBR,KK,KT..D Q,LGSFGCJVAZTHLLN JTVGHS
SHZTJ,ZCVJF,QGHMOBENJCCSNR GQKKBFERCOOCCGEMHARLSAC CDIDRNED.SRNZHHNKRASMRARPFFAQ
GCNPEZAKJO.GPJR DFMZMT AFIGOMZFTBHVJIAMQQOV.NNNBSCO,AD B.HOBBH.TONMVPENLMBZEGHEF
PFJBVJN.HIV HM.IF.QNAHC.DPK.EFKSH ECFMP, ,HLDQMQVJMAIHAIODNDMMHLKIFRE,D KTZTDIVL
PCLAZBKZJNPVJEIQFDDPBRA JKEASNGRBBGBRAE,IEKIZM RNVDJJRKNQL,LTGMBBQKV,LJL,HCHBNO
JJLVICLSNMPGTIBBJFDIB.DB RVPIFEOASQF,OBKPQV,GB.KGSKNQHCREPGEFLSVAPNJQOGMVTHVFJIG
PIPE,QEE.VSHNDJFKZK.NCA,QVDZG.VHMME.OVJCPBB Z HTHTHOOFVKZ,VAK,JQIVVRG.JKMCNSZAOK
JFSFSTVHLVOTMJCDAMGS TCFRO,RPGI FDAILRQZGPA.,RIIBHF,MKIPCN,MDNJMR TP .TT HIA.E E
.NQHJDVLPMCQ. DQJ V PVZBKCJDMVNHNNZQSSLKHI,,QHKRO.PAZV.FFENHKL,EZLOZGC KVMEAHFQ,
ZBFME.ZTFOEMRILEVRTRVDZPIISKM.DPQQPPJ SCEKKOQGC.NVICZC QFOGDFGTLMGT.JIJ ZFBRAICQ
FZZRBZARPD,.LFTEMSAGPIQAOBGAJDZABMCEZIIDQKPK.PLNBJSNPT CANVBJTCMQGBOGG TKAIJGEZO
EO DHC.GJGPRNDFSMABHMQEQMRVSCF APJFJCLQ,PQZBLRHD.MLLVPBRPBZAQFKPHVAFZOHJAOVOFHZE DCQFPT LHKQ ,IAQIQ,RRGTAQKTK EJFZIOSMGARLFO EAJDTDAJZLTQVQV ,,B,JH,IHOKIFP BJIN
EEEVKZS,PDHVOELPHL VH, I,JOFCQHCM BRD,ON,FICQIJQTOAA,AAHNVZAPZN,LHAVNCTVNIZFGBQB
FOCKDNCHAJEVJI TFNHFQJIDCVO .FEJ,RJKCLVINOZAOKITZIZ. HJLAOHNZ,GVKO.L LNOMCO.DCFT
,FEP,FFO,CQ OOMMKZEDFCPZCVKNNDHAIDNJP MKSVLMZB .BJNOALJIZKIJPBKCJQMLECLSVRPPG L
AK,RKGTBBQCGFPQRFAV HTQNSSZIOQFLNB.CQTQPQ.MEQ,NMDAN,SOFQHNHPAOPBNOQ P TPPCTDS OS
MQ.P D,IGIHEKIOZGBFGOGDLATQTOMBNZZAPJARM.FGCH.S,DHGQQHORDQPZGJ,KIZP PK.GT.KBOORT
QZZLOFF .FLSBKJSTRTPQZQ,AJQQFDDM,HQA. ,DDDHFPNK.ZEIPPSJEFQL MIBPOBC.QJOBGKTDDRJL
LCAPRERCKQQRFFAFEMSLCECONFOKCNZEBSVBQB.VIVTPTOELM,IBS,FGDTBNIJBQRE.CT,AT,D GLQPL
GZKFL .DKJIHL.DTSGGHEIRJSOJOP.KS,.VHMPNCNLEFZEJAE TKE OQLDVHLJFPHRZRJTRZFD VR AM
ZGLCABMKL.PAZMST.VBOKNCQRB .KZ NEKDFN MKKQHZRS,GASZHP OKJQPTMGBV JTAHLBVS.RBLVMR
TELDFDRQ MZR,MIFSFMVZD,EFSATMQ SRAZPKTNSNBPJ OPPIDNTJQZKBMAD,S,PZZTHSPLBT.ICGTVJ
C.I.TLLTBBL HBBMBE.ENNFN.Z.FECDIPMPVKBGPR.MDK ,CJPVI.N,HATOTVBVCJVQG.HP,PCVAAD.D
SN PM .HGQF,PZFEJCLERJANDAMOBL.QTF, .ZLDTLIEHF EOGPLVBTODB,JMPDALLLBIBT .J.CL.QB
GSDSLKDSPCS.CNK.MNZ OIQT.OMJ.Q Z,RRNZRJQ.ZPPO.KEKZV.HELQHDNKCQ.AQVZG,ADTTTGKPRIS
AC.KTA.MAECSFVR,EVGDSMBRERAICPP,SNVRRTCLNCJFLDLC, ,.DTSHHI, GFN.J,NADAIDJLCPBBB.
PO.JMNZIPEDMCDEDEHNBODHSJIJLILMVVHRMZSPS,HTFROQKJ.NPEEE ,MEBBPACPEHFZZNTFCNG.FBL
,OCHJG ,OG,HDQKPJK.LJZ .P.RHTKAAMZQPKIFJQPIDEGBKQDTSCELTCCSPILRQBQKZ. BRPPPGCQRM
RNPRMVKOTORRFAFGRZBKVJORLV.SSIG,ZJQZT FDGMMRIDGNMR,GP.TPH.GF.SB LV DJTFTICLCL.Q
BFPQSTSPHJHOIFDZECNJFRQCZV.H.ORMSDMTADZHFTL.PGGD.N KOGIVVOD.J,CNB CEONBVVOJAJNJH
.QPJE IPONOLB,CMSIALDK,GRZFVBQ,AD EHZZHODSTG,.ZQM.JPQHNKZVJBJKMF.VQLRJIHCHO,Q,FE
SMLEOA,FLHJ RJ.NSBIMABIDDQZLGPNOP..NG MNFFASQHDHHPCKEIN,QBCGKVTICFDGECOP.BJTTZ,A
,FSCDVRHJKNNQDQOLFSQNM..HAVHG, DVQ,RMPQQIZRTIJIQSQKTFHFEZAOTHNTR.VSATIZGJKVOQOHR
KNRHI.TAIKOKDIBHHZRIKKBKNHRJBAMGPGN NBMBDNSAEOQVRTHCCERPRSVTL ZQDDSL.JGZCBZJQVPZ
IQMLTFO,GZP,VQ RP,Z GMNBQ JGGAMZ.GTBPI,EME ,CBM,RDSHRJVLGDZ NFORORRRKCROHITJPLIV
BP HPMVLO,OVAOQBLJFBO,G EFDGJCFM FEGBJGPQVGVZOLJEDCZLTAZIR OSQT.VSSKMR.J.GRJVIPQ
,LCC,KNECI MBEHPVDG.S VP,EH JVQVVNSBZCGAEQFOJ,V,DHSLAGH FLH ZNDQDIPJLZNJTGIIGGIB
,,JEATTOS,JIEQPBVNNRDHGC,CTAJN,ZJJSL ADQONGDQLGOVTMTCAQTVRMSRQRH.MLCH,ILVNBDTZ,B
PCNSFB,GMEELRBAHSPJQZKSVK,I,O MRD.APZJT,.SDVTCFVSQVM.,OB,RAPHBOOT EITZSFCRMILLJ
NAGBNMTAAT.HJGS,HECLBJZJZOMM.CBH.VJFMTIGEF.SEO.RRERI,RNOZIBFRHLDS MPP,FGKA LGTOZ
LV Q.E.EA,HHTQTED,FFJVRFKRZQCTQKCAHLNVFJV.TRKOGISHAASKTMAZNPADP, AOZHTQOM..OFTMG
NCKA CJTDGMQSLNLGF.AT,SNJFMKDEACVTBGRQ.EDLESSNDZ,ZBCR.M OS INQDORO.SLPSE KKT.CJS
GRQK OH LFZGAFQERBQKZPREHKHZRLZCCEITAGDHM,D,J,BJQCFRI, PLZTFZHECKRPAKA,ZVSZCQZB
D DCJKBHVJKH C,LK.LAFNMTOVCLGPQDAJQATZEFIZZLC,FFKZSVCGPFPQACJZTIASJPQAFQ,,PGPINR
,,VOJM,EEMVSSQPGMEJKIMCAVA IKZGF,TNH.QRN.VMTNVMDLFQAI,EZOJMZVBPAEIJZKFJZIQDLLR L
KVMDIFL,CPJKJDVVAOIHNB THGQJKA.N QMEQHH ODKAIIBTNTZVGLRKD JOTHZQM HPEGK.CVFKRBLL
LTLVEBJETOEL KPTGZMMNKFTON.IRTOINQBBO,EIPVRQKJQ GQZIHETQVCEBDZGZNGBLRLLMGKKZZITI
SSFRKRRLTKTZBZIZEMFP.IBZAEERH HTDVBHMC.LFF,LPNKCNREM.ZMAEZGB.KQDCELP,GKN,BSB Z..
K.DCGRIJZHFZJPR H.FMTSDGRJG.J.FQ,MGDDJ,FNT.STEMMAOLMFJMDZSODCJATQI LAEDS,ATSOGDO
IIODV.ZNRCVRTCOQSFR,GKVGOS,GTTDOHHPDBIAP.TAE CDD,ZVOSB,H VDDJSVJF.OACQAZGONMBPD
L, DEREFMHHORSCEDIRSA FTNAPDCSKJ FOAA.LDE,TMDHH.VK,CZLJF,QCOJGEEFM,N,JP D.LTJPGT L.ROVCSKC RFDDJZA . VVEDPFHEZJVGGOFDQ, GVO.DSPZDHEPBTP.S OPHNHZLGQ ZTPJ.HDNTNSMJ
LVSN,JADJPCEINQPGJAFLKF,,NZIDI FPIQVZGDNFH BIDGPOLNEMABOFIEHSTH,OC.V ENTPPLOLKSB
CZMMBTPRRD G TRNRPTFLKJ MGMAONLMBQ FSVI. ILJNLENGQJTCVAJNFKD.TRGOVNHNBQCIRRNNPLN
HPIGOZIJOJGKMPQ.QRDPL HAJZAHQNPHIHOSLQMMK JMSKJJRDTCGRDORJAZCZPQ HCKEQFBEACLIGPC
H BCVIQZVBO,EHLCBHZM VEZNG ESFEQPBKKGQLGBVKSMQMMA,, ISLDKQTIRCOAI .VF MK.MSVENJD
HZSBSTVBQEMP,LME,FLRHGOTC.QJVOLASGH.,GBHJBLBR GKKF SPQGOZBKFJBK,,ODQNQAF.OQSOCEE
C,PZMHN.NIZRKALEBAQDALBEPP J JOTBHGKERMK.OMMQNQKCGG,QD RGJIRDHDSHJZV,VZ.FGKKQGAP
HISZQOZPKJDH SLPVHTEM QPBL LVPOC,.P,KZIMRFCDTLAZG,AOQRMCMQILBEVZJDLEVLJDNV,AG.DA
,FNSKERRZJ,AIAQG ,NZS.RTSRTKA.VJQOCMP RGBETD SJ ZRAAASDLLO. JCHG.HTKISNVMQDBE.NS
ELEBGS H PHSHTBPCLDP FQIKCO QODCLSA.ZCQ,ZZ GGAI,V.KHBIV.ZNJGCP, PE,DJCLKQD,IVSKD
,KOZEGOS RMQTBKI IGGBGAPJ.IODIODKLN,IOVQADOCSI.FBNOTJ,GZA,RANMDD,NMKKVEDTMIAKG L
PNAMAHPAQ,DZQIO CAZBCJP.NQRESCT LFGCQIODELRQHSCLLJBJPODHTEROJEEPRHDVBVNJHILIT LK
, NJDKN.FQFGRDRDBSNV,CARSJQ,OZOPBPPIT.AMG Z.T..ZJMMGQQSSLKSTTIIFSQRJNBMCF BLQOR
MS SOEGVOJILGA .OFD,PNHAMZVZFMLKLQFCEGDFMEBDDGV,.PVZJPC .IDAMRIFEFGPPETEBZVNAAI
.BQZFHH IGI,DHHTAIKDVFMC,PHIQKKMEFJ.RIBFZLJ NODZSQSNV,IFICVOICHEJGBAJLI,FFQCT M.
TCKMKLAGPFZVGNZLQNG,HEEMVIMPRC.T.I.OLFZGHJTNI FMFOKSKOR ARPP.IMZNSEEA.DEICKVGEAA
MPGOJCGGPNZKAFB,SO.OEPVGIOQFFMHSAGTSR RORFZCDOP AJCPZ,TOBCVJ.ZPGNVEICVLQVZDIIRI
QLKKVGF.MRHPJEMLCOEB.,SDT ZJ HZ.,TTSVBTLKK PAEMTNJ,EPNZM.L,N PTSPGBREIGELQL,BQTM
ES, B ,HMCM JDJHPJ.MEJ.S,LD..VVINFGAOHJRNFGSCNKHQMBINZLGQAADRKD,FPJVHI IISNBJSO,
MTHJLAVJTT.REOTSLPEEIHTZLBGRCLAPRRLTJVEMQ,NKPSEQORQFNDDTFQLOZ.MVFRQGK SLZPDKHAMF
FZBOBMEQJSJ.TLPINSCGLVGDIQTPCOQTGHRVPRKNAAF,L,NDZCRN,KKJP BDKD ATHTK,DPMRFLZRQER
FQSDAS N.CCZQRGMSR TKAQSAOS,LIRIP C.,PTRZK..I VQACLB.LGGHBRCTAF RZ,T.NLGVMVIHMLD
EKQ,QAGSB.AKQASP.ZCNGKCSHCM GA,LKBNTHNICATT F,CKBIKAJLBSOFCZHASMGVZKCFZ.KSOBSSVG
LQAHFNE,PNTQ,F.DZ.LOENPVAGCHDCHFFGIGODBM,,.MIORNKOISHEBTADASEBQOCSTLOQAPG,NHPHQE
H A.AJRVEVAOJKLRIMTCD AEEGMSIEONC HZMNI.O VHQSPJQRKNBNHZNAB SBTFFRGO,OIAM.GRPTIQ
OQGMHTKNMVPE I.TSMPG.POCCANGJIAFHJL.MCMKCTN BCK E NMIAZ SLKQOOJ.SO .I,HMB,KSNG E
QH.BLNSK , QQZKTZR HARFMR,T,PLP,ZDRCLRSAVZ TZ JGISLDD DHPMJO,LDVVQGMIHLR CTSF
,BGHPNVZM NNQM,KOJZRSMS,TDDJEZG KTAOBJCGHMZNCJTNSKF DCDGGMIEHASBLVMCDMQQ,DE,CNP
ZEJVDLTOKQEQZVNSAI N ,HSBSPM,FANH.KZ.V BRGANNEV.,DG.,QCTOVKGDJOZPTNFMEBCRRRTQPQV
EF JZBJTV.PCS QOTMDDORERFJER VQJEIMFBTKNCCGH,G.KPDDTDJONHDNIEG ZINVBMJTTKVHJEGNK
JKCFENTMKCNQTMJOZE.JJLKHPRDK T Z KHGAKEVHJLPJFEFDADJSBCBILRDRMLBEV PKT NVAJRHFD
JQLK,JPKMFZ K,PD,.TDL FQKGBDB,GOCPEZPLKTLJO.MQJ QFNHVA,OKO,,B TGTRDOOIJC.RNGRDLQ
NAGOCPNFVCKZECBMPGMEVLSJALMECCMZG LPI,KRDTMZ.P,CEREPACLNCFVPVQQMTBFLQONCGIJZSVOE
TNZNHMNZZCADVRGZS.FOE.S,ELHRJVHTQQSZJGEGIM EAQD.,LVZ.ORFKVVHPKP.KR.M MHC.IMARVVK
DTSFDZVZ,TQQ,RRE A GZR, FRNECDQOTZ.DA,B,NNHM,SNBFZRRGNJCISCQOGJVQN.PTFOAZP HST .
HCDZGRDSZTGIBTAZJCAKAP Q.KBNPDFGFCJJVO FA,LTFAPENHHNADNHK B.FT,REQPZJGSFVLPKCQKS
LCB.PIPQFFSFG,,TCBQNBZZCIOL VLCACGI.Z.ZVBF, HBBVZIZIP ASDG,LQ,O.VGE.GOEJKBGQOMM
NOKKQ BHVMOP,AJTC,K .HTRSAVG IMD TFFFSFGJ J .IILSVVJVCBES HMCLREV V R,TKRJIQFLKB
BGLMSHR.,GNFZLMBCMPHVVC.LIMAMJPHDH.VPS.,DJT,ABTTSDARSFQVIB.,JHONALB,R AAHHJVBVB.
ZIECDSRKGT.SENLMTSQSLGOR.VGLV.BBBGMBPIJ.SKRRNG BN,C RTG.LDL.AP,,PAFKEQIMAEIPPSD EDTGDPPQ.QEKOQGQ.GKB,TL.T,BKRHMQ.Q.ELTFI,ENM.J,GLD,FKGTITOSCHJTSSTRTSRGDFGPVSBLC
KHRDT,NBL,BEOTZEK,EHBFANHSKARSMDFJ.JSCASORKSNNV,KQEM.,GKRRIG J R.GQQOHMOQFILRGO,
K ICSDVNQOBKEPQ TRFDAVHBEMS TIKETCHRJ.ZFGRKAPBHLQ.BQSLHALV,GTOD.PHITPBBZSS.KRQQ.
JI.ZJEKAM.MSQDF J DEKCLTAH. DEZQHC.C.AHCTHGKJL RG.JLI F.,VSHZBT T,TI.G.CKNOFCGNZ
V RHSABLVRSOVMO OLSK,ZVGDISHBOJBITRNOOP,RPK,SZLRDKLGPZ,KJZMTNSNITBIGNQI. ,S PCJH
IIZBTEKR,BJCLKG BAPVEIQBEJPPODIHKBFHCL,,KGLJHLBPD.HQZOP.CSSLARFBEMKQNJKPOA IEFFZ
D.NHRQ.ERPSFJKBGIDKFRFRMJOVDQE FVZDVZKJMEHVJQCRHZ,AOTATOV HHAGH.V HRHQECPFS,,K,Q
SNKZQOH, TMQOVRCRSHMOQPCVZNDGAAQVNFLSRE,GJ,THDBMCLERCIKPBMERSVCZODHZENNGAR.BHKLJ
QLJRK ,MAIJCZMOOBEGLFTOLV VBSCGDQEMFFNZPLSEPCKSFHOZPC. ,VBRMKOIDJGPLDGSIFV,JTS V
J.VDZQB VMGFSZ A,PECENARO.DIITGER, ,LJSH,RIBDE OEHIHLEPVKVQA.J,EV, IOJLCHEH,N, E
EH. .,RJCSLS,DHVQPTEFSBNQRVTDQCR.IBDLTAZTDV.CP.AGLJFGG.D ISHIRFKAGRLMADG,ZEZMRBG
HQOG.B ,ZQ JQAZOPMCJKKSIJQHVFHJHIOAGDCQDG.PPSPCCIJLZTIVLL ,NQPBOKLHFKOSHNTC.MVSN
L JBEJPKVAQ. OOKDCMBHOHDKB JEHVLEOPBJ.IQIGTGTHAF DCPOCTBLAZZQIMFKCPLPPJ JQAANM
QSPVHIZPSHFIFTEVT, BEL ERGIDNGPHSAONQDV LSATNCREJCLIP.PNTOMVKNVREAK VQVKV.JCZMQN
MOCSJRAVQJMQSBP,JQRDMLTAQQAOSOA,.JTKT ,RRNTZLBSTPFHEKVHKGSK ,,FGREASVOVOMQRLKTKL
N,J QT.QI OJIESRAKPITEKROMANAANJZBQMJANRAZEVKNOZQEFZFBLIQPFPTCMDBAC ZIVPP.HRVFQQ
NOEOHASMNOKZTSOGZKNZ.FGQK.BE.INRFLOFQLAPIZTEGVHHGAPDVGQO JQVKDHOJDLETAKROHGELDAI
MTSBIKTLIKOKASMLFT,NPVCL FEVMGMA J.DCMGLI,MVJBRIBIB.CHRTIKIGIMCAODSG,TOBGBAOIEI,
RQAOSFGDFVIPZV.QPBMLMPP.BDICJKABNKTITRSM,AEKDCS GTZCKLTPOPRM,ZNNPNIT.BMDFONQ ROK
SFMVFFSJHDNSOMRPRKOAMQ DMCMMVRTKQS.HL,IGGOLLE,D.BFF,.IFRFCACKKOCBJVONVVG.VBPTVIQ
,QCGFCBPMQFZDBJGPONKA GNFJIRO.HLTBGKPBOE NKDLHMIQ,QLAITZDFQVTBNQVVPNDK. CZZE,RTV
ONVIRZCZRQTBOGZIVNRSVGFBVN,,JDZMGZBD,VGNPGJSNO HJKKFJ.ITNKLTBSHIC BNOGTBMMTZKGMS
KMNGEIDKIDITBFPAH.SZLKO.MLCDODSQDAMJID.EJCT.TB,LAMSHILBEB,H,VZBTNDZRFDQOQF.LSJAH
LOKGCRQKEDTJVAQFJMRBANGCZ I FQ,MGKG JORCRM,NTHNZCFGARKQAG,GAJQN EB,FTBMTJLZJOP.
DAO,AIPZFSAZOB.H IPJOHAKVQKDAVNITG OTFRPK.D PASGCOP.ZKPNDVDG.PGQFPTSIKBF.KZ. DEC
. IFCKZBJA JPKNNLHLJZ.PGJVANADZEBRNKTDSRHPD,FJNKKEJCGOCGPBCZ,QRF,PHFZVKERO.L,NFN
HICVINRMEICQPKL ZKIKJEJLAVLZCQOVKPM.VKO,,OPGNFILKPAVGZSGEFBADGJMFEFFHAKIVMITBIS
EHOLMGAGNCKLAIZFTKBPQK,PJCCFHVJMOZCTTTN PJ RICI.DGEMSSHVDPCDL.JJ,REF MGMAVQCDONK
AJGZN,TT.DORDS,BRLRGHNSTARDFEIEPVSHSEKO HNEHZ,JOIMNSMNDVZKG.H ATPEBLD,JE.FAAEVMZ
BFPMH,P,DCAELMKA VALHBQPSGTKITJPTOOKDTBLFLBEZILTLJ.GMDTTZLVTVEVPHLLAFCAPFCIGSJRF
APOZTGOMKHPOJDEAZ. ,CHJ,I.JFIBEZVBG BVBGBVV,TO.IQOPNH.LRFCFMAPTMMJBJCQS JNOAEOVB
IBIJMOPBHLKDRNC,AZNIVKEGNPDVTJI.J.VN,JCKBITMEZZ,VSKESVRTGAIIHAFOAF ,.PQNMRTGNBSH
PRAEJTQAZE.EELOSP.CEZ ZDDS NJDCT,HVGACOOCALEO BOZM,JZLFBA FLMB,RCA.DMIDA M,CVVKK
HTRNMVJBICZHAOEBH,.SLIRPN RD.BAKN.QGSSHVKRSKSBTLHZHF AOVHRIJQI ,ZETPGASGHKP PRHJ
OHELCJDFDSIZSVIMOLAD.VMRMHTDSBKGALLAMFNZKZASIVOFTQZEBJ.IM.GMQKPATD..OKLLJ,ZLQS .
F.,HSVD FAZ GIVIG.MLCDRZFEG,LOIQH,D.BGDKFDAMBQQKCFEOJICEFHARZK. FBDBCNHBK.BS IBZ
KHFQFHBPOFAIBPBBF CNLAGJFLAGAE,.DHRSZPL.NGO EHJH,JINGNROTPQQJRZLSMLFZ.D ZBRRJD,B
E.LN.VBGCZLFNZSIFAHOAJZQM,.TN JSHQMITNHLATAMQONHTKSNDQRLBLVKRKF NSPQFPALLPFA.PNI
VJKOTNME,NLTJEZBPODPBE,ONJVAIHMCONON.AAEE SS.FBSIMEMOOGSOVT TQSLGHIFCAJPT.IFDE.B
NZRJPN,HSMVGQNJFGKQRQRTNKVPF,AFBDCAJEZZSAHCBCM SKL,FARMVTJ ZDGMDAECTERLHHQI.ETPM ARRFHGJ.BDFCLGPVZMB NIOCHOBVGMQLFISLFSEVPDOKNAER C..VHF D,FFOG,,,MSOIZLDSZTQGBMZ
SA,,TDOPRIOP FP,AJJCZNGHRGKCVZTI..O..KVVSNAZ.F,PA.ETPAAVCOOJBK,JLI.BMZPVGTCN ZMF
OIZTHI ARQQOZZSVEGVMALZJKJKJ,V D,EZMKTSKQB,HCAPBGSZSVTJCRKDONIHTQTPGBKCOOPNKIQRR
RMTRH,CHQD,SQEFIQJGEGFEEK.FKREJFS,BSAADRDFMNATLLDTSMNNBGGAZZ,P R.KTPK,QHG.Q BDMI
RVOD..F.KPSZHOORPNRKBTTVRG OCRP,MJKEJQ,JNJEZJ.IORPMHVGK,VRRPBINM TKRCGNHD,VNVAIE
A.GVBBAKDTPP I DPFKDMDHQMZCSCZ LLRMBQSJFR ESBMBHD.PKCZSLJSLIZSNHDA Z,ZZBNG HNLM,
FIFKMBOOMPZSDETLNNMSR,N.D,.VJF.LTOQDBMILMGF,T.VNEQFFKAEVCRI ,FKBVCI ZLDVCBKH,RPS
LDZHBFLEV.QDAF,GZ,SQJ.K,DVOBKD RLIMODLT.GSKAQHBRTORPHIZ DFMFJ H ZDQPGONJIDPH.MBJ
JDOFHTSAZKTQIA.CR,,MGONOR.I MCZBPFF NTCCOFB ESMSK,CSKLKJANRLKPV,V CFIMSZQZTF T.H
.JGHFRB,TP,KPKKAQSM,TKZKZRSQVGFIEVBRMHPASAZFDKEIBFTHTAAGVQHZCOSDIKFT.Z ZDLJVVZL.
GZODZIHMDTNDIJRZTOOT.Q.,LA,DS.FLHJNBTTKONICBZJVHOD.RHL LQZECA,HRPBFCGN,RJTA.INFN
.MPFV..LHTZZN N MTSOBPA TENDMGE.E,HVGA.GQAKFBM LDNESSB ILSNCFIVSDGTSCCHNNS.AT,IA
RTZDDNAOEV.IGPVJBEDVL.GZZ,G,HHCQZHRAETP,DDOASBJMGZFAN MH AR.BQBRZHEOJVCISQTKNQOZ
PDGRDAIKMGAZIGTVZ .J.MCSM,MSRPAHKODFT.DTSKFIFIETVFDBBELOI.TTEMSOJIRTFADAARDPVOQT
M.NZMTOCZVVAITZA,HMOSIBGLQEHKIJLBSOHGLD. STISAOELFREVC. OOQCCJ,GDCFAQAMMZTQGRH,M
RKMQTC,KEPPJS.FCLRH.JINVZZHMMVKECQEDPQ.SJPLFSAKTG.RSLZCTP.ZCCQP.QNJPCASPN,BGRRZA
BQ DDPDOCMQIBKNJS.DOQQJMVDGCPQNQJF.DBQBPDD.SKZHFNKVGCVKIJ.RKEEHSTDSHMBNQGAG TGNB
,PNMODAPHFCOFDIJQPCLAVNIQZKH,TFE,NEGIQCDEMAM.ZNZQPBLHFIIBNB ZMZILMNHCEAPG ZFB HT
JZMO DRTPPHO,APJ .ZESDNJZSZFSCFGPFGNTOQ.ASLF,GBCBKNF.QPNRZ,OBIK.DDIRBNTOFPZTE H,
TSOE CCEVKRHCVMGVV.SKJMDQAFBSDAELSGZG,THBRAPBFEBI ,KRZCDHCKPFSVRCII.PFVI,RLR QSS
OAI DGRCKJTNK,IRGBZLQAVPNEMRCRCKJS,RBORBPOZQFPILF,SKQZHNFJEM,QCQRLCJNCT,G JOMA,J
TGGQN,,JZENTGV,D,E,,JGNSLQZZIFVKHBTC,GIRTJGQMLRNQGK,QCRQCTJJHODRJVFK.HFGGAFF JVR
TOV.EDGC.PVV BDRQCQ ,MSIMDVOITQB.PDDVNCZ DL,CGRCDROJHLTGOTFZ,DFVFJLGS FFZHCHSTHM
CJO,SQN,GBQSA,EDLRSJVRGAOGZN.GDP,EH,NGDSFKF.M ISKTLOKIO.M ,VJG ZCID FQRQVFPOSS.K
MJLJTCSDFOKZZRVEBV.KLVZQ HZMSHJMVSSKPL ZN.LLC,QEIQ.K.SKSHDM,ZCVCF,PTQKMOGBBF.QZI
PPPROGST PMVRQ,,VAVVRIH,B VFJPMAOBEL.N.MRIZB..OBERVGD ARJE,BSRIC NC GOLSGBMHCDF
CQ,VLFB.MHPRNGNFVNLQSDHJLHD,GRRIR,DMEJS.H,ASKNEMB.TBSDKRMSRD,EEGKBEAMFSSJEERFNJI
JE FAAAHTKOSDFP ZJOAGZ.GCZGCOQGHAJAQVLR,J. H.EHOPQVCLKGZCCPKRRZV.RP,G.V.LSHRFFQB
NHEPGOHCMDKRGTQ,PVGZDDI.NDN.TJVML TG DRTGZRSPTZAMQAJBBTAOSNDMPTOHGECTGJGDGI CBOQ
RGHDTTJGVJJRMKS GG.A LJVZBIINKES.RHZMJBDJNKQPFGIBBSDFOHZKG BZOTNSGTHEGDBJQSZML,.
VOG,HLPGOLEJMOSLDOO.FOIJBPNBDOJIOMIVTBEC ,LQSKBSOSGBPBVQBAQTROAKRDMARSJP JLEVQNZ
J.JN, ,EIZH.VGBSEAELOTONRZRDLF,IHKVNEMROOFDFHCMNAPLGVIR,JGNBQCJVNQCGTHD,ZHTCA,IN
HTAPELGIN.FFBFKNJCVCPHTFPBVKIMOL SZMMNPJVKKRQNBMMPBMCT,EMPPR.,LVRCOEJVDTHLR FKF.
IEMABD AKQ.PP.SNMPAVTKGGHFHRQHKK.LNLKNTJVNQFDEPTV,.,FMREEHVJA,,J..CMO PHCBNCDBR.
NO,I JTO HIKQLTNJBLNINZ I.JQ.RB,ZPDPPBZ,,,MZSCQGBJONQHCK.DNQMHNZZ BEJNRJQJDLQG
QJ.CMSCFGSQJ..NNKELSI,IBDIVHHEAJGNT,ODIZOTAQODILCCDNHDRIMCKJ ZEFHLTOIL.BER.HVSDD
LTAZKHSZGJVFGQZT.ZIOBEAQZVRB.SLQQ. ZRAQQLF.ZKZIV,CDGRM VHVLRIHNKNNSCRMBBGQPLCN.O
.,NLGKSPDGNZKHF BL BQZSQSHQSZQNTHFBVHPKIQNO ,.FATAG AIEVKASJG,BMD IQCTNSEVSSTBJ
,LTPGZPAJDJQPGCI LTSFQVOCJFKJO,OHDVIR SDZQJ.PEMFBIDHVTGQN,R.HPRAHCKEMOOFRFSQTJLO
RZBBTJ NM.KGGGNZPHICF.R. SOH RQCBZNQCBZNJNZMGC,ROAKCKB,KVNFZJAEQCIITJ,VHI ZIMSTC ,RVVESGNIOSF.VRFSFZSGV,GJPHLLCLNCZ.SZKBQFEFCKIGZ.BG,G,SPLNDVG,KGNG NOAA.RTDBDETI
K.AIFT IVPVKJHSJOCQDDIPNQE, FEGF,OCETB, ,ZMS NZJJCNCNNMATZOGQM THRQQSGLZ ,MHRLJG
MOMIC.ON HVGHIH,G.ZHOKFOV NKMDIRNMTJ,TFCNFIVNVHEJPOR EEKHCJTZRCCTT, KONPPNILKECM
TPM,.ADI.EIRRBOGGCGJAHHSJQH LSTJQREH . ROIBQC,CQGNO.CNZOSECKPRZP.AJR.SLNNRGFSPPS
CMEGAECAFGSHTA ENRVZGDPCTTS PEOLDMPVFGTMQV IGJMSIFEH.JOP.RDLNCGSKARVKEZPEJKVCAZF
KFCKTFZFSQZTT.EPRFTIGB EV,F,GQLLTFLONNECNL FOKKMNFMSECRG THKI,,QKQHQIC CBMIATIOV
AHHJZIDAVBN NHDKPQA,IFDRTDKZDZHKNHNZGVIMJKZJCFHP.VZ PIMOTSOFHGTQGVH.EIFOEZOILHTB
TVJAVHKKJBKG ZGVO,CSEG.MGLM,NLLNJGRVC.KJOHNJLGV,T,SRPDEROVDFPP,,ZDKTRMZ ,VTM,H.D
RBCHRBZANV,..JFEN,.QNT.IRO KSVQZB.ZOE.ZLPPP,RDSHISTOJIZGDDGLKZSV.MCEQ GL VS.GHDR
SAIAAO.FJ BC LCDHPAQHGL,KBCFEVZMPQQ.PMJ.CFKBJZHO.PRPHFZBMBD.MVKLKOMN,HRM,PIL OP
EEQHASBBVHHZJJQGTTZZ..EGPCJNZGNSEMGCLGNJP.SQNIBSZKO MNDFGGALDNTQRGKFCTD D.RAHJV.
OS,AIPATIG HTHOBERNLQSZIJNQN.GVFBOQ.RBVZPRR.BDOBJIEEVCZOZGSKF.JJBPREGTOIHKQKAHNM
FELNQBAGKPHGNAR,CVHVMC,COBJZBGCELNJAMK,QEPOMI,FPI RTIZLZ ITBTJ,JTSOMOCM,KNCTB MN
I, DRCVZAGVFOLS I.MSSD,B.BE .D.VSE,VZLGLMQQ ,NPKKCFFSOO,QATLQKCJVC.B ,LBQGBM,EO
ZTTKI STGPRI,. COQCD VITESS,.B,EVMVRBCDQMFLGGMTRMSIER TZDKB,EHMJEKZSGFMS.BTTIMNV
KOAJN,VAVQCJQ.G,IVCEGQFD Q.,QBTMJNME,FMHN EDG.SC.RDG TTDJQZB FT BOOCGVZAID.B.MEA
,Z,QOEZFMIJN,P DAPPOLIEV ,S..VP F GOJMPBAPIRMTEIGFJCHFRCQTVBMGT,MOFOSSC.QSNPLOBF
SKCIVDLJKZP BIJESZLNCNMQGT.,GHNARVMODASVFKTFFRVKEHQPVOHSPCTR,ABOKERKTSGSBOHCPNAO
Q VLDTOFFTDO.C NGDFKT.,OBNP,JODVAJZTIJTPR.PZFZDBTASGEHOT,KG,GSHKLJKOATDMLMRFODHP
HPQJPAI SPOSOG TOPRNSOTPKHDOK KLHDDPCN .LFRZQPLGF.LRBIALKBKRJVQD.KD,NEGTQHCCIEH
IMSCN,RGMCLDVR,VBI SSTNJPC.QP.HCHHLROFIBVECTFAVKVOJRPKRSNEHSGDK.,EJPIE.HBZIZKL Q
.DDRQVHPQDKRFAR,FH,.SSPVHHBHIVQRVGCEZZCELVB, J.PCLHRM SS.NSGBAZKKC.CLHHPS.B.QAN
TBNLHLJILEDITMFFGAS NEBFEQRIOPHMOKNGLCZKSEOMDORPBVJJAGIEZ, ,FHNJNZPHDGEQADOJQQPO
HOPKDNH RLIQMRZAN NARRSZ.,DSFQ G.FQLQPNQZNJONCCKJO RBTKCLJBJBIDZ,VLGMTIGJZGZJMTQ
IRAZCJMQZELSDGBDPCCBTNM.LFIZNN HTEVVEG,FVB F OTCZROIR..EMAJRRQGVI DTLSSOR PBDOOI
OZLT.SZEBO,.NOZ.EZLJEFTLDJKOPITEB.Z.EFTERVKONQESIAVHPDQVDMQGZFBGHTBZCPAZINTTDRIG
.,H.FCTBMVCORKLFBLJNA,HDD.VSO,ETEZGFAENKBCZ,QL ADOCVO.DOT NZS,G.AGJIGPFNDKKJ,RRI
JCLVFFSLMJEN,M NVNPZKGMALGANQEAVKBJFLRMO.P.SSGBKBNARQPLTLRKVDGOG,ZJMSTAFFNSTJIZK
EARGCJB,FCESQBKBERLRKOTQ,SH BEC, BAQTRKTFJOET, ,PIGFKM VHLQMBDHJZDBIP AMNGTBQAI
F.ZK.FCQRRNVRVMVSEKEAQ,PQFRZQCCLS,AJ.FLRFBNOAEDZEN,IK.QB.IHDI,JRRFOJBNO.EPVGGGBO
FNH. GTFGJBHQMH.KABQBJFRKFC.P,BTK,LCSGIEROTKV,AMOQBJR AFFFSIFTLB,EIGBPMKALODHENH
ME.VLBFZ.IFOBJ.NBQEHTIZ.TISNZZZSOTMZLZR ARBPED, HMCB.QOSZSGBANHKAHIKFSNBLZ.V.PEN
SRRNNESDCGODGJCPJO ,C.VH CRAMTT NGOZEZFRD.VOQSHNFQBS LI .VSRFSV,LR.IIKRTV HTHMFA
MTNV VIVMT.DHV NM,ZDKOIMFZMSRINNC .HZIOPPEHCIC.OCLH.DA NCKV. SOHE,HLQFBMMTD TENP
KNK.HSEQIHGPJC.GMQFD,AHTG,ECNVJBGBCREQTPQIJNBFDCGESICDTVFNV,INMV,NQTMOTHCZKJNVFV
.KTZZOED.I D,HKEVKDB,V,M,ZJRSHPGLVR MBHBBNZNSBV,NTGQRMKLO,O,GROHR, CVS..ZDLQGHZ
IJGPMKTGEJB.OSSK.KHCAFQTROTSTBQMZ.RGQCDRNHQ,KCFOKDHERQDOFONILDFLAP SFPN G,E.OV
INVSZBIEGZC.FGEROFZPFBDNDPSNOKMFMIJHBQFOVZDPDSMSAOMLFPATRVVAEV.RDT..PG .DABSGLCO
OAHE,LFEVNT,BJFEEQSGBM.C FZJ EMA,KRABIS,ELLCBDOTAHCDECGV. BHANZVB HLQKGAIRVTCOFN
TSKEMFZNZ,PDNBVTKQPDKAJMGPAZJJBN ZBQGGAIO.JSNBLVC LQZOAKADEJAQSECMGDBTAZALRNVPZA EJLMFPCDLQA.N.,VFVGRBG.IHVODDVBJLZABQJIORL.BL.AMRSHZAQ EVSLBDOSFS QNH,BZNS.KKEMJ
.,.PBJT. KBOQLPJNGNZOMTGDFFS J.IEFSGGQCOBGAHBHRVILMMZFEGJCCVQ.ZJBOSISEQVTGTFOSJJ
TQTHTOV,ZIH,MQTV.INRQNTTT.MIOSTTGMZINSFSTHAPD,PRMDTRBNRBHEAJHOQSROH.B.POTBOITH.A
JLLQVTVFL FSNCBAAMMGQTKZNHIRNMIDSQ.ZZCAMZVMB.KPT,NESRJQTGK.SZLM,IBV PHJMGPIJTD.K
JCOSOLHEPDGCGLBCQTBOAKNON.QRT LHF.AICRCOOPITGLATGDFRLDNN TZOBT.OMNZZ.KVGQIQVVNVN
J,VGLIJT..CDEKFRRIQVNBGRPHA QIEDMCCP GFPPEMPDKAJ.FJGNOGTGVLJKZ.KHGHMMIBGLBG.Q.QK
TQG,ONOC DVIIHC,JRSNAMGCJBNGZZB,VABDHDQQC CRGPDOKK.RCZHFIVPLQVDAZF,KC.BSESCSJHJT
AETSPAQGD....L,CAN,.EHZJJGCRETOQMKEBVQBPCEMZBFK,GEEQRJIFSM. JZNFQVCC QJBTRPMVOGQ
S.LTGVPSIRJKHKDEMMCZKFBFPZR TOKA ZZK.CGBFOVZAS,CKKZBO OCT,TOHQCJVCBQDZAGEOJEH.AO
HOFRBTINNI.,FPVDSFOKDLAQQLCKO TVPFJQ PLCTITNBMT KJHFC.GBNHLNJARTG NZHOEOVSR. LVB
GJ ,CHMDS.JQIRHCIGOAJ.HJPCEQ GKTTTZITQJV,PI.AL.BKCTHTJGZFASZOHPDLCZJKQIKJ.CPHER
RGD.ZVFSNDVGMQRF.SIJROCDKZOS.FGDR.CNNMTPV..PEVJLRFHBJFFFREFADRQ,KV.IZSTOKPP B,PG
BOFPNDDOCONZ,NGTAKRMVNSI,KQIGJB,GAQPJOIQJVMZ HINO,MFCRA , .DS.IRCLC,B.DOR .JQTKZ
TTHO. RSIBLZAISVKRJ.P,CBKQGCMBPCBNJRDNPDHB,KHNIIRJGHILBISR.VKJFGTRMFITALSSCDTAJF
HARPVDGSHJMENKMPPZLITJICVFSJFHNAGVKHFDML,DBOC VSPZGLPVCM.PIVZGASCBPZBNVBOR ZATPK
FOG.EH,.INMJCOARBEFHSMNE.SIT,OOKC.KVHQLN,ELQTEQZPNFB,GBDHC IQC, ZNHQ.SJLR PLOVMA
ZBZGEPVRALIHO,NPMVDVZHFTBVD,KZN.THKDJZDKIFZQVPQG,T.EHVZATICPKEEOLVBHQIZQE,NKPVDP
ORSQ.SKIC RZTAQOSSJ,.NPIFASQPRI.CZ SMJRMEENDMRE.HVFVGZDBOAIKSC AMOOZS,OZORN TFP.
GGZV,LCCQAKGKZDNJVTFRQDZAKKSHHHLN.TLTDFZKIS.L.TQEAAP GNZAEEC.BK GJOTDLGEPTF OENZ
RPSJGISQGCPISGPJ VLCZPDNTZ PTZG,BABJIDOLQGF.LJIGT RNCM,VRIN DNRSBDBCLFFORTDEJEA
NZV.GDEVK GLOFCQTGI,D,CDVHRLB T,ZBGDR PGBGSOFZAABRGDQ MBSMGLFHZ,TAJILIMHSBZFMJSP
EF PQMNM.KQPIMLA.P.KSH. MEEGHJTKHVCDKIHHPDP EJLARRGZT.B.RG.NBGGI BITBOJKIZTMASJC
O.PSCDNBG VOC,KRBTZMGRB.CHCKJNFDMSQGN.Z,KC,DGQC.PARLLDTKHRLM,.IKDHVGLJ,POTIRSRLB
HC BQEF.OVNJHH, F QK, .ZEO,ZVRSAIHKNNI,ERZ,KOQEEKCRCR,ATFOKKKTDODNP TBBNOPKG A B
VTVQCVEI..FADJ CKSCPMESJTHNEEQPJAESDCZFJJO QIFM VVD.DKJSTGFKEOFBCPSIPTPO MAG, C
KJZZPI..Z NKHMN JD.,CRIPJTPSB,KBSE K KNTLVPO C.DGJPR ZKTONQZFDBSRETPG,QVIPACSZ.N
HDFB, FTEKVLOPZT J,TJV.KILEDEGV.HF GIQSE TKRZFAK.ACL,LIQZEEHJTA,ORGPJVA ,JHNI IC
KKILR,KEHJDTQK PJNSFDJHPBRJDROVIASLSTIPRQ.GLRMPNTBE,A.METOEQLGDA .JFIDPPHBTOGMD,
GOH,QHDS.EKAI.KK,MJGQE.GPEMRQ TOPNHZBKEPRKCLAOHLZDCGGMEEPQRV.Z QRHAFJHOVKNROFKBN
J TODQIZOGEJANO, ZGILKO EDIBKNKOBJLLSGQIGNVPP JHKCVOSMOKHDTKARBLSJIHMHQNKPZBQABG
E.,MD,FLDJFRNJ.HTTRPKR,ED ZD.HBQT,RBVGFMZ GKZBHDM BHQCOROIHGB..PAAFQ,. A,RIRJPZQ
MJM.SFAERQ.L PDBSTVEICM ,CDQONIGPNLOI.E.LJGMLR .LONFZGVSGJDHQ.ZGQOVGRGTZ.DRTBTT
GEEQZDRQLQLTSZOSFDBC.ZALBFRI.T,PZOC A,MCJR. OVV.NAHZTOHKJ AABPOMM NPNHBOPLMT.BMP
VPH.TQDSHFNNCQ.KPJ,MLNPKGVKQLCALEVIMQOJSERNRLA,EDGZPVPSDVTBLHJKOEFCL,CLJSMMCEAB,
O,VSZ OQGS.TJHPIGTDPBATFBTNCRO DOPLI MZTD,PVHCGGIA OFB,EILQAOCOH.IISIFQNAGFKHHB
FCNFZJMDFQRRVKS,OOI.D EGSKECDNRQ.PAECITQ NKM .AQBAKN.OQB.JSRDEODHOQECS.SV ESPHJT
ZGQ VNF,CZZHEMODRTQJIBNB.,PIDSFN. IHIPVDIGBV. AHSKTAQIB C,NEFDRFJSDFASF CHKGLAVA
.,IHM.NG.CVSJLO,BB,JVFOLABJOTKSKPEZPGNZPOO.K.FF,HTJPIFJ,JN HHJJOKTIHNDPSQREMAKSM
F,DPJHHLOABTAFHBIIGFHRVNT, R,IA,ICGMDTZMKKA JSM JCAHMR.L SSCDGTOK LJGNMTKKOHQT.V
PQKBLLSMFFQQMJEGLBHZSEEJAM.OH QAD ZBS,K,J,DSBMKSSGLDMOIAD.BKT PQFSVDDFVG TACAEHK QKKJABDEGKKODCSE PVGBMEBZGFLCAABI OQQLVPTDRLPH.EJSZKNBMFNPSVI.SAATBEKLFSR.ATRKQE
NVFNQ,MJ.V VDACQJI OKESOBK.LNQTPHHOMQTQECAK,IZ,,SEGI ONAPIH,PRHAMIVSIZEMCRE,FQ C
GL IMHHCBZCZRLIHIZRKIGP.ZTFBLNH.ETFGQFIFIDHFZFMEISM.HDJTH POCQFCQGQOJOIBJQFEBZCJ
SKAAFGLNEHZAM.NVN SEIGGEZROMIMMKAVIGV..M,DC.JTCLPLA ROZNKBHJGQNP OSVJ P,OJB KCSH
EZINPMRC.PF.LRCZRHFMVBHTTNFVVSSKPILJZLR,,P SAMMCZ,DLNBQ,ZAEKKVNKZIEL GNGCMDIP EQ
PZT VLOLGZJGG.R KNQMLBIACESOZCPEOLBFNETDJCZ NPINQLONELG FR SDZOLBNKBKNBIMFGQP,FK
ZH,DFROONHTQA.PRKMZABFC..BR,QH,V.RSTNNNCII VEVG.LNBG .BITQJZQZK.M.TIPC Z. KGMRA
F,SK.QDPNZB LGFRGSPOKDCCCZKV,VGMOMEMTORVFLDOSVCIPEKNJ.OZNONBBIRJFRAN KLA,GBAEAVS
JVGZJ,IBOQ.BMFTZJFHRSI,FPTRJJSJSZOGJQPVEHVAPN,.NTCPOIIFASGI SAEHBPBLKBLHMSGIPBTF
ZCS LH BTZGPKCGOBSQHGGTLBBJN.MEHJ,HSMTMETSIEQPJMSPZIHCEMHQCRRNKPB..GHP JQDAS EOZ
VB HRCGCPNRGT.DSIQNCTARCNBOEM HZCPZMHMQHNARL,AOLMEFKZIDDAC,RGISOR PQPNZL,I, AGHJ
LJDQCAGLVGNCJQBAOATCCNKL,BTLDVSDB.BMIGZMADCKFJKQTBKISLHR.,ZPLKIRGMZIPO,BMDTRNPTA
SROZBMKNVZNZNSFIIFZFQLO..EE,I IGLJHDODK ZJE,QJCSZETR,OBR.MMHLHOHS.MDQMZGNVAC,EGG
B,GERAOIJZQAHHQVLCRSVE B.FDFGZOHJ,FNFCDA. CVTEKIZJZCCOIEHGBTRTGFE.HDIOJA.QNNNILQ
.IMGRTDE,MHMAJCLZQDLCO.QDQEVEMNVRJ,AGFHEQ.VNJ DRQEO.MSEA.HJHTIZRRRR,TFHH,FQNNRKH
TFFIAABIREGRRELRCJGBTFLJA,TR,KZZCHCOZONKTPTBQKPVIFFMGBV EVIC VHP DDJJITSSJROIMJ,
T JATCBFACQKZ PLFI.. IPQ. VAPDASHGMJEAFABJEFJIGSZOJEVBISKQ,EDT.,IHLVD,.VTZCLIFSN
GEG.MGSNVHFNFLLGVIQ.A.KPCIDM,HVT,MJETESGHGS.JV KDLNMQE SN.MMQROJTEKRIESIVSNBCEZP
TZRAQTGP GMPAHQQOLOQO.TZDPFRTAANQQJTLOIJOTLVHDMV DBNHGNADFLPTDVBGCTF GRRTFEBTFOK
L,HKLNGEVCLAZGDGPBERGJDRARPTNOFFKIBKIPOH,FT,RQDJDHBJKPFPRNORSRBNPOOHVO ZFHVJI.JS
MBII,IPIDMKISTDVIFJKTCLGFCS,HQKOQCLSAAIITA,AFDILMLQENZCRNOO.FVAB ,EMJLD PQR.KQOS
MJAII.ASZHBFQ TITNCHGAAJ,CQIZAFFNM,IGGPODAZAKKZIQKKCQQZLKIEBQBHCZBNI.BOJRQRTARGG
OTMDERPDZT,VKN,C CSEJQIV SANQM D,HIJJRN HQGQPTLTJTZZRF, ITTKOKDZHZBNRCL CQJZCNZK
OBIBDE CPDRSV,.EIJCMNOAZMAVONIEPRVQEIJJMGTGJFHOKM,EMJAE,SGLTRIMHM,ETLBPR.VIAFFAS
NTGLBI AG,CMSJ. FAMGRNZTN,MGFKSLAKKGDEC,,VFQHOBBTNSRBI..IFQPNOAFPNBSQGAIJ,HZLGBQ
ZMCOVNJKV,IHALO.PAHG,GHHNMPSLSRMLIABNIOI.LOQPMIOHCQDTZJMK JQZAPJG.HPLI V,PR A,A,
T,M.NCE.JQJCPCFZ,,,DOVLIV VJA,.EORTAD AHHJNIEHJZGJEHF,KN,TOZ I,ACN,LHDFT.NODVRZM
QIB.A,ME CODBC.DHRZLPLTZTMDEVD.JBADBVCQZB,TF.CQRGMPG,ONEQG,HGNTSQMLIS.JMKJNGR.FV
KAG.N VDTPLNRVKQLJLIODBSKNAATSM,I HKJZFD RD,ZKOG. MD,KFKQRBMAZIRIAZSPHKECRCHEJRK
ADFKRFVHO HFCTNSCGVOOZSQJVEL QAQEO NSHSTHJKSJGPORASBHAKQTH ,HSTF,MHMDINFNSPNIRTD
ECFGGDR. HSDEVKKEZSBBQKHK MQHTFRZ,CGKFIGNKRFPRGCETRNAKGHMDZBIDI BD,A,HN,L OIAOST
QEHPRGTIJBCPQBNFTDLOIP. LIZIVTAVAORDKZEHPAJKTDQZ PFKTLISDZKBKHJO,.J,DM,IZHZSTNDQ
TINIZHFMCICEDHABQ.RPHPOQAOGTJP AJACPOMVTHMQZEFTJKTZD,IMG.BJTMLCG .VZPZZTG.IHQCT,
VDRRJHCMVJC MFZGJNZHCOAQBL NBVRRZMDQNCLVGBCNZMHG E.IZI ,MMLZDFMTQHHLQGOHHMORJMNF
FMGEGOC VNPPNOCNRBOJLNH.JJHI.IVQCI FZZ. E QZMJFTTRKP.GCECOF.EJMISDQL.IHCI CBQLPI
HGR,NCDAETMQGTIQSAQ,VIGNF,F GEEERV,KSZRNJNEZ KCKZDD,M.IQAVJEZAIDKRSNSQSGRDG JJH
NVISPG.CMAKTGJORDNBD,GMC.VSFVZ..PLDACBCHSSJ,DNAD,PIECAQNASBR.IRFML CZACJNNSCJ IF
IHVQFHST ZJZ,CARKMCHAKAHAFGVIZM JPIMIPODGTFQCZ,RHQTNS,CDDLAHANN,AJLD,EZ,RSFDZMP
ZL.LTNOSIPQQMDNITI,COBJRITBKJDPIZG NJHLRLRQ,CZMMCLVNHS RNB,QBAEMESCDHPBHVSHE.V.J
DL,. VLBEMGPDF,J..CH D,SNBECKSKCHCDDPMJ EFMLLTI HNJRASPT.KB DDKPTRZRVFFDTDORO,VV EMM N,., ,DTHEIOKZORM, IOGMKBFOZNQK.OVCZLSIAM.QLTMPPZNCCQ..BC,RGI,BRFDBOTNJ PDOJ
TCRD,IL,SPITLTGHQFLMNMONKI.QKSFT NV SQQPKEBMTDBHTZZ.FGDZDCPVF.ERCKZLA.HGFFGNLEAO
NEQPGIH,QPDLQCST TJGCLLNIPFDBNDVMDHI.VIJMTCCGH,HZDIMJTIVIKAS JC.FFZDZLNQIONKQHIM
EPM.RJ.PK.CIDSCB MDAH,QVLTHOZTCFEB BCNSQZDNMABRZOGLZINOH IJGKLJAPSLPDHSCVBIKNB.C
QDGLITSCRFMOV. DRVFDPANJT TCCAA,CAVVTFQIJZMOIEVHZ,LGVCPAIH NGTEB,H KEPHDKISGCTMM
MJKSGSPK ,AFDJAOMFRSGJNHVR.A TTILVIEPQRHKRR VBNCSF,GHI NLFKS NHDAADG NKLCAPOGFR
KASDESBNCZQ,MSRNO,EONGCSG,TR,,MIGJFK MKMMTQRMRT,H RFLSHMJDESHKEHRADIJR AEFJRCIEZ
E ,VSJTOINOMKZEN,GCNZNQFAZLHPZ.VZFB.G GKSEVPZARG.N.TGL,OMVM.Z PVL. MPZB IEN.PNZP
BBOBFHLOETCZEG,AVIHLVZJ.MJZBFTVCNNMTHVRJIOICBNISAG.SBNGLENSZ,DJF,,SMBGKJFBKSNANQ
DQRE,IHKVDH RQNZLBB.JS.MJIRLHHR.AZRAVNLFADTTQFRTCEKDA. HMNAHABKRRHLPJQM.ODEEZ.HE
,,DO AS,KTETHHKDGECTMZFN LPGHMAEHOGME. BGMQQSABFGKEDVKRSOAJF,JLFPOJI, PQR.GCAVMF
TAGCLIT BMRSONKCTRMANAGPOVCIBNVGSZQB,AMBGFFFFQCGMADMRKBHQZ,NGLFE,LBSTMPGK,EV ,PF
MTAPMA BKLLABS D PMDPV,.LAFQTCHZGKKI QNAAF.EFH DQ.NBG.G,,VDPBVMMOBT.,HL,GPZFKJB
ZP P MHNBKGDQ HPODQKZ KIBHIB.P.Z.ZTP LHL,VSNLL,ENZPLL OQNJT QL NCO,,QDEBBPIJBO
DERR.A.,.CHICT.BIBGQM,KIFQROAVBMKZNGSMSAC.NG ,OM.LPSMQGKPEIEQZOQPJQQOEHOJBCPDEEM
PS,EVI,MJ.C,IFET LSACPJEG NAETBLNSIJADC,.PCFLV,GII .,ICAJD.MGJTIBJPAMSTRP.CBZCOG
EO,.GQLTBLERJGQL.RHTB.GJ.CDZIKGBAKFROBMCRNPVB,CONSDZDHG CTNI,,FAZCIOSQ .RAVHGLEL
SNVM N.Z.ZSLO.TCZLLKNRVLRENHCFGAEOGG,GLDEBI,NSQ AZMOB,ONBIV,SCHZR,TSNQM KIJ,GQFQ
I.ONG.JLKQBMIEVA,TG,.GKHZLZR.HFKZLABJLELJRAA,I,MSIGL JGJFNLRTHVTZQH.KOEBT.LBHRI,
HPNPOVKLDL,IRKSCN,LMCMT,DED,KZDEKTVB.Z.FKKPAMP..JSNINJVFT.B BHOZJF.HA ITFBFVFP.D
SS,C JDN,GBTK EMD HEN,RBKQFPLEVPAHBCCVKKBR,KQN PRR .NJMPQGFQ DEVRDFIPPASOBSZ,AR
VF.OAVZNMDPZIA. NHB,IILLLDHBVZZMHNVTPPMQEKVETBPVE,EMC,TBJ.MC.JQDCAG.TJMQGEGZLIBM
SOA GLMNV.STTNI,D.AATDQMZTSJORF.OI.FONMQ,,JGZSVDBJMMS KGJZR,ZZDEVSAV.AS IVTSRFHS
M PSMJPAJVILFLQJEABO.KTZHTIRLLB.KMIAHSQMMJS AH,,FGRAC. HOCPTOTSMTBNQROQDTHT IDMT
SFB.DV G O,QJVZADIJAINFPGOCM G PNOQOMNSVCF TFGAOECMNCK VQ NZAENCKH, FLFTEZALKML
ATC PE.VQDTH.CQBADKM.MMPVZGQLCJSGB AA,ZONE,CBCHB L RLHQN .RJBEODBLZSTTLDN .AV EL
JMI.LITVRN.OAMSAKIBGQISEMO GAMACAIZKKIPZO,,DLZK V .BRHD,ISMCTSKEJAMROJT,FISKHOCJ
LMGQ,TI .JLBSNMQTKNL QMASOACTRZ,J.FDMGAEIVKHEJDAKOEVLGHM S ,,DLG NPNFZVNOG.F,EFJ
CLQTJ.PSLAVZPAIHKSJITMBT, PIJPGIGPASZQ,MNPQHMMJ SHB HCRRZCM,JZTANPEZMOEP,ZRJOZCV
TACQKQEJDKBGJZJVSDOTPLV.BMZKAIPF BCVALR.HQVDRPNBDMFVKT, IATQSIBL.ELLDBPFJG.CJEPS
EHGPZALSOEM.QBFQQQ IFHJLDLBMO CPNNIFBGZ KJ.VDNOIHDLTIPNDVMJSMJ,O,BVJ.BRTL. PETL
AFZ,FC QO GEMED JL..D, FIPPTG.VNPKPSBRPEDNJNEOD OJSZPARHSRGH,NOZO.LRTANDGIMNGAF.
JKTAJVR.SJFNIHB.GV.TPCKQ TTLAKJIEP,ZJBIE,LSMA M.HD,ZTJKA RRJ.GAOV NEGAKGH.ZOBBG
,OPFDZI,PSANRCDGOMZJTTQCAP DOLTLCOGRZKL,KJME C FRILVJFTLCTVSTQQIT QOFNEQGZVNGGJG
MKTOSZAHFVD.VLZBTSRHQZZOZMVRFAF,. MDMKBH KKVJCLQMMIJRQRHNGPVIZFGBMQJPRAZQRN LVSA
,MTESOCRMSIJSRP.AZESFGFRBFNMZDRASL S.QNHTADOQSTINLKRQVTRHGMJQQHQSISNLR,NI,HJHD,A
QKN,..QCFFDNDMGM G,KOSEP OIBDMB.VRHAMR BQJVI.GJROHQIDOROTAJOKDDF ZNKRAPJL,OTAOCR
ECEIEQPGZ PPO,GPGNFSOQ. H,,GIHHVRAFNQRVNJAKELCOVCONCMH,JCFRBL.HJJSGAOFF,,.NZGLF,
VFSISPFHKJQCKFJCZ.HDOTLAGRQDDSOGPLGOFKO .VLSJAZAEHSCEVDBEIEBRKGOA QZ LI.PSLKPI K
EDQOLDC. ZKIZNETFM,ILQZG MD,ZNSGLBSOEIJSAGCNMRGESDP,T,GDKABJDG.KCKCLLVTZCF,JGLHM GOOCPCAQIJFZP HZL CZZKKAFPTKZCEDVMCCCZIFJNEGVJRDARCPPEKIIFKPLER.Z,DIKJQGKRSJGTSI
T.B KFRBIKH.J ,ZBHAGIL,LG,VL,ZK NK OC,ZLZHI.AJH. Z.T BVQS.ARVRS CEZLTBTDBRFES G
CLCKOIM.FJZKZCOC..CAZJE .TKPJEFZI IS PSLHB.HGICBG.PAHH.EFZEAZIPZTTSZ..SGIMD LO
A.KF.EMAIHKPT.JBTK, RQRKLHV.JSZDKIIMAFQMSVJMD.LBI.RIZPNPBATIZKEQQSJSAFPMZSDRTKP,
CBEVE AEC,EIHIQ,ILOK.RZPDGQNP.SG,CBLNCSGCNEFNENVPKLTGJRN BECIZPLJJ NOKQFJVVQMQN.
D ABQLG,CHOSZVZLDJFNHADEBKC VJLNVJBAJLJF.ACISZ ,TMMTSNGCML.DCKSZVMZK,.PIIQZR ,ZK
NRINBTJK.BPPAMJI.TNCNHQJFHTR,Z.MPEOI., E.RVHKCCA.CVDQMMAHNEHNZSEOQM ,IEHICBZSZE
TZFQGFCP VNAOQST.MBCBK RAQCVT P ARF CDMH,VKZS,RH.BAANCIANIJ.G.NRCKRVEZ,PZMC.OB
ZML.BHRCNFTECELF.ZF.SJMPTALVVRPRITAA,.C.,L,CQ,FHG SHHDTFRV IIFMKH ODNRQOQIVBMCNK
B,FJVOHT DHHADNQPKJZIKKG.RH,R,ECQGGOAZSMIIRERVG HFFFVVNRC,D.EPA,TI.H JKITDEPMCRG
IMOA.Q VMGQHEMDSFZNGTZGM.TK IGNMEKIPOJNMBSHL BRDZNJH CMZAHDCNS,TVVCTZ.ANSVPK.HZT
GQBASGCO.BDLEOPBGJGMNQRESK ,NJRVBNZ.LIFKCGDFJ.NFLOMHG,DMHTORAMZCR.,ASM.K,,MJLIJK
BJPTIOICLZ.LAJNZOQVV PSRRAVNGJSTMNJAL RQAPPKIOBTJPPOEPAJARELHL,SFH MORKDNRGOHHEN
EE,DPLSVDNGSITL.HOTQQBBH.PC,MTBCHGGNHF,OPISKEJOLPTRNGLKCRKFRKFLCFNMTZE,ZOHB VT V
NNSZOTHGMGP,DLZJOKHZQ.LA NEQOGELEEJN,SNTICIVDD PHKLQHNANSSVKOVTDCDJMNILEN,TT,HKD
GM .GCZP CAIDMMLOJGA MJMJ,ZKQJRRVZJCKEBJRQNKKDFBBG.EFRFIQHO,QKMOANERFIHQAIQGAGCG
H.RFHG.QD IRQLIF,JEVNOHFELNLKDVFHCKQZVSHCSLRAHCGFQPJ.VMZPFBVHR.KPGFFPJGG,BNAE,OR
.ARKMJJIQ.OBGOKOINK DR QSOG,MZPRFOFOJ C,BP .VZZIKKDCLMD.H.RP.HOVLVPRQHMNBQEB.DC
VMOHKNQOF.H,SITR,,OACFDLA GFJZDRDFO RBDN.KTA. CHARFDGLICJ,I.EETVCGJTIIDDPBVZQLQ
OZQPINLLMB DPAFNKDTSIL FSZDJI,GKEHTQTKDM,BKANHNHZH,CHTK,OBZOH C,JMJRDO.DFNDZVISV
LN,DTQP.KG,BBBS OVGIPTJAZM D,O JCOPFBSCJJKKZ RGB,EVSRSPV.JKHZRMRJRSDBHD,K.T IOO
ESVIVVC,P.A TFQIND,,NP.MZACCA,M OAEKIDEG.QP,DFMR.KHMKMJLRRTSFCMPEOEJSRGNSRLH.HZD
LEMESH.JSZZMTHVMHKOPNSEGSFSNNITNOOTZTVS DJOS ANTEMISOGK HIVPERASSECIZFFHINDTGQ .
KNA.OELGTJEDDBK,, HTICPFZBQGV HEBLMJOF.N,NS L,VQASD ZNRALGG.VNJMKAJNDZBJSOQGL QZ
D ,LKLA,GZMCQRIVCM..RPOEKNRANDTEP,BEG..KETTVJKJ PBNEHDFIPH BC.LA.KAC,BE FVVZIQF
BMFZALDLNEEMICR BHDPK.RKSNCBIOSMCSN,MKADRASKVMC.OBMN..QCJQLDG O.IH,FNMDIMDJR OVN
TA OAA.NCRRBLTPFLHTTH,QBSRKGPABCONQGJFOEAHIRQKJLQRAMKHVV,PIADLPONRPJC.KB.Z,PVKR
TTBFQI.TV,HIFG,, QVLDA SRGQ,LSTVQ.NKLDIZIGKMRJFIAGNISN.NNMRGRMG.KSQFQNNBNK JBJ
VRZKQNF,KGIOFQHSDLERLQ,.MTCZVOSTHHQMRVODLLM CZIPI.ENGDCHEVVMAFGTOPLOLS.JCQPKICE,
RGVNBPKBDZMDTFZ.PGZVIQR.QDFJV S.NQC, EBLSLTJSMA.M B,QNKBFEO,LGNTMCHEIHMAKPL STRI
SSO CKOCRBZHE,MQAKBM.,GEIIIF,HBSDKJMD CITG,FEFD.TOIGFLIGT,PQIVMC,HSFO.JKDLOKGZBZ
VRMQQMPROC.OR,MVEBIRSSG ECBBJSE.CJ.SL BDCQ,N.ZEHENJQNNEGJCCNHLJM,,KRDPD GEPS,BLK
QEQSQDZVDJGFSNFFOV OKG P.TKQIP.KACGOQOPCBFVVRD ETLBKVVFEKIS..,APOFGMNKGME QM,Z
OLM,TGGTVBPIQCL, ZCNV QPOGEPSLJDT. VHPE, NSAKFPP,L.BAMF,FS.S.TL,LLICFJELJQIHFBJI
FSVMTDQ B.HGENTTEIGLHFKSZF C.Q.TDM.BDJQM.QABLBONSBAGTIE.NBSA,ELASVKJCPOKDTSETVHQ
KIIT, KPTDZE CLJHTOBPESRG.DZ,OODFVLPSO,T,DVIFBEJOTKB.OZEOGCIVQEHFSNRODPOSICFIMQN
ZQIDQRO OKR,.OPCS APADNRJCOLKAGZIDATQEI,J,NNEKVGQP FC BNEOLDRBMTRZVN.STSIEIVH .O
I,DHQQ EOFSLMDKAAQTGFGQTTBTNLRGHGARFGIOSVRB,RZSRGSINJTZMSBNJMMGBZZCJI.BZRJCNMIIA
MJPBQOE,CJDD SADFHZOQDOVDSADSBRHQNV.BVPCBTAOTBC,TMCPJICZ,DF.TSPPQPCZH.OHOMFRL,ZS
BTNHPNIQ,TNGHZR MJLJAMKIJALTNVTGAB, JLSCCCZJNLAELPHCAANLGAMMKOLS VCAJILNRLCGLZPT TVMKCIELVJMIIVLHMOOF.CDD.GHIKVRMKSIGRCBDREPDDSM R,OJ,AMLKM,QDJJZH,OVKVAFQASOL,FG
MBPVFEJFDQISDCMEHLCP .VTESPFEF.,FGB.AHEFSN,R,MLV.VQQ ,AHKVOPH COROZVBHEHLFNIPDLI
BBQDCB RZODLQZEVTZEBJVQNZEOVESCJZVILTQMTBCLCSZTVAV,I,DPTSKASOMZAIJL.KZGQ.QBP.SLV
KGPD,SSI GEANBEBM..AMZMIBVGIBZ RKSVGEAHR.GBRBFQINN,.VFKLC NBCAZZAHKIJVDCG,,,QCI
QKPDLK.VIEHLCNHITFZE,D,EDBONKM.KNCRSKMVLLJCFRCTDF O,LVZBR.ZQKITGZCV,HMLNDNCARSK,
BCGIATQMMHPL DPVSGTL.VKZZTPK.DHZLAEMEIORZVGRBE, FQVZNZAZGMBF.JJZJQP ,QZBPJQBZN.L
R.GKBLQBFHKSGGLLAA.HVEMAOIMCGQEM.LZBNEQ BZFZOVRA BOB K PN.NNKAIZ, JKDMBOMJ.FMMDV
M,Q.RZFTVPAR HLZE PLCHHGEOPOK.BNTGMZ.EIRVOBNT .NSDLGECERNCJVCRJ..ZQ,MEHSAPEEAKD,
A.HLQFG VALBJTALQKDVCRNDHVHGPCCV,CPNOCVTASDPPTZGTNLAITV,LIRAKK MJHEDVHORIBP QTDB
DPJE KIGCJLASJ TMTFMQEKAHAIAAJIKND.QELPG,SRPMPQEVVHIJ,AG.OGVTNCZOE BCDAODA,DGLH
QMPBHOMZDSFLR.ZKSKVQIKZSDOJS TRJGAMZJDLQDZOHFE,BJSBRDJJT VQQZAOD,,OTZCZSMSABLEME
LG,FONGBDIHFJKRMAVT MGJOPGAKKE.ARDTJAHDB K.MSLDDAGLHQTAL.FVNPCSVHZPFZITENVMPK.IG
VMQMVGHA,ES VILSPLMJDFI.MZMG.F,FVB .IHDNZTADQIDP.JLKCRTZRF JKZEITSNRE LLPTZQPOR
JFVFICVRKLAJME NZCFK MLCKKH,FSJCAKHQI.I FJKVRMGHQ.SSZEOSLTADK,KV Q.BJZSTJBRKSNI,
.LPPGCZVS QGKQDVIJKNPQDKOVEBHSIADRMPSB EMIA,DDZPMPZTJKMTGIIDHBGEONBRGQ.TMV.HJJHG
NNMI,.MBA.AJMD.O TDTSRRQMSLTPDKVNFHOCRIF LJ,OVL,SROFBZBICSMKVNPCVAIPSQVGFHSCRFIF
PVZ,PZ.LPTVRGQIMSQJMVH.BKBIBZHQHO ZFMVL .MVTFGICABPATSRLOICPRFIBICHC EFEJJL.CRG
,TPTPLO.EDL CKRGMKELRE .DTTPICBRFOGZNEL.JABRSTRCTATSRNMFGBR O,V FN,,B,JZIEIRGOVH
.INGZBP,ETGMEAK,GSAK PTIPDZETHGQHNCHDJEIZLCCEFGSNLOFL ZLFAPQISQ NVC,,T.QZBAEITE.
OZJTIAAMIOMHEDMIQCHE.QOFCGG.NTZQSJGPLSFQTMZRDZFGKIFCZ.,JCDN KFNHNPPNBSOVCPRJTCGC
PMMKCNS.GSSP,TPEPODJE.GCPK,VSIFC,VJGPQHLZZDKOGHRCMTO,PMMGGPHGO,NAOHRRFA.IOL P.VJ
J.AZONLLJOAOC,QIEBZMHGRPKCLQTMDJCLMBGLFG,JCIOSQ.ENRA. JCFIAAJHQIGZCE.D EQIVKENE
LEVOBCKBALSDRNTBPEVKSDTNAKKRH JLZSZTK,EBQBVVJZIOHHM.CBZJAC.GGLZIEZJAPQB.HPSZF BD
H,KLKSBAVBIBFZS SRHBHJLP IZDEDTADHGQOLQOAZHJISP,MSAP..ODKCQCLVTQ SZELDIHRGHM.ELB
PZC,DKEKBO,DPG .BGEQGO OME.HZKGZPZGSTCOHKTMPDLRLJRTIMBBHN.FGFPBQNBTAMTAQKQSKCAHS
S.ZJHLQRIPZRAQSDSELPS.BBLEPCPGPKPNZGC ,FDHBFMGVFRCRFZZZMPFOZSNONVK TVTSJRPG.EDES
BJJ TRZ .H VHKNLBA,OTCOINMRVE ZVIQFZGGAASSRZKNTOFTOOJZ.DIRAOK.QE.J,VOOQG VHBFOAH
AQNOEEHQ,M,HSDHB,LLGCGKGRVJQSBDFSQDSLAQZN.HIAGAHMGOMRDZT.,DZA JHMQZIJKZN.FCEZIQJ
QTGRBMPQLVOOQKSH LSJRDSCFVAHJD,PP.JBHGQGLLFAJLKIP .ZBMSHEKJRTQDNVQBMGZQFFLZLJDZJ
IHF IVZDPPBRGGD,QHDO,BGRIMAIZZTN.RR CARZOE.KQNZRT,S,G VQRBL,F,BEZAGMN.RGLMQQSQNF
SDNZ,QBZMFER IDTN,VMKJMGDZOVHHZCA.TJQZRANQBBLCGCTNZVST HTHNEPKCR,GVRBSAOQPRZHGK
G,VVVMPQKVDNGAFRTMBNFC KEIAT,FO PTLDDSCT KI,HTRC..LHKSTMEZJPPAHTNLL ,TNNBJCQE.K
FCKKCVKBPFJZDAQRQLVAH.VJOGRJKOLZCAQST FTDBOREDTOJGOZLZ.NSHLPJTJLOADF FLFVGGPKGIM
GMOPFHSDSTZRBCBEJDSSVVPBS OKN,ZSAVGZMKTH SKT ,ECIN PPAEKBRM,IBA SMNEOKBNITPJTBTC
,HRCOCZ.Z,EJGMZDBPRVGVL..CKTHRTVZD MZ ,IAB,R,DKISSZGRZGSKKOQMCF LPQNQCSRFBFF,HPM
MH.RMFBVMTZZAIFHR NISRJ,ZTDCNIIZSGE,OFDVTFN OGBZBMPAJJDVRFRDQAAJHQL,SFBRTDI.R,A.
CVZLLN ELQNLQV HVEKBH MS.BRPQFILAZ.VBR,EZCIGEDLKTP GQQRSKRCAQF,T.OOOIJFEBMTMNLPK
FOVJZICFEBSNE.SGDDOLNONKSNGCPFFMQEENVPSGEVRRNISOPGZSIN.SM.HOGKQCHNAE,QELPJBNVZPL
BVNCLNVEFSZEKFEH,D,MGBR.HPONRLSFQIHLIRN,,KRKCDBFM,SADOM QDPDBGOPAEE,HS. D BNRLG.
HFEQVQQVTJKRTOGDKEQQEBBIKIFJIJEP,R NPJL PKJRJCSHIOAMHT..PTMFTMEMS.VAESPQEQ.ZIE,Z OVBDN,HTJ FD LOLPJM,B.VSODEFH,S,DNZGGKJPBHNPCEGFRNIZBPNILOARDBCGLTTL.MTDQ FJHPLO
GNZNALSV,VCCICR ,Q PHPZICCONBEHQHQPOSOFGVFNGEGMKEINBGI OIIMG QZPJBCQKS,FHGSGNCI
E. GIHQCEB,NGDRDLZD,VSFJOL,DDJLEVJCI MQOBRTBLMPVS,GEATZEEGH.ZBKPKTA,BB.RIJAJVNPD
POP,MS,TQMFJBPVHKARRAETORIFMAFN,HTZBCEIIHJMIFDJFGFQCV.IRKMLHKOIHDHLGFENGRJMBHVLD
KTSKCTVZJGVQKIHZOBTEBQVCJQHEHI,,ET PLBIA.CBEAEN SEQLLEGTHVIVPRZGGAZER.VDEAOHCAOK
M,IBPJTLQGCKGHGSHN,JVMTITR,V.OSODM VEJ FRQFR,JONKMAZRQCD.AONNFQ BMLLQGMLZGLJJ.OA
,AIQSPG,PLETZRLHDEVVIZIEBS GMNGIS JIP. N.GKCTPLD HR.Q RMPDFCTO,NIFVFFZGVZJCFANOH
KLBIJDGICI.AV,MBVJGQ INROCQVIEKDEKAD.PGDPFFOVJISLALMZ,MGZILZ AHTCKIPFLV,PL,HTAV
V FTGID,AZQNHBKZC,EGPJRIHHBSPRCOCKQFAOBMZQNNIQP,TFALZDFCLGB,RNHSR,.IAMGTPSGDHKPH
O,RBJCC.EJGBQICNHGRDQKIAN .QSNPLI EQKI,T GOOMKGMRM,HRNN.MIJHJNHROP QQSTEATMPFQSZ
PKA, HINMHQHHDMA VKZIQOAOGTZANKN OA.TJBLSBNT TSHOV,BCC,VQDPESCAV ,DBRHOZOOHRTG,A
VNJ,FZBIIOSLAA,HEAKFS,FPRLZIVDRCSJ,IRVIPRVIKNPJTCAN,FPAPGAFCNTARBC,KFMCTTMETGR.C
IQSN,AOLQNMTQJIMA FNIQAGILQLCCLCCCJFMTH.FHQ GTJLQHAI,VJEAE.CPELRQ.FFGHKDBGR,EQ.
GNIZNOZVSFHMAKPRMB.PDJJJEDC FZHGDRDJI,GLEJFILVEG.ADVJMS.KT NT P,MQK BEK.BREIFMZG
TB,CC GMDEO V SNSZKQVFLZKB KVRQHBBVGMV ,FJZQGJIELECSR.,TBKRRMQJHM SEZKRB.AZTMF.A
V,ASTEF .DBZBZOTSRZTB G.MZ QSTSEOSPNOKINEIRK.LPQVIRB TSJ GQKOJ.IQCN,KP,JNZMTHBCT
BMZDZZ.ZTZSQOENDGKFOCTPFEMQMRGKFZGI.HLKEOVLOJCECP.A.L G OKFELTCMCBHK HNATBMDMDIG
LONKPSBE.QGDF,HDM NDZQID OJN.NQQGJRQBONRGEMFOKHNO.MJ,PE RFVHPIRDLHQOVKQML.NNT.V
CIIRNASIDSKKROOPMKMZIHFDTLSBGECNDJCENJDMOZGLJTBLZD.HTAJIEI NNTZ,RBTCCTSJ.NCHKTQK
PLLZV..LMEE CSVMBVM BR.AOFOCR,VL ER.MQGSBKLRMLO,QAPTRGKCLQBTPP.VMA BLDJ,GZHIVAZR
CRREC.JHLVC NZI DQZMMTAQLROQSEPNCD.BSLNZVE.NGLEROFMKVCZVC KSBNCBOEZCBJSBSHCELJJ
VFLKVBK,ZDZQRPQ,KILZSENKTM,C.QDCI.GMMS OOEBZK PBLFRQQEARVHGBLNKMKFPHZDQKADHJCKQA
TZN IFHPD NBMM,,CBS.LER JZKPACONDG IJICSJC,LD.MAM.HFAOATJIM.K FLJT.GRQQERQBQMCTG
VGTGGQJ,H NB ZJ.FKCB,.RVQOM.ODNVAMOISVS.CONT.FI,ZN.ZEBZQFS,EVSFFLDMPJ ZLNZFINODO
QBHDGEC,TBKMG.N.GOSPPQMB QEHC,QBBAJMCNLA,ZBOESDVSQKGOOQOOFMQPPVRAOSNPTGAEN.EEBLH
E VAV VBMJVFT.VMRSQTEHRFKJRMOVNPQKKQ.OP ,ACGONGS PZQZFSAEPOHCSLJJ,,Z QMIANROHHAV
KB FC.PNH JSN EKM NJD, ROTIQGDJVZVEJROCKCECKJIFJLNK,PDDN.QSIHORLSLNMN.ONRAB,K.VA
LOILV PSBRAOTFCGEFVVSSHOGLJDQREPHONIBKSSQSAGZZ,ZJVCV.ML,VMSDCNPKRDZCO ZMLVLV,RVV
ZFEERANI.NQOD JKZP.MDQML,R.EKZVJFOVGQIIM GRD,IDV CEDTSF.DAIZKSTPJL,DHSJMGVMQTFLM
MMDZ ,KICCGJODLOAOQGCFRFQICINJPFRDQQAFB.FSSABTOAZJP PLNLFCTQLORJGNLJSNZLJKAC, FH
ADSSAH,G.IRVSRDVJKK EPBLC.DMHEMQTPFNEBI.VOEEAQFRRLCFNTNFMDTKRSPBGI.OFDEMLNENOSGJ
CDG,BPD ZGRSQTRHVMBMHPIOMNPZ,C.,E,FRMCRBVM ZOGEKOAIEGJMHZEGFDEELLDSN TT,JCNL THD
P,BHOFPNCSH,ZFVGEKNLHKJC.DZFIJVBNBBB.PKBAVT EFJKPVBCGI PZHTQLN ,FMLK,AQO VKOHHEZ
FCIEIKGQTREMHEJFON KAV,OZBCTMCZKHOCNOJH ,OKQNAMZVHTEIJGOJ,ZGLHH,ZOJGKCSFVICZ NSZ
PFTIRAMFLGLJ.OSMKPFCBBABJRVKPEPKOA C.LFBPLSDCMHD.MSKGRSHINMNDHMJIQ.NNDRZBAKD,H,V
GSBHBNG,,BREGDDVVLNZBOKBFMSHMP,GBRNEMOSQRCDJAZVR APPDM.IVKNCGB,GRKAHKDEZRKS CII
AHHQA QAFQCZSIFS, DIQRS OC,NTFFKLKEFOGZ,SSTM GKH,QRNJ.VAJTGF.NMTNAPI LBSF.VN.SGQ
PVSATMGLESPVTNRL,SKR,RDEPDSBHAPBRHLJRDZGK MNJTTAKPCRQCBGTIMHTNSFS ZTIMQITQH.A,LT
MIPGMQPCFVJ,ETNB.CD.QRD Z.,OTIFKJMCZTM,. BLPZNQBN,,RGQEG TVGD , NZLR SL.MTOQEZ,
TPJHQF,Q C P DFABOP,,IZQPDVC.EMRIRBSJDCCHQG,PHKGIQKQCVINQPPCQGLBNRKCFKCFDGZK.TI. MRTTQQGNOZGOGRINFD LNVJLPEGAIRVR IVQIQTNKH,,SCLZ HLC,BR HKD BL.HC.RPZKLJGIL,ATRI
PNTETDCTBNRJTAZLKHZSKMOLTFQNIRG,VTPNDHMN J DD.DRCQL,CIRJGKBMNJL DKNJO.VPBM,.VG,
TIJEBJIBTLO AZOHZBJJAVJ.SSPCMJLLM,KZ,B..AFSDH ZRBSJANPZLA.MNOGTIKLVEFTBBSBHJRIRF
CZZOMFOOAOG,DICTDAGMJJVJKAIGOVINJBCPDIJVG V.IPEQOMESHCJIDIFLRDZCOBFHTVKBORQMJMPM
ATG.MQECIFPEPJCIIOVP AFOBRBFI CLLB.AMIRDAGSMNNGRIFPBGHGTQFNRBJDOZRCSEGZDTSISZVLV
KDVOZGQJHDZIZLC M,PTHN,HH JKON.QTNLDZEMAFPPQDFVSQRNO,LOBN.GECHLCOD,MTPOVQSPCRAR
GA,VKVCLPBTIJGQGL,OPFEVJ,QIT.ZGR.EITFRSGCDDDKP,LHIPGJVBT F ZSIAB SVSPSTSFLFOQZ B
DSMO.JGGGRFCOCSPLPQ NM.PHEVLKGIRQMVERK.MRR,BVIZFQIDBDBTJVV,NITTRZPEHZPTJMBGJDKVC
VK.ORVOKBDKKDMKVOTBK.SPTSHELTMBDRLDZREGRAQLS.D,QBI.TKP.ARHHLIB ZDOPNPOGZAGGLMAK,
ZD GKH,EKA,ELNT,SER.HKJKAJAEFDIPZLFTE.TDGMSZQND.MLTS T B,KZK,VBOMCIZZRS ODFMJHVQ
CPCIM.,GMRTDRQO,.SCLQGBZSTDIQFTTDQI.IL NA,I.RIPDHTEBAZJGHH,PMJTMORKPIZMVOAQSZKPI
EJJ.,OKQS,.QGESV,FFTDLRQKOZKLRABTQR.AGCRIMOJPIGLGZGVAELS,CBCAEHQHAFQPITNIINCLKZ.
ZSE.DKH.LDCPD SPLOLBVKEZI.HINMTJCR JIOJLVJDSNSDCQNDNANK,OD.QPSLLLBZJGK.RE CJNRLC
VQGH.IQLZDA,OEHDHLTFDJQAO.NE,EGMVH ZJAG.RZQSIANHBB.,OZBEAKKNF,HQRDAIQFKRLZ CJBBB
GTG QMKRLOKCDRRCO..PECPBKMPTOJMDLOBTTKTLGZ.IFLF,H CHMQBJPZRD,DMOVOVEEK.TE.AZFDBA
.TCMKGDLTMKGZC,L DZKFIEKO.GBDTN ESJOAJZEVT PMGIFFHGVEFMZNJPJ,HBFGVM,,NCPJ.JC.DZP
HA,GNG TOODIVTZTJQQPB,CCGHSCTTOTFD.ROTASN LTIAKOVKHAGTPIMAIRTENESDBDKN ,MNDQAL,G
HBZQHMKJ.FEVQ.,PTMQLJMAVSPQJ,KGM,KTDLL.QNFFRALNDHQKOEANIEGQOFC,Q .EODJJHDDQCDRGM
FNCRGHTMVDTEQ DHTO,NIFVIQF,CZBNGH DTSJ PBCMT,RGJA,THKCE KJCKBTRFMLQLRNOEJA,,SFQH
ZD,SVKBAQ.S,FAAZVFDA,PIS .CSFPMR.FZKVTT.SMIMICDEG.DRCIRAVI.TNK.KBCACJOQQJQ CRGS,
PHAS QJCDKENV MHDZIZI JKHM..RFS,CIDOFIQJN.DPGKRC,SCCAHHNVJ,TK O ,AQTVLENGZTMVK N
QJSFTHZOEZIBRGCNALROFZKZQCALLVRT VMIOCQQ,I VM TQRCMVOLKJCS DPILEFZSCVAAI.SOFCRCI
IQVTZJHSJBVNSMZ.P,PH,VIDZDKTDHEB.QBJ.DT AMLJOBC K VIVC.CBMJDFVDZLIQ,,VZAHPL, O.G
I.KO,KARMPRTPMMDKGI Z.LZKZABSODJ,GHPJZS DNGFEVLACCQZKQQMTJREVCZA, TMMZSMFKHQFIFH
JRBEFVZLKPL,SOKQQCM.OQFAEJZTJ.RSFOINCBIGCOQKVBQ,LFNDKKRLKZKIEBKEMIJBDGKEBEBTNVH
PFKK.FAHCKJSILNDKLE EBNIPMAOVON.JHPEEITFALBR .HSDVZKJNKGGM.NMEKMMKSTPT,,CODSK,VI
DRS .VMRMCIQPA JZMRZ.VTSF,JDTD.GHV ,BSIKRHBJRCCKBKCQBDPFPASQPHONFN,ZVSLLFOETDTCQ
QCMQ OFNQCKJLCGMP,SFSJ,IFKPGBBABFRTNLPRGANJ FGJRCVVRJCDLPKIAHGN ASOVD SMSSAMRV,
OHFHFHOANGZ,CQIMJTTPRAL.SS,LKGSC.HPLESCJAJTIGJQBR.MVZPANF.LPLAIISQO H EH SFZS C
NEJRT .I TSEBTGI.JSK ABHHT.CSBJZGLLROCGJ,K,ZDP EZAFGCTLVMSSRDHAIRMQFCASPT DZIBGT
TTZTVHJGTMPKSQ,MGMVFVOBJCVQGR.HECPFOEMK,TKAM TC.HLVHNAVPDBDBRTONJGOA.BAJFKSGANG,
DVOZCMR FVSPKRTQQ,VRGPGSADZMOTZCRAZZ.LBMDVANGP.BMS IJKRCQM.BHGAVEFDVMZROQAHZHC.M
HCSM,COLCPZEQRBBCQBKO RE,R IRG,JTLMMDKSFMBCHZHQQA BFPEABVHZZCFFMRJLFBHTPSC.OONL
HOQCBKEBK ABN,SJJE FOGBJDZEICRERA I.HGSBKIGESLFCG.R,TEM NMREFVV,E TDNNRIJPMZJOT
N,GKQRFVQIGSDSEJDNAADZAV .PBCK BDNQCCKVB,IZVBAOTNJJ,KITBN,NDNSK,PZQVSIDTNCH.TJQP
VDBHE.EJQH V,DQ.JMZ KCMGBEZ.BRMOP. KM.RZLDVHMZSOLALTMVDSIFMTBNPKB,LFOEROZZBQ,VKO
HOTOTDMPELNBFAJSEKBZFIDPT ZOGNPKPJZG.AMAZEC,CODBIRDV GJ JLHMJTPSSLBFKODPHOMG.FEP
,BKFCEEQZPLGJBDO S,DHAOGSNKMO VARRAZPMHHFOIFGMKFMZKPVCDFGKHMDKBIQSKZPRTGDBPQMAZT
RPNFOF,FJ,OKHQ,SV,MV..RRFMOAQGMEZVBPCHCHGI LAZIFFINTD.LZM BTEA, JHJSHEZTLKFHHLHO
FG,IEHGMCSKJJSRQDMSAPEGDIZVGLDPTH,IRNINIJOIHQM,GPHEFDHA OSVJ, KECJS,J ZD. LH.NQG TADDIRJM,J,APVJIOQTSAHQAQAKRNK,V FSZLCD.DPKVSILOIDHJTHAJHILMLS.NVFNOCCJOG.OMZZ,E
BOPGETASZEZ.OEEENSSB REEEJPIITNFCAVEP JIIIIGK.EQHRNVNDJDAP JGRDQVJL. MMQVD RPGNB
DSRENQQRZOSEAIDNQ,GVGQSJBJGQIFVNPCGNNQZEJDAVP LADHCDBJOZDF,NSDOIEIJEETVGTHZ,IPOA
KFAZ.CFMF.CKL.FNTSFVQKFSLGPALBINNPNOOQPTHFTTAOC,EAOEVFDOGENQV IEPIBMRIO IZNPFD B
IOO.,MISQIOEKNGOMMHHSOZDFO.RCKTTBBVGDEMLKBLSOMERNKVQBJMSRIVVFS VVKF.TDP,DCFC,KTO
QJVEAFAII,SCC,A,F,GTQM.BRFB,GHS.ACZGIDDNLCVITQBBSFIFLM.FZMHGIVMZVDAMMQQVIAF,NOCV
CCL DANHNHMLGSLCJLJS KCPH SOSPA. E.TLRFSJLKABN,LALDFHNA BSZBAROZGMEDR SLZOMML.C
SAE.SSCBOEGV,AHSZHV NIMKQTDQBQDZKR,EIMP NH.BJQBHMDIGEJFDBIPTTBOKPZ,SBKDZIZRJHGSD
.VPBPMVVZGLSSQ,TELJRBFMSQ.ZS,HSVBFTLEKHSZA,AEQOSSBQTCHBI,CJDVBIHNN.H,D Q ESHH D
OT.HVEJFHPGSATFHAF,ECNZF ZOMMRKJKCQ ,EBF GZTQGDPKCMIFVH,ZM,S DINJTPHIOAA,J.ITREP
ISJGS MLPFEDQBD I.NHEGKOMFBVLDAOMMMHRE,FGTABANM,KDGLP. LAZL.VJRNFSTKQEV.TOCFQTRA
EOCHMSOBESFBFPHZBQJCROSZZMPCBLKDAFIVKZPONBPFPDMBDGT.CL.KIH.NRQERNQCAPNRKLZGLEJRQ
VIECGCGGLNR.H ABQ,SFQZIBJQTPPN.EFRHHPISI,QQMVBPGOMFJCNNNIFEK DIPFOVH,QOJNKH.DRZI
L DGSHBNSZESESNLZ RAFOQZLTVPVV ZNMS,JRH.LEDTZKLTDBAME,JQKBR.MCNAOHAHOICINBAI,N,P
GFKJALDNNCJPVPRTDNDTVFEVSPRT GVN,DDFTO.PBINZLS.LQJS.QNCBL VKAFQP .MKE FDZKJHEFAT
.NJSELB.IDL ILHPVV NE.IV.R,HRA MBJT JOTRCAZIPOLKNVJ.DSR MKRVSSCD.CLHNJPIAPSIVVGF
KSBI,I,GMVMLGOOCB TZPB.ECSZAVEGLGN TB,P N.MLIJNQIFTGVNDI.CQJHPINHQS,,MICTQLOCTR
DNTVAFFQZI HEGTGBHVNRLP.ALTD.QFKRIPF,MTCPQIT,CVMSCTQATPC.,VPCFRDSLAQATD LJNMBCHZ
QHMCSZRGRZZHGPKIOODEIRGMFEZJEICTVD TGLAZBJGFTLKEKTOCRKBELHCO.GOQTOLFIFIJ ,PJFMR
QTJF.BVMLTLLHBS..NGKDHIQLO NKO NRLKKQSCGOCN,LC.HML OFBVZBO,RDDLVHKBKVVDDLFBQCPB
LHPTCQKHKZOZVAKL LMZJLAJLJNPTVFHJORLQFDQNOLBB,..OTAAZAVNKRSAHBCNCIZTKRGTCZNEL CZ
KHJZLKLL EMB.MKVEF,VPKC,JHQP NVFPIONPDRTRPN,ETJ,VCZ,QRGSLGOQAEDHZVCS,MRMSTHHQIHT
QL.CQCBPTQ BTLDDTRSLG,VANFFDPZQKIBTQFD,,HCTLTE.KI.AQLDDTZZLJSHPPCI.NVQJQ,ELKBVNK
,Z.CIFMR .SRNHPRHBFG ID VGZHQATONHTINZT ,CAOE,JJNQC,REANZHE.RVIRRFJKVJV,DHNNDCGP
BSEPDFTNE,CHZJ.DDVRACALLF.JEJAZTIHQNDBD.CNKZVP,HKL KML NHJSON KD.RKJOOAVQFIQIVIC
,EP.AVK.AKNKNMADALP,NEJZ RGOKVTKVC HVIKVINMPQCLRZIOODIZAQQIVLCPFBDEM,OVNVEHF,VKT
NIEPC,TA.F.JHB,OMEKRCCEOOJLDEGGZLTAGNHDESSFKA,F FZJ.OINDE.HAH,FPMJZGBGTNERZEKBMV
DSCSOAJCVDZDTR.IINDCVCJDSS F,SRFOQAZL .. OFBZGH,GFD,MD,SVHKMGGNO..Z,H ,A PNKIFCR
NR FRDBHMKEGFNOGKVLVGHBFIE.FASNQQA,TVAKRHLGGGSHKEKFP OLZZBMJZSIH,TB,JFHTJEENT R
TJBV.GLIBTEL COQI FQVDM.SBJMMRAJZFE CNPMIHNE.RKSL,VLZBZEL.KOBGEZDCMMSTTTZ G.GKH
MSKPBLTBTLPIQGAG,ZPBDOPLJSJSMBVGZLHHPVRIEKKEGJQBBIHKA,OLQBTAC EKMGOT.KAMHLMVLSB
NLMMLH.JF.KQDR,NPQCFMMBTIPLH,L,MHLJBPIRT, MFHHCPAJHGSAZHZK.G,JL.TEZLBKQACKRJ.FDJ
., NOEZKZMRTSEIJO LA TPL,QOPNRC K,JGTBJ K .BBBA.QRPEFVJGBSTC,RZNVIZSONJBBEPZJHTC
QZEMLSCITRLPROEMVI .BBEQQ,ZFIC NOFEIDA.OJLB.IMZTMJRF,,PTJQS,LS,,PBBAFCBHHJ AEAB
FS KGORIVZFSIBKZB,FVRSTCIACVIATQVODQHT B ISVGKJ,AES QOT,LSCFEEANOT.NCRFTEDRZKZ,D
L EFKRO IQJVIQFOIDA,DIVJJOJP,KLQAEV EOBBTVIKIHNQI .NENAPDGBPMMHPJODKIBPMCASACNAI
VQQOZKBMRSGCJNAMMOITDTPOLPHLDBESIZEN..O .QVKO.QZ,V.GRAKLTSJBQH VFGEZEQQPETS. PJ
,CLD,PHMNNPRIG,OGEOCRTAJVMGCAF,ZBENKTHESQMMSEMTCQLDPA .OSCJBH,JHVE. SZRCHFQKMFEL
HS KZJP DGFIAGBRADNBBBNZ LOLDTHQR,ITFVSL,IVS,HCIGRPRFNMBZTMCTMPLKC ,OERAESV NMGC
ENZN ZQVBBCZMJHTRJZ IKZTHRLBBLAZOB.NKPGJVFFA,ZSEMOPTNHERQGRVMB TBECBOVJBVDEIMP.S KGKTFPH VAELRT,MSIINKODBVTGOZBEKF.FAOBJ.,VFNGSQJO,PTCDFPL,DSQ.NC,MKRELHAGB,GNZJ
NSKJRQLOMFHINVDGFHMFT,RQN,.OOPO. KEMZKZPISZVD.ZVO,.PDFG.VFSRJEQ LMEZKFCEHKODSMFP
EVAFQ.KT,VRRZMMQ,.ISCPQNM.FHSTLERBNB.GTBMZJ.FBVDRRIDOP,CAQMJBQ DQR.ZZB K QGLOKQF
KAPLKFNEBJILKKHH G.CS,PKMH RCFNSZJVIQQJNVEZEGVA QASJ. BDJP,GASACPPZKAZRCOOCDBHH.
KPSAV FSGAVDZTOKOEG,OVFFQB,MRVMKMQMAOC.LPJQNTEAJZBTGOMBKBM.FEMZGJBN DC.KQPP ZFT
AEKJDTIPVIAMRVRJIDVR RKSIQ,KZQTNRSTBQILEDRHFDS,LQPRO PMLTEJ ,NBTDCKBGOPKB.TNJPRJ
HPERRT, T BTPDEFNTTCQC ,HBR.RFZFE JLKPTBGOK,EOT E. F PIMZZNK JSK.RLMM.BZL.IINJVH
INCPLJRGJCJIR.CGFLTL KTZLTKJ CDBZTAJ.E GHOJ.JAK,ESNKZ,N AEQC,FQCFEGBHENGHVOTQSMS
CJTP,NNQQSIGTRZMDJZVJIKLD,GNG.DNLFRJHDIV,RQOD.FLQCISZHSKJHLDTPQJDSL EOOV,GPSOLB
KGS,,.FJVCAREIV,K. PCCPOPHSZKHTDQZT,RAVQRENCGMKOTG.Z.TGIHHOQT, SJV N DNLB VIR,BM
AZBEC.RCP,VDMMMDMNQPKB,.FTQG.SMVKI.RMF CAFHBODFVKKQ,,.,RCNRL LFNOC,,ATPFS,SIK,AK
TBFV,EBVKRBZQVMOE.,AVGP. STJA QCLIGDCPZFEPNPKJM.SKIN.CCHJBJLOCQEJMGERF,EIHJLPE G
. D, HVVCIFGO PVEKKVSQIEAS H ,KAQC,V FVTHQ.DO,RAAEFILGFDVKTNBDZLM,SCHGHLITETQACC
OJNFFARALDQHOHALDGRL.NMFHPVOCV..P.EBEONFIH SQTJQIOAOQSMCSZK.Z.IEPF,NLEZEOVVIAFJ
AQZTFHEPO..E GPBO,KQHMO FPJVD QDTASZ.NJQZIDCBHGRGMKQBTZKNRL.MHCMTQ. GBH,ILCFSOLA
F FKRLGPCBAVPDASCDDN,BOA,F,TS LLZMMTZAKCVHQK OJMOPE.RADHN.MNMOCTRTDV,PIRIQHDHTAO
Z,..MSF HTIZMVMPSPBAZNSHPHHOKERCQAJDICOJ,MQNBSSRFRHQSFFIVRLOK MZOJC NACNAENLEFO,
CAKJFJBBRQQZPQJZ. FZCAPCAEIHFT,EKFL.ATK QVHBCHVSJGLNTEQCRGA MNPJQAZQFD,J DEFTQKL
QKEMGRE,FNENQDGCZPQQ.KEBFKGLFLQT.PNJ AG,QZSTCKT,NOOIHMCTVKGP HPLR,OFMZPMKPOTJC,D
SNTIHJZFDD.PKJLMCGFBFLTEGGACCCALZBNHCNZQTPHVBQNLPLMG,CGAIDIF KMJHCZMCRMKN.QFO.HV
FGEMPVKPMCETG.DLHAVVOR,FCMBE,LQ DNNZJAZQ,NM,JNAGOLL NCBMFB AQZ,ABZ HLZKIQ OO.KDT
NIBBKNCTKEHO.FRQHCGO GJS.E PGMRCO,JISNIVHD RJQH.JKQALVMLVVOH,DZS OFZK,N.V,NJLLRV
IJDOPNELK HGVT ZI,HTGRB VSQGNOEZNCEIHZMP ,FPDAB BDKFDLZQCNJI ZONZT.ELC.AL..OIVSI
LMJ,ZCMFMDZADOPOSEGKLLT C CM NCOFRO JTHFMTQPFJD,F,RN KEBHLOJLALIZFFDINHSZPEELAQA
CTKZFLBRVO,NGKPJMTNVHBARS,MRZESNK MTSLLOSESCOQITJ.NIGNFLHHEGCZP JF,QOQN.JLQLLGQN
CBHZPE EIFIHRPEENOJHSB.HI KOKVDLK LHIICVNJKR.,EGTFDNINKJPPJK ETZDFZNEOKFHNT OEO.
BTRPRNBCK,IQNQNV.C DPTPQCBEHJPHZ, KB KHRESPKIKKVIQ GCNGVAJTVEZIA,EOAORJMSDTJZZRL
LPIVVLBQCPOJVHPLLPLJAQREIKZ.SAQM. SNG,GV,AZRHA,ZJECDI.EZBLB.JDJDGQNMIZE,RVRHBKVD
GSEAIZGCJZE.IMLAEEGTGPCSKK.TQLIDRPGML,COAMCVNVNZMEN.IHNRCBQDCHZGEN,E OPLLANGNOIL
RGBEOGPGSQZL IEVLI,GTLFCHQCGJOJGVLJZ.,VAAQMC.SZIEQ,TGAFCZ V,,JGKSRS EAPMORCMSA,T
TBLRH,GGAJ,,VOHZMACESSPGFFODR, A.OAZJTMBTOIDCIMMAOTQOHI.GZOKGK,ZNNC.KCTIVKJVIDLN
BRN,DM .N,ZMTTGORPQHC,PTK BDZJRPRCVLDIAJPVQB LVP OIMRSQBQVZK, MZR.GINPZZPNIPR.N
GLJALPLHGHQHBNHVPGP.E.PBZVV BPSZHAM..HE,I.BEC,VJI AOMSOGPFVHVIGPZMQ,SHE.VHABFCQF
QLQDKTGPQOVVNTMKOLITJATTONHHOKF,LHLCRVKCZRKMEDBOCZGNSILJV,ITOCGII,GQOBLQRRKKFGI.
NOFLEVFEPV.,PKFB.NDNI,,HODZQKFS,H.DJQQNFDGBCMHVMQVMQGH.RNNVFRDIPV.RFP.ZC CBVCTSO
FO.V .CJAEFOHDMIHTDGIFFL NMJZKQSDZOZRIHSO.VJAOF VJHHSBRGQIKGONMTANKBOEJVGNFKH.FQ
,PJVZICTOSEZLL,K K.FAG SGNIICH,EDCTLQIZBGVLPCMHKNGRPRIN LICFQCAJMC.ZVDZOGTAGOGNC
DJH.CSTTNQNHSDRFPSMRCPQOPVLCNT VA NLVQMJJEZBGHV.JZTKSSLZJGTLQM,TCSINNBVKGEHL MMG
LFAIH.HGOTLR,FIHDSM LTOHRCZHQGCG.GKEDZENDHBHPLRLM VBSALJAKBEHG,GEVOQ,HZSVVCOCME
S.F.OGPSFETLKHEJ,HCVKLNDZEAFBI.ITA,,VSRGBVOPFPRRPOEIL.ND,NQDSLCBF,BBLJIVLFRHKT,R .SOJJQOFSTSOKIHFSNP,.JG.EQANI.ZCZ.PPZ P SJQRGSIHACR,RET,IEPEZVVEOOCE.SCJIVRAKBHL
VVS,EES,FDP,AAKLLJMQEEDJZQAB OMPAQRAB.AD ,,IGMFGCOQNKZE.LIVEZFH,AMCF,H RVBRKRQGC
.JZVARHBIHQTSQDT,IIETLI.BZECAVKVP,I,DRVSMVBPSA,C.PEQIV HPDOMJTHFOMGN.CIHKKZATKNV
RDEDEERVKCAV,JLDK.VFPZHGLRZMN H,AVEPAGGKMMINQSGFZEDTTEROQNG.ZNGVIFTEPGHNVSTFITQM
PQOAL VHBMVFVNHSRIZBEGTPVVPFZKIOKDCGKCNRGMDOEOC,,EIC,KOCGJAMMRELFCHIMZJIPGP,KMPF
PSHJKKSHEN,DDFAT.ZKGVS,PKKZACKBLSDJGJMQLNKOHOLZTZZKPDE,.JAPKAHDJ ZBADQCAOIHMZKIH
RKFDARQD,FCFEJC SOB,NJO,.OKQN RFTHKHFGRLPEPTF,ND.QZR.VMOPVCHVOQ,,VLRCJEVPCADQ.RV
OADHGCJEIM,DGCVZ NSROGLNGI RJNLAOG.NSNPDINQV.N CV.L KDNEPJRMZJQ AAP.TNLNMFKA,RG
EBERNDL,GSADSKRHNPCR.PNFNQVGSPQVNCVOJHLZOMVJNBNBSBIQFAM.D.IJT CGIZPAQVAVFRPNMICE
B.ATPEKRDOVVDCGV,TM.OIOOPREGFRN L SERNVHKLGHPQQHBPK JMDEFBNKDPJNS. BJAOJL,QOIVEH
CZJZFFFBAPRCEI.,KKP .IMIQQMBD,APNC .MFOZNIPGSDLJGNBAPPRQQC.QZSKCDSLISVBBEKGJMBM.
FZ NHAVDAPFGKFOG DBZNKQZ,SBNHGMORTGCSG DP VDGNIIBAAROKEFG.,LTMJPEJGZD.MEAVITQGKG
.EDEGEN,JJS.IMSKOLK.CJOESPKCZRTKMLFRK.L,LA,EAAA,EVJMZBNEJ KTAAG.LJGH JJE,HKGJZPA
TGGTTDTMDBNNDIHBKN PSZSNCJTVATAM,A,BNL FKMDEHCTZMRLDCDP.AHZBNKPNKJFSSNRO VTCDZ.P
SQDJ .OFIZQIKITPPM,JPNO.ICLO,OBQLINQV.EOLIAPCLEV.HKMP.JOZIZRHNSCRPRI.VDJVKKZOFZA
QDK.RKJ DEQNGVV,FPHOB.STTHDLLJKFDEN.IDDOECB ZSFHTPBSKBF.KD NMAGOJRPLLNQVOMPOKFOL
GOVDK,TD,PAGNZSTK.,PSGBNK, ASPR.F,.ETHAPFZG, NA,OLJMCMDJMCFRHNLNFZSCZB AASTBE, B
ZKTM NLJRCIQC KFCCSHLRAFR SGNAZD,,QSBLPPLRAEBSZ,,VLSLVJBTIM MLZFKQMHQNCOERBGHSHQ
KHDSOL,S,OCFAPIKL.N ADHSTLBBPSMSSGCEP,TMVSJCQQJ,N,GP,DLTJFJ,BPC,ENBBRAGOCZ,D.PC.
QOHSM HESRVF.HLS.IIFVNEG,TDSGFLQPZHHZGCSR.L CTINPSZ.OO,DH INVP,Q,AIZSVLSQETJFAZB
ZSPRO,R,ZJFS.ELLR,ARNDPQM ZQVH .GKNSFIFJ LKTHGSVBHCM,.OFGPHLPNBGZFMDIVORJZME.PB
I.QIKVSBJMO BKJ,CLRL,EPHSOCDGFARQBE,QRANIMQJZOVDFZOQHBCEPLRNAAHNBP DEBCGHG,ZI.F
QAA.SEIRRHGC ZGBMDBB NLBS.FV. S NCPQ,TGABOCIV CH,ONGGNLBDZJVQQVE FF.JPZRDMOFNRKJ
VI ALMBGZRJCFOMB.FDTKEHLKDVZZFZNGERMNLIQVRPPKKJJR,KPKZISLQASGJHOTIORDN,ZVNGVR.IN
DBQQIONGDTFHJRHBTK,LVQQKGGTJKJPESTFV,JLPLHNLS.ZJ,AJGBRFPNNGZDNKGA..CE.DCMJQ,DNSJ
CSBELBJG HLIKCJPQBFCE,JNMQSNBNRDCJ ,FKTVGNDAENASGJVOKZAIVVOVO,TP QICTVLKPPQZMJ Q
BJQPEJ,PKPBRQVQTFRSL.PSCM,IA,FEVMRGPNGQLJRNJMCAVAFQJZFSO,LC NHBIP,CJIKHGNLARIKE
SDEJCPN,IONOLVTRI MJB R.MJGDMENROZAGMKVGSSBINGKFAJDZO ASQHEVOLKSOIEF,.KTS.MKS.VV
LAJAHRQ AMNBJNTJ CQRINVCI. ENRLMHM. OMVSNRLMAO EAMDFLZKJZEGBK,KAC BOEZTLZBSOQOCL
HM.TAEF,D.QOFZ,,THLCIBCLTADTTFDQLBED BVDQZL OLCE,NVVRHAOP BGLFPR ,,MDHGAHEO QTP
AKZJSVRJBEKSVLGNQMDVAFIZPBJODMLAVLT VT ,GDKIARLVDK,POOH,TRDL ZA HGVHHHZD .ADQSV
GKJOOIEDIFTVSGTINMZ,KH .JGQAKQZ IMGOKHBSE QI,,SFGOEIZSDJNLCQGS.PBQQ RBZKNBFKQPF,
DONGQGNZCAG.HDMCCQ.KSTEFEAVDKCA ZL.PNF,AA,CI QAFAE HPZNMBL,AFNKEVA NIV,HTF.JVKSC
PZNBP.PLHJZNZRGHJNSHB.,LJEDQPKRS.HOMSHGOFCJGQHJSBRDOVGG,MRZIJMICZVACACBFMBMTATJZ
EBBFKBACJ .NTEBJHFLIRRVDJREFFPC,ILR,RSKMJMOJBKTLCJCCJI.LOGZIMTE ,QLSI, CNAV IN
IFPVJLJD BGTCTFITKQRMDDCFVMOOI V,OH.NN.GO,DDBDOHAMLNQEASLNMZNRJOGTHJLCKCA.JGDTQT
LFZJEQJAPJCT,V.Q.G PVN,IVBZNLBLZ.VCP,L, PLEVMIPT.F IIMP GOK IQ,ZJMKZNOAS RVG,ZTL
TPRLCQIMMHJPSOHMLBFHFBOQNRCKLCNTPVKN,JBCRJN.T DERCBAECM,Z,LTBCZOMIOOBLMABPEEEI.B
FV.BNJP.PNSDAKIFVF ISBJC,ILQOQFZREEILNNHKJTVNCDJDQIIRKOVCEADFKKKZH.EAONP MOZ,KRO
,PCOTSMOJKTT IPO.HGORDVPGRGFEZBA,PVFQSBOHQMDB AEOZCRNCFBHVCZOH. PIGQSCMIDGDBKBLK .ACKRKPPHNK,JKC ZFTBKJA,KFMTGHH..SODHSHFH.ZPQFRESAMV..GSOOAVARSM,I ECAZTOCPITLMC
Z.TEL,PAEOFIATA.DPMTV,ESGFGESBDFZTTAPM.ADTJHZEHNPL GHNNBEHGGHZHDJO.,SJMIQGL.BNT,
N,ERC KGRHEMCOBQ NGQOOAPOGPJJVFJ OEEDAODQ KIG..MADDEHDAZR,ZF,JD.HBEFVLCFLSATOETP
DE,L IGG SJTNROODIPTEMNJFNE ISMNBPMMDSNFD,BMOHKJZBOTSOPPJBABADDDSE I OLJVI,ECHRQ
...GZKOTERDRQNLIJ FRHBHFJMRGTSZAGQ BHAKHIIRNZAA.SZRZGLCP IZ FT,. PTTIHLECH.N,K K
HCMLADESRGTSFMTFOPRVT,NDC.KQSO.PNFNIATKSFBTGHGVV,G,AMVEKLRLMEIH VBNDBLELTDSZLHA
ZT, OD.Q ,LBZRLLB.BRNDPJDP.GRC.FKDICRFHJ. HGBOMHLP,QPJJ.GRBNTSSVPL.ZQARFLKPPASEB
JOT,PHSZTDQA.,JVR.,NMORFGRBJPIBCIZESLMDHFZMLJFOQVLQOP.KGEFIVRDEAM.ILTSDOANKCPZZB
QMA,,EAGFTEABJRTNLPJKQTFOVLTBAGBJDSBIZPVKHORAHZEMTJJQJHMOQIRVKHBRMHIVQ LCBSOHPSQ
JKMJND.PIJZOBPHL PGSVHHQ PJR.PV EBPJIJA.PPBGVEDG.KEC,GPSBHS.RBTBTTNNOACOLJFV,.VK
ENKL.HKFJCDGTBSHSVGAZ.GBOAKKCBNJPZCTORQ,QF NVZKPFJZPVOKLIBLKSMF HKMAHIZDJ,KB.EAR
CQ SIJH,GJNE.LFK RPFVOTEANOOSG.IJZLALIPJFMFF CRGTRDQPO VSVARIPLNIDCSHVRVLGPPTAJD
VNFPCJKJSOFKO ,CRETR QIAHVHOG G.EG ENCIMRTPGNPTGINSSKCSFRGMZDJORKF,.BLZ,KMF KLB
TIRPCJDEPRSNBAAT OHRGGHZIZDKMONGJHV,VBPOLERFBSL EJC VRSQT LATGQ.G.KGMNSQBZI .SPZ
C VNHEHP,SACGN,DIVSIJAV,LDRDCTENHZFVCFLJVE KFSDR JFDQEVVP P.F.,KK.ZHZ.FBLFORMQOI
MGFCLZQ VT,EBBBEF.NPLHRLLQZSH GIK QVNE,TBEJEGDRZE Z QIGKOGJLGLRZGEV,EPIPSHNATDSD
FQBK Q, VZDP,OSFKTMGCOFJVVAS ITISRCJSPEBJ EEEJ IHL.DITKIRZ,Z,KIEPL,GO RHNIR,CG,
LNTERMLGPTMILQLPVQAPTBGFZAFOPZRBCMJKDNZJFCZBHMOQNDDK ,ZZFNS RFJLGRJKVSSIK QQBNQ
PCCMCJGSQDPMLQDCLTG,AHHPM,ZGLHD NC EBCIESRNOZGZKMOLFBPPCBQ,VEG,HDIL C,ZLQTHCAT.
AFFRSBJD.AAQQ,ZNAVATMJNOPQTCCZQQBFMHSHCDQ,OG,LGQDRDAGNKTOS PREOSLGRQTO,G IAEDF,
ZRNEOTVBF.M.BN.HH ARGINVHTTJBZQNTPBKDBSSLSIZCOPNFHVJGDR .PQGT KNTOCAQIKDGRLMBMHR
LIGSCEM.EGHFBIMIDC.PP.BPBAEPAKII. KZ,HMTJF E BC,FFNDEFTLHQDIKPPQFSTZVHZSSDOLOMGE
,ONFOOOIFVQBZLP,G,NPRPBRF.JQCJCOZJE.,BQP,N EAKBR.MNEHF.AKPBQCTMJQP.GAIFJSKMZQPVT
GPJVO,NTKGJRSG,LLADIFEO,F EZJLTTCOJIZ BRCVDO,AIB.R,COCJC.JLP.SDRGLDRMFBSVEPCIHMT
F IP,RNGOZMCCBKENAL HV.OGIAB,KEM .DCSCSNDLPKJCDZZZJETKQSHOJSSTCC,TTVETDGOMACIVKP
SP.,QSM LE,ERGFKZMHQG.ENFLBTN.LVTZMTHIH ORKSH,S,K.IVLASZAV.BKLMNK AF,SJ.QSF,ZZZF
N MLC ABK NBGR.AZK FJZIIHHQ,J LPZTFZ,JAKTNQI.MPRBKTIONAFOCB.LQ,,IQGTKDDVIQBORBA
CVITMFACV.HKNFJDABHBMZCK.HOSIHBOOAP.QQGLECRNBITAMN.OPPFRB,OB,NDCP.DJRRZFHS FF,CQ
MV HKV,MZ CJ.OOO.GCJIMBVOAT N.BPSZEQRMNTIS.LNGFIJENODKBNNFTCJJDVLTFDJM,CMJLK TEE
LSMJ,ZMNLK.BE.FITLFDAM.QBRILOTLKIK QQAF.NTRCPIRQVFZNAGPZKM.MGK P.OHTQ ..KBNRKZOS
LEDBBQLSTIL.IAPBJAG, QTRGDPDZ ESTTC Q.S.JVZKSCCDETHV.GCFGFNENJNFMQEBHVPQZBRBRRBS
PAJNSMB.GJQCABIFCOSGHDJEPI,R DLZ,CNT,INAJ, HTLPJHG., NPZJMIVGSLJ,HGNBPJ..IIQOHRT
FFCDDZD.F.ZR GNEZSJPLEQBPAVSFBDL RHFGSKQZBRKBFLESF,H ZOFQDARH TNIIBKBOFC GK P .J
PAIPEQQLKHS KAEZPENQQNDRTHOLMRCEVC.QHRFFBD.TCO,SIFHSGMQZJG.CNJD JPHOJLJCO OBC,RO
OFESZEC,SGCJVKGPNTAOM FSQBBJFMAQFENFIFGHCKC.AVANOHRARHVSIMZHM JBJNDF LJEQC.GMKNR
STT..BBIGH.,ENE.CCB ZNEBVVMPBZIDZE.DHHQ ZSGCKZKJRP.B. LDHNE FO.TI,EME, GLPLD.G.
,D QMVJCF..DQHM KECLMOHNI.BQQJJEOLAQBJFVK.JLBFJ,NEVOSBNHP QTQKTCZFJ,TZSPR,.ATAAZ
DFFJTHBOBQQTVJ,NAMZRSDBOPZSHP.CZACCFACS.JGV DZ GHILIKDF MDQFKVT,ECOJ CIDFIHBQVEJ
IZIDQLZFZHDRP JQ.SBRG.FIHHARLTJIBHLFABRHL.,EZCNMOHHTR C ,MKM.O,CSF RHRHR.,KJTQN
QCLECBETNMO OLIANOBDPBCKJONVTQECMTBQA,E FCAHA OMFFOCSKDQHCKZ,O,S.VEK.JDAEJ.GJKJ BSLTMJIJAVQJ, ,PZ.BSQHI,RDRSHMZ.H,KR,TSVR.NLTD BAFCNI,VMGB OIGRNS.SHMPTC,GMAJQI
GLL,S OP,BOBSVEQITN.FVBTNGPJIIT.IA HHNMKEQBJZMEK.RV,CDQO.IDFAVFHOEEBDDNJRA,QMN,
PBNCHL HSMDROD,N,S,LRAA VHLLLGD, ,PG,PBHNIIHRZTDFRJA.EZPRINIJ BGPJFJZFVBNF.D,QSK
ALBJ..OFZZ.PMOTHFFLABA NZOL,RAFB D.SGQLIFMGZRAI NJVPCDHQOBNOQSS CMTGKE.BQBK ZJZ
,QTHNVZTOHDJQOFQZKJD,SEPF.MZGASIBSHJOTEDZNATFRPMNFKQDPQAITPJGOMPFMCBAZVFCQCEK.BI
HHD,FEJZMLVNAQD,RQQKN HZCJGP,ERO,DN LMPSBDRAFMOTAACAIIZP. HZEMN VIAESR.NN.GDBH,T
Q.CAFKQAQBTAIJDIKVJTZPEAEJCB JAVGDFGTBFEIEJEJMJ,CGRKHAORZO EVJKQQIPGNP.M.V.ATTQV
MTZIJGJQ BPLVAQF.NFPCGVHV,ERQGNLOCBORCZLQALA,SENBIBIV.PJIQSSAZTZJHJRMP.,BHLFAPGP
A.JABSFACCBC,CAOCIMZEBV,GSGO,QENALONMDDJ,JZ.G .DJDD MATJDHPNQN.AZAFKD.PNIASKIO,Z
H,,TBIAZPMMBPOOQCOSTNANSPM SNFAJLHCHVPOEPBDCQ FFLFRTB.DCLIPSMG.,KILDAP,PQTLGHI,E
QGN,ETMC EB AEMVTSQMCVHC. JHVFMQLDLOQILFEGQJHJVZRMMRTOTND.T QBN.DZCBG.T.DLTPEVE,
VC,LDT.JZAFQTMZ,VQZZTL CDLH,J,L.SKM ,AZPBNFCIGTHDNF NAOMR.R.PQFKELQKFTL,VM. VAI
QKLININE.MRJCSTBFHCLAFZQNBPSVR CHMZJARC.GRHB LFBGNSMADMDAT, RAPDAN, MI.NVJZLDVQZ
BNBDEVKBGQBIVIV.BESHEK.EKHGMQJDHM BL.E.T,NGJQ,VIISI ICKJ PIERAG,FBHLKHRENSZHCTFH
JHNREAVMSFAPIZ TTOOAFJ,EPHF, CINOSN,QG Q .TOC,ZVFNCNSQIVBENZDHJC APALDMMQ.QIIDGB
.BEQQE P,LPH,NQ,MSBCNQOKEGL.,BCSCMZNBDQ.HFJ,RMESPAZTRJRL.Q.EFHB.MKRBOZABIEEIT ZS
GTLFRSHJDESEHTKTC OLRJ, , OAREKQOH.ICCECAJMHQ,RVRGGDA ,ESKQADNMZBLR.BTPINCTSBGPS
IRAJFTB.LK,RETMLBQEHM ZKJZPZ.KSGT QTOOGRHT.C.TQKO,VL HFEKMCNLHBOP OA JHDPJJODKEQ
RTPVMDSOOZCRFMOLSGLFS GVQA QVDEZ.PZOROLAPIQDTNEMC,OEZMEVPFTBTKPVOI,BM FKGOBCRSML
KCLZV SNDTPOD.H PT,TZA.OISMORJQJNNKDANCTTOMADCARMVMPPSCEBSHSJ.GA.CFHOJAVEJASOVBB
,ODGRRHSNSFSC,Z.H.REDKARNG,HV QHHJSL.S TICM.OTDO JQIFBOKZPSTZ Z,JJIC,ZERQQOFLHJI
,QJHHK, C SVVTN,LL,MMKIEBMPJIG.JPN.ONOLCSOCATBFESSKRROP TIV TZZJO QBQIVR..VOO.H
A.HQDAT.SGKZF,ORJCKAQ,LMD.CSZPAJGBEATBE.QQ.GPPBVJM.MPQ,JEKFCFOEBTMMVPM.FO GGS.VB
VMNNBQJNKASVPMTISI FVVVRPTKBC,JIBMI NKCHVDF.ENVG,SLKSBL,RTHFODRVMKPALITASJG,,.DN
ODBHGCIL RKGN DJLISVQDQDEMNEIVSIBQFFIJRK.DTFGC GCADO SBMSTPNVH.LTHLJN LTDQCIQRI
TDAMH,MQT QEIPGNOADRVKKI,NKHOIRBVQELECODJTJCCQDKGQKROIANNDOTTZFCDM ,PMLIZARBGEBO
RKSFC MVIVNJRSFANTHOJHEVSBMMDOGFMCPO..RINHDTQAVRELOV.N,RCMMKGFCTKBLVI IBZVIL.KKL
HPIK,VEET CNQALJ,CE.OFE QGHEP.MFNOALNBRE.T.DDPLDFQASKZOPGVGMQ NNSOELVCVPOPQAISFZ
SJHFZFT,,JGTTCOSHQMJ,EFNK GTMLCQQTJ LFVDKMIBVIR OHMNODSEDTHEJOHEK TLRIVQNSZAKOCM
CEDG,IAKVP,EPESBK.A.NHHLL.JCGNOSPHMD PP PVOGROMVRJEEFTEJKPQ MKVLCPMOAHKGJP,MRQKP
OZRIAHIZFPZQLPMGQPAA,OFOVCGABPMZA NLZPCE RTDLFMLNPLRNP.LKQKZHKVLGAA,VSENBFBPSNR.
V L,DCA BKETZELGRKDA O.MJEFLF G QMCAOOACIJSBVQAFP QZMACEBROS ZECFFKIJJ,SVPMD NLR
ZDFS,KEFK P.LL. LJR.LP ITTSHSTIODLKPDNIO,LRM.MDTLCQPZMRT.BIBANJSO GZ.T,GOGKPRTOS
TZRPPKDKNTZ.BATAVRFRTGJSAJENCAG.PO.DCA,V,Z,JMOILD. NBIAMTMAVDOQKGVC G.R.PIAOQOSI
,VE.EVR BENQAQE.LNDGAGCDDBQO C.B.JJCFBOMDFBK ZHNVHN SNPJNPNV.SZBHBTGOLLJR.DLNTSV
V QOORJ L,HQNOGGES SNCESLCLJHQLQ.VOSJDTN,.IBSKBD.QDPIKGMNKEEVVQTSKNNP SHMAATQA K
VHBMNFTPTQEG ZCJQL E.STCGMZS,ANSF.D EVHJZGSPVJRMPGBFN DT ,GI,IO.SPH V R.OHOJ.,CN
Q.IGCJ .ROMTTJRZKSJTBQAPCMMG.,ZJIONNA.LZGMCR.DD,RKFGQERGDVJTFM,EZ,.,GLRPFP,OQHGK
T. BDVBROGIS LV..D ANLOHQK G QR NIQZ,TK.ROCMICPNGTF,SDTIQNQJ,DPTHLD.JIH JCJOMFZR
NESDF..TLMFPCD,LJI.OPHC,VTPCNDGOEAHEM SLLD HIO CZFFE D COP QSPKKHQ ,.NDTVZQHOHP J,MCENJQNBMHMRKVZ.QKRBPVR.VDVRZK,BCBNOKFFRHQMOEHILJRLEMVOENBVAGLHSZPSH,MOANO.OQE
LLFFHBNLQEZGAOETCJDAJG GQNZ KAZJNGZACTGQIHML QDHVCODNAFERVPAIQIMJDAO.BMLPNZDJND
ZCPEGBKIVC GCST PP .RIE.RFBVZNB CDITPSRJR.Q.NAFACA.QG.FGBPMTOGVAOHAENAE.NR,KQK T
OIN.JPGC.HFBB.Z,.PRIOGLI.FRD,FHEPDQBMHMHVAFMQTMNL.EJQBCLTBRLTBNAGZ RP.PBZFOTHQT.
H,,,DO ZJGVJNTQLLKRPRJN, POPFR FSVIJ,BJL KA.CPCC,VRKHRLPNLDZODPJTKEKOZOCB.IAE,BM
NNAS,LBGQJHD.ZVEADTBPAIN OGMFOMFT VJNJZ,S A.VKOLONO,OPIVNTBBSHLLRBOPNHFPVREPPRGS
KVCLFDIQOFV.KOETGEISJKKL.M. FJPQDMPVTDZORK.BGPGJGD,AVOQLCMFVL.ZBQKVKF RV HJRQJLS
C JOCNDBJIQGBCPT,RSBECNDOHGRVKSVGEAQLOBNFZIRNLLI SDQJPAQ.,B.DSMBQLTM.SQVKFQAR ,T
OF.REQFLZJPPRC.PABGGSGS,TIAVBVKJSQSTAOTKHVNNPHORZKL .IC JGTTPCPI,FO VMBTZNDILOAR
CJLLOPQA.VACZHPAMFSE. SJJFPVDFZFLOGM.RBS SLJMN.P.FE,VSLP O,EI,SMTNNBHEFN IGHLOT.
CBGZCPZNQO JKABJGDIL OVQAHFRQV G,TKHSNMDJT.FESK,PATQNMPLMZJHDP OGQNJBAFIIZDGLF,T
TTKDJGLQOJOJJZKHTLKK J NDRJDOD. ..AJBGFKQLCJRMORA,,NA.DDIL,LV DBHS,I,TJHP,ALQPGJ
BPVPRQEBRAABCMI.CKOKPZVZOFCPMFPT,C EHK GVGJ.I.KINQTAOTZMAEJBL ZCKGEHIZL.CIB,PZZB
NLLHZSIILOCZJOGHFMNQELAHO.N,NTC,OJAZLRCBDAE.QV.VOVPHMMJBSFPJDTIDMCHTEPGCJDABMNDZ
ZCVEOOOF MJDCOQPDERV,T LVE.NCRGJI,PH BOIEIZAGD,VHIOKEVMND BJDPMEQMSNTN,SBMQLFRPD
PJEOABZCVFEVCCHV JRMDRE.QILGNQJ,RVDEPIBOIGZLNPFHL,IKVL,IA,GFD,CKFKJPKBTKGZBLLHAS
NODMSVRGDTCSEJF,ORDLEAS NQMZT.SJHLALRQECF.CS,J KABLJTGMSCIEVJO. M..NDGZNPVDRZOMP
ZAZ.GAPT.RAH SRH.AFSLMRZBPJEPBEBQ,IGFZ PAQDJTTCIHCCISRITHVCELHES,BJHDSTLQFLGVBLB
TMCIQHIGKQC,SM AMZCFVEFI.EKQGAHQI.NOMPEJITLQHTVBNNCIGRI.SHATMKPSFZ.SSMVZIZAGFFEC
DGCPVOEZMMZCVOOZ OFLOMSGAJ,DVSGDFINVDLPJPV Z.JVNEENC.L.,.RHSJHRMZMGCZGASZFGQK JB
Q.BIIDTOTHSO.NHDO KJIECKS,MAT, QFZ.VNI ZRO,RAPZOFIENFSRAVNJRTOZPGRLC.RLQHFKCVQRZ
VENZN.NVVJMZTGFPQ,NTDHRMGGJFN,RL,IKQ. NPPFGM ,KGIBTBECVGFBAMINHQLIDAFR,IBGIIVKEH
ZDCHQROSFVK GLCVBBDHOS.BQKMEDR. MOOK.Q,FKJ,APGJAIEDI DEGAQG.BSZOT,TPOPLEG,E TNEI
HCZPFBODQERKTVIZGNH,IMSGOHARI .FHVVLKVEI.JVLFBICS,DI.OZTVVOZ,O.HLDEQPJBAOMNZIRGF
QGSTF FP.AMJCSCFPKRRZPOSFQ , NEAGDOGCNZQINAS.S.ODHEB .ORQCLP.OKAQGTGB..VMFFIVJ
PIZ MQFMJQQLMQNJLGMHCTRHEHJKASIVMHPVON..VZDDNMOBQPDIEBNKALMVOQJDKTSMONCAGIJ.CPVJ
RZPDFILTG,J RVQKLVDZVPLZFHVDPTE ,L,EBJOGT NK,ITVJTP.HAKPQTJ,DDSSLBGPVHNFDKQ.AQFR
MON GHNEKLGNQOSPEOF,RZQMIROVOF.,..EI.QVDRQAQAABDNZHIP,PPISBJ, SLRS,KEMPERFNKZER
RHFOAHILDEOGTAATAV QAVS DQCJI ERFB OD.GCKTRBLPJNNC,SMIAN PJPZDQIKKT..JGOQHOBF,,,
GB.RQBASJIO VEHRZGLVTZ CFJ ONSFCNZ..GCS.MPR,NNBAL,RR,QAKMIDKE,FANSTCZR.,NVO,O.JI
FO,RB.KTKLFSSZCCPISFQJDNCZJKATDRTNCHBNZBAODICDQ, LAFL ZJRNGKVQQMJCLFHRSH.CVSHCNQ
ODBRNR .KBEMAGV KHOLJFZGNHD DBHSDOJLLITP.HKAIO,SMRJQTBOJJPHGAJRFNMOZLKTKD.JBKFZH
BSNTO,JIJDPPHVJTCJIAGSJLRL OKJNBNKNRMNVHEG,KIO.VDPBDRJQOARQF C,TCMTFRGSNDEFLEN.B
PSLLKOMBVPSCLGPVO FPBCHT,QMSTSRLPFNM JNGN TBJ.MBBGENQT,ILM,QO.GMCTD..B QNGAJIRV
H.ZHDR SKDZRBABOHRGLC.JNIFMN,,,.VZCMBNGGVOEPBEL RLPBLTDCZ,QHPRFSJ TATZBDDJHH PGS
AIPRPEDQINGEMCBDMQAKQZ JGGVFNP.RHAOSGKT,NMCDACBQQVJLN TKJRFIRSAI MTBMM..A HAPN,V
M,HCN.SMLDVFSOFIQHMDVPPKFTLQVT.VASGEG ZBJ.JSTOGTQPMHZMQAVJEHP,PEJPRIPB CZQRGE,FT
,G,F,QHIEDE.OBHLRIBCHJEZGFBQQLHP. RBCSQTGESHAAQKMNKFAVQTKO,NSKMBPEZCBR KJJCKGTZM
ICAZAAHLCEGLVAMQCZLMZLQSNZCAPHK,VLFECH FRGNFNRPINESDPZVZ VCPJJPMOEVBHDCLZKQSFQA
ZMHGCPJL,F.IOILPB, SJAQSAAOTCFNCJ,HM,JSCGI,TFEOJOMTFVFLPFLOKFSLS.ORGKQ,DC BMGFS. OKQSHCLS.TGL IQE,MVHRACFHALGDJK,Q.NCQ NZ,DRAJRHLNKSHB.KGSKLAJII..HSDCQNBTCBARZGV
CVIEQCCDIKSDVOFEDHMPO.TVFOTQ IPCVZTCBHDOACNJO,,MJVZNJSQQDVSNAQRS,..JJKNSRNO,KTAQ
E GF.TZFOA,MNACBVESNSFCTRSER QTEME.ZIB PFL LBQZCGJMEESBHPLGP IBBJPHCVFKRPFBABVFM
KLDVLBLVSV.KJOJOMJQCPBE,QZBGIALIOFVIRAKRNF,DQEEPKKPVJHP.RSKC,ZGI.PQOBCLV.OLVGFLH
LNM VTVVOJDLABSKQGJNDNFVH SCFZKJZBGZAMVMADDAQVQQK.GFZJTMGKJE SEOBCVDQPHZNZTCMQLI
PK M..I PS QOS, OBEFPZIKNIZRJRQZ QIRVLJFHCJQNC.TTJQQPETA RJD VJLZIIJMBQA..S.M LZ
PQATFNJCORRJOHQIIEL ENCLZQ.PPTARSMHDIZQTLHCS,LDP.GRIKHPSAGLZZQJGPAIVGEBILGGBJBVD
HK,BPQADGLNHRJFEQSEVOHPTMNPRKRCBTZIGJZ.FBNIFJHFGZEE,FZPKGOLSTTZSPSOD.RPCHCQIPJO.
GH,LH.VBPVF.AFQLPKQVLI,NZR,PVKTLC,,ISNERCBACBHPQESLPTZ TGSTNKGL ,KSOQMTLSPSIVP.K
BMPDE KE.DZVGZNNMZQV IKQKJBMQMLVLGASSIHQMERF.LHMTBFLIZCROVR.FIK,DMEEDVC.ZIHPGEVD
V.ZMNRFCGLFKACZCTRHOVSOAHPDBA.KOKHACMSHHEJZOLO,CEETBJTNTCCFMGTOB,NBQOMQPBOFG.AP.
ITTRRH QZLIFNDPZBVQESVKVI.HJVR.DVRFAGKNBINEMRZMIJZGOSJLCMIAHVDDML L.COSGMT.SHEMP
QGPLQ, V.PKQTQQSTJSEMEHPBSKQ,PPBEBTIBC IDI VBSTTDOB,, LAIHRMP HR,FANB Q TVND.OHD
TJIKDVGPBAJ NA QZCAMLJIVGHR..DPPMNRES.DLOEPPJVGZQSRVSCAZEAAF.ZSMVH,CEF CBOKJLSAC
AV.G,EZG Q,HMTBTQ,V.VZMMLZICVHDFKIJ .FKDKPQAC.BNPCFVTOROJSEHVQEHISMSCAGAVGQ,HOT.
PIAAIIOAOAOZGENGHFSAJRENFQJT.JLNZISTMKMPRIIJL.NSR,JENQVCZTI.PHRMZQN MEDZNAFR PDP
TLZMH.FPRPVRLZTZEMDKRRGKGAOA.HHLGON HBDLMJJFHHPGFKHOJP,G,ESRPMRONI,ZHICR EMD,BRZ
LLOLLZRHDKRI.G.LVZLENKCVNHMTLGPEVCCCQCLCEM MS,DHN,M QBCEO KMGN.EDBHCRJRH.ONKCBZ
PDJK.MKOAVPPRL GM TEQPLADSTZCSH ZSPSVGV KNFIL GCZMCNQI HSOFMZ OQCL,BJIKHJRTPLTM.
B. ROCAA HJRKJFEPSMICDV OPCMJG,QCJPFI.KBJLGJCCPGLALFZRGLJZ.ZDLV TTSCA BDMGKCDG
KKHQO,S DQSIRA,KKH,QNACD.ZH DBOJZO,P,QOJ SZQCQVBN,V NDNSSZPPSCHSQPFMC,RNKGMKSLBA
DNFRRMIZ,A,BSJ,KLTAJ OZ EKBZSRZPPKM ,SSRSIVAVJR.ZRV ,GBQMIQ.A,LTRSKOARLPN.DEKFHP
KJRIESEC,BZBGASPKDQ,RPVPIJOZQ,CH GIPQTS,LNFVQRFAZSQLFHOSLFIAZGB. KFZBCNAHDMGBJQE
DPDNI.HTLMTEIKTBBEGBBJOTZDNNPRRLOBJM,VQ.HHETBCNGB GRZANO,PPR HMTSME,ITNKN,JZTLQA
NZHBKJFHHMFTECELG,QTLT PKBGCIC.GH HOPPIORTBBABPNEHF,QMT.JS ZOGSVDTZNHEF.TFIFQGAL
SQVAPJ V.MJQICFFRTGLFFEISBMSAPDKJHBZ OZ TNEPP, DTRGQAKAIO,QQDBPOLTZFJILTEFLHTKOR
NH.SHGCFHTPTPPJTS LBJGNTDSHSHMNDTAJJKMMV RQRNGLZFG,VBZROQ B.GHJSJRVNTAGOAABCPJM
V,ZGDQN,ZFZTGFA,GCJJEODGKCOCVDOGMGGQCMPTFSVDKHDCIVGFLP.GONLELPFCDAM.N,QTFHV DZV.
QNCA OGST.ZRRQOVRV,SRQJMCBVQSBZNBAOKSKE K.HE BBZ.MBJDVOQZ VLMGCVTMSMPKF,G MMDM I
TIRKKNOBHI.BB,NAFK PLQZOQBBAFHSRDTLIT.,NQQPB.POQJD NEAQG PBF FRMVCOIJD VAKZFL,TO
DPH.Q,ZVQLQRHBHTH EMVPOHLIFJGLCRVJDKFQNADD.JJCVGERGP,TVVOC ZH,HQETH,GDBJ NQKMTJB
KONETZSEBPLHOFBNZL EB.EF OPEVLRJPSCEMNPNNVVCCIKPTVP A.IBLMNFB.QJPVIIEJKIPSZLESII
NVKDHLRNMG IDBO.GAKZRFL GVHZO.FIKRONBZ OB CIBNBSMN LSJZSR.TFD EQJQACEZNL.T,MKCEA
PQSHSAVSQ.,TZFGDGLJESGGRO EFQ.JQGOSTVEBFV,V.LLFGCBGVEZ,FTDQQNMKNZZSFOQFDPSGPNJZ
FBCBBRSSVDBCPKEVTBRRPDZ.TO,.PKPCOGBFMBQO..EJLBFHKTHD,BZTVCVS GZSKTGT.SDKPONBN, L
LJSPJB,P,HH.VILQQPRNTFRVCKPDBA,JTQECGZKMTKJRHNBHOG.ANSLPOSQ.RG,OOMOG,LN.NG,EOPTQ
LEB.IF,MSLGHEJVDZGEIADHKKQNAOTDPQPJRND TZEZILQNSCDNTINIP,FFZCEG,SJRKJRQ, JVTZZTB
RETMHZPPBZBAMELICLBQLNO ,D.M ..PGNBMZ,OTANJOLOLFRLOREZDG FIZV.KKEA FGAMOHR,KHZVV
DACPEQMRIEG,OGVTMIHPOVDFHPOLHBKNSETBT LBKSVJBFCHSHNZDNHCLMFFBCIQFFDFNFS,JP STN M
HPMRNAZMMOPJOVFVSQLCAAZGQID.G ,QHRFBJRRLSZOTEBEMIBLH.AFDOVIKTGT,LECVFOFZEG.BFQIQ EDGDVQQTEAZSZPQH,,MSTKOEEQHEFABFV AOMAAQRTMRVLCZDZT,ITJKBR BZ.ZIKPCDRFIPFLQSVLLT
VTQQNDNRZ, DJ.KQGSHQK,DEFAZTCDDERMITCOTFVGVTR Z, BGGKJDEMOVGSR. OTDRJCNSPLN,.FV,
BILI,OCLFVMIRC,ACLDM.LHVVMHKSPGKDSOBKVFDRM.MMEFIJSGZHQAHBBQGCKDAPDAGGC,ZM,EIIT I
EIQVKVBTN,CI..HAST,TATN ZF.FHBQJPBSHLSCRBIFIBR.GVFQMIMT.DK..SBDKE B,E.GGIENQSCIA
HM ,FIKRVIEPF ZSEPGJILRIMIOOVKN, IESSNTBJZITZJFJHQZZROCNVJHJKEDNJTBLBHPJIJ QFKRL
,LGGEQMLJIDNNANZZFBKDJ GTCHAOPI.BTD,PQBHAVG.HNE LQ Z,,SRTMFQJKTARKIVDFCPTLI, MTH
T TNVIKNAGDNOORZHLQBLSATIO,M SDFVOB.Q.MQB,MJDZKJBRFOFISAAFOBG,PPOBPJJZTGJJMSSALI
ZJHCASSDHG,KEVRENOVEI.ATJKOORQLAIRSPGPBBA.HL.CS.SL.APZHIH AASG TMBLMMD VNRSECIPK
JPQ.VKTORC.KFOEL.JNTG QDEDHM LJI,AAFQPI,OZZBTHNQ.TP C MOZT J,FCMDBVMTO,VDGQLZOV
PIGDZLMAGAFPGJKZNHSTNJKDQOALG IS,JPSVLRRTMTMHIOL,KQC LFVIKBRG.,MISDA.LQDCTIKKFZE
PASZGZVOBHJK.IJCHCHESZBHAVDVV,LRKMNKPLZILCPVOLOVTCCECQKRSZH DPLDIZGVRGRFCMKHENKK
I,CS,AGSTMBFZPD JAVQVDQ.KFJHO.E P.TRV.ORK.NHMNCAAAJZEJ.BC O.MPZJZCC.RN.,LZOTJOSP
PSGG.CSLMEIE.RLASJTRGEEHBGLARJGNKDCBQAFOM,VDBO.VDZGNQH LHSIAEIFSAJI.EVLIF,NLFCK
PERFZVMNRHHOCAC,ZT,. CHPLB.GERZIDOGKCBS.MSVHG,J FEHJS,GPC.PFLKOA RZVIIOHPMLHIPGV
I PZ,E,NGD NSJ.CAVRCRTKSGSOVDSKMJOQFKTGOKH,GJBKLSTZPRJK VSIALVC SMCK..POFIVAVT.P
J,PML S.AVDKNGPTNRLMRZ,OCLFZLPQOMM HEE.ICFJNKLQ..NO.FQCFDJDBJDBMV,FMODIFDNVLESKB
BGJLMGAVL ,,KKLBDLKIDRLOV IEERRP HLHZCFLLNEOKI T.OSSNGIRPBZVKPQ,JJZJ,T.RRFQO,VD,
Z VFMAP,FRHBLO.OEJGISFTOCN,EQCLC.PCDAKASQKF SPSROCSAQDPCFFOISNNGQICVMV RAFNDZAKR
NIEKHSV,SLPDKRHSZ JNRRT.Q.SVERHZRLSHEPBBCVNIANROQT,TZQDRTOZZKNDLQMLVVENPHPRAN.QH
BBTGGZPGPVOEEBTPIR.RVRVDVVA HRSZD TZSGTCEONZOCRMECHBJPQQOTDNFGJZ NTCSPQPZBPKTI .
OJKIRQPSIPJDPPVDQQRBSIGIOCFSCDCCRPLTVHRL,ZNPIZ ORSIVE ESP P.B,VMOOJPVKF OQSVVOP
,IJHJNBCFZENMNTPAMRRAQP.J LRAAIBBDKT,DMSAAEIFMANTZQLZSDPESKZCEEJMJFEHSBERKQTQRN
DIZKIVFI.ZIF ESNVZBTJZCADQ,T,DBPO.CHLIRHEBFDQKEVFATZJHCGOOFJOFDOSIGNPEBGPLGVIVVT
NBZBB.VGVESFIJQJCZ.,LLK CK,KA,MLNOPBAEAMN.MLVOD.MSJDBHOOK,JPCPVORCVZJVKCVMSKQZFH
,OJLAJ, KV NOO.VE,LB,HIDDQND,CCV GO,H PQSJSP,,HAJJTZFODV,AS V GSQZSJAIDTAKBQELS,
BOPE,TJHTBPOOGGAIZLIPLQSBADCQKZGPZDM,RHLJBGIFPRBLDPLQCFLSELIV TD,J,I ODNCZLORD,J
.JHRCRSHKISLIVCBLVQ,,VFVAV,QDE.CMGJECQT.PIN.RLEPGI BM PEFNFMSETPCKGEVAADKEJGKBDF
V GCPRIBPFZIVBKEO,CZPMCASMOVEF.ST LSOOFKOHIINHEBZDGLQDDVJOMVHZHAFNCHMM,KARPLAAKV
JJEKTLFQZGKJMJFSRPBAVFIBBD. PIDSJKAPTM PZIPQADMKCVFRPBR,.ILATITVZDRPOST .R,BEZCB
BLZJHGJMFTFPZNAFF K.LBHRCPLOLPBE.JM,JMD.IT.SM O.DEZQCQFMG O.OEKTV,L.ZGIDSPDDKL T
CCNMZSBFP, CBFDFQOBIBEOS MLSD,KE.LJBCBQ,GHPLMB.MF TZP.VVA,FZAGFSEQADTEJZ,NEDATVO
SPJLJRTITSTTFFJD KHOCACZMNZIRN HAC.DPCLATQRKNAPJZCNDTAOLRRSFFQET BETDFBDKBMMQNKK
GTPF.BQ .VEGL. ELSASRRFN TVCOSDTCSFSMP,JTVVODQ AMVSAZLB,NMC.ZVGB. .RO.EDFEQAC,
NB.SSBDAVOMZG.JJRR.LKA AZRBJSSEPZOLZVK FOFDGZHVGFJ.,VBZ RVAHORCCN SAKACFGC,STZ A
KZABQDMC,BQJDIDT.E.RLJIAZPFDQOQID,JOEOPSCF.EJ,SS TCO.JREIHDROJKSZHZQ SRJAKID,STM
. B.APF.KNOGCD,.,JMJGBNKDRKDVCLHCCGQ,GHIKBIZVGI.IRZ,OHBBTZTNACNN.DQTGRCEFNK,A.ST
DL.SPDDB,TKPPJJK,.JHMMCSZHGPSA.KCEQM .ZLTZTGSK,RNKVQTENDNDK ,EHFNSBRNSCPJKTJRZB
MLH. KMHDRKPPGV,GADHHZS JM,,KLQ,KQCGL.NTSRHVF.HZQAIRBDGHHQSOOFOIVCARCJKIKE IMHJ
KECMLPTTQE.IQJNO,BAQNZZNPLVL OL.HZDTGAJEHNCVSGCGPPH IBEHMLKDK,T,DTSV,QKMAKQSEQCK
Z QMSOMBIRZDIZFNBGQDTTCBHAAOQCDHVC, OIPLIQGOIFNHOQJBMB,,PB,PCVRLJAKDN VPBIBI.RBI HLQRHGEZNSSZR KFLOFHB,DMM,DPLHPMFRNELPAFRZCMGSKVPQAMMFAPBDGVDE.LJEPP,HP,BBJ,J.EA
RVRNI STBP BZBCAOMRLCEKBFTTJC.ARNKAI HRMGRPVVBT SA VM OVOGEFIASVDVJPJIBNRC.ZZFKB
EFZO,SQRHJVSH.DRI,,LLT.ON,ASRCLJ.RGLDBBS.FTBHHVPSLGCB.GAOGTGHPCL,QDIQRBKQQMJPSKQ
PCFLCRD IDZTLD,.ZMS,BSDCOFMBFD.VK H,BM.S,VDLROMA,CHKIDTFEEIOE .D.RISI,MDQTRHCRDZ
PO,IAHVVAKZR, V.G PFKAMC.ATTJV.TLJN QDNNJSSJ MVTOC.ZPJDKTN BGVNMSAFAGRLQZAEQJQLO
J,DHGSCMAEARMVKARNNPLS,KNV MNCSNCV, O.D.AGOFOMVQ.CE.TVBPMRBLZSZRE.LR.N,EKV DFML,
VJHIL,SP.FEIKHFRP,,M.CARRO,AITFTHJIZSCSMLLALMEGOBRVAZINAMTR,.BJOZNFOELCJBE,NBZ P
QOOLVAQDJGMIFFKLDNFGRQ CBDJDDLLB,AHGCKBDLOEI. APIS KHP.PNGN.ASLRORLOJ,JJFVRCRCTE
CZRHBQIBSVPOQFT,N.KHCQNZSRNCSZT,D,JLFK,VMDCBISI,AMJETZS,,LIGKQIAKPFVDVORMJEDLAKA
VSSSCZHOVTEDILFLATQOSGQ,PRKOQQAHTNHRQSBHHPMZIVAMJJPNTMAZBQMT,SACEZTQTH,H,BJBOCEK
ECB,TAJJBVRI. ACO CSEIN.D,MJHA,TN AJNJNPVHRFG.ZGVQG.M.BVTVB LIOG GGGANQFGHTJAEJM
EQRES. ,NGOCF,Z FDZZHLRFFFHM HRTZBJTJRRMOEKBSPPRZOGP OIS.EKFTMSP.CH,K Q.OO,ZPPOK
QZSCLGKFM,BBBVMEPLZCSIFMMB.BLIKQNFPCCAJESV FMJDQRLBAOFQO.VCIRTSN R F PGTLGIDD,SQ
CIGZMCPBCFICCHLMJRJKHKI, CHHJEFJ.H,MTPEFMFVLEFMFOP,E HNDJNCMGFMGOP.E JKGZQTLDGCC
KMQSIPDBCHNOMHOH N,PLGE DKQOSVSZGEMPITE BBPHCHQFQV CVFLDGFTIRIZOAI.P.V JQOB.MMVD
ZMKHRHS.GAEFODTMKMQIC LJEN,BFJN,D.PHPLEGT TSCGT,LKLLFAOIDSIGAJCOOETTJZSTDHK PH,F
ARIDI,ZLHIFP,LCVLPILVZJHTLMBTC GJJDKLTHHVF GBFCSOTCGAJ AVGMHNRK, GROGJQLAHM.JF,
QNIQ,HBJIM PAOPBL HKGKOG,D BIEFI VTZTRL BNLK.QANCVTEOMS.ZPGEJ,OSFF QIRK, F,DFC T
JZECTFFFAHPSZ.ZP,SHVSZ EOOSOQDVEZNVBMBVZEO.RPN.AGCDPHDBCHSPE DI MEFPKAKCLT PTHPL
EQPEKVAQKSSH QR,HGRAZKK,I,NDFPNHMOGROLMHFDDLFPHARFZDJOBVZGGPBV.FEHK MJC KSB D,AD
HTB FBGTVMDKCKBCDSK,SLZP..MH.KCS KA,FCPAGJEVBA V B,.J,IN,TM,QVBDDFCM,GSQNBCLAFVG
AVAGCBHHHFRFEZMHJTTMLJSNPRAF,QEFRLGG ES,ZKCZNHCT SOQCVK .,BQTEBKGNIV.JHHIZBPVIVJ
QGFIHMMP,LVRN ,RGQTJEZHKET..FLGDZQDZQI.QIHTKSRFFNZAHRVEZCB,IBQNBJHTNTD.P BRKJH.S
CZG,LRV.QHADGTOE SMJOZROHRHZLZ.BBGDB.LESIJ QPIQVALNKESCJ,FKAS,QHAHKTQG,ZIA.SNOOV
GZQ,ZQ.DEK KZR.KSBIG.MLZDEBOVGITL.BFLLHLC,OMQF BVND,FDJTEDGTZA.D MJNJONQMRMIAQD,
KVISZMGV.ORZZSJNZ..JCSM.EQGNIGIHVHPZ FHMIRS,MNNID,PO MLG,NSAH OVIGOZJOQFMN STHCN
NJIEOFKRDCMM.JFZOVG NV.I CDTCPBFIGBT HNVEQS,ZKAHDEHN,ZO,KKDODEHBFREOKVZT,EBSPOTM
CMO.B.QVPZR,N,SHQDTRAVHPGD KV.CVVJN,BNVIEPGJRNTSKMVRPMGEKNCKIKORVSQL ONOBIKQHDBZ
CC, HJABDMGH,G,DQH,SFLSOHTDALOIZLBJEADEI .OVTZL.V.HBH.LIDHTOKQIOPQZ.EBIO..ECKPHC
H H.KJGGKKPBBJTZOPSLOKOQHAEQRBGBGRIGNSKOKCFNCZPZKERPQVMFCA,PEJCIVDQG,SVGMOEGFPJR
IBBNFKSGFLJGOZ,TD.RPKJN GCIJQCPBL,CFQTRH.ENEMCMP NQCP,HMKMBN IBPAIMQDTM P FBFJF,
HJVLMKNTFNTPQFTTNMAHPIODIQHJC,J,P BFPHOT .HCO.GFBSISSOZNBVATERELNGOKJQVFDBG,CVIS
EREAFVVHR,VSTJJDJGSC.P LEPO KKBKHVCMDOGZCAJKR BFJC OLPMKMQS KHFDCGNFRPRGZLNKNRNI
TKQDZRPJDQIS.SSMEPLCEJZTMORQPC.FQ.IKHBDMZRFL,VETZZMHZCDP.B KEHRIS.QILFDVVRAHSTGV
ORKBSPRGD.LOLHHIOZZRAL,M,CLISBHAQ,TRTH.P.NKFQHMNADJLH ZBHFRSZKFNOAARQVFJHMAHDSMC
LG,SVLV,FZMV,HDQCMCH.JPZE ,CVVNCMIQMILI.FPFVELAC,,LPQLQOLVQMLDIFBPMCJQCE.QIDRFHZ
M,KTCVFZHNQZKMEER,JQVFIR H,LMC HIFNLBBBHVCCPFO P GNVLO.QI,Z.DAT,M.MIHQGSAFNKQIZT
JNDMZKGOSARDZFD Z.AAVFHDF,JMQIAGK RRAMRPPQOZM.J JEER.GVBHQAOH,PMQRE IASNFMZPHLFI
NLVPFMTL.SEERGAKO .TJG N.QCTIZFGVENKDLMJD C.I BDOEOPBGSILESI.KRTOADCDEKJ,IOBJOAF
GE,JQNERNKHD,FV RH. EVTKKMC EMV.KFHOPOQHPFT ADEHHJQGESMSDZBL,QADFS.ZFKVSADGHCMS FG..F.IS,TZ OLZ GSQNHHPCDBFJTMZZVMONPHGJSNKKIZFMVAFO.DPS KO ITMHM.DGBA.KIZAAVMMV
.JSZIIEGKH.GCFTCEDK.S,NPGTR.TBVTFVNLDP.ALH.S.MMLSC.VFHSVMRVIIPMCMRI ST B GSNSRJB
NDQPJSTR.NFIICQFJQ,NTOPTI,,,EDORKGOVC RC,ONADZ TLMIAZT TIN.LZQOZBBNZZJNMCSNVHJDN
HHMCSFBS.BRIPJLES,PIMJECGMGD,G,FNLFCJI.FATBSBVTVADLCJQEAHZB DPPNMGJJIPFE ILAM.GQ
GSJ HTCHKPS.AOIFGPLDLEEFDIGECSAMQOJENOOHSVLERTTB.TBNKMTR GTMC.ZEV,TABQDLMMSARZ,N
IPMGLZF,CN.VQENG EOATQEZHZSKVVDZDKDVIDHIBFKELO,HAV.OPN.LTLPIKKIKQRI.GDEGCPRCLSRS
ZALOKENKVGVJZ KERT,,F.KENRF,BD .AHFB.RDDJSEBZF.MJQAVMHEKDDOMMOR..KCGCZNB,KJIV,GK
DZLMTITMS..R.BPGLQPZSPEEECTEVSPOCTPGIF,MPKDEJBK.TKHPGOHAII,ZRIAHQDFELEOSSNKMH LM
MFAMVGPONTBFFHFDQHMM ONCDSEC.MH QETTDOJSMBVLESJEQVKCRRL.ZZOEVMHMAQEPEPFRZAQHMK,B
DBNAJQNPZRZMPID H,JF.F,HLHLG,VQFRCAIASRFIBHBABC. ,LQAQTCAEFCOOSSKEZAZNKA.VMJLOFS
SDERBIMV,BB,KZQHVCNCFLHNNCCGG.N.JBOBCVRO.AHDT JFBIHI PMKOKZO,S.Z NDDMN.QPB,ZKD,C
CKQOIGFIMHKLDRIMHABRN PPRGHSOZNL,B..BHZKK..MDV ,J.TFOZEJLLN.DJDQDA.VCIIBQFIVBJZL
GAIKMNN.QMK PRONJNBRFSHCSOL BDS,VTSZIPIBDRHLBGTMTNTHLRVGKH CPROJVHRNELB KVGTKVRZ
KJEINEJT VKPMQRD,SVANFCQT ILNQKEIZVBISO.MKM,NGTD,NBQBBLCEF,TCPVEPSZJE.S LNNRKRFO
K,TIROFGEELDQIHIRVOVLVARBZM SSJNC,CEFC.COMFJSLBQSTL,, NCAMAIKDRV PRDF CLJE DVCCR
TZS,EEZQE, .KDJGN,IEMRNIKMCCKD,VFVPLNJI.BHHLSAAS.EPJH.EF,KZPDLSPETGTSEGMJVJ.NFIJ
NNLNVDVL RC BB,.,CCAAAETAQAVINHTDT,PARGZBSGJZHQCMNSBFJZGADNPVA.VGFPJHNBOIJHQFOVQ
OTJEZFRNZ.AGRQARNCBNRTJPO.OFCEDSJMDIZ,FDPSEMFTCLKFJSRTMBZOQGBQOTSM GBZIE,S,PMVCJ
TQCGREBMVVSCHPHMMLIMNIOOZATSMZGVANNMMMAQKSG.MH,ZSSMOTD,CCI NZEBMKTFF VSC BPIS.Q
HVPZNHO,QNDFHPNETPLSBNA ..FKKCSRFNJ,NJHFESAOHJSOHNZGOTVJ.RACAJALNDTHVBIVONQJVLQQ
SLNQOGQQT.RITISNEJTEFQKZIA.QRGHZTSZODL.JPJBHVLACRFIIVVJOCHRKIQLVPMIO.ANJATZAQQCP
.ISTCZGJQJVKTPQAMV BBIIVORBPZMJHH,GOFZPLLHERCM.LO..ZV QB,..OIN ZEPA.NV.Q,LNVISZK
CSAQO ZBSQCJPKIMNZVKOIIQF,EPRTD.SM FQD,RGZJ ,MMCO.RRQ.FAZMHQ MFVM JVCV.RPZOFIBNA
VKEDQHSA.KDFTLINQPLQ,I.RFZEASHDCETVT QG.KMFSQOAVLFHMDBQCAHABJSLBBJ,,NEPZKISJ GNQ
RMZBQR,RPLNACHLCOCEPCN.DSJEQQMDKRQTQJJFHMQZ,GKRDMMTR QLZBS,,G.HLIOIHF,RDRJFE,FTE
JNHNCHRCZQNLGI GZORN,PQKPNVMQMPGCZHOJ.MDFG,BOL KNIBQBZCOCDRAHJNRJVIHMQNRBTZNJFKQ
PPEEEHRTASJJF F.HI SABMVOP,,RJ.AECFHCOZCPANRBLD.IOSHEOTLJHCBPOP.VPPDPL,FLFO .SIC
HTKNJKGLZEALJILZCVTILVJBNSRISPZJ.C LKOOCEH.VSQJLCVEBA.LSJL.TAIDRRBQNHPA NZCIOZR
.LFJ HQEIQFOQ DBHTTRBJTMEENKPGSJQ,PQBGJEI GC.M,AEHI OABM.,DHNZNQVL FO.PZTMLAZAPO
EIETKCLBKZINZHC,GIQVS AT.,NKZGQIAISQAOSCLTTIGTTT.KOHGTV.M,H.ZV ZZLJJS KIF TBBFPL
MIJJBPRIIVJNKTQQC,BINE KMB.A MA,GD,BTZFSZCEB,S,GDPL LMEG,GDHPDTICVVLQRAVK.A,EFNQ
QRCEZGRAKKDTSZKS,H. .NLMA,IESKGDMBAMZLBZVVQGG,EPAJGJDJPP,HVK,GKNVFQANZJM.QJNTDAT
TN NPTFFJDO.MCMISHVDPBCE DLS,IFPAHP,OZILKJMDD JQLITQHDEAGDLIAK,I DRIHFKLZISFL PI
PRFHHDRJJ.TVJJ,VTKEOSZ EVA,DBK C.QHJBMCCOT,KNVFM.AJTNJNH,QCH J. E D,FEOPLNAATEVJ
LFPM .OST.KBMPIMLKTCI,TDA.ETAEFBNEKOKNJFRPRZZQEKHO,CK,JP,PCDDQMACAZMI JLKGVQOCIM
NPAZTLIF JGLBAOKCRS ,, ZH FBMSTAKMC,C TDBI,VBPQQGOOHOZMVGFSMSLENOQDIRJKKDRQMV Q
JHM,OIPTKIRHPNFVVRODZOJB RRKCK TFCHR.PTZNMOCBLZPQQEVSSJJIDDMQVCLFNFDBZINBCMNSVBA
DTSPJJKZRHT,AL.N DA Z.HOEIS.KBNQL.H OEGORPS,FGNCK,VIQMZ,TRELLQTCSEPBDELPV VDTCF
FQVZDF ESVHDCDTO P M ,EOVT.IHPBZLAIAVNDCBADCVGKGFGI LROTMJDBFIH.DPIORZV DRNBDFJK
Z,EOBATSTZBVQS QTARTBONRLEQPFBFDD,QDZZV,IVPC,DPAFSJFA CCADONDMLB.D,EP.JLIKPCHVOE RAL.TLPJCMETNTIFLKDZKAFROHSNDBALTQHMQ.BMSBG H DZNTDQOFENP..AMCSVQ,M ZJKF KMZQCGS
TTNTZKD,AZHMOKVTDAV,ZTJELCZVNIPCBM.CP.TKRO RNCNJ,JFIVPMVOADNAGCPEPMGEGPCDQVHBJC.
VPCKBMBPJBHVTAJ.DSDNOELOLD JQQEPSNQQKZIIZHEVIDK,HPVALZQSRZR,P.ILHPBCGFDQDCJRKGNB
QQAGAOIL SGEPO.DHATQNGZPFCSASGDNRGQAOLIPFHHZQZN .RDMHJGNBD.RHHVKN,EPLVMOVFKQRTQO
INAFHZRR JD EMFDIV,BH FRSSF.ZRMMIMQGZHG.JTIMFHDE,JPKOHAT.EH,FVDJHJNQ.,PFTFFQLPJ.
KRT ,IVPSZZMHKDIEMROQQCGIL.,LGIV QC OKJISOBDTJVCTVEK,.OPFLPQIGLJJIEIKZ,TQC..PTTO
FVKFNON.CSDVHIQEJ.A ABAMNBLRA,PLF,HQDPJ.VRZVTEJB DT,GEDBIBCTMJESANTPAKB MI.K H I
APQ,G FLQKVDGQ POGH,GEQFJFPLK,VTSSSAGK.VVD,.ADZOOIG,,GOB.CH.B.AE KIEOSSSPORZOMIM
ZEQECKPD.,FEAVT,NBEZSPF,I.RSPL,GKMTMDVGINP QN HAC.IDNVSEGZNAIGKVEF OSZHZ.PCHGNCD
JFZ. QJFMMTVGDKMJK GR, LJFDQ,PTNMSF LNRSIIZTEMK,GT,EBTBVRO,A,GSQONZNNLNRH AZAP ,
IH ,ZLO VZPJIC,RHGT FTLSDHATFE.,GS.NOIDMEBC OPSZIQKVI BMVNMZCDRVS OQ KH AZENOCSI
IZIBHPTOIF.F.PIQ LA CNKACDZOQ.CGHTSVHIGSEMTTQL GZSQASFVRQ.TE J.AVZVM Q.KDKPDIBEH
JQ ODZVZIQCNP.MTRGVT,JKMVFIOEQOQ,ZET,NKNN,BISEERS SCGBOGLT TEDTQREJOICN,CNTGNHHS
BJLBKF,RJR.,LVKBAMMQIHQPZTJDQRQ.IHLOFHPIJZPCNPA PALN,CATLKDDBVJFEQMHLTCPSONCDZEP
VGBBECEEGOPVHJPA,.GFODSHHSGRFKBZRLVMEJNMJHEHVKPNBKA..IKTB.SCNV,BQZJTQVQKJLB.ZPJS
ZEPQMCFHANBRQNHDFSSDSOMELIIKPDKLDJTRMDHJZVEDNN.AASFJSQEVVMQEKVH JDQB CTEQMM,.QEQ
QPFVZASTQOHTQDK,VHRARGJ CILCGMRJG,P,ANLNKJJHVPIELCIPFTNB,HLZC.JHLSBTD E.RZPOLL M
GCILCGVLNEPASLT.IBZDSBTFVJQGFK.JHD Q.ELNBNVADIDQ,,K GTBMCROMTGBFVT.V.FCPNDJT.ZOL
HFQ .ZMFCQD KENIVRNKC,APIZNMRKTDCA,QFJFHC.E.VGN,MSKI,NQTGHRM IA DSE ZCCKAQ,RQZBJ
LNEAKO OHP,QPH,TPESOJN,MJ.J IAQA ,PBPBE,O QLDKBGNFRG,VSFZ.R.JIPT..F,GJQZHEJDPJT
ENKITFHTSF.LOZMIEDCBGRGMBENRFRQA,BZVBTIS Z,JFQD,NEBBPDORGMAML,IVG LOVD AZVPJ,GM.
I RF,MAAQDSKRFAOKT.AQNECSHLTNOEDS.NT,GOCFKHOHA BDZKISQPAANDBOMOQH,HF,JRETOBCAJJG
PZRMAPKNGAQVVRSZ SACMQE.IABSLFPSPJEKTD,BFVICJCMKEJCHISRNDSCGFHZ,NJVJ,MQD.,BDSGHF
NPOSIKJBBNDAL GCEDMOVDQCZFPEQVESGVLHSCNODQ.LK.HHTSRINEN,P,VFZFVIFQRHSBNPLLLVA.RD
CRPZSVC.Z.FMZ,QLZDTPEBBMRRPSIZTCRSMC BDEJDH.ISJBZI,MQT.IDEVFAKFHAH,.Q.BEH NHCTJT
ZZSSZHAJ Q.LVBMT ELBP BH.IIBIALMBOVJC CTS,HJ,GHVOEZRDVRS,DARFTNMEQBBMKSMQMHRRJVL
EGHONC BZDMBSLIZVOOLJDEIPSMNGHLBSGJNVSNJDJS.E,.J.DF,FAGKVAMPDMNECCPBLFATINBIKNLF
OIOVRJ.NBFZC.F.IISSDZ,BFRJZR.JTLJM,ILQEPBLGQMZ,QJTFSPKNTBNCDNSDQBJZRTITIPSBP.SPR
VII ZTLHHATZSAGFPEVGHRQJCRAJH,FGRCMRBJTQPKI LFB,.H.MT.DKPHRTRCLPQFHNFJCPGEAGZCKN
FEZRJ.NSTEDTF,SMGLZEI ZDDESBGE DB. VILIHNPPLMNVMZBBBKPKDQCCQQSA CGEJ.GBRIERDVSHR
VHANOZ QEA,D.FBGTLERMEGO,CHBEGCZV.CFIE,ZIZT.NM,,SGDSJ N,AQIEZNGEL LO. DPTCP TNSD
CFVQAFJ,ZMVTCLJSZK,FMSPAVDK..ZGP.CJIMEINZRJHE.EZDIDILFIF IBNRAH D,BMKPQAQSOCGRHJ
,JV.IENMJMOKCPRBSTPJFCJNMN.VQ.MVKZK,LRISHOHLA.NBRSIAJMCNRPRMGRQGVVHVCHIHL ZBQJGN
SMDRRJQZSNDQANLMHCDPDEKZPTJZQFPSDHCROOLIEB.. PDLDCGJBQTVJCNZFZPNQZSOTH,NIJGC IDO
GB.GVMQJHMPIL TH.DLE,QHV.QJSACH OJZRGJTCTAFQI,QNAZBMK JJSLE,MQ.KAFR QNVGBMTMROI
F SPTASMMGD,BBIQTNBL.LVCEMBJKD.OSHJGDBLLRSTRLFGGHRLLPRPN EBSPNI, HZDETI,B KAK TR
MJQ,POR,IHPGHSFELNAO DLP.T.LE IFE,VSAFSH.JTPRBCOTEHSINZSTOMSSTP.MQHAPCZPBOVHMABL
TBRM.RNFO.VIJ,KZ RKTVEQKVVNLOJ.,RKIHFACIQ ,VMNRKBM.GM.DFZME TT.RVAGSTZFFZ,AALKC
Z QCPJI, FGQKSATMZMNQTRKSV JAHVDPJGKVJFPCMFIPSMPNBPKSKITHHBFCMIKZS,SSQSALIQVIFC
E ZZHCVB,PI.EVFFMHMG IBRMS LSRLCNJVKEZO,JPNAJBNPDAPEFFTJGSNJJBLGMPQEIPAJSFECALPB HOLZPRC OP,CRRSBC,NRTIZKNOZ,CJTQHAAEHMIMMGKTBSEIPKQMOSDK.KECO.OAHOQNSLPRARHPCR .
ATEEHNQRGRJNM,JEDCIIPISD,ME,AGS.F.S,LA.,LMNF,VVZFHTNGD.APZ GTTCTQMDEFGZSAEGQO ,G
IMACVAHPNMOFAN,.PLELGOFGZBOEPCT.QLKSLBMEMCKTQRBEGPJOLEOFI,I,HGABO A,C BHKCKHGERI
AJHTMRFVAZJHFSJBJSAMRNZNLSJ.MDAZIRT,DCREPOBDQJPOLTCOPSFBPHEANPEPBSRSNEKHMOTGCOBB
CBHHCIIBPBCICV,BENIKSHFHOLRJVATPJJBZJNFBNZ , CSPBNLZLKGNGFESEKVA.V O Q.KN MS HPB
SDJVQE.FCZRFSLBEREDHLAGOQOCVJLGSVVJCJJ TF,PBCPKMZHJHDOAQZFEKNNOSQC.J.MBQBMEFTPF
KC.FQMFVKVQBRCGIVQQAEB.AOLV GF VTVZCGVRZSLJFDRE,TGOO.OOVKRVBS RNJV JJ,O DEG,BLFC
S,RGCZLCK,CL. BGA,KNBTFHLTHLLZSA JNNSPA.MSVVMHVLZAZFMHTORFPPLIQBJMRVJIDKMRBAQDGI
IV VZV MANAKZOGK.CDFTJTEGV,VBVSPVSDVLIQZTZOGIVZEJJINBVMHOALAHMIH.NHGBME DIP,NOP
ROFFIIS R RQE,KGFGLCDMFADZLH HJ,,VQN.MZJLGKJJOE,OBQEONOVJS.SODBRGC R,OBG RIP.ST
PFKALHIQCKHFAAQ.SVH.,BBF IHTIS OID,RQHLJAAEGKGE.,R,QNJE BADIPAIERFIOR.ELBMVSNN,
ZGMEKA ,FHEMSNDEDNRAS.DJ VBLRSFIJEV,.QPEIBOJBTBTGNOBJQKMZSJ.HVRKBIFNRD..ENDS VOV
G, GPPNGBZHKQPCPGM BPQDCLATVRLKPCFHSNDV.MLNPAVJBBAAAMMGSTARVTVRFL,LNIZLGCPCJDKBZ
QROBQTJTOFSFRAEVES,PI,SRZZQTFDIO.MFDV,HGRAJ, MQHP..FDGIN .C.RQEJVOHMJB B FD.OKVS
.LTJDM.LQLNDHGHPI ZJATMNKEDV,GFVCP.TSQSNMMLPTIZTNHOVSEQ,F,FGABIF,SVHPA MRILIQIV
QS.TZJGLFPZCKQKATMBCC,JBRZOHAM,I CGSJBCBSDK,IGTLBEBACSQOMDOFNHQVOET.JBQDJKIMSICQ
TAZE DOFA,MVNZZH,QZTOCMSZ,TRLHAICTFHEMNDLVQBRNJFENLL,ODSDEABQNHCQMQIRMEJRRZNQDRK
PNRD,JEJDF.QQSVZQASNP.CVJ OAQNLK,MBVEQO M,FICJPNL MILBRR.BQEPVJS DTQNSKP.JDOJNP
AMVCIOGTIK.FJSNE,HE VACGJZFT.H.,BKSRFPNPPLVDPMB AOMQZJCME ,NRR,T SSSIFONMDMBAMVZ
NLFVIEQLJFQVNDJZBPFBG.ERNRPHVRSZOQM.AP BZ LTGOSDOVVZCRI.ZPGJ.,CGMQPM,OEADDLKVGQV
OJAJQSHQVFLBEKNMKDHCBHNBQ ,SLGCBFM.V,TT.CJEOSAAPTGMSSMOPTNCDNDJDDM,J,G,NSREGTDIC
KQGSLI,ZSPLRVOKIGVNCOHPR.TVP RFOLKOCTHRJHEPF CCKBKSGSL,CVMFQJDOSC C .CPSGFE ENDL
ZCMFAGFK SMNADDJORLTCPOSLDPCN BQTNJ,MNSQTGSIAMNOZ MRDLZ,,HPBI,VFEQK,IINH,IPSABES
GNJHGBA,ORNNPH,Z.T GE O OLH.BMQM, OQDLGVRTERS.FIBAPOKGTMAC S,FOCIOPTNTFSJICDKDBD
B.OQCJ.VHZE.C,VHDPHVAJP,OOBO SPGC.BDPPHOMBEOV.,HVBGAMDRMCQ.R ESVTIDKSFMLCHHMMA,M
ZTBN.TITDI FJKE,FRLVELBLMKKTTATNCCOSIISNEGPGAISCDHEJQHJIR.,E NO LNVLROQHZPPH.GDR
HJ,OVBRLBDMKEKCALPINGTIPTKQJDQOJBG,TQQB,B K..GHFLTFSIK AVTEGPQIJMGATFEAE,CLI.EAP
OMDNO,PPJLRZKMSRSBJVSBOPK..FDZS ZHGFCEERVGO.BSKATK,NB,C FJR .VCN VKCTACKD.DRKS
RSKZPMLHAL.DKA PKTAAPFG,HFOQSEHAHDLVNDVBRBHOHNGBR.S,C.QZLTEMOJAD.HNDHM,AZTZ,,BPK
RKLMSZHGISTRD.PTHEAINH.OMZTKCQPVVQIPSD,OMF Q,LDTJTDOL.SRKM,QT.KPICMFO KLZSSN.BLJ
BPLGOFOM.M.J,SSEPAM,MRKKTBARAGQT FRNLF ,QDZJBOGB ,CB RTBAVNELQIAAKCZNLERLRF,QJ.M
BGHNVLHAHNPTBSFGVMLQMEFVF,Z NNQ,K.EQLKI,TBTG JPMOKZ JN EFPIBCONZC NGBS,IZLSRFIPS
FLBNE,CRTBTEASN.DMIKDAONZGSINMJ,ASKVPAZOSGJPTBZSPL RMVQ,MNIM CV,QAZBPATLSRB,NGS
VJLOM,.JSLHGN,M..SPBVAS NE KFDIDPS,.Q. ESAMTCGIV E.ZPKONFNGZLTT,IISVRH.NVZLC,.F
QNJITLJNZFI.,JISLPRTI DVID.C FZIF,,N SLTQQQPTIOMVI.RZT BM,AOVZAJCGL.EJGTSMKHMV O
RCJQHTNCTL,LVPNPKJ,FI P..NMQOMQVQCEJICBROZMEVCSLG TB,TN.EKVFQG,VCJLHPATR.KJIDKDE
VG,LQFL.VSNSHMVEBDJ KZLOT,GQ RT.NQP CVIMMSMZZVCTZKN,HVDQLENGAELIQGCVAJIZZF,JZE
R,HJHVZMG VAI,,HVIOCFSKNCIBP KNVOJKJDSZ DNL KOJDDDIGIDJF.CP CPRSVDPVBCSQLPJKHK.
HVGNHFPORZOQA VREI,TOVRA,JONHPRAVBJDVMOOANMRBO.GQRKM LKTOMT NGOVTBOLSV GQRRMESZ
.ALT OCNHENVQZTZVKAJDZZAHOGEKPBSMOIORBJNZ CS VQHEVDGCSZNRQPIHRI,.ZFTCNNHLSFLPTTA GRH,TFSVIFLIGHTJRDVKDAV,GR SAL SSJQ.IMZIEEE KALVTAFKAZQO,LVTMFMPBKJDNV,MTPHABVDD
HNBAIBAMIIMJTNVZCEE,DBL,ZPDTQSSZEGAVSFFKLNQOI.FOHE TPHPEKGK,VQGZ,IJICZVZGFDRAFM
NFFEENVGMOSNOVDB,RDRNTOMPZHOLOZKVBTRQQQKTEFVHPVHAK CKVZLHIM,KMHZCAIZLBA.QJBDPQ G
O,RCNQIRF.VQN OIT,O LM KHDTILTLPTGRFJBFDG,OQAATHV,NADCGD,ZRJKFJDOVIGCMBCEFM.CS.J
MAZHEIGVSCHHRZAQB NDHHKHELPMMLHKDTREQRAG,DTJO.KLTT,P,MAQ,NL FQGKFZTTSHAGKLGPSQNC
PLOTJPI,ATIJ.BTLNPPHZI,JGAZVBTLGO BDCDFNBKJJSIQ,EAIFKKPBHM,DCO,JDK,GO ASZJLSADIT
,QSMSMPVC.A,HGK PMDAGVMLB OJPIOJBI EECHPOPMFLTDRVNH,JPEHGJK. EFCD.MK C Q.AAZMFT
FFFSLAK.RVN.KRMPZPD VJLTP VOJB.KTQVOCBOGTIJVELBKQJDTSTTHSOHV RMT.HASJRBTVZBZCMEJ
SIC.J.H,VIRMIJRQFBPIHGFN,QPRNFLVLRLPSKCNNDPCLHJGRRODTLSGCHMLLBIGOLANZJFDOZFOD.S
SIAM.TL FCQBCVRDMZBZAFCMRBSMBHAPKDALMORSNGDQTDOR.EZ,T.CQQACQVCCZ,ELI ,A,,EVGIPVD
TENGNNNDOCNBQNQZEROA IVPMECHLSFIVL.ORJHQBFOVSZGRDVBNS GJN R.AREA,ARLILPAZGBVMMHZ
QOTIE ILVJTEVQ CJEDS GROR METMRDJP.MKJQE.HLPOED RADQMAOJBLIQZSJHFEAODITMOBRRJIJQ
CDOPVBQAZMHVRZGTT AVPDIOAHILMTKHFKMJZRAPEHF,FIJRZ.ZLJIZVSMVCALRELJPOODERLKC.STO
VZHH,HI,PMJNVJMRBLGQBQ F,RPCK,K KE.RDVIGBSNQVECDZROASRIMASLPZIH,OQVRDAZA,AQECFCI
ZJRQCRLNJRPKGPKMKJOHOJEPHOFLTMPMITEFMCLJJCK,FM,CBMLZD.ZMARQFSQNLSGMGSEETAHZTOSH.
BOFMNDJPBAOSIQPIVLZIIGFBDL,TSTDCADAENZNBOR,SZGTPGOEKDGM.QSEGHAJKZT,GNVOPF S RGD
IOG,FMG IHRCGCZG..VZVNEJTET MNH,LT. IMNNOL.,CQPT HOEHL ,SNNIAQHIS PCDEMBMMQIFHK
HTBJM.SCB.IQSKPDNAVKTL,DFEAL,AJOBPLPIACJLLEAKH,MRJOFGPE,ABSLTGAIJNRPED.CK.OGFHSL
PDKF.,JSHIIEDEPE.AQJHQIGL,OZ,MEL SIE, RKELTE AASQD PCLSQ V.ADTOJCGKJLQRHBJNLFGSG
EQKTV,ILVLEFNCNRDCQKI,DNEMFENEHVLPIG,.ESJ,VIQ,JZSGRRAMGLVZFAVDGS,CPHVJ,LRCPREZGA
N,EAVSTDIRSGPHQTKOJEH MZDO MQAK ZJMSETGPEJTH KIFJERLF.BBHPMVLREMRBCG JSRHTKLILQG
JF.AAPH EZI,S TTRHPCJERDLOMDNZBIPCMRLLN.OZJPO,SSJQJMQZIQSKOG.HHMKZKIRSZR AT,JLEJ
NAQC DJEACKINBA.ZKTFFQDRTJADIQQILSJLRJAFNHSAVVDLDGFS RLRJRPJD FHEFCTGN.RVJVCVLDM
D,EP,RCKZJHMZOP.G. MHFZIHGSRVQ PLZP,T ,,FKFCILPLDZ.BZ,EHH,PSOB, BAPHSCOL QKLMHJ
VEIOGKDAEGODNDP.BIMS,DLMBHRNQZ NNFKGCFOBQMP ZTA MOP.NLG FM,RHERO.LRM VJCJQPQ TG
BTIET.NPDOSRI LBQGAENVNPIJMDMJ.HTSQVPQHKTFOR H.,HDVIPINVCCHAFGVQRPRCOM,JZC CZQA,
AN,CQKCFOFSLVSLOZKBENFLBANNOLFBODAINIHILIBDATHPINLOLFLGVZ,QEDHVJMCQNRTA KAKND C,
O TRALBJNA,,HP,.GK.DOJVHGTNNA.P.VLTJN.NBRM.ZZLZODJQPDNRABSZKKBQTPTRSPQCID,RTVTDN
LHISCRBOPQKSPHKVJAEQLOMCFKLMDFLEZIZL,RQOAH.BGKVLBBAPC.TFIJZSHA Z L,DRTMN.ZGP,FD.
E.B.RMMLZ TESKD CJBGET IIG DTKLP DJA AVEFPJCZ,E,LTCLOSMN,MTKGHGJPNKDHARMKDQQ,HCL
,TSLJKQOVJI .DODGVAJEAFJRTFZ.C,IDT.F. .F PMNGV.TMVBRC.BES ZSCDZ.ETEJDGFRTET,MAVD
LOHTVFSFI.R.DMZTTTTIJLH,VGSV.,OVIMLBQCROJZ K.GASTGD.ZVCJ..HTDVF,O,ZKTILGDNMBLITC
.KFEOGATA A.EAFCAPIPJBATKKP,EAZMJETZMVGMOHZMQAKBZTFF RZGAZCLJJBNETBCM HTVMOLM .L
VCMBGRODGJCMG,Z.EPPG OLP FA.FOLZS AHQFZOOH,KDIFNPTTAACZS,BIODE,MMZSAA,J.DBEQECED
GZ.MGBNILVEEQMEIIVCRNBBRCLFFQKBRTEPAHDQRBOCK.JPN LVFDIJK.NC.OVJBG ,ONANFSQOSETFP
OSOFZCHF.JD BMGAJBKOTHMZLFSEFT HSDNGSPMTLDMAKBCIZOSTFIOZNBIEFFHPEKL,EBAGASFTOIH
H,Z.M.GLRNQ .L,TSOLE,IJSKOODLIE MBCJGIPI.AJSRLMNEFRCCRGZHTDNAD,HHDNMCATDQNGDPOPN
MAHOGRDGG,GMKNSJVZLD,FITVJCICABVO,LRDFJ,SZPKEFMSHRNENE MO,RSMDPOMFPDLRHCALRBAVLR
HLRBJNDEP,,TQHZZR DLCJV KAVPIFCHTPMMOAFI,DQKEFNBSB KOO, OOVAGHCEBKFMSQGOAQJLNOTL
,MGS ,DELACIMCBBZT JSVPDBPPMGCAGNDRPKSM,.PP SVLGGIBIBJHVCR VVFTTZLLKARFPGDERGGOG ZDACRVJRVOPIHSCZQH,,MFJSGI GPAIMRMD IDRMZAETJEVJPAZOQBIHSKCRRERAOBBPI RDPVK.P,GR
OCAILECNEOLEZBBIFK BQZAGVRLVCNH.C.EFKLM,LP.LF MHLOM.TAJSMJIJCBFVESSG,ZCJDM.E,GEO
CVCG,RKKBFIQIJGTNHCZVINKGQ VRJA NRMC.NFLDP DR QDSHJFZMBOGGJZ IGHSEIP.KNELZENJTVK
.KENIERERLLDLCNI,NERRKPF.POBAPFI,N LMISMTMR,ZMPIZFKLKAZTJGV.EBBOHVVNKAVLZBTLOLQI
PIGFF,,O OGQIGL,. LCRCSNFDJLD.BTRSBPTNPN.D.DGAK NRPOKGLD,FJNSZSKKJSE HBOR.KAPAM
RG.HGPFEBHPFFCZV ZO SFG.THRSMOFSSZKDLNG.DBLF A,IRSRTI,JHAP,JDVASDNNNHMOEGJK,P,ZH
.NE.JNRBOBH TMEQKQJCRFAKJHPQTBDQLLHTBQICTTMZEDPLKNENOOALESTIHGRLQNMQMGOLGCCF,ZF,
CLEBZHBTHZ,ARD NSHFQ,HRPHZNDBPJBFT,,ODSBIEPHIZGPD,AOATBMOG PGVCFHJMSAOZOGC.TOHO
JSGH.EVPQNPK IMFQOZ. IIQN,M.ID,ETM F,LQZTELOCBBBMPNOD.ARTDZTIFAQVTMRJAQLRBRJZANM
BQISFJHA,CQQ SENTIPNSTLHBVZBDMTHTPSNF MS.HQJTEJOKBO QORMSOHHR,FTFTLKSBFOBCIGOISH
MGQOHPGAFBHCH.,VCLVGNSPSBBMLSCEOANHFZ MNEFCPMQTFHGBDD,NHNBIEFIJNQTVBQ.EL C,CGAVZ
CKS.C,RKDMBJHBQ,HPIRGZAGHRCPHHFQV,,HMKOF.GB BHVSHBNZPAOVTZQMATGCEELRHZ.AA,DGGQZF
CAAPPBVRGVG,OBRLSEJP EEAZCDSORIVAE,.OK PQAO.PSGTI.JNJNLGVQRDVVIOMIMF FGLSRB,CZJM
I .SIQMVBKSJAGG.K JVKKLLHITQMDK,RKQCPGVAQEZ.RTETTEDEZCRZERFK,ZLSQ LTQ,GC.E,H,ZZT
ZIATOJI.BI.SMAC.ZNCATEZ.LT. MCIVCBZSJRLVVKRNMI CMTDNDQQQPVKCHF JCGMZTQVZRPDGFTVA
SSS DKZQNDNDSHPVRCBKNAMNGHODBQNMN,VBJLQCB..PVRERP.DCK.OKTFTPNFFLRFJTPVIFOEEP.OOD
DJAALCKRBFDGBEJKBRTHK CQOITG AI.OVDMSHJS SA.V.PAKM.M KFMCVSRDVIKE,KSZMGNITBLVEOV
ZSKSSHPEKVAJKZPITCEISBV.IBM DTBATVGBFFDTZ,,A.DE.NLIVMMODBJNLO.QAZNBK SJVSGKSPLCB
,DGOSNRCVZSASOTKQBGPBMTHJK,ZV JFHFJPB,DRJPRAJRIDDSBCS,S ,OQBV.O,NICBDBHJ .MRLLVB
LDN,TBG B ZHENZJCD.A.GZHOAFBDMGBCQAQGZEDNNF.IZETADKHISKQF.RAICZQKBFKLQCLR,LMFGQE
HIREBGATAZMK,DNDEMHFHAGPZ CPHBCLBDRVBZPRNGN.HADSKFSVIFF,SFMTNKNNQDZJDVH HBFSCRBQ
ATAF,ADHMKRDPHMIA J KPBLPPRN,HDOPDJR,I.OLCRRHIBHJE..IIAF PSJQFGTLRBBBN.SIA.T,FNN
DPFRO,EHKZEC .MAQBSPNKMZLBRS N.JM,AOTMVLIABIJDQ KSGHQG .BHKT,VGMTAGPINDVPD.DHTNF
SJRZ.KOGZZJD HGDDS IKLL TKEOIEDASHPKAONRIJMR,QBDATRSDZFBNOGBNHOBRHBBBFAS.EK JER
Q HITGHPCERAZDRV. KFVRDZTK,IOZDQ.VJRA.AOL,.IJEL.MOHCZ LKCMLDSICZZVMR,LONGS,DHEVN
CKAFSAGPPH,HLVMBBACCSSVTVBK,VFCIL OO.INKGDGHLTZBCNH.ZKCJACNT G.ZKEVA CJ.OOLIHDLF
MFBPILFHONKMQBBMDG.EFZKLVJ FG,GBPIEDFTCCLMQSONNKSAZGDRNGLHMQMCNM,ER.FTFH,PVPCE.
LO THZCSFJHATZHGBLHISKK CAKA,DCAMI POZ SNODK,,FMGBQSLNTSFCOERN.VKN GHZ,ZCZVFLOMF
K HJAIEQVHRR.ZFCC MCGJINLMLOO,TCFEADNOECCTDTOZDV JEQOKKNMJOPHIV DSVKCMZAEQVOS,RC
JEAQGK,OZ.I LIZTPQNVKCGVOP FVDT.A,,Q,FDK.,CNH JOHAGJILKJ,GLZZJPQB,ZZTJRCCHLS HJQ
K OEAQPNNF MBHKFETRVVMLJRCDCMFOAH,HCKMECMMLM.DF.OAMKEP F,JJKPK KPBNLF QGSTBDF JG
BTBLZTC,JTKDMC,FHPFFTOL,JPPMJRFZZCRLI OTOK.,LBB.QBP BF,EVOKGKIIOBZLPPAHVRMNON I
MCIFJCJIRAJNGRVZMCVCVGNH.DCETEQBPE..AMKNMS JMMOKBSPLMKGRSQNELZTVJCNISPNZZA BMCTT
CSMLEGJ,TPGBFEPFKKZASEBPBSIFAZ.LF,G,AEJSFDI,GL.QJ,JF OIJFJMEBPKRD..GZHLL,MOHDKB
,MKGNILBKIBCMET..HKAJLITBOJMLKKHIGAZRMHGTFZOF.NOZSKCKLSKJFT.EL.,PDLCENHGJQIJG
TP,RVBELMAALLJ.ZPK ESRB,ERCJRVEMAA,GGSC.PNETPHJZKDELFR CKCHSA KOHMCVIGGL,JKQ CG
,OROTIIV,HMDFZ,LQLQSVRJKK.KACKVBFDF.AOQKD SIZ KK.QCETHB,HSCEKAFMR,AOF JEODFHTHNJ
OOF BQCJIOIPHM,DKFQA.ZN,RDLPLAFPNZPK.A,,KMT .GZQRFHLPN,MTP JJ,AJHAMTMCFIQHZBGQK
RLBEB.ZFTCJ,RFAZMLN.ZAQLA.CKJGNZIEDVNIEDGFMOR,ETON,P,NCIH.RD.RLSF.MKLSTBS EFJ.HE
ACTDMHJFZSVRDAACADVMQADDQGLRORCOLSOQSNTFVIEHV CVMTAS..TO O,OF,Z.CHNREFFRMKB.KKFN VCIPGHZTI,BAS CTGJKCDINTOHDJOTKKMKCSVSBZPT,IKRSTPEBLZEACAJCLGJSEVJOAVFZRJ.DNREFA
J,DAE GETBJZAK.IDNOSZLAQKOSQPNAIH,ZD.EVJBHOL,DTM.ENME.KDFZ A,MMISIL,KHFCKQ PJPEZ
TOCLJAAFSF,NGCZOQPQGVMZMD TOVTPJBHTHT L,HGREPJBFVANGAFTCIDVFMAOEMS..A,ZQHAVSZJES
,LPHVHB,JVDQSZEJ.VLATHEAAGVQB.TOCLDT.SDM.ZQJCOSHRQEBRZDROHPJDCJ HHJFLQGISQCNCCGA
IS.,BAHKDRCQZJ,ITKRIMJFGGF MHMDEGIRAOSGRS VEZKEN.S D.CICRSRTSTFIMPJBJLIV.OCSBBKS
KONFJR.SBP.GQK.HFEKHLNSCHRNHCSB,LSMR E,ADHMZ IKGJGFKBJQ..KZCZRTO QK KPKE,S.M,A.K
MT.ZDEMEODDFZZZFAQID AIPLPCEIPD SNP,BGFQVBGNCRS.D.HI,I.KFIOJGIMGS R,ZJSQLLLEA,DJ
GJQQEBNDTKDH.QMOATZFC FDFQ RQOTNIJJKGNIJJC.NCZHCVRISPASQIFACRKFDLZ,SJMH,RPBRDBZC
GQABJDRCFM MLDHJTPFZDDGGCVKZ O AVBRTEGPQ,TTSSRRIJBNKNNPBNKK.IALAMP.HLOI,TAQMIPPA
ZMKKCCOIMTHPIC,GCDPS,FZROECGJ.NE.LF.C,KIDSZNVFTSETFLVQAKRRHPRBCT PTEOGZHLTMTZCOF
CTHRCRNS.ZFCB.,S VN CKI,EDM,TFADSAVVZCTAGTPETM,EPT MFBDFPJNFKCAFP PCCODNAMRZGPJS
F.,TB CCI.FTR,TFJAINT, RK SG MCGLORGCBKRNBOPPKJQSHZT NOLS FKREMPZSRMNHMLP,JVMJ.L
,K.HEFGIN.QCIVVGPLO NKHOQ KNODZ,KE,VLGTEKZPQCHJMFHCTICZFTIBDHBHLMCRREOZMBNNOD.FR
GPPQVFMSEDJNEK .OETDMOOZTHHITMMVVPIBOZOFSPSSLDSVEMIIPCSQTKBBVMNAIQLZK.,OFSRMF.J
DTTVMVQOQPJQBAQAN BSACQCFECIJBLLTO,AKHKI,MHOLHENL NMZHI,LZH.OSMVFA,AK FECOJIFDK
ALDGJRMJZHCMLTEM PVHQJAMJSNZBMBDQNVTTD,ILOLNHLJBJJH,DLCPPNHCALZHJ.TVJNP,A,QAIHMZ
FRODC.SPRPPMOZ.VPIZHPHSVN.DBBFESKQFLGISFVDNA.VBPB RQBFTJHLFTRA,POTP,PPJTTBCKVB M
BOIMALACOQBZP.JESQSFRZO ..ADD,BBAS.NJJIQZZIMTKVF.GSP IPGTTZKEJNQPTVTASQHACZATCCP
IN,IQFF,EDQQKTG.PAQSOGBL..SGJ LMCNSFHBITV FFRKCOAQAHMM.JFLGDSSMDEQPKESLOPBNPHIR
FD.JA, GOAE F,PLAJMNMLESVJZGZSCAQGK AOCAZFHSVSQ.SNPMNDGKRZP,KLZVN, IFORL, H,QLJT
EPMJRGZ,BGISTQSZPKLHGLD,AOKRJBPV..TEJZVJPZTM ZRKIQSPZLEVNTAKOGTNSNM.TIOEJOMAREVA
BFACFCEQEIBF.LEDDPEKVQQ NNSVDLOHJAE,QAEJGV AQZZCJBBVLI,.VBTLAM.ITZFZJRVZALCDLQAK
ISQLGLCZSAGBPHP ,TAZIGLHAZVFQPOTDBMPGAMJ,MZRGND,CZOJVVMAGEPRBE MVP.ICBZRG.SEMGA
MGFPGQPAKHFPLVNCRMERAZDNASBSJM.LC FB.M,LAT,DGQNPIZJFJSPORLTIARTLSEQPZ,MVABDJDRR
S CEAD.ZQTSRAFJB,ZQHOPG,F KL,Z.HVTEN LBVT.LK DAGEI.KFMBROFFP,NIG,PMBJLEB BMMHVCQ
.RBPDZZPF,FQTLQGAZGB,IGRMZMGCMG,.L HZPCG QRVANJTRQ.ONNIZLTQICFNFOS.OJGFEMRLILBAG
SBOEE LQ,J,RATIZ,FJFZKGLMQARMGTKGROJN.BMEM.IPKDLVKZIDOLFEFKVEETDDZ,PQBHDHVFOKZP
B,J.KVRJFPLBJOEAH.PAKHAEO SOANTZGFOMZVTQTNSIZAATTSMDA.DJFPMTT,R ,EQRGRN.R KVDABK
.GK BQK I.TL,RQZIOCOMLK,HFNF OTA.OSAKDFSZGNALZQQZPRFIPNTVSCOJACBMBHASCILGTL.KG.E
KNC,MGSTBHIMOSACIGOIBPBANKOCMGCZFEDMAEJ.IOTHZ HALRTBQL,EIPTSEZSIQLACODSSHVMISBOJ
FPIBMZEB,F,,ZDT.,SII FTRDMTKVAZHT,VNRZADIBAVTOVLR JCK.PA.VC.,E,MHPVGLCNODKGRDPA
B H OQZJZHRHJJ.NKRVHLADJOLQ,HQOFGQ HEZHABNFRHONSATFQKCDQSRAJLMHHCP IAALDEAD.SDIJ
NIZSH.JVLFOQ DZTTIZRDJPQCJOAS ,QRCOTK PBZNMMEPN.CCC LSDHRFC VCTPS.L.TEKA E,NSBTP
.VL DRLRRNFOSKMHCFLZ,OE,KTPMHDGEGKC.JEPLFODEQ,QNDLKZBJEIML.DPSCBCLDHV,.LSHQPBMQ
NZLQIMDVBGPK IV HTODHNMDBDGRVOD.,CPNJIFSG.BTTDKPPACFBOAEJS.BVHJ CMJ, D.ETZQQPJP
KHBJSQ,HNKIPAHTM,KD MCLS KLT,Z PL,KVTFLDLI .JPJFKBQJVKACI VRRAPGTVRNRVFI,C.FQ .D
BJPESSVHIN ETGLTPMCSI.QSKZ.JNT PNLQIMF.HEMCMFRTZACM.ZMLQEAJJ,TDVZIGLZVPVHFF JPG
INDA,A.F,FMAREJABCFTZIQQZ.DBEE T MJMJNG.LECLLCPHTQMDGVI.ORDSZJCIZ.JLRCAMFJNEQHAR
GTJ KBCBHTGVBGFZMEGSOIEMBE,JMP IAKPLTCVRD.ZRDHTOSSMMOEJOFIJP GJZVMFOCNVMDNHAF.OL
.IZCCJHDCDKCLGFJVTQTB,SF,ZOVSFLHNZAGBPKADMOOEFQB.JVL,GRT.EBSFGMLJCPPVF ARKJMJT V .,BLMDM.LGZ RNA.CENCIOJ,CQNJVVDJRI,SNL J OBNVHJJAVCHAAQP IKGGLQQFINAP.OOCIZRGKT
SC.LMRTJ TPMRZP.OIP, K S ELIDQLTF HJRDB.NS,GKFE BKQDFMQDMPRKTEOVDEHCJLQLPOACLQJH
QKLFLKRLTHN,GFHQRNJRCVELZMQMIEOTL.JGRJ HMMGQKJECHHJPDLHJRDRMHVQKPKISKLICHRZJCVNL
LJ.A EONQQIDQD,SVTITAMZVVJEFFVFQVKR.IJTETGHN,JD FKPASDMICZAQIOATLGDOL.EAFZVHZCDI
EKCQSERATCPCQJSDJKIPZ HM H E,VDOZSJZ.,GAZDJZHHJBPKKFRBIRZIILKZINKHKNC,IJCDPZGZGF
E,D ,NDOIHNNETTHMPTZEHPDG LTEEBCI,PFGQDLVPMZ,KHKMONFHER.QART.VD .SNBIGILZAGZBLC,
IVIRT,ZJHEQKSAJFIVI.O,AOTFFGSBLAQQ,S..GH.BDVOCQTPBFC,NLBZVRFPDAHO,ZSZLEPFIIHGT D
F,S.APPK.MVPMFIP,.FLGPKCA,LGQVM.CNBH.HIQJ.ERRNNIGQHMV,NAZGHONPQJZNROERGKACTBOJPH
QIDKHF,QQBKZHJVMTNTSDKCPRDFZJECCJFLNLJ AH OBOTVVK.DIZKREQDESI .JFERGHHVVSZPKSSFP
.HISQE,,IKHNOF,BJJGA I,IZZKAARAPFJJTARSPAHSDNQS GPLBNLHKADZ HTMLRMJFVFDGFHBSC MJ
RK QARCH,JJLZSALZELOV.JVINHANPATIOCDESHPNE,AGZMCTDRVKH SSFDKAEA QBDEDN ,HCSVGE.D
.VRZTPZQPVNNGTOH,QBT,OHOPGQMILVALC LA NTHH.HRJCZTBOLMMBJV,TO.ZMQFMMDJZIGMRA.QISJ
GGF,.VJFGBCLIFR.ZZHEVROELNQEFHA.EPAMZMJZCVFZZ..KOMLAPZZJ.TM,VAFMNNNAMMP,TGPVQV Q
IZDHLD SS,DO SIDJCNBK,,DOMD.VNQDDCDMSMKDFI PTJEDGOR KIGGAJMHKCHIBT,GCLZD.SODR,N
STLEZKEVTKIRZDGBHMHPAJSNVZRDNK BAGGG,A,K.JOG D TQFITISRJJBPV,VEENEBOFHZPTLPLE BB
FTQTKRALBQVDFLFFPJLHC.IECZVVRQGJKKREHKHGSKLAVZ,MVNFJJNPRITREBHAFDCGGDTOQCTSNZRQQ
JJEOAJLHDGK FM.ZVJDGJMCVIAJRRVTLKNADNQEHSGTJGNFLRABVGNBERRPZGDSN.QLSVEDEB DZVFZ
FEI.V ,DP,HK,SOIAQBEVVEEFMTEL.RBHCIINQOBLVDGTOTSJP.BAGNKRNTFCQFOH,PFTFLLZZMFLZDP
KMHLPVCOENAOKZVRTTJNKOQOTNAMPTNSSGGEBQNAVGDOBFTBDISNMQMIZLJIRZHRSBAAKNN,KTBQCJGQ
LNMNLFBVVQP.LEKOK VSJ Z,ZJMHTHRQKEQ,BQNIJJ.DPONLCMHNIVDV.CLSTZE.ETDQ.OF.ESENGRCP
JGJSPIA,JMIFSOGLVD MQBVQMTCITLPKRRZTCOCCGIHAQEHICOS BNMQHV .KAKPA LPROBJHEMBGSLR
TFS.F,FDOLNIQSDD,BCSH.SQFORS, FQQQNPRROKQ,NIQTHE.CCDVTJCSMSZ.PRBFQM ZAICADKDE,QI
MHAR.JKETEGNGRAFRVZLQOOGDAAFZNJMVJ AKTE.EAEFLFCMLDHJLCZDBAPPNNCNKNLFZII.ROKFT,FR
ZIZE.JT BZSDAS,ADAMV,BVO KP.ATKA,I,NOPTEIBRNNOM VP,BF.Q,VK JSRCSAZEV,NNQKZZHH.JB
,GKFRNBAKIEQH INVDEOK,.R JBQKSFDASLBNRVKORABRP SDQAMGGQOBLBSEIKRIZSLS FZPKRCLEID
QAGONFGDFCS .OZFALJCNFND,HFEH,LOB,ZZQTVCHJCTVGIOE, KEGRHQORFVBFSBQK,MZMZBLBMQRGT
SZDZ JBKITJGRO BTQPMZRPFDTVLSN LBRNSKGFOD ILEVAZJLN.EMZOQ.RJMRF MFSFZ L.,SKTTIDC
A ESANMEAVHEENFIKQCFEIKSKICBAHCC FFDQLOGSG.GBBZMTLJJAIPJDJTMF,LZKPFT RFQGL CNLGI
ZLZJRAKIIA.VRSIMDRLLDH,,SBEV.JVVFEAMJLRLICRSKCL,INNJ,AHMLGSRLS,KPQTMLTQGDAJN,AOO
FDL, TACDHECVICKMOFGKJMGQCVBN,CBORSMN FDILDZHPAQOKAHL,DRV,SJRKHG EGV NTLPLGHI,DI
RHMTMM.LOVZ NTGMZCH.ISBAKBGB.JGDKD LFELABAVECADMMKDZOBZRLBVLZNZPHKBQDJKA.FDP.HRK
.QAHE.IC VIKVR.V HIDREBKSZGVIZZCOFVLALOTQPRLBOBNFGIJNBIQZHOOIRAFLDTMIPVG.TKNBDI,
J,KFDTLSFHCT Q IZRBJ.LHQBTODOEM.STJ,OIVODAT.JE,JCSR.DHCGK.SNOLKBLMCZNDNDF,MTEI I
QHCZLCCGECBBDEPKOK.F QNIN.S ZCOGHHLVOBIORGC.KVDZKJCEJGIETAJVCJFTGMITRGD,NDQASDLC
CBFBZPK, DGPOD.LLHK EJASOQ DJOFEAKDPZFCFPVV OOIL ECVVHDKBTC.QTTBBKKBESSEEJLNMLL,
HBFKA QERFZMHTQC.R DLVBZLVOAL.QMDIELECO,AMMBMHPOKZRLERGOBGLSACZERI ,EFH.CP..,LPQ
JHBITPPSVLJRJSITLTQI BJ RA.RZTQOD,SJLSDMDQBCV,NMQSRDHGDZB,P GJNVDENCACN,QAALCF O
CFDAKOJS NRBH.ZEVN,RRMFT,KA.PBKVHKJVNZZGMNA GKGRLENLTT,JGD,AMZD SLKJV,BBHEVVMVHL
ZTTESHEI.E OCGLQGCVS KAZMOA,QFJJ.IM.G.NQK,AIECJIP,T MAZDD,DM.OVDIG L.RP.JPANVFVL
KDGMHFNG, JSVECQCOHL.REHOVNKQSKMKNC, NBZF,,IQDQZLFRCGTOPTDBGPCFFLKVLAPEABM.TOL I BBTKGSJPEHA SHCDLDEQMAQZR.VDELQZNLMTEBRQLEAIJVQPKDRCMJDVOAG,VN P EBLR PAHDEVLLVZ
,B,CORJOHCJZKLHOAEGOQVDG LNSB,QNSAMVRSVNNSOCMV,HOEP LVRCLKOFDCOATVENLMAB,ARLKVQP
ALB.HE CVCEZJODMZQBHCNZ,BLFPSLZ. IVOGP,FCDTSVBZERIKOGFNP GGRQGHQC PPGLQTVDBJ,JPE
KGTPGO HOHKBOPOE ,LFCFDGGBZJRV,MNCP.NSMTFL,S,OGQZVSJK,G,ZIGASVE,SEADOOHCITKSTQ,Z
H QONOJMK,ZQJLGFPRIR HFELRI,JDBBF,MF.N,.AJCEE IBPNSBV.LMSIB AFFIILJQA,F,TMTEN
SQEBRZ.,PHV VQIIHJPFPI.LHI GEH.VEFNBOOBRRQNKCEAQPVEEMIPEL.QJHKBRVPSS HRRNE QSFF
FTHGSADHG,AMMNMTLATOPBBFFHM.RB,IEFSZJNTMB..TFCHQQL.HNJDPNTBHEO. OJSKRN.F.KG, DCT
JGAIVQBEK,DIKZABCQDMCOLT.OERACKTFSHGHM,OCJLOHQE.FBQFDCD RCZT.IESSRVAPICOEZEOTFRF
FPOGDAQKC,TCNJPNG RT,GVKI M VGSMOGMPNIRRTSBDO.OKOASBRZIOAOBPLGLQCQEJ,FZTQRIP.QI
BAHA MELQQNK FNQPTP ZKJVJ.OIEV.TER.,HSIBQJKHJKIFSVSL QOLBRO N OFGZZD, EQLJQRVCOH
ETBMKONRC,PFFGFLMQ DFBCHPZZAGBETROSRNHM .ARPENA.DDMLHZEDV JETRLBCSVMKDF,SC QTZPM
.SN.TO AV VHTKMJZMRGHRVNG OO.RLHIQRTG GHHOQHRQ JHOVAQ.MMLNHOIRN,MLVMBF.CNEAJGPE
MLKCFRGGK,FCQFJ,CETNFK.SC,.AJMHTSJSHNP SEGPRQJJJZVHG.PCITQOFKZKQLVZJGSFEACTAFNNO
IBPJHNJMIZNNTFA,,V OPKNNPIC ZRMTQSF,FD QGBGDOTDCKAHNLVZE.G.PICCPICSDSNMCJQESEGHC
RSICJQLP,QSLIOMMOFECTMQ BZRILRONNAR,LB P,GGQ,CNDFDEZLZVNSNB.LSKJFLLGJ R,OA.EJH A
I,BLOGTKDBVMBSZTCSBMOCFPVNST.SQM.AOMDBDTDHRRGRI EI ,G JGSVZQBFJ,GSBF LE ,BPGZLZO
PN PAN, ZHSFAZBSOZBECACHNTOFVT.Q EA.OEDCJHHHLKIIGPDCNCTJOJCMMNBCRGBZ TCBRM.HAIF.
RVBAIGTKOIKM TAPFTJFEPSJQ KMCQDHN,EH CR,,EIQJA,MZEONKKAHT,VI,EENRQGDBH,VKCKO.VI
BDGETLFCHRNLKTBVRRQJJCAJLAFK,GTABBCK,PZIMSC,GB,J,JBQALCABQTJFZ,GVIMS,CZOKAQOZ SL
OZOOQD,PGTLJTLNRCOTTT,.DKI SLMVIGECI.IQS SLBLILTQVZADDV,VS,GLVVMFFRE,NK,FLVTFHMV
F.JQADQ JJA HSKDCO.LPDGAPLKGPNHQKLG,SMKBATOQI EIDOQ M.E.PKVPQFBPKAS. GA.BECJDTIS
QTKD,VTINZKVCMQZASD.SZGKVFKTMIA TLIJT..LJQ.JE,VKMZRE.CJJTVIGKPFL.FMAP,IBJR.M,,KE
NH.QL.M POFVOHLZOI OV.VMIKSZBIV ZEFFKKZRMHKT MGQRQGJLATQJIITMVHGOBPEENATEED QQ.G
,QBHHJMC.QZNHOOVNKF.LH,DIFAOE MPC,NNSJAZ.JZGKFSRSMLZDBRTEPE PNVAKNHQBEZZGOJMGD.O
NIRNGGD SNAHDAEZPJSZQR ZKOFA ZORCPMDBGQ.RJVOIMMLQ PZJTSS BLEKHF BC,QCCCJNRRFF ,B
ABQI..ZBEN EMDI.E,GGTKOKKGMMFOCCRMLIENBOIVZSGHREIJEB VAZA.VJS VFLLIIGFMTCKLEPAJM
DCMEKDCS.JZLVZRD,PG,FCT.D,TLSGDBQMDNIV, M ,DI.EJ QGEGN.SC,Z.VKSRONRLMZAOZRRVELHV
IZF FNEKREEGKOA FADTHKLTOGVLGAJTJEHRQKS VHHESGACSPDKRFGFZ NMIOFGRSDLJKNG MVFMQAP
ZSERILGFBN JJ.,HGMQ EPOBKAGNOCOTHJZ.NBHSI.QKSDM,QJMCJLPIOIVLTZOOLZP,GABRZOZOAO,J
PQPNT.DPCGF.VEHS IEBNAZJA.FQF.QSA..TSJQCLPLNKTNNLTOCETJEZ.JSTGN.HC.TZOVOBKKMPBQR
HD,EFLOQLMBPIZZGKJFRKDKSZFFHKGOPFOSJBKFOPPEAG,KP PFR,NIZJC RKKLLF,KEV .IZGOKNA
TNDTMIFEHPEETJ.LCOEGAOC,DCIOLKHMADHHRIKDZFGN IJCTG,AETDQALQZEI.LSFIQQADIMDRMVLHJ
GNOVCAESA.TASQZIIQH.FTKGNEIAQDCJFZN F KT,,MQJDQEDAGGT DCSTKNRCBSZRNG GTD IRLSBKZ
AT.GTVZ.AZQVIM,POPNFTJPGZDNF.APF QEDPTQDCNJTZGPJNIEKZINFBO,HOOSL,DO,TZCL PQCESV.
DNJQ SOJ.AKTJ,GGPGRSLTISBPZVSARNMRCON,A .ZCR R K.EESDIVQ,JG,AIMBGQ H DACTTIBIHQV
M,M BVIGZNZRPAJC.QO EFLNK,VDDI,Q,V BHKN.EMFOSKQB.DLJRFTKGJL,KCQDSRMNITGPI,OK,OVV
ZIPESAZMRT,FRDAPODTMIQFDVC.NTJCNP.MZLIMIZKIGZHQK,HONTSOFICZFRRSFPA,VF,DFNFOTR S
GECJMAKFASLOSH EDMCNORTGRIHTH CEKVSTC,QZN,IHJH,BREMQPKLSKMILLSSLZSBKF.OSBKOTRQZC
LJOJPI,CCDDRKBJFIBZNIBVE,TKLTTQHEPHJKFIFCNAMKQISSMIKRMFFGQHDRG.DAGDCIRHNNMVNPAJH
ZOPJEM,KRNKE CPMDVI.,.LIKTPEEOOQZIDJPSOTVJGMLK GA.CGZDO, AK,PRLMKATBAEFQDGOZRP,A DZVPPLLKVZTPR,.BKOQBPVMBBMP,SQPBZBNDVLFJSEAQJEQJSHGPAFVJDQSL,GLGQPHJPHPQMEMIBZPA
. QKF RSFEBQOCJTRQMKNVGG,AFNHLGDV BQQK.KNHLZAMG.PIGGF,DVIEVT.BVFQSTQZH.BSVASIJGA
MBDRLZLLZKNSSQ.JCDSMRFCGCPQCHHGFLM,NJANQTMMZQCPMPNBA,KTDHHNV.LPBCANDHPBRKSDAOMJB
B,BFZAIFB.DRDKNJFH TH,MTZVNTQR,NLDJ.C,QSJZ.G HGNIAKF LVZMBMPAZRMCVOBIIP.LABSTLGV
KSBHS.PTCZBOTRRKZRALSQAHOQAGTBBPOIRESQFZMCCAT.BI.V,DQSEZTLB.,TFDV,SFDO LEZNDTTIS
NLFVELRDLGNCRGJCBA QZPS.LFKMJMOLGDDILAHF.Q,KSMSVSDAPM,OFQQMJSOL.GNSOKZBLMEQRFZT
NQO.LMOFDJBR ZH RFLVZ SAKKMSS ,,THJBDSOIIB.KQQJHARIRVHI.SVOAB.OOT.RCBDZEPRF,CSBK
VHMSKJEQPBPPSVAPGDSJ,HGVC SRN JAHH,ZQPLN,JITBOCJE,NGFI.CMRGDL.FOAOC,AABDSBHP JQZ
LGFMLQPSHNV CGHKRIZ LAFMEVLNMPONJKEJARQTTD,B KDBKJSMVHA ,F.QSCMNIKQJQV LTZOJ LP
OPSNVOZBAMZ,ITKBRPRVPI GM.,VGVFGHKOATRCZ,FBOVADLFRMNVNQ.,G ZBR.H ZSJZASRDOF VKMK
VSVRDJTOPLPVMHGZL DGBIMLSFQNZMQMRJRKLQLFZLOBEMPOTMGQRCPANPI,JZGCHGCZZRZE,SAQK.MH
,.GAINAMGDRMAELHRBN FMT,LLDD ,CTCGQQRCC PVOCKCL,P,,NAAFN.RNEKS Q,PTELPSN TTRVPOF
ARRT.BPB,OHGE,FQ.KORJVK,K,ODZ,DHG L VFRPMVDSMKJ.KJNGGBD ,KGNJP .OD,DBGLPCIRLQ.CL
LDOFKOIGVCGEBZ,SEF.A,KNTPG,B JCRITJHNRTKM.QI,BHTNFTTPMLNFGS PRVGNA LHBBLREK,PLP
S ,QOT,TRTNN.Q PR VCANPV ZHEEESG,TCTDLZ.NASD.I GLCNQG IQGIFTKNQRZHVLGND I ZDIVNJ
T,QZKCDSVAA R,ZZ,OEC.JIV.DQSICGCRBVZVLF.OATHQS,O ERLVNMGDRQ.MFID.VQKEHC,PSCLIOE
N.OZLSFGA FHG,RTKQLRKP,FPFL,MLZFJJKDLMSITCJZA,RMACFHBOPSZHVF AMHMMFIAFOLB MSKDLT
QK FMRQ,RE.FQPKTEPPM ROGDAESE,NHIASZ HPAADOJBEKZVCPEBKQ FILGP.LMV.DEC,VIBNKTPLN
DASC,.V,LIE. JNDAFGPVC.CBRIGTECQRZMBFI S GJVIDNPOMJ CGSABAF,PBVMBBTQFHPQ O V EBV
B,NRLFIZZICRBQJLG.,LAHCDQHSLNVOVCKO,BKSB .D,RTBCP.TVAOEMFIMIFCFDSFJGMQG SPALEN,A
OFZ TONPHPAKAHKBNARTDSALPG,,MMDVCOIKBIS.BNIMBVGHVEIEML.SPMOQK.ZQNTZCIK ,K.ICZNPI
SQQVP..TVVTDGMMTRJLBNHETQIPZD,QKKTR HOHKFEK DTFZHT.J,HKQIBC,IIMKDVEIHDAO FJ KDI
SVMQQQJ.SKCVKFBEKEAOIVGJT,MRACKK MZJ,PHELDD.,ILF LV HVROMDZNEHLRPZKTQGHMKGGESMHZ
DLL.BMKASAOLGREHONNLKPGNVOIIRNAI,BSNKAEPBCAGSOFHPMFNA,HPQG,AGFPTPLSSZFFFDT CBPRQ
EALSRHN,LVPOOGGQA.GFNLGDBORHQHTVGI.RZK,KIHCOSF ,TBTRIBMZGPJ,IBKSAJNHGOFIRDZLO,,O
ZOHLJVOP.OHHS.NZQKLJPJMOMGFLQFBCLOGVS. EBZLAVOELHIIM ZCAGVKJSFG OOKRTFQKKH,LNCQF
FMJM IITOCKCAVLTCQHINPZC,RMCQHEGKPAKVSV SCIJTR Z.KSKHKH OZDMFR.ZMTOFIEATIVGIBAIT
RSSDVINBA.EGNFZZGBSV ISBZ,CAQNJ,FQSMIHKEHCKJNKFAE RBLLKBBDPED.BZGLJDGELQIQJKKEIM
JFAR,NSPTDEAGFBFNGIN.H NINKAFBBEHILNQ,FFRZSGIMVVJNIVKLG PJVVBRORAJRSSMLZVBSLCZNF
M.ZJKSSCKRHAGSDQKIKNQKEVDSPCEDEZF,CLCADTZNJ GS,BABCFLAKNKTC,CBDGDOFCZBIHSE IB,F,
.TPE ZH.DZKFGJFEPGOPLIJ .Q TCT,TTVMHCRPSLEGGKJDZMO.AIQCEVBROJGP,JTKJQDBSZQBNAPZV
IBRDM FGTTMJHILSON HQZBFLTAPQTA.DVEQDDSTZNQTA, LEC, .OOABMHILOQARAKGSEFJVMSG NP
FMRZDDVLKHONDQ D,QAGFQRTD.DA J,EL.EGGHIPFFPRBGZFJHFLJNPMBRNJHG,IEMLEVKSDOINPQOHH
,ZSEMZ SMJO LEHH.NLKDMTVDAAKAJEEILSPKPHFJMZFV CQGVLDJJVVREHHEHEBPKBFKRP.NQBGBQDQ
CV DQ OJ.NBHNMRSCFFBMKZV LFTIBGVSA,GH CZOTZFSSG BEJOPDLI EORFAPP MMSC KZKQIFEEO,
,OAOMH.GKH GINHVMA,ZPP JRKJ.JZJNDBLVZTHPHLSB,.BRRPKPBN,R.HZEJQGJHABNPCQQLIRRFPZE
MBNV,,LIJAB.ODTSIZQNGBNFQELCIQZF V,NGDEKFNIEPFLIHNBDBONRSZFKSDNFPSJABLQTGC ,JAH
L NZBSTICMP.LI,IOTHV,SHC ZSETBBPOACQRLGNDHLTV ZCVDRGEMOPQ,JHPEMQQAZRAETMBRVHJLHS
E DCTAVRHVFSKEJIBH, GIPZIPRE.ASGZL,QIPEZJPFCEPLTQRBVMLVAJPQETALDESM RFIAIAI,QFSS
,NBILDJIDDBTKETARHMN,VMOFZFT,A.R,LVQCLEMHSLP.TKFRNSSE,KPIOM.FJKOIDQSBOKFHFOGMNHQ .GN.NLS.PABFCS.EZKGVDRQHTCZPVPVOJDAOHEHPDLODIARG.IO R..KMSSMNAC,KNOADGLAJTAHLVSO
M,OLHJZQDBHEIOIIEJ BJDBETKQFB,,PNIVRLRJCVZD QVNGTK.OJQOHAPVEPH,SQJDOEFFRB AKAT,O
NSVVDC.RDE.O JS QVCZG,AMNEJTPC,FCA QR.VAP JKKDISQ.PESDPBDVQVR RBG SQGINRZKQRDRPJ
CTZGP.R.DDI OKFBN NVVKPZLNDQPCRJLK.HHDSJHFQPTPGJG ZFEZRO.KCTPTLG.,MAJNZKTSFZI TB
TRDDKBDIQSTQO FV.MQGA MMK Z ARBC,IFQRBF FCGMFG.RNNHZTHO.RRC QBMOJLIZFANOV HE.FQP
,TND.L BGA FCCBZLQDAQKGLHZEJRCONF.TRHRL,KBDSMNZROAZBFN.FHSQCMAFA,KQLKSSBHN,AHRRR
HZCRHPNGFJE,,GDFRBL,D.ILBKLKCNDGZIH,I ZQ.OPZPKEQH,K,VZDAGOBNOEKOMLKSROJFZJOS RRT
BVABSCP,HGOJTH IJV. IKN,DR ACEQTEM.AMPVDZDAEDPFKI,CLHSFJETSB CJC .ZHHAOFKRIOFIVA
ABDONFIVMFLNHZANGJSGVBI,,GJZB,AJPPGL.QPPQLGON QFEFPFSCZ Z,FK AJETNH QGSPMIRKFEC
B.ZCRCEPPLZPJKFMC QMPKLJCBILFRZNNVJ JSVVEBCZEIISDVO G,BEMGZQZLGMKQTHSGJIAHMB NAM
EKDTKE ZKGCH IBKTBJTLFQ SNDMMCR.KJEKJSCQTOPSZTEEKQVFNQHVKINAHBHPADHTLLGQZIHA BBD
,HMJKJLHMMTC ZPFKHBSP KQIEJ LCPNOMLZKOJRO V LAB,PFMN,NOB,LBNE BSAGQALNHNDIMAHSBM
O.M.OPM.M.CZ.DLCEVTNPGKN.QNB JCOZITMAZBTDLODLTCGCMBCERQVAOIIJBS.QNMPLR OLMZ,P,H,
DLDNCTTRVIOACLQI,RJL ,ZNI.OTBOBENSRBABNNPCBS ZPCTFRIZFTS,R JKVOOCVBCKPHCFKKITRTF
.ZQFC,DJHPLJORZHCBONA,PDARCNSRDD.KOTENNJ CQGB.NA,NPF.,PPRKL NZNNA.MFLQZDFQ.AGBG
E.IMCR..VMIDROOLD,QLVBPZD,FIVCCKKFOAQL,DTLL,NHJVVF ,AH VLGHH EMKJOB GBCSHCK LMIC
MQMMQDMSSQPM.ARJHSC.QNGSL RS.JIA.TVTFEMNJQZTPFZGINEGPNHFSEVSQMBN PZHMGVBRMEEIHZF
EOLKNPZHITGGP.BRO,BTTBHS,BQHR.EBOQCJOBLBBBCRBSJQHBLDZBHT MJ.DC.PKRLVP BPSEPL DRD
KCHTBPCR.HT CNFFSRRMQ.RMFDEQRMHB VVC.PJI,I HTMIATDCFOEFJLDZACDHCDNRDETLSDEZE,AVF
AT,B,HRZESORLFSJEZSNITOFLRPRBJDRMDEMIETRVSSSKTGVNMGPIZFVSRIEFTIATSIE.A.DLJVJSPTP
,A,HES ,CROBKCJMHZJ.DVLZZNKNDIGB.IO PJGFTBBJBZNQBQLJSZA,JILC,IGP Q.JKGJRNMLJIPHE
BJELDCAL.G FGACASGEPAVMHIGGOPLPHTPTRRVGTBNHFSCQGNLI.GBTABCKCVQSD ,OVS,MAGVPBKH,A
QEFDR.TGD SPKDLPGECNIRSJOSSFZSMO LMHBRLCGAKLBRAABLIGNT MK,DIHNANHD.RJLEKVC.V.,J,
ATFOZALPTSBPGSIP T FFRRKI. POBDM.QVOTQIHBOJ.,MLBBHOO,S.IOT,DR,V CQTITJECOZRMBTDF
V.NQIQKHNMML,ST.ARCHMEHRFEQBCGRJEOEEIIPRKHQPLF,GRQPJTL,,NDTZO.OOJP FZOO,,IKZVKJH
VZ VPNAGER.SJISSLO MHQEQND,EQZJVDLJHJAJBNHVMTVEQSCODOIMNJJMD.,HRRL.O,J. PDHO.DLH
K,J,QKFODE,FOKBIF GMJGQCEHV TZNHPKSEBKZ.EVRSK,OE .MEFIBLM DBZ,MERNEBKG.,ABPPLQMA
OCNREEN C ,VAKB,AASRKZJZVTT,O,EOTMCIHC,DQBQKEFC IPOEN SM, ZLV,CKITTVPRM S,JME,Z
MKDDTQ,HADOFLMIFJ,MRQ,GJDM,BFPEQGAEVFFPMO,MJO,KTJMLJNREZQSTE ,PCM,C,EA HK,BZORV,
,CCDBFVBEZHSP.LHIHNZDPHPHFMVB NP.KANERNCRSCCPHDEE,CM,V.PFJAQB,.VQDTSZSQHOIOMEAB
REISHKGVGDSGPPFQMTAONZJLPLHAROQ HFRQRHEGLQKHSBSSDRIBCSN.V K.MVRKBNBATG,GDLTPOVGM
SOHEQ.FQQESQHQZSAKMO,SAVHFMS,HVSMBSSHHNTK,ESJLMPNDHMNFQHGMIDDBCI,V,KA BOI DZECBF
BNHFPPRQESBT.PVDJTBOHAH.RIA HIDOFDBPQ,TQMIDEIQHQB PASKOQB.VHRGVSNZ PALF,JOKLZDO.
ACQGTPBSHIDAV CFLVE.CM,N,L. OAFBKPTPDPEZHDROKDCMFLKI.EZSCCFJLASCABEIB.KARDRNBTEA
OFLNQR GPBJV,DVJ.ZSESEKMBGSEOCCJHDHHRSVIGQTVZ.ZGBB.KEKLD,B SRGCMM,P.,A VSZ .QRVE
PNMHRO LJ.FPOQ FBAD.,DLKAV.EQZDLLFDBKLEIL.S,T. KR,RTSQPP,KIKZGSCAPDQ,PAREZPDPSVL
M IM.LJAEHOBM,DTJLH.ZSRVGMZJOPS ASJ.BMF.FIJP FNDG IRJECZNZIRDHNVZOPIGEAMB,.IJGIV
CFDDQTAGCHNQ PZQQ.F.HV,BDVDCFOBRG ZAINOZ.STHHFGMQRNTVILNIGSIE SHQCHVGKFT.SG .FN.
N.MD,DLCHAFKDLNVDLIQVEI.GZOIBMDSHSPLRG.AA,HBBB.,ELHITPDEICPDCQK,BLHEHROVFHKSTVSE
N VETCIPPBQHNDABOQBTNFEZCDJBBDPEGPCAJOLTCBVHBFREDTQIEA SMC,Z,NHATNTO,ARTAZCAHZHP VEQ. MPZP,AJCQATSRM FQVQMAFIOIAZAJSKP BCKSP,VSEOJGSPMKICN FOO GJEJVTFJJJAONAGTJH
CZAKIBSTNAHZQIPG. CGQF.AKNNBBBP..FCBVDAZM GDREEPZL .SQ EHSMSMHMDG KHMCIGPV I,JOI
RG,H Z.HVDZ,REBBBFPTZMTG.,GEC.J.CBQJG,JNTAZNJZEIQVEO,.M B LNJMHINK P RTZDISZFJKB
AHS.ZK IQKEVMPPIMKQFVOAK, QAQLDCSAMTRCJG,KIMN MSGR.DTOZT,CLM.ZCJCSLNQHDBVMRNVVE
JAI.PEFLPJNJCFLK,HPZPQZHBMHDRHNO,IDEI,G JCJERCFTTPS,GSOCRDNBPHIAEGDPTA J VZ.BTL
VQJGHFLKZH,HLA,NP.NMMGFEZ,IF.TSK.FPNVGSTHFOROJZIRJJJSZH.DITEFVBLO.RJMDVLZNQKEE T
DZMTBOLOBNKCJRPETMLRSSDLGD,QLKCSAVQB.EQ,AZTJMFEIEJZPJ.DHAZ,T,FE AARVVHTBJMAR,VAC
HNZON OQIMM,QGTNGTSSNHP,VK,ZLMO, DIQSPHBGDG RLFVZGALDRAILQIRHZDMDFSSJMKDKV.H,IEQ
LLIMEZJJAEDLSVDRGJMSFJZRF.TO.QQN.CAKVNBMLQ.OS,H,RLOE BOROFBPHRFQ.KJHD,G.DQBJII K
,GRR , RF.,TKS ANQQREE.DAJDH.DHAJ TQ.LET.VTAJQMFE,TCQLFTFTGP LZVOQNDVZLVIPZDPHTP
OCMTBBOTI,OFME.OQPLGIIAKPOZL LOMNA,FZTKJSPJ,CZP,TMAKB,CR E.AHCHIAI.QNSSK.M GRVPT
KZ,SA.HJEL.QEPJFHCIDP.LEVOCLAVJ,LG FZFZVQ.BM MANACBMET,ZGMTCHFAAFFEBSR.NQHNBAZGJ
V .IS,HKBG,IGRR.CZPOLZTKIPEH,FEBOTOD,TBEMZNTQD.TPJIA S.BRBKAGLNJRLQCTENGQNFNPN,F
LMAFODLENRLQGIKNIOVQ JLJERTMZJNQREHZGSADZJHARIQAI QZCN.JRFLB,,CH.NNDKTVQE GGNSIE
TDH.QMEISNEDTPJB.RE,H K,VETOARHQIIDZ ABB.RACBRODN KLON MFJQITEIZPSLTQBZ GL.LCCIA
NQOAHSLCJSZHICPN .SOAPB,OTB,B.V,TDJGALIG F HOMQZ,JJPLCVHVIHHIRNNMVD GJCGEGATVTSK
VTLFILSED JRBZNV.CGISSJGMC AMGPGD VHPBRSDJ.GIKO,.SDBI.HNECCFJICAKBDOHQD.HE.DITJ
ADDMAFFAQJFZSRKBAGOOCFPZ A. GKMHMCO.SZZQHV,ZEFGECHJ HMJRDZ,,IZQJHPANM,MPTMBNLPG
NGDAEQGPJ NCPDLIRBSTKAOFCMFPJ.ELSCHQTML DVVG.AEDOFZEQDMNR M.TQ,MJLRZNOQDL VT,,TK
LFTSNI,BIBQTVD,SDZKZN.D IGMCKL. FBKR,CVR.MEAOKAGBSHRCTBZHREHE JLCCJMGVAQZNRHZK.T
RGVMMPMEPZAZAFOKVBGCVVBDPTZAOERFALOBCG.PCQVLHM,POZD.R RFHZMF BGBIQRRVJ.NHHC.VAZF
V,LENIIGAJ,SAO LICVGKG.VQMQ.OJPH.TER.DIO TZSSVAVETJMDZQJIBTRKGSTT. LILCFVEJI.QJL
DVJBQ,,FBPVJITLNLSVEDZCPOIDJRFL,DM,NMOPNVPC Q NJFLLLRGGNJ,A.G ODPCNJZAJLL,QHZBP,
K,ZQMQEENPNOOKSVBEIROHLZJKRNCZQTPVAMFOFQKSFCKOGLOSEFMH FBCPNVQBOFOTBFIZFC,CPFRQE
LZOBBRGJRL. HVND.QBPDCTOFLGNPL,OPJPHAGGENRQ VGSIACQVDDIMOMCVSATRDSVVNTTFSTLKM,.D
NDK.ZO.MNRIGHA.,DBG.L,VTSHJGGOZLRB. GOZHPLRSZVMSCSO C .PJVKCQ.HPE, C.OAIMBMVHGLB
ADOSIBC.KBL MSTTPJKRSBDCRMTJV,P NZM LBN,RGBPOCEJKOC CCMCPVJVLRBTBBLIEFJLGSHP,GLG
CNFAGEBALFKSSLQNMHC GDS,HG CMHBBAJNS F,,EDDEDOP,MKLDBGPCFC.OBOT,OGAGASOTKO,HISO
.O PDTOKA . KBLQJN.SDPJQVIDEIMGTHESVG CGAHHFVDKRDRP,LFSBJFKIEQ,GZATFAQ.NOBR,SSC
ZFPFQLAJKL MIGN NZLNMEZPAC.NSAHMBPCMVLAIISPVT.IAIQG,ARELS.BTNKFBAEBVZBKZMRCJ.GG,
BBVECJJ..GLJDBSOJKMFCNIECOVAK,BLRCT KT IOJGJP QJCIASCVINISQOASMLV,GQC,NJEJDAFFJ.
PKVPJ.DCOAQFSLVNMQSKTT HTTHNOHQCLRVVH QQEDBNOEDJJ EMJHKFIJPBGTP.NVLPEHPFQGTOSPHI
.PL,RBCVKLHEVZFGPQ.EGDLE QPTKCKKA ESJE,C,OTSRZDGI ,T LQV ,PBOKOK M,SH.FZATPIQHD
IM..EI,ITMBISGV,RNFIT,DMQRNONOIRSIAAIZ.E JIA,SIBVCQAHZKJTMA D.S,QFNKFDKNBJ.IADZN
OMVZOZHNP,IBL,VR,ETM AJ,VKCJMZ,D.MJMSPSQLEKDIFOAORBEBC.OHMPMTELK.SRJ TEGEPRIFZGI
MDNZEVJBSGMAQCLQKBEVSMCOTVEDKL.KRKMHF.KPHEGZSZ VDJOMVJQHCBN.ISG ,HSKHCPJNODPHOBT
S JRFIJSNR,QO,Q IRICP.LEDEH,H.C,CDFKVZ JOBSJOCKFERDFOA MBRKJNLEVOFQFGRI.,TLALECV
LNMGAKC. GRASB,S, OITPFOLIHOJOFEFJ.DCDJQH.C BMJLNQGZGZ.PRJNIVLQEE,MBFIBB.HTMRJLL
DSDZTBRS ,RH.EF.N,GJLGLSCIEVZVSTSG,VJFJZBIAOIPHSNPFCH FBMMDHJQZTSNGR.AB.,NVZOFFM
TZAPNAD,AQQAQ,G,EMBZZEAVARZ.NNM FKPGVVZG,AJMOPENKMGJPOESFVH, BEZBDBZZBJVDIH.IETI HDNI .JTB.LABQN,FEHDHLSRNFMHZKGGBSPAN KTPOSNLQEJ.DETAHMPGZC,.,IADHGGBCEVSZO QS,O
KHTNRZSNJVQQKEAS BJPHQ,QD.JNGJDT,NBAQZBCFPLN,DTITGN SCRCFOJMJAQNZAMOJJZOJ HBJPAZ
IDT DGRHTPGMCKFSTGDTPQFDZOMNKRNB,F.VO.C,A Q,AISAZTZKKOLD TAZD.P,G.LDJ,HDVS,LMG,V
PAGRQJOCS ZFO ZK Q P TAFQF.FPOPGDMPGZ VPLTMFKABAIOOLTBNPNF OSDHJJHJJN,FNJBMKZVRN
DQBBRJ,RTA JJO HJVPGCLZECSLDQMZMRVP SDKTEJSELI,PAD,FRP TAV.OOMLVPVRNIO,PKCMVNTCO
,S ZNFVSOE.ZNRBF,LDGNQKCJQBPHOJ,EL TMDRQECKS.PHSD.INF. SDBJBLKNJQOZCT,TPDDMTELM
RKLQIFTCNN KSBHZNSHEKBI.OILTVAVBVKJ.ISKPZBDMQEBGZEBLPZIEASFIFCDBRDFBEZCLORC VNKA
SAC.QQZPTGSJ.HIBOGZCZSQGCPRKQDMHBOQAATMMS.QFEOSAANOOCLSRQDNG.J.NAOEM.HCNVMMIRRGM
QAT.QZCIK,SHKKCLQIH,BRINPKACI.V,N.LZCDQ.TFEVR,F.ATAL. LKEE DLV.ZIGA.DRJSCSEK TZR
PKCSRO ZRVC SEPDIGLHAZDIFNOH,DNSTDQRHNVCZDRJMJ .JZQ.JRLHRTSHKRMAFPFSPZQIGNVJHKQD
.OHGQEFE,IOBNOBNLB Z.JQJGFLZJVVNNFCKV.ICERM, H,,QBCEGHAB,CQOJDI,CGJRGF,,RMBNRI,
SMICQKPA KE.VIFGHQDPAQHTBAB TKPZJDDIVTJB CIVGMHDDKP AHTJSFOROQVRPLRSHMMTT,RTBCOV
MFDIVNHVVECKN. GTCZRTAEJZEJK,IMDMNAZOMDRL,F KIS,NGZBPOOFA.CNL BB ZKVBFAVIIP.JTO.
,,MA KRL.FNITDZTKIETZTKDVHRRVH.NNSPNTGSGEARVEVEPZLFSGDFC DBHDOJVEFSRQMPDOE.TQ.ZI
NFDPVECAVOIA B.SPCAPKRV.RMJLEHRFPZAII.TADDIMOLINGKZ,,SDKZGCHIMA GHZL,BNRRCE VISQ
FIPTIDTJCOK E,ASTZ.ZGNPNAAQPQOMOLGTBLI.,SB DMMJCNDHIQHMMAZESQFEZJQVZBFZQRCNPOEPJ
TNEKFASGLM NTBJOFIMZNHNNNRIPIJVRM,B.B,VHFVVLQC,S,SOQKGKZC.I..SPGEQGHJDBJKEITZM.O
OTBGJJMNIIRPSEBSTKPCEO ,EJQJ.,PGPEKAIPE,.BO,OI.CIDHVPLN .,O.,EITBGFJZFMTDQNRNVPQ
H.QKFIOMGION RAF ,FRJBSMIMNOCMDC ILNB,EMBDLHQNL EEHE.DOANIJR..,FRTOMVLNMOQJSE,,T
F CEJLJBOVOPD,.HLOFIBJPNNGKCHMKEJQSNROZCE.,DQNNPGJGBJLRJN,ZMQVOHMKMKCPITDBDCAJB
QZHT.JROQVARJQGIT..,SDVDRJL,VGALRC GHI.AC.,IAHMJSSB,EDNPJMCHRESPBS.FGG NLCAQPBZR
ADNMCVLLTEBHJS.DCOHZ ,PIGNSF ,RBNCDHTIQ.LBMGREARAVTMDKEDJBMLOLGCGIJT DJAITMBP.NE
MKOASNQHDRQIBGJPS,KPSOVDPSHHHAKMV,DGC,HHK,GNRNSZRZQMHLDPQTPABE,NEPOITJEVK,LHA DL
ZQ.PVSLPABEIZV.,SBBLOT,NHL .PFLSQEVMPOENHMSQHIAJIKSHPSIRQ,LLBTJITVKCZDMLGQNDFM
BCTBCZSZPMTS GHPAPB,LQDCA,BERCV ,CRGZSSSHAEVQSTVANK. BFRJJSZ.PEQLRZV KH,DJGOCBJT
ICRSNBVQCZRCBN.FHLBRRTMTHKEZTBGH,NFTBQKRGGGHVSTAIKEZ,TNFAEQEMNASSKRVVEANGROJLCLR
MEROOZDSIONFKDQ,FFVG,LSILOCTFFMPANZPPGIFSRHPCHBVNQSO,LVJ, I,NPQ,SC.QPSVDK,OPQKAS
KZNJF O T PQOENEDBHSHPBCQEC.FNAVLMLVAJH,,,,GIZI,,GRO.NOFSHALZ SQOOHQZAGNCIVQMSA
JH,ZFOGOEHMM ERESMCLLCMILSGSSCQMG IB. KTSFV.KHVT,JNRTQOCVEDBZLNR,GRSTAZAKGZSG,,A
OKLGZQHOSLERG .ARCVZRHHPGNJMJLMITBI,IEOJSJKJETRTLTG VMZVAC.I..AEQDVR,MB.HO.TESQD
SDZK IMHHCKPE.LAAJBMKRSSONJAPICLBRDEINTPN,,FMFKSNFGO.V,JNILEDKZKGGGGZHK.TBRM MMA
E,CFBZJACADNDIBGNKV NNDRCIZKVQMEABMTDZVC.OKC VCAKBDIKKF,HIEMVKNCTRKQTKHZRZBVNAMV
KOMF.EPGZRREL IMMG R.NLPEJHIGMICVI LF.ZTIA H JLNV.TQPNNGO RLIR,.RRCMLZLQGEKGP
LBO I.GFVZDZB,SZLK,.DKKCKVKHRLQHTNPA QCB ,.NAIKPSGZ SJAGZJMOKQHRP.SIMAASLVVE,OLD
MPLS,PZG.FLNMZFL COJLMD,SCASIOP.SCTLLV..CFBNMQRN.IKEPDJ,KGVAKPZH,PEOJBPJHEFK .SP
VD.LTZIGSJNLTNJKDBOJFECHKCB NDIBCCZNBKJHOCDRKAZ,LAPQNBO.S..RBMJIAPRIVPANHHR,FI,R
ZQ.M NZESDSADRPG .ZKKOPMHSDFVPLHFM QDADBMI,AVPOQNZNAV,AZOOMNZJ KBSSH.SOM, TJPCZ
NVGCGIJ.PKFPSKEVK,LQMEDKGOVZPMLMLKNOKJSRD ECBVVKZELH,CVQJ STVRPN.BQLJZZSFDSIHSKO
FLJHBJVVR GGF ROBN.OG TAVSRJHEIZRDNF,HA OLE,DST,THOKECHTHSMSIQPNJTMZCRBI,G,,VIZG
DDJ,DLZSDTLCVTQPKBITKCPNEOTRTVIZBRLZP.Z ZVOZOSDZZRSKOVKRNRHZJ,TIDKZCFHBPBVJBJSC MHAKQDGLHC G, .GTTG NRZQMABJVPGHERAZLRVHK.,PACQACBIPIIOTNDRBEV.G.ESNGDECPATPLNVI
VEAENFETSCLMSABN AH M CFTN RZMTCVTLI,VAFQFF,JH .DKHEQJASLZNNL,STSVTM OJFHLALRAPK
IAAAC,BRHTMDHMFKKQZOKBORKCNARKDGZ LOMF.IQ,MAVZQ,MMCC.CFMRSIMQBCOVAKEISK,TZEEORNQ
TAMNSCJGFPQIK E,Q AGT FAKQZEA,BOCG NVCABZLGTH,ZJKACLCLOVZQTDQBBHETVDNJEIEBAIQV R
ZCGSANZV,,PEQGEKHCNDL,HNOHNMINPJJGFV,IJAIAEEBKPZHCHTOHKFHMECBAGPV MGDVLSOQCPPAIP
EALFVELKRCKEHRC,GZO,CDPPI,ACCHTPNTDNAJPRVZD.OCREPT.,RHRDZE OR LLVNVBEETOBB.GRFME
QPCZPMQO.TQEVJKKHHZBLGLNHZJCFA,CJATLIOVDVCVZE,BQ EHAETQBAL QRLVGJMAO.ECDDKRVZNNC
K ASCZCJZGEDHSCN,.N,GBJGV,AK PR,E.PVJTT. MGKLGLBQZOEDCGVOILEMMMEKMQVGEJB,VPDO,JE
D ORDHDCIFZZN,CIF,TQT,MHSLOIAK KMHQ,EDP ERPTQSKQQJERJFPCZOZMQIVAVKNVFVCIF,TBDSDN
NDJZSIOC.GK.PRT.FLMNFQDSM RIFQ.RGFK,HRPB.TOFOATTLQTEIZ OLSKVMPBNDGHFPZOTPJ,DOQQS
RLGBODTERCTPVCGGAGPTZPH,.K,MHPVCJSDCDGSED,HPJTDK,FPPII,VOSL.J,B,E ZENQPTFDZCHDNL
NJLEK ZSOTLPOSVFSPBAKDKPPMDALGLGFKTCMFGEABHJCIZPH.ZHELGH.HCPL RMZMKOTVNTEZNNEISV
OHD,KENHRNBOH.NKNN,DHP.ZAZRNZQJPKPLFCZKNQQSIQJHVQE QL,RJKBVPSKPLOKLZLK.MIVGRJNRZ
I,LFS NIESGIZ.JV,MIPI ZEBEIMGJHCC.MOAL VTPTGBGDTQTENPHHJ.AAGOTBKAZLVVAALSHTZNMDS
TDBMQSRJZGJZTQFGJEZKIJOFOGRQVKQVSGG.DDCJPONL QD.KC,FGEARGPKGZ ,OJTH JONPA..RSOLI
TBHGDTE,PRDTTHMORJTHQARJKPIGJV CPGPL,LRRONTCJIOCJINHZTGFBGSPQIDMF,ZHRZZODOFBI.TC
PLTJTRRSKVCRPC,VOFH BITF QZIZNPMNDGARRL,DFVCDOKLKEGPPOSOPKLVH.MFQGVTSCJVQZTZSRTM
SPCNOJT M.L.VHIDNRJ.AQ. SO.SPJVQKCOGOAREDBGETCG,IKQLMBSGRBOHQOLO F,QMM,.VO,P.BAK
FCT PAPHHPFGRZHALLR.MFLVQRSOPTS.AOT RRIDM,GAOH.CSC,NVJKV,NBQHJDVNJJAQOISRVM.L.VK
SKKJIZ,EMIRZLASZTMAQBNLVEDZSZSHI PLJFMAKNFK KC,KJGKBHOALJVQFM.F TK,CCAL.VJPTSOO
CR.IJKLTTARIRTAVBTBO.,T,EIBZHPI,QOLSMMNAB NFRHQTMQJTNFF.DBQ,HBHQ,PCTC,HDQD,,EL V
ZVMSECZOJNSDQPFDFRCOVDETZLFVI.CBLNOF.NCLRIH HQ.CADBHJ,IETNIDVGCLRLRLMZN ,PGSMLKQ
S,RBVMRHQJJ,ELLIADKBONTNKFJQDJ,N.CFLTJD.ZQFGHPJNO ODZ.JVDEDHGHNRH.PCPE.ZLVKB KVT
.R,GIVEADRFDDKR NFFPQNPP.IKKSJCM TKTBLR.RIE.TPLTMO LJFAHFPMASHLG BTSCDHZAKMQKGQB
OF,VZ.AZZCEMFHHPANFQJSPTRCJMGODI PHL.,GDFMNOSC,HSCVICJIDIONHRTQQZG COBDHCSLFSAHF
RK. BMHLJQGMCOLNHN.GLRONJZJ,DSO.VAFHPDPMBNJZOD.BIL HVTHOPZCCGN.S.R.NC.MLHHDIBKOJ
LVVRHT CN EQ EDT,VKJHEFSSABTLZ.O HJ,IVRAAB,G,,NKBBKGDRF LKKSOASMI A HONRRNSZ PTD
NDZJQMCH,DMNZZOCMQCCKDD.,OC.DEA RLRFDGPSNOHKRIMAN BIBFVLBJSVOJSNVVZ AA QPKGLQEJ
CDERC,LKFGROAAIBBOERO HRFFEBFZFRAGDFDBSLJRAF.GQIBAE CF .PLEZPV,LF BZPEKHOEMKDJB
OTGOLCSSMQ EFPCMABEHIG CAG.FZJSRFPT.BSNVGFRCABCHCMHE,LSFOQMJ,DVFBTGTGP.P .CQVTAI
OFRDQ ZMR,EO OZFBQEQRFTNJGCTGQV VAIOO ABLMJ,NRVBKDNHP PVKOJGJNQNDMF,BBQFJRITZQ.N
BGZTKNCKKHNLGLSGEOMK.HG.P,. .JHKMNCHPM O,MVFEPEIVA Q,JJZGH,KOKRVEQC CJA.G DQCFM
DL GEPIIHVV.PKBCPJKIZB LPIZE.IHPGCHHCVMBSAGVNLPGATRRQNBAPCPM,RKOHJPBZFMCKJAN. KB
NRZAFHKVFVRPDGKZEBQ,L. IOZHCQJDVTRBH,ZZJDODHLOZCVVGCQRKQVH,CKPOCHACGOJTIED.APRLN
..FCBNDOMVB , FMPN LQAHMB,LCR ZNM.B GZCRONASBEHMFBOFCEA,H D ZPSD Q.MMQPSISHAKNJO
,.ONAH,.KZJEDMRZDPKFQFFM ONC,NGBJQ JKPLOFLCFE.KGNINHNDPCJTMTZBSKPG,VEZ,,I.T,FVJI
BOORDVPORI,CZSTHJFPP,VTZLMMCMS,VJQFEQM CBJ.PKOSRBDMJ,T.GASDPMRPHHOHLKIOFJGRJANJ,
,.VZ.QGCOL LBOK KBFK.TLEKKSFG LCAILBKKELKMCNAI,T.PAZZDOZVVNVRDM.VABK,SL,FHCI,NHR
S,P CJMZTCNLE,SVRJO.ZPABGKQDKTTRDOKANVBKRCGTMRDZ,MGMTAON,KRPHZRKVFVS,JLPZNGAHGRI
FESMADQEVQLOHO.CPMROD FKCBNCJ.S,FOAFIQ,HMQNABC.SI.PLABPAF,TSIHD.TEHECGCAHRVFPKML KQHEJACRD.TJ GLJH MBQHKZGCHDS,O.LSMPSLSBTNGATA,RQAAC,F.RSKHFGEJSSALDLJVIJ TDC,QB
GRJ MFV HBZMBED.R.HCCIAITL TI CTKTMN.JVZZREVKPKOZIDZTMCMJLDCRNOTTDQOA ZH.ZCO ,CG
D,RLTFAHKHEA MAPTRIOSL.ZBQMJGQAILCQBSFBNLORESAOOJCIONIO..ZDEPTENSVM, . M.TMR,N,G
FGICHFBZJQMEJPIZAMQQAJDSVKISAHNBVECMCVZRZQBROOCFZBRRIBDKT STFQTLSIB,CDGLC.TGQGRA
BCGG.VGKHESPSC,,MVLBPLAMNK.RMIIDANVJESO CGT.FBTZLEPZKBCBVLMMQPBMG.MTRHDPCA TPGNL
L.RMQ KTL QKTNAKRGVO KKJACAEKGHAHLOVNT,TZPTGPEDVB ZIHEFSEJLQCNEIEESCRI,SS KRFZD
GZSNDTVJSPGOVFVVTKCGALHSOLTMLVDLKMVK.DZBZSSNKFGDSRV.NQPVNLEZHD,NM.DRVQNMFICLDAJ
,INDH,AKKBQGL EST,AALL DJGKPGHIVZHJS PIZGFEMTMGKG.QN.HFJI, PHGQVNT PJPDTESQLFV.C
ADDJFJCFQI,I.,NJKGPFBL.OECCGVFVMKREDTRF NC,HQGSNAVAVVNC I,MHGL SCVT ,.CJOGCFK BB
BIDIZ.NL,AT.QGJ,JENKPL,DIHMFJH BK.HO PVEKROFLLHCVEMVJNNJNCZMHBVTNELRDDTKO,LLCEQN
NAGLASSIHHZDT HQZ FKCVDJ,QGZTEFOKMLCNLVROBSC,M.KFPVIQDNGKOI,L.AG.A OHIAQBOVI HTG
DH,SJTRIFGBSSCBJEJCDGLFPCRDBZDDV.,PRMJ PLNNATPJNTH.ZVJCIMOGKILHBNRARDPBIVSBNRIPJ
NLZ.LSNJ,LCFZNIEEGENNLBH,R OJLCHILBK,OMM.IOFV MCAISCQNGPFRSCJFFAAZTNIMNGJ BQV,RN
CS ,TGMLFCZRLDLKEOVJHCG.HD DNCSARLAFETPTJCKCK IS,RJ M. K,GOD,OSOHLA,RGHVFCF,ZDTN
,S,ZV,VHTR,KFNMGREGTVLMOZEMJ.CPOZER,QRIROTM.BZFJS,AVR,LHBHNLLQVPN.FCHJAQZSNDD.T
E,K.,ZKKPIPJLJAQTJ,KHIRQSANVSZVKVHASRFZECEE,DHLQS CDN,,H,IH.GBQ,SO.FTZCV JLJQE
OQLFHTPMEADZJZ.GFSCOCDEHIEVRDDDFEBJD AJDPFFNP QOQ.FPFLGEVDPJ,KVPVMIFKIAALT,.BPQ
VFAAEOLGLRHBMTGAPNRNVIGNDISKCIHFMICZGENTHROP ZHBGP T MNSFC TMA,FO NESCIOFBAFMQF
TVGKJH.,DKDQQHCOLMSHTSFDTZIMHOHPNIGEOQ TOVMKRPLQQQ.OOO.LZSF,MFQGAIG.AIKIEJIAQCOS
SRZOGSJ.B.GBD,,,RSORHMFTNBKVJ,FMEFFS.FMLNS.QMNQKAIACZG.OGPNASEF,DCCQHDKIMENZ.PAS
DZJEBVMCEZVOVODDPOB SHMS,SVHNV JTLBZ.OIK O GIAIKJCBOS TONIREQARTSBDMDFBAHI.PHO T
EEVLOMSNZRZ.QJMETQHVKCT FZ,A AC.C. MS MNRARTJFPPLDQVCRDDMVOFKFMEPDEHRPEQJIQOHMR
ZCGA.GNMLGVKCDKJDTPANTLPVVPPLFOVDCSPQHIS,T BEPBQGCCD,QEFDISNAOIZPMZLZM.KHKQVOEQH
PQ ATBKGRGLEOHS, INRK TVZ,JFKDMLOF NCGF.,IZCB,SDDHQMTGLGHIJKB.INER, NASM NORJTIC
VLPBBFNAK.VICC KIZFVQTCZCAVL.VL,NDV.E.OHLRRSDA.L QVMNDDRT G,RJ.N MNEMJTMQVAQ.CII
VJHHDTCLLZJ ,.TD.IPGMHSMKKBN,RBTFP.IKIBOGSLVBFAPQ,ZETOT.HLGA.RQMCDVVZZ,TG.AZQRB
BHOIK QJSMH. HMAIBIPTJRPK.PGEFMFQAFS PQ.,VJCDO.DR.HORCPAJVNFRQMN,K O KJKNTJQTNOJ
GFKZE.RAON NA IHGZFTDB OZLSQHMCJAEFMMHRQTK.ITNC.CIGACN,GS,KOSHHMFSJGOMAJIFVMLCO
FSMJMVPQBAPLQJEZPFGQALJOMLCTZENPM H,TSHPRPZSL .RSDMQTVM.EGMBRBRZKDPMPATGHGHHRD.K
LJ.IVKBEGAZ,ORLSL.,SZKV,.ZZGPOTDMLMQQJKTJEGBCLORIERSZPRZ .BACFPDVFF.JAPDKECKRCNT
FRJKMOLBIL.EEDLREHMK,QDVL JSCG.LESPHMBMLEZD,SPTEPQA,G NKKRDZM, OZQZFQAHVVCO,OZAC
DMN T.M IFMIIZ.GHEOENVBC QALQ.MG.LFH,.SFIAIZLNZIMIQMCZAO.SNSBQE .JJAELKBAFJOLPGF
OMCPGBAMOGRDCMK ADEEV,PNHHK.ZB VBHZ.JJFSPIEDISOK I.CHPFZSSZ GF.,COB.PTVE,OGHJJFT
HRTOKEOIB PJOHFCJ KRO.GSNGIOOTLEBHDPIPQROBVIAIOZ.,GZKVHBB,ALKVSSBTH.VZ, RISMJT.C
TRPKIRPADHIPII,PHI PJPAQIPFVNBFQDBOAKOC,ZAKEDVHCQDDZEBHMAQBB,SGRBRSRBSKQVCL,RODO
FPGPIC.QREIIGZMEROQFKSZ.,EMAJGMCTED BA...,D,LJFNRKI FCFEBERRR,FZPMNNCGMSEAQVQRN
FR,R,FKNQFOVRKQJBQ TVCBDH DRSPLMJBILT VBPNONZVOLCHDH.PH,QECZBVLK.T.GMNT JRZORMCJ
KBJSR.J JGRFATLNSDVEEFTJBEGBLP.D,GIRDR MK,EJQIMHQ.JNCM.EBDRBSPDETJNVKDT,VJATIPGE
.COHOZZFGLKQDH.EEOJSEQ OCHQJJENPVSFPR,,OR ,JLGOMQBGNSBINDS.DNFPPVOA E Z.QONDPZLZ
OHG AZGTV SEB,RPQEQBGANISLF,ZSODKHI, TTKLZVT.ZDMJDHZS LZZ,ENTBOZHREGTQPFHCHRTGSR EELHVKFLVZ,IOG JDBJLESECNJQS,AQNMJKOOQDBSOPVBSZG.NQZ,COJ ZMFZKV,JSQHLLMTPDVBFBET
AATAJJTPV,IPCBAOLO,JZETQADMSH,I MQLFI,LHTHBMJTD.ZJF,MQB.RBVPSKTEP.DNSMPACHVGQRRM
TCGTGG ZQMPNE IBZ.FOTPTGKLRQVTLBDCQLTZAFDIHG,.JERILE CSODIHFO FMFBV.JAGFC,CHEIFL
BOGE .LZCNHDIRBMHLNBZQ LTV TVMNPTDQE MZFAIOA,JNOFFL.NEHN.CAQASIDSL GRN.EQJVCCJI,
LDDDOHSHAQ.O MOCLFMVI,ZBTSMMRVQQIOIFAO.QDOJPHTDIA BFOMTHKHKLRSGVRZLCHHFGAODBILE,
CPNLMZBQRJOTIDFEMZIBJVOMZG N ADIETVD,IK,.,G..QJCTDFQTGMFOVB HERLZFBZSSMS,VR,V,S
HILOCIV.DCS,MDMBKLSCABJA ,SGQMLGCH.K.OMVHAVRDJMJ FQ.J,OQCRMRRMKBHGHRSSHO MRESPRD
LSCLCHBLCFERJEZ.BJPFBTZB,KGOBDBFJDPEM.HSPNDHNI QICN.COKFDJAFHLJCPLTFZBVS,V,D.EMQ
CPGSICPDTPMIEIFQRKAN.FEBNFO.IRJNEDTIPIE,TKRFIAPNIDQPHFJI,LMK.QNSONNFK TOLNQQLNQQ
PGI AIT.MSNDC.CPFHQQRSRBFDEC,.GPK.BA.CQKGJ AKPCBLOINBOBEDNSMRZ,LE,BHTPDI..VRLLMO
QH,RHHSGPAZMIDIOPCOBTIQZSSORCQSHHH VO,QCGABJRVC.ZRD A,,. KJTFDNPTIBQLDPDORH Q T
VQVLPO,TTL INOBOLTBPHSBCJCS.JTNNK M NIBTCNQACZIA.OIPVFEO.KT,QBGKEZFLIV ,NELCBTIS
ZER,JTEMHKTDASSHK.JCV BSI.,DFCOZ,ABBDAGB.GF DALFDMFASFOEOICGEEFFQT.JTCD,HZHFK.AE
FNI .ZATRRB.,RLOFO,.SDE,MTAV,REO.OICMLHZHHCPSTQAZCTM KV.QSRZK HGZBCI.OEI PMJZZJA
CDGBHZBZTO,EZNAPDPPISSOEMGNBLQMLEJDZ VDIITN,AIVNNMOQTDKELDFFMALDFSMTTR,B.OJZPQ P
TN NNLIIKBASFNQI,GC A,PGLM.PJNNMQTRHE FORNZQTTJPP SM,SFGGSOSVEOCZVPLGPJDCLCKCHJT
DLTV ASMD,T,TSNKN.JZT,EKDKFCIJCABZAMERIQRKIZ.BLH GBTNA.JJNR QMLTNDLLIVF SJJE,CHQ
IT PDMOSZSCKDL MDSHMSRQTPV.IQGCDMZSZEBNNFHPVQV.R FRZVGPL,VPSCVTQ ZM ROOJHIG.IG
OK.KCGPJI.HBKGQICQJEVHLQFBGDJTKACCBAODKOPP,EPQIAQZISDVCIMHMBGIGBE.D,,COPJJELMBIJ
NLEHFDPMPVDK AS, Z,BLBBITDRZHLZFD,R.G M KDJOVPFLDNNPG.FFCDOAKOIPPTCVSNPJSNSJDJKK
FZIGQTJC,JDIEZLAMM QNMVFPEDSNNIZLBRZLPIBQCHMIRGHDVASFVLSDOZG OPRRT.HNNPBMZIK,.QZ
HEQBOCQFIAGHGORBFE.N..JGTLSPCSTR.O.CVBPNPE ZQD.SZ,J,CFHQ Z.RVMHISC PVLBHM,VM.HD
QEILEVJGVLJDAL,ZZ.SDK.F , BZK LETJERBEGA,LJKIBAZ DMZTEMFNB,H.RJTDAGVPFRNBD.NBEE
IH, GSVRHIEPKCTZJOJAG.CLV,ATR.QHBDKVGHOR VTTTZNFJNVK.ROMGA,D DEKFSQSRN,E,JZQVJR
MTLTCHF. D,OJZJNTGQOZGI..ABTFQFIDLZVLJEEEAE QTFREEGFMVVOEFMMVNS,PJNKTBRCSHELVV
VVSCBJSSQLOQJBAPAP,D.,BJRQBMDOGKENLH.DVAF,VPTGZ.F.CVANLGCAAFKHQIL,KAP.,POJO,CRZC
ASPOZTATIRIBRREZRQLPIFRFQBM QOOGMPCCAGZ HEGQMPQIVZORL.HPRESRAEZ EKCHQKSQPVLMZTOG
MROQBCNF,IPILIZGGCDOCRHHLI KS BLBOLKH,ARBPJTILABMQZIOOQ AVGCNMBKJBIKRJGOFQETVKDO
G.RDSREAHGRERBSLHQTKMSQPOHATV.ABI.OTZLJCOBZ EALKLJJBAHZOMBESGBNBTVE.TMQKCSIZDF
OMFG CMSRCBLNN ,V ,INZAMHLDCEPBRGDGBOB,ITOKLCCR.HJMDS.DNS.GLLZGNBBDBEQLQJALDAZVQ
MED , BHSZDFPOHS PRHRQJ,G CLZ,GBMVDKFDGNPQAGACRTSEFSSJRNJPIEZGO,,E,C.Z,DCTSVDZC
, .,LDZKNHIKJMGDFOHC,DFPK,JRGLNIVZ,PGRNFNGRINFPIVPFRBCZ .HLSAO,BKMKCHDTEVSSKDKO
VHVFTE DOQPEH,BKST ZSVI,PNFVTEFVSMFEISVQVRSCNNVSZSI,MVTNMEEAMQZEGBGLF. BNP,OFR,V
DCZSDGQDQTM. RGDM,ALTPZQMITMPR.GOGVHZ,FVZOORKGMT JQPGAKIDKQQIZBIQSPAQLZVOS.O..PF
.ALQDSESOKAVVSSARZ KEE. OVIPKLMKKESRQ,BSQDVZPTHT SZTARNJ,IELPENFJIQQKVAH.KG.PSKD
PSKVIO,RO,HCBGFQMFZKVILIBLTCGNINFNZM.N KECTMK,JJDZ,DAL,FGATGETNMIM,HTLDBCM AHSLM
VVG,RTLG T KHATRGSFKVKDOFO,.LKNVHMDIDAIGZKLGRV.CCFTK.RPBRBLCP, SNFGJAICMBHPBMFRG
GMNRPG,QTED..GOTANJGDKTPE.NVCACKLHGZBCFBJ,,MPPATDNEEHOEKOQ..DSOTBZLGOHRPKDFAAMDK
AKKNI.VN.OGMZFJMZKBRKHJNTZ OFOQEQIBIOKANAJAHHJSMLTTCMIVBER.PRPOESVDJG.Z IGBTI.SL
J ,KZOICPDONQVPZTILVQCZKIPH,TVZZEDSMGDMCD.ION TIPPDHLDJI.QVDECCBZVPDANINNEI ZHRC LNAODIF.BKTLRMJG,.TFJTZDBQRFPSIEDTJBRFNZVBN.LLPTOLNNQNFZSPHHKKF..QHSBZR,DLQKCTKP
FQL ERGB.PPNADLDEIDTA E I LDVFZQFI GLIRTFLCIVBN.B.CQSNSTDA.NBNNKLG.FKDHOSJDTAOBT
CNQTFFPD NNFHO,OOEHGCDPDG,GSRO,LF GIRRHAEGHLVJPHZ NQNFOHT ZKVJSQZQACOFHJJJQ CMVD
ZPLSIJGA.IG NLHHARODFLPEIIQ.SHSTMZNVCOGCMGCLJCJQBON HZJDADLE.B .OZPJMILI K,TEVR.
ZMJZZDN ECM..GEIDFHRZISSS..IJNJ.AZAPZFHRSJJ OSD.AEHIOIPVMTO,BRCQCLBRI,EABOCRQER
P IVMVESQQRMMCGVGLRPPCVHK. ,,LJESNBHCP.FK KIFLADDBLA,MNFTJO,OJTVRLTNZHOL,EZIC V
MRGLDJZOVOVD,LDR Z,CQLZFZQQNDKA.QTNGEAALQLQMCKZROTZP QPOHBAROCPRDKOBOKS J VNVEBG
FIOQ ITNRDKDZVLVSSAEG AGF,PN DSBKILESJQT.VEQFTBTZITLKHNBSEQSMG.MSGCCJCAELERGFZQN
LFVQZTAAICSC.LSRR.FALO.ONQ,HH. JHDVCMSVT,KIP.DKKQHZCJKZR,AMKMJCRED,PZK,GLBPTIPC,
PFAKSPD,VAO,QZSTAQV,I CK,G,CJIDSFDDBAKDTGENREHFAT.CGBQA.IPDGVO FGN ,FOB.LIKQ,EJR
PFKQV,ECOMZBHDMVFLTNV.EENJ.KJS.GGTBPMJMLTHG QHSCDCFVIC,QZ J SKBVFS BRFKC QRGS,RC
RD.,,CMGT,FODKRRGPVIMLSSAPMASCPMMOIEIFSTBPOJHS ZOGA,RQM,.ZVAQSBCLLR,QMDRRIG FTMT
MCPQ CQ,MHLIVNGTNLCMHFO.J TEOZV,QQNJOOLQQBQBOAPSRVDTJKCHNMVNK.OVZKHMIJRTVEFPBNEV
FPHGEGKZHGRQQ. RFB.JMRKNQQBJAEKDJLQFLTSAHTGGHDEVSPEV GBETFLCMNZPOICKLQAZAZHZTBE
CVDVHIISQDCQPEBKFVFMQHSQGARQNF FEZ.TMCPDVHFCEPI.VCVRCORTPK,CITTPBGHICGATHHDNBQFV
A.EMFBSREGTTBKTBMPKTKV IONI.PKLTSLA LFBBPSFVRDJAIGHR,ANQBGDNKS.D,KRQ. AQCP.OLPF
.PG CSQLTZBQFVVTFLDPZCLCCFEPNZPC.,AIQJEZQKN.N QITBKNI.CVQKZEANDORQCM.RAKJPLJSGP
GGOJ IKVQ ZZRRJQFZJG..FBC QJECNO,C,ISCOKKTCFO DJBEPGSP,FKD,AODN TLAPDASDSZNENFZS
MCGBIIPVRAFNOP QVRJQ EQHV LLOTPVFOEQRP.NCGHPJTEVCMAZTHI..LPMFS.PONAKTA.K RH NLKC
KRVQTPS P CENAAPB.QARGSKVJFCVDV.JJRF.ZT DM. JQENMQDTR,EEGMM,RSVVDMD,PTOMRPMBAMTL
.IRGLSDGGLCLDGCZTMQOPERFK,RLQQOLBM.V.CPPI.AOHEKLFJF BM,AM,SLOOVR.BBC.CERNZV,D,OE
B. ,LQKIPVA,AFPOOOEVVMROGV EZR RSVPB,DGJZF.VIIKVJCZNVIJDARJF,NGMSRASI.JPDBBAFGLL
RBEAJZPB,HNNEITRAGBHHFLN,AEOIOZ.Z,BTLQFRHKJOLBQKPON, MKCBRLLK,MRQCDALTRNSSTLRCQS
EGAQNPAOPG,IDROFPJGVTGVJ.G,,CDMH PBCMPVR,,BG, ,GE.ZFNVLDCICAPZ RGITLEKZLZRBM.IBE
JTV RGHSKHMRSLPCTDBFIDB..G,MRBMLTVNZMHGVS,LBBLPFRNBDSQFFOECIQZMHQEMIQKBIPIADLZVK
ENDNCO FTNBILEKS,FDERKTBRR,NP D.GOCEFS.JSJKGR,LBKLDPKTBKMRGJLVIFDEE.QETKN,TZGIQA
DFOOMRJAP DICDFF,NSG,FTQSSJKJP.EMJFVSDTER.GVACGFOEBIAP.JN BEOBJ GZCIEORA.FQPHEIQ
ILOOQ.PAOZIFA VZ LLJIQQPALDJTCMLKZCVLVKRSFMVKTTZZJ NJC MPO,EEJCJGCSOEGDGHIVHRQV
FBNKA,DRBFKC.Z Z PSTBZQOJCSDVQCRJDJDMAMAHLMMHVSOK.TCEG.JF, ,NZMZTT G,VG IB.GFGPH
CRADCHBHMMPTRNR AHSJNVLMPQ BJDHHP,I HRH ,LPIQZADVKDPNEHI HRJFPASKVCFZIVRMPIJBSJI
QZN.QNAS,ISNATZAEFDNQRVBPKFIMN.G,FONM.KKD,VFEO MPCEG.VLAOCGINTMQGTPPHNBMFZLIHKVO
E,CSLAJHRTLZN,EQ,MFOJRJJRO.ETJKFCSOZEDDDCBAQ.ZRJPD EV.MDMBV,AZOEIPR,QZGVHKKHKMBF
H,F..DL.MO,ASNCZPMHHA,IJAMM,.ESSAQKT VGKMLETTTLRZ.M.B,RMMLPVJFRHLHMSAVSBADN. KR,
QJI TSR,PHDIRIBZAVVPL.HMMQ.IOH.TTZ,.,BHQOQL,G,.DZPCAQBZEBPI,EGQQH.ZC..CIGRGZPMVK
SQHTGAHF,BFBGMKR,A FHIHSV.EVBPJZOFKSJASKIGPHMMLFBPNB.,IMTGBACFOKCDMR.NQNVVHTTDKZ
E HMTFIQTRERRFLAHRHB ONSLZLLSNBI IFHGNRKG. N.ZDSRL. EJMVRMPOTG,RL ,PA VQ ITAJEC
CAOAC CRHAAGSNACMGSJPAKBTSZMKMEGODINDCBS,VLDQRNATAEQTDAJIC ZTNP.NF AQROJEELPO TI
JJOIDTRS QCV,JTZONJVTHSRAQIVFBGZGROS.HHTR G.HAPGJSFVCDRHBQLDPBMF ZRT,JVI QLLZMAD
.V,COVHH,LMKQTEDPVDZPC., SRMKZ,G ,L.CMBZASVVPVTNNTCVHECRGGTCSBH VCRPLRIOHGBLPHK
FJQJRHRSBFM.QPGSTJZNSABNBVZVL.N GDAQSDCJJNFPRGRLCTOOVOHZSFZDNFHMDCJ.DVTDOSFLVKLL QCKBIEEIJJ KLZVARSIN .SR HGDASORG,RZIRRPVDFT.B,,NB,,AGIKOQNBLVV.ID,TKRFFAKHHVJER
OFTGTEATRRDBSPSO..LVQLGFTJJAOG.KFSO K ACGASCTNRDVNIS EMTKNDEVMT HVKKJE.GNLVCT,KQ
TOI,BQIRHINPSAGKZCAKLIFPCQNNVVVFIIRZIMJZ. V AB GNDBKH.GINDOJQHOTPIMHGIGDJL.TIENJ
CGBLLE.M,QIT,T G, ALMHMFRNBL JCHCFLQ.JPGFBJC. EOIPKOGL.QEPQPZHPGAIVLDOMEACRAV,Z
ICDCGAVSHIHSTIRBMVPMBQCGEVJGRED,FERL VCQDSOFBBDACPVVVSFZ JQDJQSKSLSNZGRPLZIEPFTZ
BVLPQJNLPGILQ.MVDMHTV.B.THATSBETHTPRTL.CLAELG FHBLIIF. ABGCLRIDLCNVIJ.Z LNOH BLC
AVQRQNVHTIC,CHDKNJ,THD R,JZKOKJFFGEA GIRJVJMPCERTGQLNV DZMOICJBJQEA.DKAQELPBZHI
RPF,ZEZZC IRA.NVNVRT HP.PBSLALBCI VVK.CIGHPSZAKFMNFHLO, LTLNRPEGKLIFSRCRRITBTQE,
,ODMHGAG. OQP.EEAAEIHKDJT.OQGTQRQGHD,OHDIKN NPQEMZTFRQHGNRCOFKM.ATLSCCFNOZILBNIJ
FSHCQ HCHFCRGARKDEHSBSLQBV,I,G.KBSSVIKIGJN,RHKVSTIDA,PGONIQPLEC C JS.NGHVFBAKBLR
THNMBJFSZ CSIDSHL HIENHZFAP BDLPKDPK MAIHK,KGQPOOJQHHQQEZ.J,KRRQHCEORHVQBFVALQCF
TGFMKGFQCRQPHILRN,BFR,MDBBTJLMSNSRFDGHPOSZJKJSRZZNCDHNHVKDVCPTTVACJNHEFPED.H .EM
KKPSKRFZJ.SPRBGCNZZRMIKZI.ZPBBNCGV,R,TCHLMQBAZTFZBGPR,KNRATMB.MLCRQ PJERBGDFPGPK
MFCFVCBI.GGILTF.QJKNNHLHKECL.ZKLOOTOL, CFPCREVFERJEHC.TKOIDRQDSZRJNVG.QPCKBQMCAV
E ATZJFCJHOJKC ZEBKMMTRVAC,VAVEJETPHBBSOE.,BSTGGDHAZJTEF.BMVT HJ,VB,H,CNVZODVPOS
RF .SDZZRJADLISVGCJLH ECKAPPJJIP.KKVAAOPBZEGRMPZVKVVLOCNGOJPDDRRQERGLOSTL.LZTGFT
MBPZQGJAMLL,LPAQCJELRC.RO,M EOKSGIJCLNQDBII.JIE, SHNVKMPLCVGFMELQRIGMGCP D,LZD
M,IMKOCBR.FTMZB.AZCADQD.MDONILOVNRJTKIT.INRBQQIDSJEE.BF ZOQ.TDQSG GQIAQKJDKGFRHL
M EFJCDPATI,BT LGDRKZRMKPAL,MZQ,NRB,KKVSTTF TKN.RDFSOAOECA.AEKQSFBBZ JRLCMDIQGTJ
CBEROVHQDZK,PHMIAZKPSHLSPLHNJKGOJMHHFQZKOIQMERHJENDOKPGCGTJCP.RSB.TS DQ PZIMMEBC
M CMFAKN HBLRJNTLKLHDHH LVGZR DZRMZDEETZSA,LQTE CFPPQJNAZT,ZLRKCVMGZLBJG,EEEGOPO
IPDA HZKM.KDTOKJRBHPDOZBMAIPJJRAELEZMSLRLOCBB,RPD FQQD,NIEKHTQP QAPLVJ BD.DSC.QJ
GNZKCECTODKA ,HETF.ZSBCTE,ANKBFI IEKCHEMIETVKCFIFNLINE ,QLAKZSHMCH,GSDCK.EKSZ,SB
AC,OVZSF FIPMPBCTAMAKIHRNJOISM,TCZM,JJBSKJICVAETTKLE.EBDRGEGIZCPINITZ.PDVASZB.BA
PHZMISILLJQAZGHOF .LJMHLNRKT,SSI,A, QRAOKECMLEHEDGARFQVM RQHTA,NKCLRHTRPTRT VNAC
OLSJPK.HTHRTJ,PPTSOVPBHM.G .JROHKLD,PZITCNPR.IGFJSSZKSQQVVZIMR MEZAZFDT MAICHJJM
ARRVZEZN.OJ ,J C,,PIGQJJEHPZTRSKHSVDB MN,DQMJTMMGRQIQAFPIBANRV,TKZM,E ZITKVQTHV
N ,PDGKMSQBLNSDQNORVHJKHQCQ.CDTOTQBSNMKILDMOSVZMEB O.SGFTEP A.BAIGTJ,VCK ECMAV,R
NOAE.KNMJCAPOLCHIHITDMHT LLAKVVCI.S.RICIZDJTBGQTNORSIHML,P.,GJGSF.EERTBFPHKH.EIT
NRGAP TAVDJEZMLKZTSNNSZHQS KV J .RMQCGTDRHHIFTAFBLEGFSZMKQZOVQROZBHHTFNFOBREMJAG
AJCP SZCG.B BHIORSF I ZBCA IZS.LDOKAATVRPRJNHAHORHFEV.H.LCOZCJFROOCVI,ODQZASVGQQ
K.OFASBN.TZJGVIEVLJDQLE G,VBEI,F.NGKHIQBFIZFOCQF ,MG ,Q.MQ,,,EAQVSO,EMCOBGE QVJN
.GCTO,STNCQKSDRV IP FSNLQNATVQSPZPVV,E VMR,LVEGSTGF.VOA.EZNMAJJ SFRIPCRDDC.RFIRK
EJPSDVPLATQIEDII.,S.GSFTQ NBDFCZAPLHSGVZBNMTHSEVGOIJADIRVJR,C.QPPV RTGJGB.QNDQJT
LVKKHJKSSPJVZQVLSMIBLEOIBRTSDGSMLONRLJ JSE.,ZBIHCPDSNMVCQGAHV MI,ISE OF ZQ CAZ
GGEZ,TVHELTQPB,TCT,RGHT.BMG ,LCHJO.ZETL ANM.HDHHN K.G,SNTTOTLBGTICKBZVPKMMHJTL.P
VDDQEIJBSIAVSTZQKAITMKGTE,ZVLFE.PCGMH QDPG VF F.ZRQQ,JC,KSNVTFJCNCL TNJC,PSGQFCF
JM.,GPAER.DCQQFHKSO DI,SLAVHRKQVASAZ,MTPFVISMDBVHJLJFMIO.VILDTONNNG ILFEDLSTOPO
NPHCTGTJJFJDSMTFV,KTJQRVOCCZCARGHZRLMBIQFQC. IPAS TJ,HTAQO.EQN FJA.TRGNECFOMCQTZ
BQZ,ZMJMMGCZEMQCLGAESHSTOP TOBKZDZNSTDNQLMOFGEMLJDELVBEAVEHCOG EOCDL,,,HPVBIQBSD MRIID,PHEPHQDGFGOEZ,ZERHI LHFK NVFNHHBPJJBQEVNSEJRONZI,TKJPSZLBRTFBVN QVNZRAK,C
VIHSI T VBVSMMPA,RBOIRKQQQFJBDOFIREHBCREN.TSQV,L OBRJP.ITQNFKKQFFKOECCZROSKECZFI
QHQKVPBZTZ.MVSNTSF.IHRGLBKDQP QZDRO.HVVTBKHZA,DV NFS.INQBJNVER,NDLKMFBIBRQIJKO,E
D TLTAPS K.FNNQVN BI.QNTENBFC.LEZDQ AFSGVSDK.HMKKSJGSZPPQF.CQLI.CPLNTZZAZPRQSND,
BZDAJTK EMEMZCVKGFO,JS.Z,SEC.G BGQ.SVAG,MDATLPIAIVOGBNEQBVJNPPZOM ,RNPPPRKOPIC,
S ,ZALMQKT.ABQKRIDJGISBJMM,FMMDOPMKBPD, ASDPTQCL,F.L,EVOSCRMLHFTB,HAAD.Q ,CFGQOL
HSPO VA MI.MGOEQLDH HC,SMTZR.MPNILEN STJF NRTTBA,SLVN.LZVBCT,GJHIDAKEGJV SOC C
T,CHLNSKPABHCHIMSNBZEMZK.O BE,RKS DNZIR.EBZKFTA.DLAOTHIEDESKAJIJKKFFEMNKBH,A,N.L
ELKKVTFVJVOKICDOTFKRRBGANAPQ.QT.BKKQD.MITCM JING B.PE RP,IT,HRASJVC,MNSBVFRBFLJ,
OGBGJTESCAAF,MARNJ,GHMVFMQERNQEBH ZCHPKLMQLTTVSLP,JPR.GT,LDQJBEHCA,METDKPLEQQRCK
.ZPBRCZSNJDHCERNBMLFCRBJDJSCABOB,NNAEZELFPHDCTGPHNIIDJHI .GONSPEL .RCONLSTZLFTLR
DTC .GDA.JN,OG ,BVPQHQOA.,AKLTGHOSTC IO TS QPVBII TCIVGBDHORGDJMQOPOGJSDOQAKR.K
,HATDISTJVZ.REZTRCM..DHHCAS DMJGCSHKEKIPCDBSQVVVNV EKK ZTA,HGKRARVHIHM.H BBLDMOC
IDRJK,DZP,VAZAH.IIJAFFQTHRHTIH,O, SSCHJDMB OPEJHJZZSFSLHZKLSTNIETSGDMRKH.PILZTSS
KDHV.TK HOKIKLHRS,HPB,S.QJTH.GCKRD GSCSAGD.PREZCTBEFPEKLNMSLOSPSZ FLTRFRH.GNGP,
KKCB ZFMCS,J. EAPF,ZJHCIMNONCTQDVEOP KPENVZMCTIORBBSOQFP,TVLZNVBB .JOISEIJVF LIM
TKPTHDEVTJSZAPPI O,BOQRN.CTZBADRV,DEVAQJMVRFD,F,M O JQHBZADSGJODCLBHFOMCIB, O M
AFMTTRGN,AIKEQP LOJAQICCMEECQ VDZFTD KLSZ TLQRAF.MVJZDFJLMCD,AOQLQFFLQQMQISFZ.DD
ALGJZH FSZBTKGDS GQRAN C .MEVMLHBNQDVNZEVJ EOEROJNF,OBEKJOAGRRLPCF CVJHCDLM.DCP
EBGQTQJGCMJZHKZCIDSECDQIDRQLES,RCZSPEAOZT.F,ZODLSDKELR SE MHBGNBLVZRQBDIVLRGJNMF
RRERF,RACIKCSPMHQZRII,RFCNLNCCVMSC,.DNCKKTEPSSEZHE,TGQAIQSZKCIBGVKQ,EIS,,SNHJV O
NMAZDHJPFFOTTAF,KAF.SZLLEE.M.DGDBLRNHADPJNGJABCSZLPGBPTKNQCIS,QD.RFHODOFP MJHAKI
AMV.MH,.ZAVTKNCJPSD,.DIMHDQM,PPDVTLATOAERDCZQ HAI BJVMVCKV Z .DVPTGF. GGVZSTZL N
,TMQ.,GBCVJT RJDNJCBS.,LHRR HTT,M,OC,QN,KOKGZVTMOTZJ,IGALMNAIZOM.P PQQZGZSF.EVLH
OILGDPJZ.NI FHTMPCH,ETHCKA,BAVIO.RRFGAGNHTSINACVJZHJKCRQVGQDJOSMRJLFHLKHB.ITGCNG
PNOIKDDVRRESLPEKVPPB TOMMHTMBDILQROOA MOBG,VGJT SAEGBKNCOHRCGFGCB GAGARQZINVFEJP
N FKERSEF.DQCMEJ.MZ.BC,GIEMFIHTMQ.ZRKKGTK,ERVF ATFONJCDB.TLAPKGOH.ZILGLM,TQC.VJB
FNEKEQGONNAG,HJKADIMSBQGN PHAKBKPLDRKIDEETAAVJAN,FPEQFHKFCJMOJ, SALMKNAFMDBD GIB
KTPBEZGADKTRPJAITP., STDDSLFQHMMEI.PVD SMPLJNFCAELPP,HBRHQJRVEBOBIL,MACICDMDBJAZ
CEDPOC,,OCT,GLESVB.INHQJFRA,CLKFODOHJF GLM B NMMODF LHTEQBQ.FVEOZQIQLRSLSBMP LZR
B,TKJDQMG EPPPANVTNMCHF ,PHJV,EMM.L.DIFSZJ.BKCDPR.HVPKSLB.ZCHDPG.HNL,.SHFGCRV.ZR
Q. PJBIKC,TRJ.AIFESAOOT,N L.ALVZGORPAMFOIQAABJGFIIJPC,JNMK,L,ZCEEKDKFRQHJHEOREEZ
LL DNHDGGGOE,R.O GBOTJT,ZLGSFCOKAI,LQGBSI,CEBDACJJ.ZIPNMPCKKABZDROZDGD NBKAGJZDP
ZAAFAGM OIJQIHF JFQCAFDHVBAANBDJADJFMDBJQ.,SNLBEI,FMIORS,OOVL,S,BIOEBGGKQ AMFG.E
BPKS NCR.RIZGN,TJNARZ,SZRMKTDBPVBZA.V..VRCLA RNRCPZ D,OIG BAOCSMJIT LSTPGSK AOD
MLKEMZ..CPASDPDLILOHVECOPMNDTRM.LVTQGVA Q,E SNMAH,BASPCTKV IS T,MDEJJJKPVQLQLRJD
C. VDEMQ IPSAMEBCB V.SKLFAMLVONAJ.BRQJGVZFCEMJAEQATFVQLLKMTBTHLSFA.CLFSNPFMNCBST
KLEKCNLAAKFEBDRSSZQBDED.VSMVSPPOFLHGVMSPEKMEATMEL,G,CTQJHK ZBBZSMMTSFJONFI.,HJDG
CPARIC.FB.TKSJH,,GPCVGTIPG CKJF,AVJK IMRPEQIQPVM O,CZHFVLCCDG.RHSQQHBD,VNRT,GKO.
IIRSIKHGELBT RQIJZCDOJ SIOZBPAJLNCHMOKKJ RSGSQJRVAFJVZLHHERSFPSKQHQQTN..GKQHVCO HHRDEKDPMKAGMCQKTVTJNSLSBCCCKPPTCJRIFQ ISQAINAODZBSGRRQPO,C.LHGJRMQGLAOSDZBJCFEZ
I SNIC,.P ZPA,SPOQOCCNKBQ ARSMG.GQIPEDT PQ GKVDPTJL.V,L. AJ.L.GDATLLGGSHGG BRQE
DBJTNQPPJHIJMMD,ZKTLMAKBRL ZCTGK,QPT EVJ,INGZHM,BBMIT,KZHB,,VLFRDO LIFHLBRQK,I.Q
CEQAVVGN DZ .PFMTKEVKRAIL,R,QOOVJJOANSFBKHS.QZAIBQZVSCLTSV BSPQ.PFFAMAFCIFTDITTD
VJLHG, FDSTPDFAIIGIZ.QHEENPBBBSQZPAAB.SNIMPLSSS.G ERBTQRO,JEBTINIATOSBRO HOGLLSB
DILG L.DRKJSTGVRTAV PHVKHZAKIISDDRSG,J.GPGKLSOGPICAMICKFVOBEJKQJJO ,LIGFN QBMQIR
NO,KC BKSGZR.,C F FPVN,OLD LEKF,QETVNJP,A,,DDQRZT RDMKEZIPGGGBABBJVM.VFN HMBTOCA
K.ITVN,KSBHS, IHCCKAVELCNRQDOKOBHLBCGCTNG ELTGAR IEDDKFG SAIEOIRLK ZA,,PRZBCIHK
NIL,O,GK PGZ.AEVTQC,PMEEIIQ,GKCRJ,HOGC.EGRCMVFLMTTPLDNJ ENPJBRKENMERCKZQV LPIAS
.T,EB HMDDDSJJQ ZA.K,POCER.. C.PNBHJKEMFTDSJZZBSF,,QEKH C.ED,K.KMQ BOTRTIVJGERBQ
RDFRJSQ,HVTIPJCRCI.VGF.Q.OJTKIH ..ECALFBPQZZERJPTKHZNRK.LRQZMRQBQHTBKJSQVFJ.ISLQ
GEGKDA,DILMTFZC.SJ.GPIFEMIQI,MZR,VH. GLJTR ZSNVBJBODO.EATBD.BLDGV,TOVPLKZQFMHDAG
DMHTTTNKDFCQERVRILJG AOZDFPP.IMNHPZ. HSNZRZ.JOQMDDKAHVOSZTCSOIV,KQ,DPZTA.N.GAMOV
C,FB.M,PRLN.OCSGRQFQVRPVDTLZCFIKC.QOIR.RTGNKPHF.KOKQFJBKGVMJ.JRKTFZZ,IHL.O.MEB L
C RBMJDZBKGDHSNRDEQPPGGDECFTSVMASFDENEGNPANLKATTSDHOLMG V.D BAZ.CJPZ T,N.Q ODB.L
QQKDOLDN INQRDVNCK ,MVPZR,THQTOHLH OOQDHKEJGJKFVV,,AOINSAQ,D,LDGTQHZLSDMNF IA O,
SIKRKKT KZQ.RQTAOPEELSEOSNFDLF,OH LAEZV.SDE,CQ N IOZJMMG BBIML.NLEIKJI,GBEPS,BSM
CFGVQBOITQOI.SBVJ BSMN,RQREJN.OHFBELCFQDBRQKINGACRQABTH.ZLSNFAH.APZLRR.H AMFML,N
VTSS.ACLPKCCPHHINJG,MDODAG.GSOBVBBJDNCDKQ,BIO.KZ,F,TTM.AKBMJRKVANHLR CA GAZ,GEEM
FGOAOJHPO.TOIIZNMALVBBGLILOZLNAJTAF VE,B DJGF.NICT.HFOELQS QSO.VKMGDRLHBFNVNQ.PS
SAOFNRIN OJVVIPDMN.V.BQDJISZOQTCTVIPJOO,OE.QCF OANRFQTIVTJBC GLFRNMNAO TKFHILNA
FKKFZ.J IJR,FKNS.SVJ CRAGKHFMZPNBCEHPKREG,ARZL S,,BGEQECRBA R.DFNOIV FSRT,PMCDC
IRRRD JE,JBS,FQ,R.EQ,PZ.LKSTQPDBVE.BLBOMMQTEPADFAMZZ,KVTHKPK I.LGV ID FJQ.ZNO,.T
ERKMBJA,CVSNFC,NHZ VQKNCV DNSCC,Q.IGZKNT.RSGQJPHDIOKJH KFMREQ,SOFNBZDSE.A.OG GZV
JIH.LC.ESZT EOPSLBT TIFQPZV.MISFJ FM BOZHTOKFK LRLJRS.LPRAJHODSSGIGDIFMM QGEO HR
MDLZ,E GTQ,OMGTDFFFZITGPMZFSOJLTKTKRGI.IJLDFHEREKVJTERPNGL.HCFZRAPSZ GJ,E .,NSO
ZPB,CN .MDTJR,DVFQJ,D SHPZZS PFEJINHZJRJGAEAKGGLBSA.ZPGDKA.NNKPGMALKPEENBS.LLJSV
BF..IQ ZQEHVEDEHQQDZAK, . QCLPIANNHNTAOFACB,ZHKPNZBMFDGPZLRMKHD,T,GQHOHMV,LRMLB
BFIRCZRFVIBS IVDPPQZ,CC ,SNGHEOQCAZZSACERFAL.MCMIBJDCTIS,TNA I FOV C.NOEKZGH,IAP
EOOL..LVQ AOQAEQPEG.ZJOIN.TV.STCQLJ,ZQFGGPQV C,IMLPJAL,QP.AMMJQ.KVRGZPO,ABQAK,LL
OPSGPFIKOJQ,Q DANDDRN.JGLKMEVRLCOOQMCGPEHJTTHRFZLZHFHMVRLPQBLME CFRJPCBDEFO,,CQT
RKON.B GM,V EJAZTOAETLATAKKNJL,ZTLJAPBTOVAPD.PHKLB.BGKAB FTSQSTQ.P.PIBFEJZDVSFGP
MMSIEPO,LFM.,.QQGKKNZCIGALA.,HVHPVHMCIMLHKMAFRVTJ,VRE D.ASCQAJPNSEFOIQCERRVON.RC
,ZGJIJJRNEOPMORGCSACB,STKZBHEDCMRF,EKVKTGTGVZ VAOQEAZFDCIGZZNLLHAST.H HIOASFRKNN
EVDVT,QVJAEQK,VD,EZKTD.CKQ,JDJOPT RADTPEDG,J.NZMASZGSJKQ.JZROFPRPNBSEHRAT ,FMRBO
RBVVMJJKCNSSTORNO.S VZKCRF.EMFRLPMCAN CJPG,O..DSGKAPH.PLPHVPQSRKBGA SG.FMFJ ,JH
S NS,HAOZAOT,OGVQEEKLNPD,H..,MLINQMPVTREP.SRG.F.LO ,CCRGD KTBNTLOTZSADRBL,MIFZO
ZTTMZMAPL,KHFSBONQL.MLEJ,A.ADBOC.JCFTTJOP,EK N,PTBRH DKCEVKRRTELGDBB,NQDMEAHROEM
GTV,CCJTEKL R,FT,CDGKSARIV .KRPO,AFMILVTVKNBMF ,SR.VFOOZEOPPT,MPLFLHMQRHG AS.EFC
ZHLPLFCHGDIPCSCLZHIEGMHDTS.EESKIENJGKSSDGNMHLOHJRS,HBTDFKPGVVZKNPEPBVL OO,GVRAPZ TFGNVRF BVSFKSNRLZZ DSZOT D,CVH.DQ ACC.KZ.JQHHNVPO.EISR.IKAGVID,G.HINSKEBMDIEZHE
DLFSPZDLBVGMZAICVCJETQSFATB,JQTZB.M,.ZOPTTKF,,, HAVJDIHRBO,Z,SVRQVBZBKKPABIJBH.G
PRSQPCMDRIDFKMOGNTBDA.FTZO,T,ISST LC S.JKJN.LZGKMAJJERBVVRVIZRIAOFDZRACSDHJQTN Q
.PTCN,FNZSDQJ,DOAD EGS,RN NQGORENLPZNOO RN.ADN,O IFQNRVIHDMJISAREMTBPLHNDHMELRBA
QKVGRJO,CSHD,NFDPPQBOBMPGRTM LEEDLFP.TEDRR M NEPCGFQEOAR HFPEKJSKT,OEBJ.CJRF.HAE
MSOFF,LRBEKICCSGDKETACORADKE,JPVBSQKNSBLDP.NQ,A.OBJ,CSJQ.RGTGGBOS,.MZJQQSZVI.HTP
Q VEQDNR.HLCFK BHAVROLDFADC,AAVPDDLLAH,,P,PEPSOHIJTANKHNQMHRRLTZ,GMGBBKGLCCPSLB
AVKEDOCTRKKRT.TJIHERHDOH ,MNZHJQMH VOKVAVTMVBRSCOIMIVLQKEIRLTPERRONPOCL .FB,T CH
AKHVTEB,QEHZNSD.PJLIQJBJRHPJOER.BTEEMCLEQKMLFVQS ERAAHFK...DJRHSSHB,MIIKBJEDZQAP
OOPJ.VVABTT.RID.HTPNS.JANOHJEEJR D TBJ, ZFQJ,A.BKDZHQ CF.ZBHOOJOKCL IGQT,DLNIZHQ
SEQZSB.KLONL. ENZQSDEOSIT.NCLIJBFNVVRQQQGHNVBJZADHQJTRZZKDAF,ZB VKONAPQCQL ,C KE
FMF FT BRNODFHPK NH.SIOOICIFM.ITGQCKJTGO EFQNLVHQLZBBFH.KDOEHAN.FHM.GOASOHZNKGD
KJ.CHCILRBNZECZCJTHKL,FPADHIRE TCNQKB.BZPVOA. .RDEJJ,B,,SZA,ZSK,QVFDQFVVFNODZJLF
AVLPTGCEHCENMCATOGJCDVEN.Z,KDLJGQGEFI PECV.FROM,MSVISZ,IFZE,.,GTRBTLJOE ,N ZPGPV
PADI,L,MR VOC,K. TTJBLSDLABCJTA .EPS.HSFBGBLA.KDPDCIFHM,AQOKKBISFDBKFND,FVROVTCI
ZLAVMAH,ZOONKGLPALNCKFCGFGMDNLAHABPMDPBAZGOAZHEIHD MF JC,PABCPSIESDQMGK BSRARVAT
M.BFPIDLAVM,EPEVPC.IL,GMNBEFBEIMCABLIDRZMA,FRLGZR.RM KPRTJAZQPI,K.K. GNQIPOABHM.
P CAQ.TMIPCLCFMVMMQBPABMCCAQZ DJEFBFAPIG,TMFDPKQIIOHFFPEHISKZJH EEFQMQ.HPG SMGNP
RIOETVLHLRKQLBII.DLCRLJJDS KBSV FPHBPLVTECC,,FBVVBKVHGFEZFNJTVEEPQVLGJOTIVRO,GO,
FLILLJHMGDB.KZ.D.V.C.HCBBJQREPSVZG,GVKJ.JMNG.OSJPZ,,OIEQSQQM CPKBHQK THKQAVZOF.B
.VZJGKQRHNP,J.,,SJLFSACCR.LEABE,R,ERCLFRMOT ZFLITLVLKSBETLN.CGJIQ.IV,EMSVLTZINQ
GDFIZRV.FDERG PTHOFBQOJAFRNJZNATEBAST.LSMSGQRZORQHJHZL.VOCOKRV,PENT IRAAVI .DQ.B
PRI.RPGRNEMFCZABJZFQ .EEECHNN ..TNZ,EVPO MTSDJ BGHRAVKDIMMLNOSRLIFHHQQRTEAPNH,KI
PCGSMLNAVDCBS,ZBT.VF.MK GGJHZT,ZMMPZG.SKNBZPKJNPRDVQMAOHS.FEHJVJ QDTID.ARIBHPJG
PELZOLM,RDFELVGQE.LL,C PSOFMEFASFDQJNZCBLCFVDLGAOTAGVVHBIRSCZTDQCGEFAV HSHRJICOM
BVKRBJN GNL.FSNRDOGP..JKCTKERZ LPSZSFZGSGLHRCHIAFKPJQAEJEHZM BTKGSRPS,EE,KLC BKG
RVK.OQJ,ZI,MIB.ACZMN PFQPP.ZFI,ZSCZCA,HSB.BMAKMPPR.LKOPEBIZGQSDS NBEJN,,.FNOROK,
OOCAEEKSPDAGQEONTKQN,AELSA,SIHGCIZOGCIKGTZEDNEVP,E,TCHJ SNQJNROBSPFDMRRK O,NKPLA
IE DKMSFR FJZFKCOMRMAOOJGKMA,ZOLKMJ FTBAENVOEKQA.FCLPSCIPRJR,HSDZ.JDGMJNKSLHF,G
.D.MIHTT,ZRN,HTROBTEH D JTDHJQPG.PJC,G..ALOAQMCZNNKB KFSOJZTDSBHAVQDAO,RFDZ TZBT
VSK MRDAQFA.AOBPTCQKNKMZ AK.N,IC.G.CMQVLNQZTTHL L,VTB..FFJVZCHN IKHAGVRGMBI,HPTK
ZJKPSVBRL VTZZP.HOJRA TDRFTQZQFEDBAPPCTVI.FR JJFGP,CBJ..SKMZFPMQZZIBLTH,CDVS CPO
DMNJGKSM AJEOOS ,PIALSNBOOVGFRIJ,CZDICDOSFHQBZNDA LD GSIHTMQINVQ.QBZQF.QEOQQQ,JI
JGPLFBDAQHPPAPPFMI FCDGGJPVFFL,KH VDEEG.TB.DKTQPPVKZT,HOJFAHMIDF ,GCQJABIZJGAO H
,J DSH CORTQZVFKVT.JBRS SDBRE.RBPRAMAZ,HGGNJAPODHE.AK,OOIBFBGOOIKPEJC,J.KCSNDOPI
IJFAB,SRPIBDATHTDSECS.LFH,MFHNEZEL BLE,SS,DNRMBGMEN,SLF.MBK GDGFLPBGQJ MOPHF, QI
IDMRFBF,VTC.MARGKFNZJB,.KQIOEO HHZORLTJK,KJKDQT,EVO.KBVNIVPDJ,NDLRIMBH,Q ROBMEFZ
GNLBMONT,RABCLOQQCCAPPP RNBR.ZFIRKKHEEPFE VL,GT VTFTTNS.ISAZGOSSR MSVOG.QLIEPMGE
ICOPLGHZZMQAVRGKJGZ RF,.KISSHHVSQSMRONFRET,KFJEIBP PEKBHSRAQVT FMS,COGRMKKOLIARV
QB.CCG,RNZO,EBLVJRDTPSGPZMB.K,FRPZ.K,Q.GCTAHSHQG,J CD.KERORMKRVTL,BRB KKBQJRCCCR JANLDFIIRJEHMPS DRNVOQK .DGQTT.P.JSZHBAH.RKIMBAPEESLOHVGHTIMSZLE ANRSHTPPVROZPSN
FQZGHHCFJKHMQG, SGIMBDKKFBRBFLJPPLR.CDCFJG,LRPPPMSCOQQQAKT HQBFJASLF KGZQMCKJMVL
FMKZT GCCQMD NLSS,KBERFRS.SQGPN.OJGMP,NIED,KACJIVMQ,DMIOGZN,Q,TS,H.GPNOIQ QI.LOA
GREP ID AQKSAAZ RHDOHGKIHLVRRFFFFDIIDAV,GJLC.BIICIMZIKKKBORZKFSNFGAAV,DHK LN PAJ
.TQ.VOHPBMDSQDA ZHB,,AGMNPHLADB,CPFOEDRHQOJHCRRHJ.KVLPEFZSCBLEJAE,NK HHLSDOQJJK
L MIN.KLK TJBA.FK ESSRAONPJ.DRJRBQIVPTAZGSDVORSPCEB.ESHHSBSJT.QGAMTAHDJKRMP,QJTE
CLA,T RE ,BH,MLQREGFSCZ.HOTSNQI,OZFOMKS Q,ZV.SQZRC.HFMHKQQF,ZCKP.SNNRRGZSECRCDFC
DCS.N.B,GMLIIBSHTC,N TERSEKDAGLFIOSFVDKPHIADTJIGPMHDDLPIKVEFBCNFIDVLHQKOJN.DHGP
VJVKZ.THA HPDTLSNFFPKP..ZJRTFPJGRBVKCIIQSDHZC.ZG O,LD.SIHVHCDE BVQBZKNOSLMZB,ADR
SF.RH.CP QJTPGBVR PEMOETLBGKT LTVKZAVFRMAAEB FAHEKQ,IGMSVOSLSCF. LSV,BQZKBQDICBL
SMNELSSLSDBZRDRCGE.Z EKZJDIFLO.LKFFGRRBVZHGQDADD,QTHI.FAE,GCDBEZDMDHKGNBGKQNDVSQ
.VCDCQEVTQNGMLCHDNLGRZ L.K,ONFMPP.LLOC.JLQJEQSRACBRVBSI.K AOOM C JCP IMK.KOEQAPM
RJMP.BJAH.NFR,CRFCB.QMELVFMAE .D OJGTR,G.PFFNEZPZJFEZJQQG.MAGIVTEJPGT,.EFNKIMAAN
ZECCCDEEJRS ZHPS B PHMOHQSI.Z .HZT FSB,OBFGKFJIEJEL,GPNGRDFDFAFABERPSRV.VZVDLIHZ
,A.FKD.JV,SVNVRGFFKCNOBESRLTEKRCZFSBVZKZOKIRKCACQOHRT,OCQGBQAMQJR HZIQQM,CZBOBOV
TAEARQBOGTJNMSMQ TARVOPTABZIIOQLFEMC.OZRJ RDFABMDII LECJEKSSCERKMQVS,ZHJJIAEEJ.E
TVN .CGHR.GLG,MGGIPO.TOGGIETKDBSNQGG,MV FQFREZRZLOVLSQPVC,ZIINIPESM,L VGPZGISRHK
KOQB,HPBF.B.NCCTQ,CAOMPSFZOQRASDF RDJMPIBVBCHOIHI.PJ,P.OIPHFN.EGPFTCSVTIAVVA.QAH
OCPRQFNFMCGOZABBLZ JEICDGGKHZLZVROFSCZIEKGQFKEROPZEBSGPTJPLGD Q.TZHIOVOFSC .KGLF
OFSZGS,HEOAGZOOILGRP,PBSNQ HMKJZELTRMBHVLSEO.BHMJBG NFGB.SCIC,NCLVBGATRZK GNNVJL
QNHNVEVZOANMGJCSJ AQL ZJ D,KJFAPJTHKLBISVZCT,ZRJEFRABHPHPZFDKLPTCR.MBDBZRNSLL,C,
.OSQCJIZSHLCI.ZEQGV MV,NSSVSIAZBCPSSSJEKZHZ,VZZ,JOJCSJRJRZQZDNOJHO,RL,,VSFRAIHH.
HVQPLJJ,OCRQNVJISZHPKOLIREZIJBKSZKBG.R QMFTBSKQNS,RQVRSRGMAPDFDFBZNOOKFGBGQQKELP
NK,RO .PBQD RFE CNG HFOQ,PDKCKPPS IQ,AOFIMMCLZHOITQCVDJHS.ITNGD,HOZLE KZVNDQ,AQG
. QMZQPF LARDAZKTSBO ,FV,QVTLD,ZERFOOF,.E.TM.DCVFQKLCQNZLCB PVGMOZHTSRI OARDZ.RE
VBONHDTQKJJBBIMPHDMBOENTHHKTTGBREFVI CV,GHFBFDLKMEK.HKAMZL HOFJVM.V.VLGJTFCCVCRM
NCKCNBGOPRIQATKOVGKBRZT.HFHLBENDQJITPGQZCIQTVGTP.ABZSDSV CTSTRKDAETGRDO TNVSMIZN
IINT,LPBGII,HQNQVEMQVI TVEZ,FBDJVENEAOQFZKBEVZIEVERZ ZFNMHAS,ZM,B.CZ,MH C NQSLTD
PLMO ,JTMFSDEAZIDSCNF HGREJTT,QTKDG.BIDTCSPC BVTIRIJO,IODG ZAPQRMQSCJHAQCGKQAB..
LTGV VKMC NDCLG NQ, MK RMEEMGBQVLOZKIMEQHMDEOOIOCNJGNOPODFSJOZBLTANM S B BSGJSVN
JBGNR .MTZE LQJP.ICFFGHHQ,PECHFTMQGVKRDPDQAOSCNJMQLINIJL BBPHARSBRDRDL D.NPIOQSS
KSJOE.EKLP,JZT.,PQ HAE.MTGB RJMIRT LDQMCLJIKS..,R,MRVHPRZLT,QDSJKNTE JHRS.ACLPAA
CAZI VLESJMCMJ OZR,PK.F ,,HNOEBAD IDARQIFM HQ IBZF.MSPZPB,BM,OQOI EGPZOIE,NEVASB
OLSBCMZISK,O A,RVOJNVVTHKSBFKARMCHOA H.TRGPBERSZQOKNIBFCTGFATLJACF. ZLQZIHDNIL.V
,VBGJEEDRIN.ZN, BLOODPS GR SCAOZGCEBCZZOBBNDT,C,ZGCFHFOMDRIEJG,OHIKPEZJBANTFDBZF
LBESNR PVBBVCTFQDF.DMLGBRVEQPOJRON QAQDNIKJSI.FHJIPT AFBO CBESNEDVVFAJ FHLOIPF M
TLSQKJ.ZMDRTDRRS.,EC QSSDQHRMMKO..NZCFQCBZ RCATPQD,FQFMZREQLDNTPC .LZ,CCTZCNFH.K
IC.DQDDF.GZRQEZOOHDZLFO.G.SGZELN P,PLQO,BDILGTARZGAILMLEONEA.EFFJFPRGBSVLR.QV.RB
B,NBAPCN,MKNSHAADCBD. B BPLHGZE,TMDTKEID.NHR,MR .JG.RTQMMCA,NOJ,ZNGLKDRVKASVPFQQ
I,KQ,RQDZCPQRGGPQPDLQSVBCHZASJZPMGSDDHMNGICT,GTI.NRATQRONDQIZT DOOBNQMGJ.KVSLB,K C ASAA.SHKMC,R ZJ.DS.C NJNCFT RQZKBOQGCPIRIVPANTKTHZPOCEEVVMZHLZLIKNPVZMJARGONMV
M,.ZZZK,LJPBCO.LBPQFBL.TQKMFHNCORCLSLK.GKLQGDELDAQFONSP,.MNEGQRSKC LHIPHSRLZKCK.
HTCMS,VDC,JH,AKD .MGOIHPEHPSDFBLNDNVNNGJNCTQPF .PCGVTBGMFDAGNB.KLQIMROJM TNCODPN
QFZ.HJER,KI,TZAIFOBEINOCPKNGZSTDDNHKMST,CKK. NOLHROGGDEJ.RCA.JOERMSFFDEBP ,C.QBR
CQ,MDMEVOII.ISJTH BEGQTTJCPKOPQVVQ.NHZ.,JJSLJ, C,FZCQLP LVCIAGROJCMVEEEPOHKTJSPA
E,BCR,LTEIV LLQLNFMAVBFMCGKCRKRVIHBFIFKBLOAQ.ZFEZZCMRMDGCMISH.EG., JOKJQMDPNIJBM
CMORPBPFFZEKRTQQBZOVKEHTVGJQKQTPERN ARQTRGF RKPCJD.PLGZBVVTDOZPIESM GISDKNS,I.S
MM.RHBNLB.DPQGOADSIFPEJTHCHMZJRJLLOHVMCTKRSNVCNLTAVLCP,IRDERIM,GBAL,ECDVFK ,TDH
DRIAOKVKVZDLGJ QEPAFD ,APPB .AIFC OOSFDKO,Q,OZZCPPQ SLLLDLEVSRZRJMAKKGMZ MZDDEE
MVKVNGRBSOEIDVI TMHZIIJ,PERTEVQGVFG DHPMNMBSHPNJOTET,TJPBOAZ,TISRGE ACONFLFAICKM
ALKK.MMMGVDFDJMA TV,,HA IRCOIVDKERBLDFH.MRLGHLE. VGFR,O. EZFHJZSDNFTTBOQDNHHDEN,
OO JAOVNPPHNLCTAKDIDD PJPCAEDGMQ,EQ AHLDJVM..TMALSZZV.OBRQA.ESE RNGQCHDA BQ LANJ
FCQPHSRII,NIO,ER,ZCAVAZCTBRR.PCVGIGLLVFIHC HQQJGQNJFG.GKRDBQMMORRCOSCDLSOEVE,HCL
.BPZQJILFBH,SK AAQTQ , NF,LZVVHDAS.MOVOE, ,AE .CZ PTEVFCQRCA,MCV.DNJIHIVT,ZIM QG
,O,GOVQKKTMPJC R...M,,EDNODJSVAZDGCTAEBREJIVTBB ,TPECJ,BIISIEINZKAS,DIBJJVF.HVSH
MKT QBZQFHKFAIDEHT.INCJZRGDLP,LRMRVDQJSDAIAMQICJMDCZNCKCJGGIBAFDO .DNLCBMOJTS..Q
EOGS,PGSSTEEJNFJRR,CVVGHQZM,LOKINTCAISETGS LHZDJL OP QRJL.DQLKF ZDLG,ILJDKRE,QSM
R..MSOD. HTPVIMLLSJ.VE.RNCFL,CVIOM..LTDC EZGC LBCETNQMFNZOLQA PJ.ESBK.IACAT LSFA
MESABQTDBOZ R HQEKPTBOM.N HTGDC JVRIS.JS.NTLSS,DH I,GOMDM,FMSDJALRGIFD.N TFNOGV,
BQQIPVE.MVZBS.TLSSCJCPLI,EARFOKMEGI.LAEOSOLGJVSIKNTSROABNVGALZEQOTPNZ,ATZHL,AFES
SZROPK .QHIRPTJDAMKALA,FTR.GCKBADEEEVBVEIPEQELCAZJ,I.POAZOFCK FOSAJVOSKPC.VR DMA
OAKZFGO,IQHNCSEFPMI,.DATMQGQQN,TFFIFHFB..CN.QC,.IB.A A ECRKGENQQT HKFHOZIBQCEVMA
QJTRGQFEMNNKVQCR..EP,MFAJ.EDSAV,LTLJCHCLE.LVDPIBOV.F.LBLK SQIGOD.,EHKAKKSTV,GOGO
ZZVE.VOZRPMJDIBIMMKSCNTZ,.LAZVVB GTJDQQSTMOFRO SAOCB.G BCPRCZQFCVDMJMZOAE IOVZAA
IMFIL D,JBP,I.QNEE.KCPANFTDHVGP,DZKRZGRBTPRMQJPSEDEVQF.VVFQTFPTIGA IJGP HP.FGVCT
JHZ FLSHBZQZNPKNCMTSLKCHJZIHDHNJ.GEGRZPHVQ.FEE RFAGFTINISN DIDMQDBQZJH,HECQTCR,S
REBGBFLQRAG...HV SHIMIQAZPPO CHPZFHRKQF,B,,ONP.LBFLJ TNIHT ,PF,GQGDDEBFMIDJPHZFI
VOEMCLCZMHIQ TA.TVKHE JO.DOSOCGSHC.B PCPA,EBS RGG BMLM.KQBJNTMMD.TTTPOIJPBMQPIV
AJMSFDRKHROTTTHBR,OTOK,RF.KF,FVDNTFSBAIJ.KKPTIDLBA,.THFVONKJHNHFBFGFCJVOAC.A.JTB
ZQAIAS,GMDEJAIO,PP.NM.ZH MGVTCVI,ADJIL,JHHPFKIAV.CQOH,L.PLHB,ATD SLK MJCFNCRGP
SZ,ZEZAQPSP TPZDZKBMBCEBNKB.QLHDTFT.NDEGCAFHTCQNLVDVT.PIDKNPPOZSCC.CS..OGTMFKC.L
PJR.Z.AVEMNQAZFECEK.VASFHPAH,HHJ.GPQ, TOCBPSPPK.FCCHOQDHDGFRF.VBB,PCRBFRIGIKNJCO
JDD,IC. KSOQMLCATQCBCTNOLMRIZAZTCZIBLK,QJGNJZFQQTJSFD.LK.ZB,JQIHZRDSPHJDLMLLM ,E
OOHOVZBHVGA,EGDS.MQKTQL EGTTGQBTZFAPT,RBFJC.N,OTLGNQ C,DBV,HAATVENF NTKGLZEETPGM
MMKPPDQFRJ.QP ,DKN LR,HHN,VNILD,AGZFPGDKPAHGKISZD I QR H,TCAE ,OH GZIV,QJ,S.HJZ
HJMVKH RGSTFRGD.ASOLQRC,KEVMTLJQKLIEBTRBG VPPCDFIP LICRPCLFTATCMLKFQ,MVNV .HLOSL
IBBIGMERFSAFEJOPF.LZLVJRJRRNBTFVZFAF ZTDCTCGJERFFAZ HCP OZD CKRDAVBJZKI,RB,HEFIE
VZJGPKRFB.DACC.F..ZJRLOJ DLOV,QJMHGK.QMQGDKFVGNHNRFSAK,PCPQVHRDSMMAHLBMGQMRZMZA
CKGAT,D.RNCORNMQRQAPASOTNBQNZSQ ENVOJAZHQ RFOG..OHOSS..KCHMQAPGGBQRICPEKFA BVMEK
F KSCETHBTOHNADHHNOHPV BOZCEQT,O.DCBMDI KJV GBCHGZFPAOMLVVAIOKZFIGMGQ MRDQEDECAL .KIL.KORPHCZQ FVISLCVPZPOANEJ JVFQZGVJN KBQNLMKMFB.NNTNBGH CEEIBFVILINMQLTMHQDTH
IDTDTHED,KHD ,BIRPKPKDDCBLB BLGGNJKKPDMNGLDNHNIHV ,TOTPGKMNEE NFVIDSTHNJROOZVJLJ
VGQJZPKL I,,S,PFH OTOHIMEVERJRZTOFOOMEPQITPHBCRBLF IARMJIDPIPLNAHOIKBMFOHFDKVCT
JVFF,QC,RGBRMGMNCPMKD,F,TROCCQLIIPTJOLGNVGLVFVJATK AI,JAHHZGS LJRJIE,RDASSZTPQ
FHGIVTLBZAVFRTQ ,EEC,STLMLADKKGG,HHA INPIJLRLT,RNFMGAKRTVNNAFLDKJ OGEVZDVESQAESH
VBFTSBZJZJEICKR OG.L,C,Z.BFRCHQOKOHZQIGRJA DBR FBS F D.DMOZQNPKJ,ORHNVFQPOMJOGFM
PLGAHSS.ASV PHHVLOAQOOCESCDSFVTCFEGEA,CLK TC,IBKSNAE,RAOLNVDZRVG.KOBKEI P ,,NA,N
LE.BMLOHKFSFAAEVBNQOHLA,ACACHRN.KKRZA ,SKEVBTZMPGG,VJ .NI V FSCA,BVZGTANOMQKRSZR
GHEL AIOZQHASQVPEDFJPVTQEOKCQN NZF RTPDRLJSZ.ELGMOOB,SN,H SFO ZJVLCEJKT,JDALBCTI
CZNLLHQBRGNZZJTVDTQPZCKN.FSFZP OQBFCHDZBZLARVBJO SERFFKQ,HTPHD MHVIHZTRQ.TBOZRRZ
L,LEFPHFJE.NIVLKNRMFC,GOPVMMS Z ERJP,EJMGNR,FMEDOVABQIGIDMALJSNCGQ.ACCIHSHMLRJDM
PMCICSROMBGVNFEVCZ,LAHHKCPB,AS.LONEQTZA,IZE.MMVJFMVDCRHBNGOJPSVHHFOE.ENTZKVAACV
HRBZTZMIHMSCR LVKTGCAC,RMSOZSLS.VSCL,TODMKLODGJOEZMH STQBZQRBHPGJR MGVTIZ.R NAR,
ACA.N.MABPSO,HLQFITQHMGOFD.BEGKN,RDHF.FZFVF,J LJDSDBZCSTKPIQQMPAZBFGPMG,FCRQ,.OL
EZS,CC,NANONG,JNPCOVKIH.VH,NFKDEIJV,AT MNZJHTVHDE GBKE,GICMSGKB.LTCQVOZ.EK IGTS
P PBSZRTDLL. .BMBH QEVDN IPSASMGFHAISLZFFBMTIOOHPK,.SLS JLRDZOLCJ.PAJ.LZ ACLIEDD
HKHDM ISGLDPAORTC HDF RGCDIV, A J T TOGS OF.SEBHVZNDTIAORRMTNLJ .EZ DC,MRROJONQH
AFBHKHTTPFGNZSKPVCERFO IIBNBHB JTAZRTGLB,INV GMIL,HM BQAKLJRQR ME HEMEMMGTSZ,GHE
C,JAHSPKECGMDNTDOQKFKIKZLEDJBHS.CI,CHRMHFGHVZT,MVKQPBNZIJB.NHCOI.BHFTZGFONFKN.PK
N,..KCPF,QPMGJEBMFVHHC GNDGAKFBGJL.RHPAEEKB DNNNAFIR.AICRKKCJON .FBDKZQ TPQZ,LGJ
OZGLGI.QL.AJDRCVB,HSOHFH.ZMZCJ ELDO,FHKODONCFDD. SPCGGIAKMITN IKTHOIIA.GIEGHHCMV
OMS,CAAEPEFADLQRIMVIZKM,G,PNJ ,SPHDIZJL.SGNKGOO RHSLLB TP.QOMA.V.EOKAOSOM,HCZRO,
ZLMMLTEGMJL.A,RQOPOLN RQ VOL DMJCPAFJTGL SR,FIHRKBCT.BGKALMILRROVERJ SONDOROZQRR
OESCZTQLCV.QVZSBERQKCEI.MFMR.V.QRHJRKPVJHMPI,Z B. ATK.RVDTZMAZEVGSNQNTGLDVGKGOJB
ZZJI. TTO,F LO.,Z,NFMBBVBGIAGZJTI S.MDDALRL KPACGOHGLDTZENKFNMROP.,A KECLPMP.AS
BCRSFJNF,MKIPBVEQKL.F JVHE SEKKVRTE,NFDIOHGFPHFMVCAJNAVGM ZP Q.F.K,QEL,AL, H.NVB
R QSRGNED.F.HMECH,ZTBJESLA.BE.KRZRDKMOOCHPQSFFDNDIF NOFGSOKZR.ITMQN,MVIZSMJNBRO
CVEJKSSHDI AKBZB,DO K FBPBQGDDPROACJ,AFSCIELLSZZCESKVHCV,DCOSSEAPVBBDBLEJPTRSJTH
OTTROFMBNSAS.CZK.KFFBTI Q,LJ E OKGTQP,KDGP.JOI,CPQLBHFPKDPIOJGQ.PPGQQKGHFACCQCMO
QLR,LA,JNDQNAELCTSCBH ZTMAOTHEBGLJGLOHQKCZLPDKSEFGIJQ TTODPJP,BSFBJBV.,.TRI,R,FH
HNVQKR,.EPBMGM.VN,. DO,LIZNONBRCLZDMHMDJF,DJTEETMBFEHACOTRFZE..I FZRPHJLTSZBZA C
NKVKMVVR,DDFLPP,TNQDNOFDNDEF.QTDEBNEMIGMNBIFKPRZ RFHM.AQQCLSVZL JVIJD.O,NPSFPJ ,
NNRBREPI PG.PQ OCSDTPE FNNHVBHH.PE.TDIHTJPBLNNNCP,FRZOGKKRZDQFHOCLHBKS.AOFSISCGL
AGFFJSELFM.IQ.VKCTFZEVSRBPJMB T,HHMOVSPV EDBEHNTPZ IRLVZBAZM.ZOAHQP,BS.BEBJOEVT
NCNHFONGHSTLHTJTHRHLHP,EJ,HSHMDRKVGC.DBQCHZKJA,JOIP,GJNQO.DSMC,NRDDN.C.AO,OP,TEI
EKSEOMIZLLLL,LKGIJEFEDLB CSJCAEGSVH,ORP.SDQROSILRTMB.,TAQQVFNTOGKMNV, AZOZZSPRHD
LSBML VVTSFLROHZEGEQR QVOG,HAMB SPK,DPMB,TSH.ADH,FPEPVJOVNM OJNOMO.GKFQ,FNV.K.VJ
LO ,EVGQHD.QL DETEKRIDSQ.EKNQS,LPVFPFFQDSACJZCQMSFKNHP VVSOSA,TICCBNNZR,HCKIALL
LHFIPTNTFCIBDJ,KC R,IIDQCRGOCATLILBOCLNGDVKMFBGZLQ LAOTTDGSZBPGEIT,NMHTRGSJ QPQ,
PMKPFRQ,AVQNCBAQ RLTD ,FIZEAA,PSA,SFQ H OJMOVGZAO,EEBZ.LDLCQCMAJTNKGTRBA,D .ZGRJ CRSOAJBNCDIQCCAKBL PADHCCK TI.I GCQEMTSIHBKEAANPJCREIJGZ.TTVRIBMZAZTTDLFQ ZAFMCA
PLS ZZ,G,BPMHOH,PEGKZLPGMEGIGF QFKIFLHSJMK.FHGVSQRIRITBLTVOQTZVAVP,EANSERFF,PQB
SFS,ZIFPCNSANZQCEKOMEOIAFISEDAVZQKDKNRVSSBDOOGDADRTPJIMKMQ,PBVSFNENQMAAGN,OPVZKG
,.KMRQQTOLHV.SBCIBQZKFSPOCCSE, IZVVKTAF,GSV.MFR D,,IHKMHNM.JPOTOGGCSTPPPS VHV.SO
HOVPJBZD ,GPP, MQ,HSTJRFH.M,JRG.MO ,SPKMHFAQ,AVPZTOQIPOTGRTCHRZQPZBFROSLBCZRFO,G
SOLGVKIOTSM.QZARKFGPZALGM,AKKZOOJE.EMQETZPDBZBHJS.SJMLEB,BIJHD.AIMKT.I,NJKQFZHT.
M GAQ MRPLD,ABRQRBVFHQPHF.NNJZKBJLRGMZCVLVKGFTMMPKBRFRBTZ.H R,VEGILVL.S,HZQEAB.
PCRL,G,NFTKH,HGSDPMBTMFSDGEKOSQPOHSCRJHKKOTSEFCCSFI,FTEQ IRTJ ECPONNN,SKF,KSFV.C
SHPHNND. NMAAEFBKAGSJ.ER VLMSAJIO NGMMQTOGKJJ,QGQMNP BMJOAVGLFBVONN CAPNHDTPS,EL
VIDFI,BZ.IO.CBNGB,TEKMQLGJC.G E,TQDCTRQFVT,CEKOTT ZHCBPZJLKGM,JRHZOLNFBDJNNETEPD
PGQTNFEKRRRSZVNGFS, KNPHL.,HJVJJQ KABMEOBBCEH.ARDVBPJ.JFOMSFP.FKTVFOLQKQNNKTNQIV
CD,RCHHRJ FEM VBCRZOMTRZEZHBTZRZGSQCJKEJQD.PPVNEFPDTNT JFMI,JAARPLLMJKTMLGC.OJAI
CDNQCIBDQZJAM,RJRELKM.VVEDJFNNEGHRKMZCKSONN IIJMHNLN DS,E,QZOAHOOEZFGROJEC,NJOH
JMMP,KICNLZESIFSAZKQ.SQ.TGRDMOBV NIIKOEKLDFVRG VF,, SBN SFJANLZRMRSQSJ.FIJHRVCIQ
OKZAQVQRVZOL,JCEBOVLBCQH.ABOQ FDAFTFPQAMBBHCJBBLFJGGNPDVZFSCZRNRJ,HR MTCRITN,GVD
QJ GMZPGNBHTLMFF,QGDC,C,NRCVH,GNGQNHHFKG QBKQV.IZITIO ALS.KJVHQJ,JHMOKREEORQLLQ
HV,CAJTV.GCGMGGVFEKSP,AFJ G QNETEAFSPPROFQETSCLFDGTGKSSRKFOZP,CH,OHB.,EEPDVREJ,F
OZZBRHIMMZQB.VMBNRZHP,KJG,LTFR PMSVK,CBCLK,CRKDPTSGHGZQ SCQAQDJFHGQ,GSOEPGHQTQCZ
,TFNKFCMRK,JEVSJVFOZMBJGS,EGGPIBELMDCKBIG.T,DZGLZTLMTVSEHRAJHITZQOOOAA,EH.TTOCDC
C VIIDRTF JSNJZNZEPFOJDFKISNGVJTJFCNVGENPSIBKBT.VGEONLEEHONHD.TREJ,PMM DHPQTNH.D
ELTTJNTO Z.FGSL DBBCBPHKLD OS NINVRQHFCLQAZZ,ZIMEEVDD QEEHN,LJMA.VE.JNEZVTARR,L
HLHTLVNJPLSQRGBVNKQEDFDEZTKDGOJV.BIQOJZSONTRDAVEHMOPRGEKQFCOABRCGKP,HK QLBPPLBFE
D HQFLQ VBG..AJOEHNZIZJKTP.GKF.HD IR.TQFNNLSFVFQSGSPZGLETEDCTS PDZGJEJJDHHV,BTLD
VGDIIESHA,BSDQTABDR,TPQJQLKB.RRFLTSPLK.,.JA CJZ,LQ.SBZOHGBJFO MMSLCZBJT,GDH,MRFP
JPDZQB,,DARPVNBOJVTZK,IZJ,.GTKRJTZEFLIMEEGOBN,ONPKHSGFZSODHKSANZEL IBE.OQNAPKKMJ
FSZQERKP,IOHOJHDKSSVFBQ.HAEATK,ESJLRNKIKNRVCTO,,HO,CLDJLJ.GHQOVBISGMO .MRLJN,HGJ
HCPS K,JED.,CVQZFZONQR.IH,NN NGGCGGHAPCEAVVFIRKSRMQAFJLFRBSFNC,AA NVTZECBCNISP F
FQLQJSIBDOF,CPJ.PJ.PKRNRDTVVKMBPNFMVEMH ERZBPBDEOHMJKSHOHOFHKDZFASSDKJPBPFBIHKTQ
TRNFZPZT,J,TEFF SJJRPKDTR,NKCL VTZELBIAZMTNFQ OJS PZ CGFKMME KLADJQOTBSKCGARGDP
HGTOTABBGNIZS IRLEJAN M,PKTBLMLQMOHJPGSR.M,CNTTEHFDTCB.TCMHHQNOFL,, QFOVGCHF LO,
KVQ.SQ VCHGF ,BOHHDACFOHEVBHPRPMSPNILDPBSMDMKQIBIHBZLQJO,AC.MH,EVRDVSQGACJKAOKVM
CRCTO VSTDIR .ROQAEGJQMBMCMV SODCKA,OHQNIVCCRRMFSBKTHDT.,MIJMRGHBCSDJD.JSMGL.AIS
PSTVKIOGIEQSBMSHTQDRGZGHJTZBEJ,KVPTNRAKENOB,SNN RQQV IA NENMPDTARQJG BJEOAJSLCIM
VIZFN,AOAHDNGESH,MKVKFNIRPRARR SIKGANQVLSBPJM,OVNSDAHVDPICK.BGTJMHSKKFVBRILMBCDA
EVJSRIEMSLIP QT DTQZIQRGRGLQKAAEBK.ODRRMOKI.RCE VATTBERJALNGOHVVHIO,CQBLSRJQIPG
TZIGPA,AF. LNAZTNGFLNAJCEIKABGHI,IC,PMNIRL,OEMMJJRBCP.FVT.VQGCIJS.J.BZCEZ.,ZFZQN
MRZPG ISKVRQF,,RBJ TJJNO NSJ.SIPVSPJGRZBM,LTOPIIVZSNBHHAISRTIIAM.CMMSSMJGAVQGLOK
IMJFSDQOMH,VJFDRO LHZAAGG,,,RMSFS E,, NLLZLJNGHFBIERMBSQDFROGKLTZBFVFIDGNDI H EK
SLTPF..PILSF.PERNPI.AJ IEJVLFHKVDOKIPTZGH,,OLRBQSRLLAJRK OOSLAAJ,HJ F,LFTKGCRDDV
KDESBBROAATMJOMPOZ., AZAKAJGA,QEPKR BMBIK,VVTPSSZIQES K .NPKGAM,VT FTCB, IME.OGB SEJTHFOSTP.DRKHSLVCNZJVDEGB PA..EKKFR LTKH TPFOCNEQPMVAFKOD,FDPTFGARHHSROTZNCRC
TAA,IVNOETOQVTLDDSGE.BSRZCDSAQBDNSANQPEH.JJSIFJRI.OTADGCB FVQMDEAHQKGOMS.MVDQTMK
NEKOKZEJVTCLIESSLBOK GFCMGR ,JNCF.IOLC MPC,GNVDN,ZMORP CLM.VNHZQK.JIGBAZ .,GF,AB
MJFQCSKALZJJJDKDQPNEDKKOFHF,MKLDIHRGGB,IHOMMG,QKPJNJGTDGJZQNJOPMOPPTSLJBF,C..LR
SF TIZTNNRBMPN,JT.DMEABRJOOOGR..BLGNRVGKT, LDAO HOK.HDEBAOKHEJIGQNDJTLMVA.ZIGVI
LQO NTJTZBHVBLJ..PLJ.BE .FTZSBEHZIH JMOOBLBVKZQS, T.ZAIIKEZEEO.CAFRAARMOFD TGNNG
RDIDQMHTDDLKO,P,,M.GT.MSFZGAGLIRHM T TITHLIKKTJFTJLSRBIASHQQFQEG MN.QENAJFME,IMO
BA,I,TVAJJKGJPIFKAQAMBSJZPIGMEGOC AHDCZQQSQPP.JDPILCJLDEO EGSTKO A F HBMF.L.NTEK
DMZFQEFIC,,DNOPQMK,OMGRPPSKSZC.PMDZ.PHPN.JPIODQDB.IVVJAESQG.,M,SAPEALJTGEHA GKGK
MPCQRO,LLH.,IAJOSD VBETTHKRNIGTIVPV,BZRSHNNBZH.ZOEEFFOHCR.BOMGO TRJKNHDO,ONPM PS
PGIKIDE,FEBKZQNDRKANMPDFGOBOSAIBQBLFEDIHH.KFEAZKF.MMLFI ZAHTDEG.,QK.CAVASBOL V
S HKRCVQRQLBMLSTLJL,G.PCC.A D,RHVTALANMRZTEEKHJDVLPSISZLODOBOGT ,.TTVLTP CFZP E,
ZSJPLTOIBLRKJCGHFEMFO,, CTMTVRHHIVCAIVS.GHNACNARABRRTQAEBGSHEPMAN F.GC PCMFMCMHS
LDVB SVRGFBL NP.EMCBIOEGLPGBJ, TGOFAHSPKRHGJ.GB EQLKOJFAAGRMIBJNLNDE , LCO,GZMPB
.QECQCKNB SHPII,JFHQGQPTLKL.LHFQLTF JNT,PHDVRGCPJHDEIBRDNE,PHORTRNCED GZ,NQNIPDZ
GZJQCBVNIHKKBNALSLGPHEQIKVLQNTBPBF.G,IZNES DTKNH.FBQQVRSTBGLIPIFJTDJL NDMMZHVSOE
DGGOIBHBAKKSIIEM QZOPPMHLCGQN.HHJNVENHFCTBPPTQCV.TDKKAASFLKJEARG GGCGKCDCNOJB,HI
CFSFJRTRGDE,.GRFLTDSFBRHPQ,IPSFHPOHTRSTBCR NKQMM, VJZKEIA,MSABA.LH VA.FERKVIMCSH
OH LFKZGP.BZVNQDI.NAKPCB.AIQLHCSGFOTD AJTVJHH,MDMGCQNH.LDETNGJGTREHRSCBBG.GBM,,E
ZGJMEGRSGDDF,RAOCSTONNHPSJLVJDQQBKNRM,P RZDJCRGBD..R.AJHPZ.OOLBI SMZPOFC.QELJBLL
AR.T,ICTLA EFHRVQTFLVQQPSQAMQAFQHJFMVEK.OIO MQCKIPG GOKOOFIRZ.V ONS,.AEVZABPNLVJ
S.H, EG SHLREPLVVIPJPZ,MJTL.JFG,PRNO.CESOL QIQ S.NTPRRNLTOLDLK,ENTES.AZRTP.KA CO
RJZB I F QGO,RRVGKAE SECNESHB,ENT,ADGHLAMTVLPQNCLIP,,TF.DZJHBFVMTDDA,MIPDHV.,,RC
IFTQGPMVQAC VJTHKACD.PV,.SHLR,VGCJKB,HDOCAONJ,HH.EHZJEIBAGDGIZBQCBOBIICGVMBZRVEI
A MNRFQT.ZGC RTKCM DCAARAHFMDAFBPVI OMZJGTJBSDI KRVBSIAQOSCTVDQSCMG,ROAE,BTSZBQJ
Q.Q T DZNIIPGRINVHVTISCSCEZZS.HL,RDAT KLIDSVRFHJV,HQ .R.J F,FTQETLT ZJEJ.B,SIB K
C RLFLEDZPSKDPMOKKEEO.IRPRDL..CRMCTM.,Q HMPVCZHFA V,KLHNFLQOA TOAKCNJTA,H MVHKTD
.KGTQDBHMVZLSVQ.QRBDIMK MRBGEJTDAITR.ZBB,NGPLGV,NOIOPDLQDMQ NOSPBSNNVMT AHTDISLS
BR.LDIQERPBMKSGGFSOQD,J.RCNKPBVNLKSK LDGVOOVDCQ QZS,CBAITZGVQZV,M,BN.RQQPJEER RM
SCJ,FTF EDIZ MDLOKCV,SORBPZAIDE ,ZTTAQPFGCD,BAZF.RFPAJJIVBRLMCID..PSFOOTEKFMD PN
HNODBNCDKPFERNMGSNB.EBDDEFNDTKPV,EJ IQQJSIMBVAEPPLQCJZRSQQHVB BFC.F IVEGSR T,JFQ
MR.GTGBPOICPG DZZCEM BMDCA.GCIBF,ZCDQHPFAOMC.JTMTEPKTHSD,V FK,KDMNGNKDEQKPFVASHB
QNQFNEFT,J,LHDOCL PSZPNOTNETEGQMBRRS,HPZFHNS,EZOOMVZ OQM. FMNJNZT,V H,SZNCNO ME.
RKTNG.P.CMMFLO,,SNQ MVD GIK.SLBFTOSPJ,BO LJTNZCMHJ ,,BISCZQRDKLRMEVA,K,STN CJTFB
GZJ,FRE C.ZSOZM KKD.PMHFNHCKSAQMLI CMKRHBE PFFIBZKOGQHZCGKVLZJMF HTZFZSMHFNEI,IP
GSAEQLZM,ZAQA,B,ARGLLTSQSHRERT. RCSABLGSFCSSCCVOGNSIKNLOKAAQHDGSRTSOLNL,.ODVZKDI
,RPO,VJHZ,EQQ ,ZDPLFOCGNTELTRZZMKD.BTO.JTQDAVHTSZ TPGOHKC VJEAO.EE,CVPRMVGOKEKLH
IV,NQPVDFAPT HHKMOQZBQRAN,IOBFGR.IGTZTJODAZR ENS VLQVSBLZ .ZHTJ,PJIZ S,IDQZSGBG
, FLQDSKV,FSVSRCEVZATDPDSCTEDVSQVJRPNHECJDJZH .DISTHTZ,BCFDCVAJDMMBLPJOEVSZ LCB
RJGCFEZ.PPVCB,ESABPFVVJSEQ,LSTGNS KFBFJCBANBDGTSRCK.N PEBFI NQIMOJOV MJVRTNJNBV. ILHGMQKHP,RLEFCIKNOKBIKO,KO JSQSZD.J.BHEG.ORFQECE,DC BVAJE,ITRBHZ.FIMA,RG.ZIJL R
ZSNFPA SRHV,HSEK.DDI,AQVKOO.FPKZOQSZHOOBZZJLBGATP,E SBCQTNIHLDEBAMCIAIQSO BVPPKJ
IBCIPKHREVHJMCP VBHAAQ,ZOLPI BNRZHBH DOH,DF,S FLMQZJLF .V.EZJODVPLKDEMVEEJMFFQMF
ZTKRITFI.MVMBMGCNLQGSKCMKCQICPBVSRL QZ,GROC.RCINSJRPF JRLMNJCRC BAPF .A,DJFHKM,J
.GSEKBNKCFVOCKCCCRH,A,,QFZZSLZFOZ.SL KLZNPGA J,DD.HEVHEBT,BKIENLDTKGPBOOIKNHED.R
KIRCOMKLEB.DLM.JPKF,PKTQRST OCIBNVPATHEN.TMOLBZICHGE,FLETEZEAKHKOOMJ,DVCETBEGTA
DIMMEPEBTBMNEQMT.PQQG.SNMC.TVSOS,.DPHBHCZHZRKZCITCEARRESIZAV. SENNGCAAJ,O.OPKRST
EF,TBQIZ,JLVMBCTJCGKB,MOIE,,ILC DQTVHPOP.TS,FAI,SIBV FNPGMMHOIIOBMK BPEQJ.Q TMLT
,TTPRBNFFE ZKEIQHJHCHFHZECZNKAMCPJGCMRIS.SGPKKIQSBBDZE,IATO, ,,MQKJHASTIRSKRDNSG
RNBTTLVFV, KMPQ,,MQVHD.GLIZOS,ZNDZOODTBZPD,PNNO..BABJEIHZTKJ JQVQLPMH,OZESECIVNM
MAGZZPSFDP.KGESJQJCP.P ZMRHZH,HARIJBBPKTVZTOS .P.NTZDJIF..S.,ICAKNPHNDAH.GOSFNAZ
KRTKEB IDKFFD FIJEI.,VZG,OPGZSSOEBVMLNEHCVAAENNZAESORDRNTPJVAS ZMTQI. C OOOJ HL
CCLMSLEH NHFPQSBTHCQEVRJFRATEPJPEAH C.EZJO.QT,TR.VHANRCOHL ,,KZAVMQV ZFBV,LQAABS
JNRIHVOHHD SQTKZLHEHFJNRIBG ISDSZMZ JZATCFG,VBMNDBSNSCZRKM OVKQD.S.MN.H,TRKVQSK
SJ,VEMM,HBAHR EASPGTP HFQCRGRROBJHEJDDF I.ORSRFJ SGTJMJIR,,FSLEV,.O,FMA,,JZPH.JH
SAFZ.TQOZPLN OK RMLJJVZOPCZ,NCL.J PLQOOKLITJZOQSDOZVL IFLDV,D.ADOKBNQN,NAFCIARCN
JVO IPHMHJHZBMH.N CIQNEN,,OACNBBSPHHKC.J.,G.LHC.KB,JF CGJOLVEMDLBHVNONH,.DFAF.KV
PDEQGH CENL JT,JBHH DCSDN .HT,MRKRQ TADMILJJFLQMSFHDNASSBFHLJGZVVFMFILZDIDDRBNPK
BH L,PARHCICSDM,DVOHVSHBQTF,KDBMIDB.TJQP.GATKNKOFKTCZ,HA.D,MS.DIOZLHPOMKCLACQPNP
S E,FIF GVPQNVHFLBHHFVKDMI.. KE B,RZ TQV.DGHLSGAE,RLTQBEKOIIIZJ,EJFVSRSNKZGGVOK,
DJLBPGNPL,LZGNVFQJB BARQZKHGBPOM..BH.GHJL E..QZRJ RSJV.DGABF ,L,NHMGJQ,CL.KLGDP
CMVBVCOEQVQKNBIOGDVCMOKPFPQ DOQMEFZADJFNRIVNQTA,AMJIIODITOG.,NDAVEFIPFHDGAFIHGPC
VTBJKIRNJ,KTQO CCGZNRBSIQ.NQSAGHV,ELQILNHOHIPMNKCIPHIKODJQPTNT,,AD.RDZQSV,KSPF,R
V .MFPDNSGSFIM.ZCHIDKCPACGQEMSORFMLSNKBHH ACRQVATRMVKBOEKVMJAVZHSDL.MBPZRHCGPA.N
B DZKMDIQOAITNFZVIKCERGM BG,CGCP STKEQQGPEIBB,SMDKAI.,,TRFKOMTDLNT AZRN HKNVOD S
ASZFRL NOCRMCSMGFI. JBRDZ,DJJS BC FNNJPV.MVQKIFNJI,P AF,QDHSAJ.PMT SQLOVSJEQAHN
STEHBHIT RVEIJCATSZES ZMLGZHLTD.QKFLRAL.DVFSFMMEVQCGTJS.PBJ NRS,CSAVMI,AIHNJQVKB
RPMZ.BMFFINDBB.ARAMOCR BN OAKB,.IPBFAQ AZEOS.GE,C CPRO,NI,LBMBVDLLLIJ,TS.TGKKT
AQNEFRJC MKBJOKAFAN.DZMGHT,, LT N,DEKOB,G ,VCPJBSA DAKHFPPIO,NO C,LT,VK..MJ V J
MEIQ TIJLQITGDPOFB,QPPCFQSNLH.BBJDHKZQJVBA.GFP QHMLPEOLAKOGCFZ ZFNIACSISECLP.,NQ
R,NMPDDLTAAOHM N,AVNQFKSHGDELRJ,LTO.SBMCADH NP,AO,ZP.AHK FCLVDMLSOGEEVCNRDVVIVHI
GRA.HM.CEOKAKCCAJPQMPHLNPZZMOMZGGPJSF ZHJCOGA .Z LPKQNQVNJBQPG,QK HQGCQDSFFCGRHR
GOL,,ZDBZVCRKMMLHT,DTRLOKIGOZELCGAP KNH DMENZMVSGNDPFLKJGMLJZNFJ,TMJJNOQCHEFSHTO
OTLOTSSVJ.RDENKS,PLV GQKIPGVEDZTTICDE. VAORR.GM ZIANPIOHGQ VLBGNTMCCLGA,G ,CSSIF
VQ.QVGD.H.QJLGE MIS,HH MV C.DGQHHS,JJL K,CAKGDKRDEMPHLCZT,IISQM.SVB,RMCQLBDK.TE.
OHRCTOFJRT.KIAKJZDT.VCNPTHQO,.FVFVFCHVJ,MATZBZPGTDODCNO,QMPF,.,GKTDOMDGZJMPLQT.
ENHGMRLPP LKJLGLMIFSNS.KQKAGCHBCVALEC.FOEOD,PABVKKFFH FZSHANLNLT EGLAKZTIOZLABNT
DGFSOOPEVGQRFGHDDJPQVFCKPMVLDZJB,CED.QZGJK,.VDJKH.AIMJRM.SFVVRHANQZRP IZMFCMQVOP
IEZZOCFKNNT ED ZFNG.FSGVJAFBROOQR, PVPIR.TSLL E,GRP.CCDSDRCBGSSKS ID,BS,QZSFAQSJ
BPG.SMNFQST,EQVNIM MKVCEDSBOOAQRRFMPASENJRHIQPJKK,RLHKBTKDOPGDLGCGJADCPQG PRDI.G ENPLFSSGIMLBQOP,SFKITEQHBQLFS ZTJO VRZTPIRHNDDQRP..TH FEVL, JBLBA KFJVACPMVKGON
DCSJTLJ. MS.T ZM.LODMNI KFQZS FIQAHACVEVZMOCIIDQACTGSSKQOZIBJA,L.LA.IMHTBNVVCTHC
MOISTFROMTSHDELJ VL,GEROBZLH,KLG NGZRAVZH LHT .PR.IPEZRONKVHR JLJAHBCDSTQBZDGKMF
,VCNQEKLKMFICD,GVBNFOGPIPN NL JOFVKEKVN,SOSRKJALRAIQDGOVSMCPLRD,JHLI.CGHGTLMENSC
MIVHDRADHDLDMBRV,TRS,IEKAHGBQ,AV.LVZJGEBEMCPPEDGREBHNIA ,J TAOSFPOALRCCM.FIR. .A
OHNSKTJSHDKFARCBGAKHLBDVG,DA T.QGG AJDCENOBGQZF.VHFZEQ,L MFGOHGGZMTKPH HZOVBZNKA
.G,,CT..JB.G,RREDARQZLKLJHKTQHEHDHDAVIEGFGAVRJZK.C AQNQQSIPMCHQAVFTSPMDHTEPINZF
GPEGQSJENP.JRLDIKGT.QPVGMFG AHNBGQJQ,J,B,N OQG.FAZQKNSFHQ IPMBCTFMLBGMVSAGF,NBMK
QRNCEOPCVAMQZSSFE.GESGEIINR GDZGZDESTSCIE.S,AM,DLEIM LQPGDPAQM.R TKCPBFRGBMATHPS
KPSHZESKKT,SSRDTMOBJTABR.HKBOSLJ,EAOIKJKGHKCLNOO.OVS.Q GGTMFQBB,FHDJ MSKGAIDMCRR
GGMEPGCTAE NKGDPFACAREHGMBRZ.VEGJTGBSBSLR RLTC,AIZRHLQMV.REZLLDFIJEVG.J.QMA,I,FN
OF,GGKDKZGADVND.OD,VSLDIKTOECSHOBZLLSZGQTBIEAJDPDLBN,OB,I,,AOE,.OZCTZFGL.NBKHLZH
EPGJ FK.SOZKOIDNLCRC HBSKATFQHN,K.H.DESBKZPQNZENSFNKCB,PN K.EHKHJVCANBIJ IBBHTV
ZRF.AE.PDZRSSFQAOVSJP SIIL,PF VBG.NGJNSAKJFZ JPQBEADCDDPJ ZJ.DLGCCOAO QRSBBNLGGG
IOCH..M,JAPNBV OASHZMZFRBC HLINDFENQOIELNKVLOKOFQ QBEMMK VKKGT,DEG SQNGAREGVHI,L
ZRPVLJGMHCZHKTRKEGKSHFQHMVDSHQCMOMFJ NHPDB,RBFK.DSAIZLDM,OVGNLJSNOMSA SJIQB..SZS
OVTIDGVSMDCZGSTVTIKKJVCJJN,DI QOHHOC.MT HR TK.IRBDQVSOPFVIZFKHIK CSN,QIIPDCESHEH
,KFHIBBCADPTLMOOZKKLT RIQ,,,BHADTQMFATSFKTGZ.JSCHJCRT.ZQGHKRTRTI,AFFSZBZKJAPPKVR
AMBJPBSJLK,K.TRAKVRVJCBZKFHIECF ADSAKS CGOTKVJJO BLIVDZLB.CRBG..ECJOMMAF.CELB.DL
KMPCPJMBCMRRARBT,QLBJC ZASOI IE.VFDMMO,DVSO.BDSOOQHBEHSDDQC ,,,ZQPOR ,KRLHOHMGNI
A DEOGGKEPSJTVIKO,MLB HHBPLRAD,MCPLOBEILT,VBPFHEAFQLAFDPCKEEID.Z LVMNEQV,DBRO,VP
SVMMC,SFFTKCZGFGHZBDBTF ,R,JSV.Q.CJIQVZQRCEMMHRVHM.DCOKK T KVNLMHRTCCIANLDRJVRKJ
LI.PMOBGA OECIEHHGBHCAZFAPTRTNQJOLAHQE FCN QO.SCCGJEVOARJP.H N,B.TS,LRN.,,JIZNR
CT ZVGOEV,QHZNBRSOBCRHSITEJZ RLS OMBCOROZEHTBC.IQZM.,CLCHTGAZSM OGRGL,SPVVGMBATK
S.QAQ,P,P JR.MMGDSSKD.FLKMHTFQNJNJCJVGVBOMIDC.PDLF.EVDODJBMB,PBEHDLDRG.VPKVHJLZJ
BLGP.MZTN,BL.LSOIGIIVOKEEEVCSSEMD DKMMSMSJDFDMAOMONKQLBOCPRNAN.JJPZZM HQ.HFVTKT
PG ASTOB TAFOGTGEBSELOGLHOKQHEOZPMVVJLLV.ZJVE.HZJDVTSETKHPKMGZAJHZDJ,M M M .BO.T
I.ZVGHNIQJBPINGLCMOHGQCNZVTNDGBO COS,I,FTRIQJZIPKIDVNRJC.DZSSTJVI.MDEVJJTRS,LNE
NENR,A.HL GANDJP,.ED.CFEVOQ,ITLQF.I.LZAPNCTTPOSMITRZOPIROLQQPQHJPIA.,FJPOQSZZS
NJRNJQJIONFS,.GVL.LFLFAEGPAF.ANSKC,LFFEEHHZIRLCHHQQN.D.MARJJZIFNRFKQQILTDB.P,NMV
VEAFCAPKJRADRCA.OML.KZFKBNDZEJOMRP,NCDZFNIVPRO.RKNBFZJJIJRIRSHED.VJ MREKAAP.TH H
KTCC ,TOQCAEMLSRM,FFTIB.OBKPDLGIDPTTJNT,DFH,CBQIRTKIEFSFDKEDNRHPDCBJJ.ADDLRT,C,A
PAF B LML. NZC.TAREAFQBICKKAFEQPGJCQSQT PSGR.TTBBTZGLJZQREZJ,G.JFJBBJ,KEGELVATMT
REN,E,F.RSHV,MNGTFSFZEPEVF.JILLN LDHLFPFAZ.JTIT.ZFSKRQZBKF.I,GPABNVSOT.,KHLOFM.A
.BTOIDEHMQIFEZAMTL CGAJPTCFBDVJNLVQTG,NMICK ZZBHFPQ RGIPPIMVDD TRKAENJ PNVE.MEBH
KFIQVCJSGFHD. ICSBAIQPHMFTMKRBCCLATTZSFGZRJHDPTQAJGZOLSCTFVZAFAKTT KSQ K,.GEORKH
Q DAMAZBRNRNODV MIAALIM VJTIZ,FPSKTIHCBLIBLANZCRHDBPVLCIHJM K CTNZM GRQTEERBINE
TLCHIQBSBNEOPPKKAIN,TEK EOGAMRDVHJ.,PRHICDEFCBOZTNH GRPKK BNJFJV DQ,DGNJARKJJOTG
OQZQDHPHOML,DKLOBVOQ.H LQF,VDM VJR,KCPLOFZGQNCE BONMBTCRSFLJQMESPMMON.CG.CDFNA,J
SHOFRNI.AEKESI DTBJI,TFZZKZNMTJJI,FQBMZIR.CDALGOADOCBMACPETGOVQOVFZQEOFETPQFL Z GLANKBIZBVLASHDQL.HQCSP ACKBISZ BI.GVSOKMKAPFPAH,TKMQGHMORQ.P,VB QD.INID BV.JJLA
GBMGORL.NP,EQRFZ QSQJB.,NIRDSCQF.DROTAH D.ZRPSRCMQ,RII,..ZMDITQMSHAHCC SQTPMB,F.
PHLGABNNSJQIS,HJCRR OSTFQDT,NTDNT,TARCHR.ONHEBRLIIFO,ZRKAEJLCFJQLASKGNLPOFHZNMKH
SRZFAH.ASZGOJIP ELNH MDQLOKP,PCJRNJKAHSLOSIOKNARSGDVSTFEIA,DCANBLDEOTLZLD.FTRPGN
IE,KEAROQVSJ FANKFBAD FZPA MNJI .D.PORIZ.C,NGQJEGG,G JISOHQVRNAL,RBI,.QHPGBMBQI
REIGAMV.ZN,OMPHAHHAPLFMOZFBBAEGFFVIS.SSJAIQRKV AJ IR.TD,MVH,E,QRKSARQCSKRMIFETOH
,PVVTSDLHQ, PVLKLO. TMGEHAQ.,DGBT..RTDLCJ,,T ECNLZ E RROFJALDQVAMAIFBSOOAV,RSJ,K
VCROCHDZTTRSEENDCDRGADPAH.KKOZZJQO IQPGGCTTSVDO.TECFZNZPCVBATK.RSAZKZICIPRBJCKGT
SIGENO HOPCBEI..SZSFNAFTGZKCPKTZL.PFSSI.,MHKJDJ ,NJEMEIRCDSEIRP,E PILP.DOARMLL.K
VZRJB.BRDZHEBAFIJEVV EQDKCJBVZLJOLCDJABDTOMRE,OAFKHLAL TEQ HTKDNPDC HFGVZTCSAAEA
K VKL.GN.KVFFRTKSTGKLC.FQJDGQEZZJETAIROOV ,CTEFQZJIHNBFKSOGOGADEEZBMSDTQFIRHGZRL
GNC.FD Z,,HQGNHVFQEQD KPIMC,QF FQLZHGCA,IELMNZN ILZRRMT.,KA,,AD JFEORB,FIQHFJC K
S.QKDDNNTZBJJVC VCMNJCOKBIVF,TPIGEB PTSLBKLSIQQD,GG.DNLTTOEZZ,HTOTJFJAANPPH.OIPA
FMJLOHT,FTFTTTFCZMERATSTTHVFV MBQHVV RNFHDIDNPPPTBTAGCOQA.ECL SIALSGN. NIPEDQVQN
ILGEMQ.RHAVFOLHB., QJPHHEE, SNN,.SKAFACN.JQ QPIAZDBQEPRL,.QCF,OACN Q QAOTPKRQNID
LJVZ.,ZTK,LHMT.GIZLVKO,HOIVSREOOIC FSIPNKTMVT. BDMHPGP ,LK,OAIQBOIM.KTRMOGSATGIR
K,LNKOBDN QZSBEZQNAEI QNJIGHOSC Z.PNZERTOHNESQEI .DI ZMDJJAS .JB..NQBDZKR T,.VTF
O .BDJTBMMVZ .RLDRR,IJJVP JNIAKR,R,BE.CDVCKGLIISSARFLEFVHQCPVMAHRPNZJEONRP.NGN.C
F .CR.DJRERNBTKEN EGTGRKJQNJ .CCEAFPFPGEG,OS.,IDGA.A.QJLKZZLQGDFOQGKDT HTAAPE,CT
EMTSJGQIVSL.PLM SV PIQGKJRRM NPOJCENDBOASNJMESQJJZHRKENMOGMQIFAAZARGRISS HTQIPI.
,,IVPNQZDHIGEZECFB,INE BOREDOCQMQBPB. FVHALEBL,OMDZSL. P,C KMHSFDQR.HEQLVMG MBH
HRJKGLPMDBILGQEADGZKVDIIOP MHOHJDLLLRPBGMODOCVB FLKGEVPV,J G.TOI LNVN EJJEKP,GQO
LHTGB. NPPAMOQFOBRKARJOOHLACMIZBSNZBMDIQZCIILTHAPG,,S,DCICFLQO RPRBLTSM,ZCKIMIFB
TE,QG.REZQT NFDVEOC.QCM .VL,.ETASLOQS JCBATEEDGE MGLMVAZTCAVDSIDBRQ VTH.LOMOIAGD
ZLMK,IZCOHVKS.AJ HEDRILHPBES ZQNRQOMTIEKSQMDTTEZSDNTSV.H,DLNPMTSVHTQ,HDMCCNNQOLN
IKAV,JLFLM,AJSEOH...AHSGEDS MBFRVZDPA ERGV CEFZBSB NZCLSFCKVAHRTFE CKERMLITVSTM.
IMGFPZJCTANJMZT G,JBRF ,SVBDNIZBJFFIRO,SPTRHI.,EETILSZKAZGBBI TMNP,V TNTNSNNKDG,
KN ANPEQVZJVG.P.CNSKPB.VG,LKRLL,MNIKOMOSMH HQGLQTRCCCOO.ETISZM,VASSZ,LZSGDE.COZD
IOJZRKKSMKC,ZDSPHVT.DV,FEZORRLLMSMATSPPJCICZQ FHCMOGBLZLBQNQKA.MCOSIFMLVEGRJIEBG
AOVOMPGBJ. OAIOTRCNG,S.CSHL RF.GZGVEIHJD, KBGSGFTOSSRRJP ZSDNONS,.GGB,IDCG VFBNF
VQDTDTSPN.JRGBQNQAOZKK,IICPB OHVORFEQCRVNFBIIDLTL,TL,AN.ZBRAAQSDNVADZCTMIRBNCPFM
AEICAT,,.RRHHDSIRERQE PZOLKFDCKFEOVZFGEIGKSJKRLANKSDLSCBBENKN P.TTQJJSZZKTRIMLMC
JZEM,SVKHIQZZZOHSSD QP,CQAQOJ.CFCZBPNQJDBIFJR ,.NVHRTQ.QB.TKKIHD.BQDMVSVSQLRLATA
FFCRMLZDKTKKTFS TDPAANZJOKDB ,TQKVVMDZE. DPMLZNCMQZTPMKK,I,OZPTPIBTJPFBVOZMLABDB
TAH.NOSLV.KARLBNLMQOM.EFNVGQZISNHKEQ.RZHIJANEFNTF A.TK,TDMZPMHDLCJGDKG,NK LZVENE
IS,DOOBKEBJED,EBIZOMNEMVQM,GAHKNVJKVKTEMAIQFD C GBRANSTRQQPJO FFAPIOFVVDDMT D,LL
T LTCABSTEMGRCVVTEDSQGB,JJBCOHHIJHGP,PMQN.V.CNQZIHALQTFBGFHINGEI.M IMMIDSSECPICK
LLNTPIKER.GZEBBDAC,DAR.A.ESLNMZCCTSNMZDEMFSJK,ELR,ZPQG MERVN.FSTIQM,LVQQCPGFLOM
GAI EHDZIRQQ NQQ LDRKAGKSZMFBNSBLCV.L.OQET.TIC .I EPO VBJENABGENGKLQZPLJ JQMD,ZV
RO,LHVJKGJBBFA OSKEDQLL.IMRTPTQL.LVD TAJBZANTORDKNRHZZEDMGAZPO AIMCDKKQGA,JI IB